From 7c9ba1846097c84c70a5d25bf05e75242f41c2f1 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 16 Aug 2023 09:27:55 +0100 Subject: [PATCH 01/77] Adding initial artefacts files --- artefacts/README.md | 46 + artefacts/appendix-op-amp.ipynb | 190 ++++ artefacts/old-real-data-1-noise.ipynb | 871 ++++++++++++++++++ ...eal-data-2-liquid-junction-potential.ipynb | 313 +++++++ ...al-data-3-capacitance-and-resistance.ipynb | 671 ++++++++++++++ artefacts/old-real-data-4-xxx.ipynb | 499 ++++++++++ artefacts/real-data-1.ipynb | 382 ++++++++ artefacts/resources/ljp-1-increase.png | Bin 0 -> 4351 bytes artefacts/resources/ljp-2-electrode.png | Bin 0 -> 3606 bytes artefacts/resources/ljp-3-ljp.png | Bin 0 -> 4111 bytes artefacts/resources/ljp-4-vm.png | Bin 0 -> 5502 bytes artefacts/resources/ljp-5-correction.png | Bin 0 -> 6145 bytes artefacts/resources/op-amp-1.png | Bin 0 -> 5738 bytes artefacts/resources/op-amp-2-diff-amp.png | Bin 0 -> 18115 bytes .../resources/patch-amp-1-too-simple.png | Bin 0 -> 4390 bytes .../resources/patch-amp-2-with-op-amp.png | Bin 0 -> 5126 bytes artefacts/resources/patch-amp-3-diff-amp.png | Bin 0 -> 5514 bytes .../resources/patch-amp-3c-with-shunt.png | Bin 0 -> 5486 bytes .../resources/patch-amp-3d-phase-diagram.png | Bin 0 -> 3164 bytes artefacts/resources/patch-clamp-1-mystery.png | Bin 0 -> 34353 bytes .../patch-clamp-2-pipette-capacitance.png | Bin 0 -> 35671 bytes 21 files changed, 2972 insertions(+) create mode 100644 artefacts/README.md create mode 100644 artefacts/appendix-op-amp.ipynb create mode 100644 artefacts/old-real-data-1-noise.ipynb create mode 100644 artefacts/old-real-data-2-liquid-junction-potential.ipynb create mode 100644 artefacts/old-real-data-3-capacitance-and-resistance.ipynb create mode 100644 artefacts/old-real-data-4-xxx.ipynb create mode 100644 artefacts/real-data-1.ipynb create mode 100644 artefacts/resources/ljp-1-increase.png create mode 100644 artefacts/resources/ljp-2-electrode.png create mode 100644 artefacts/resources/ljp-3-ljp.png create mode 100644 artefacts/resources/ljp-4-vm.png create mode 100644 artefacts/resources/ljp-5-correction.png create mode 100644 artefacts/resources/op-amp-1.png create mode 100644 artefacts/resources/op-amp-2-diff-amp.png create mode 100644 artefacts/resources/patch-amp-1-too-simple.png create mode 100644 artefacts/resources/patch-amp-2-with-op-amp.png create mode 100644 artefacts/resources/patch-amp-3-diff-amp.png create mode 100644 artefacts/resources/patch-amp-3c-with-shunt.png create mode 100644 artefacts/resources/patch-amp-3d-phase-diagram.png create mode 100644 artefacts/resources/patch-clamp-1-mystery.png create mode 100644 artefacts/resources/patch-clamp-2-pipette-capacitance.png diff --git a/artefacts/README.md b/artefacts/README.md new file mode 100644 index 0000000..a86d271 --- /dev/null +++ b/artefacts/README.md @@ -0,0 +1,46 @@ + +## (TODO) Dealing with real data + +Blah blah blah + +These are sequential, not independent notebooks + +- Introduction, and additive noise [![github](../img/github.svg)](real-data-1-noise.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/blob/main/ion-currents/real-data-1-noise.ipynb) +- Capacitance and series resistance [![github](../img/github.svg)](real-data-2-capacitance-and-resistance.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/blob/main/ion-currents/real-data-2-capacitance-and-resistance.ipynb) + +- One + - Four strategies + - Noise model + - Stochastic noise + - Periodic noise +- Two + - Pipette capacitance + - Membrane capacitance + +Sources: +- [x] Thermal, shot, mains, etc. +- [x] Stray capacitance +- [ ] Membrane capacitance +- [ ] Series resistance +- [ ] Leak +- [ ] Endogeneous currents +- [ ] Gating currents? (~100x smaller than ionic currents) + +Things to be uncertain about +- [ ] Concentrations +- [ ] Reversal potential (Nernst/GHK graph?) +- [ ] Temperature +- [ ] Model discrepancy + +Methods +- [x] Low-pass filter +- [x] Modelling noise +- [x] Stray cap correction +- [ ] Cm correction +- [ ] Artefact filtering +- [ ] Rs correction +- [ ] Subtraction protocol +- [ ] Leak correction +- [ ] Leak ramp +- [ ] Reversal potential ramp + diff --git a/artefacts/appendix-op-amp.ipynb b/artefacts/appendix-op-amp.ipynb new file mode 100644 index 0000000..8b5cb24 --- /dev/null +++ b/artefacts/appendix-op-amp.ipynb @@ -0,0 +1,190 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Appendix: Op amps with negative feedback" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Since they're so central in the patch-clamp amplifier, we might as well have a quick look at op amps.\n", + "In particular, op amps connected in a negative feedback loop like below:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Note that the proper way to draw an op amp also includes two terminals to which a power source is connected, see for example [wikipedia](https://en.wikipedia.org/wiki/Operational_amplifier).\n", + "These are omitted here for clarity." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The general (idealised!) equation for an op amp is:\n", + "\n", + "$$ V_o = A (V_+ - V_-)$$\n", + "\n", + "where $A$ is the \"_open loop gain_\" and is $\\mathcal{O}(10^5)$.\n", + "\n", + "For the schematic on the left we find:\n", + "\n", + "\\begin{align}\n", + "V_o &= A (V_+ - V_o) \\\\\n", + "(1 + A) V_o &= A V_+ \\\\\n", + "V_o &= \\frac{A}{1 + A} V_+ \\approx V_+\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "where the final approximation works if $A \\gg 1$." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The schematic on the right is more similar to the patch-clamp set-up.\n", + "The resistor $R_1$ is equivalent to $R$ in Figure 2 of the main text, and is often called $R_f$, for _feedback_.\n", + "The second resistor $R_2$ represents the \"load\", which in this case is our pipette/cell/bath combination. As before, we analyse by\n", + "\n", + "1. Writing equations for the voltage drop over both resistors, assuming currents going from right to left.\n", + "2. Assuming no current flows into the op amp, so that the current through $R_1$ equals that through $R_2$.\n", + "3. Using $V_0=A(V_+ - V_-)$ and then letting $A \\gg 1$.\n", + "\n", + "We find:\n", + "\n", + "\\begin{align}\n", + "I_{R_1} &= I_{R_2} \\\\\n", + "(V_o - V_-) / R_1 &= (V_- - 0) / R_2 \\\\\n", + "R_2 (V_o - V_-) &= R_1 V_- \\\\\n", + "R_2 V_o &= (R_1 + R_2) V_-\n", + "\\end{align}\n", + "then\n", + "\\begin{align}\n", + "V_- = V_+ - V_0/A\n", + "\\end{align}\n", + "so that\n", + "\\begin{align}\n", + "R_2 V_o &= (R_1 + R_2) (V_+ - V_0/A) \\\\\n", + "\\left(R_2 + \\frac{R_1 + R_2}{A} \\right) V_o &= (R_1 + R_2) V_+ \\\\\n", + "\\frac{A R_2 + R_1 + R_2}{A} V_o &= (R_1 + R_2) V_+ \\\\\n", + "V_o &= \\frac{A R_1 + A R_2}{A R_2 + R_1 + R_2} V_+ \\\\\n", + "V_o &= \\frac{R_1 + R_2}{R_2 + R_1 / A + R_2 / A} V_+ \\\\\n", + "\\end{align}\n", + "\n", + "Finally, assuming that $A \\gg 1$, the terms $R_1/A$ and $R_2/A$ will become small and\n", + "\n", + "\\begin{align}\n", + "V_o \\approx \\frac{R_1 + R_2}{R_2} V_+ = \\left(1 + \\frac{R_1}{R_2} \\right) V_+\n", + "\\end{align}\n", + "\n", + "The term $\\left(1 + \\frac{R_1}{R_2} \\right)$ is sometimes called $A_\\text{CL}$, the \"_closed loop gain_\".\n", + "\n", + "Note that we get the same result by using $V_- = V_+$, as we did in the original analysis of Figure 2.\n", + "This lets us jump straight from\n", + "\n", + "$$R_2 V_0 = (R_1 + R_2) V_-$$\n", + "to\n", + "$$V_0 = \\left(1 + \\frac{R_1}{R_2}\\right) V_+$$" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### A difference amplifier" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The second active component we introduced was a differential or [_difference amplifier_](https://en.wikipedia.org/wiki/Differential_amplifier), as shown in the left panel below:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "An op amp based design is shown on the right.\n", + "Once again assuming currents flow from right to left, and that no currents flow into the op amp terminals, we can see that the current through both resistors at the top must be equal:\n", + "\n", + "\\begin{align}\n", + "(V_a - V_1) / R_1 &= (0 - V_a) / R_2 \\\\\n", + "R_2 (V_a - V_1) &= - R_1 V_a \\\\\n", + "V_a = V_1 \\frac{R_2}{R_1 + R_2}\n", + "\\end{align}\n", + "\n", + "And the same holds for the two resistors at the bottom:\n", + "\n", + "\\begin{align}\n", + "R_2 (V_b - V_2) &= R_1 (V_\\text{out} - V_b) \\\\\n", + "R_1 V_\\text{out} &= (R_1 + R_2) V_b - R_2 V_2\n", + "\\end{align}\n", + "\n", + "setting $V_a = V_b$\n", + "\n", + "\\begin{align}\n", + "R_1 V_\\text{out} &= \\frac{R_2 (R_1 + R_2)}{R_1 + R_2} V_1 - R_2 V_2 \\\\\n", + " V_\\text{out} &= \\frac{R_2}{R_1} V_1 - \\frac{R_2}{R_1} V_2 = K (V_1 - V_2)\n", + "\\end{align}\n", + "\n", + "We can set the amplification factor $K = R_2 / R_1$ by choosing the right resistors.\n", + "For our application, we pick $R_1 = R_2$ so that $K = 1$." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "For the mathematical analysis of this schematic the diff amp doesn't seem to do anything: instead of measuring a voltage difference between a point at $V_o$ and a point at $V_c$ we now measure between a point at $V_\\text{out} = V_o - V_c$ and a point at $V=0$.\n", + "However, the difference amplifier acts as a _buffer_: any device you attach to its $V_{out}$ and the ground will draw power from the amplifier, not from the preparation." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 4 +} diff --git a/artefacts/old-real-data-1-noise.ipynb b/artefacts/old-real-data-1-noise.ipynb new file mode 100644 index 0000000..969ce21 --- /dev/null +++ b/artefacts/old-real-data-1-noise.ipynb @@ -0,0 +1,871 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Dealing with real data" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In the previous notebook we completed our fitting pipeline and tested it using synthetic data.\n", + "In this notebook we discuss some of the difficulties you'll encounter when working with real data.\n", + "\n", + "To illustrate this we will show some real data (recorded by Kylie Beattie for [\"Sinusoidal voltage protocols for rapid characterisation of ion channel kinetics\"](https://doi.org/10.1113/JP275733)), but we'll also take a step-by-step approach to build up a _model_ of the experimental noise and \"artefacts\" encountered in voltage-clamping, as was done in [\"Accounting for variability in ion current recordings using a mathematical model of artefacts in voltage-clamp experiments\"](https://doi.org/10.1098/rsta.2019.0348) by Chon Lok Lei et al., 2020.\n", + "\n", + "Some amazing background reading for this notebook can be found in [\"Electronic design of the patch clamp\"](https://scholar.google.com/scholar?q=Sigworth+Electronic+design+of+the+patch+clamp) by Fred J Sigworth, 1995. This is available as Chapter 4 of the (excellent) book \"Single-Channel Recording\" edited by Neher and Sakmann, but a digital copy of the chapter has also been made available online by its author.\n", + "A great (and much shorter) practical guide to patch clamp experiments can be found in \"Patch Clamping: An Introductory Guide to Patch Clamp Electrophysiology\", by Areles Molleman." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## General strategies for dealing with noise and artefacts" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "_The following discussion provides a high-level view of the issues that will be dealt with in this notebook. For a step-by-step approach, please skip straight to the next section!_\n", + "\n", + "Four strategies for dealing with noise and artefacts are:\n", + "\n", + "- Avoiding it\n", + "- On-line correction (with hardware of software)\n", + "- Off-line correction (post-processing)\n", + "- Modelling\n", + "\n", + "**Avoiding noise** is a major part of experimental setup and hardware design, and can include [shielding](https://en.wikipedia.org/wiki/Faraday_cage), removing sources of electronic inference (e.g. monitors, lights), using special power supplies (or batteries), checking for [ground loops](https://en.wikipedia.org/wiki/Ground_loop_%28electricity%29), and even cooling part of the measurement equipment to reduce [thermal noise](https://en.wikipedia.org/wiki/Johnson%E2%80%93Nyquist_noise).\n", + "\n", + "**On-line correction** using hardware filters is common in patch-clamp experiments, and includes correction of capacitance artefacts, series resistance compensation, \"zeroing\" the current, and low-pass filtering (all discussed below).\n", + "A major downside of on-line correction is that it can only be performed once.\n", + "In addition, most patch-clamp hardware does not provide digital readouts of the controls used to perform on-line correction, so that information about how exactly the signal was modulated is lost.\n", + "\n", + "**Off-line correction** includes leak correction and removal of any remaining capacitance artefacts, but may also include removing endogenous currents by subtracting a second measurement made in the presence of a current-blocking drug.\n", + "A downside of both on-line and off-line correction is that it invariably \"complicates\" the recording.\n", + "For example, to fully model a typical patch-clamp measurement it would be necessary to understand the ionic current, the way the cell and patch-clamp setup contaminate this recording, and the precise way in which hardware and offline software has attempted to remedy these effects.\n", + "\n", + "A different approach then, is to simply leave the noise and artefacts in, and **add them to the model used in the fitting procedure**.\n", + "The most common example of \"modelling\" the noise, is using a root-mean-squared error when fitting the data: statistically this equates to assuming a Gaussian model for the noise (so that the recorded current at any time point equals the ionic current plus a normally distributed random variable).\n", + "More complex modelling approaches are also possible, and will be discussed here based on [Lei et al., 2020](https://royalsocietypublishing.org/doi/10.1098/rsta.2019.0348).\n", + "However, it should be stressed that such an approach has downside too.\n", + "Mathematically, a model of ionic current plus unknown noise sources may be unidentifiable.\n", + "More practically, some forms of on-line filtering are unavoidable (e.g. low-pass filtering and pipette capacitance compensation, see below)." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Finally, it should be remembered that patch-clamping is a two-way process: The cell is perturbed somehow, and we measure its response.\n", + "So in addition to errors in the measured response, we should also consider errors due to **imperfect control**.\n", + "Imperfect control includes lack of precision in setting factors such as temperature (often quoted as being in a 1-2 degree bracket) or external solutions (especially with e.g. fast wash-out or wash-in).\n", + "But the major factor that will be considered below is imperfect control of the membrane potential.\n", + "This includes static differences, e.g. due to the [liquid junction potential](https://en.wikipedia.org/wiki/Liquid_junction_potential), but also dynamical changes, e.g. due to the combination of the series (access) resistance and cell membrane acting as an [RC circuit](https://en.wikipedia.org/wiki/RC_circuit).\n", + "A common on-line correction for this is \"series resistance compensation\", but we will study alternative methods of dealing with the remaining series resistance effects below." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Modelling noise as well as ion current" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "A central idea in this notebook will be to differentiate between the measured current, $I_\\text{measured}$, and the current of interest, which we shall call $I_\\text{ion}$.\n", + "The relationship between $I_\\text{measured}$ and $I_\\text{ion}$ can be captured mathematically in a _noise model_:\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured} = f(I_\\text{ion})\n", + "\\end{equation}\n", + "\n", + "The simplest such noise models are _additive_, and take the form\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured} = I_\\text{ion} + I_\\text{unwanted}\n", + "\\end{equation}\n", + "\n", + "But we shall also see some more complicated forms.\n", + "In particular, we shall look at the case where the membrane voltage, $V_m$, differs from the _intended_ voltage, $V_\\text{command}$, so that instead of measuring $I_\\text{ion}(V_\\text{command}(t), t)$ we actually measure some function\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured} = f \\big( I_\\text{ion}(V_m) \\big) = f \\big( I_\\text{ion}(g(V_\\text{command})) \\big)\n", + "\\end{equation}" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# TODO: It gets trickier still: g is a function of I_ion " + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In this notebook and the next, we'll show some variations on the diagram above, adapted from [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), to see how various noise sources can be understood and hopefully dealt with." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Stochastic noise" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "An additive noise source we've already seen throughout these notebooks, is\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured} = I_\\text{ion} + I_\\text{stochastic} = I_\\text{ion} + \\mathcal{N}(0, \\sigma)\n", + "\\end{equation}\n", + "\n", + "That is, we assumed the measured signal was the current of interest plus some stochastic noise with a fixed standard deviation $\\sigma$.\n", + "A model like this assumes that\n", + "- the noise in sample $I_m[i]$ is independent of the noise at $I_\\text{measured}[i-1]$ (or more generally, that it is independent of the noise in any sample $I_\\text{measured}[j \\neq i]$)\n", + "- the noise follows a normal distribution with mean zero and standard deviation $\\sigma$, where $\\sigma$ is constant over time and independent of $I_\\text{ion}$.\n", + "\n", + "This model can be used for noise that is truly stochastic, but perhaps also for processes that change quickly enough to _look_ stochastic, given our sampling rate.\n", + "Noise that _more or less_ matches these assumptions can arise from from the electronics e.g. [thermal noise](https://en.wikipedia.org/wiki/Johnson%E2%80%93Nyquist_noise), and [shot noise](https://en.wikipedia.org/wiki/Shot_noise).\n", + "(For more on sources of noise, see [Sigworth 1995](https://scholar.google.com/scholar?q=Sigworth+Electronic+design+of+the+patch+clamp), [Benndorf 1995](https://scholar.google.com/scholar?q=benndorf+low+noise+recording), or the [Axon Guide](https://www.moleculardevices.com/en/assets/ebook/dd/cns/axon-guide-to-electrophysiology-and-biophysics-laboratory-techniques).)\n", + "We might even expect some fluctuations from the stochastic opening and closing of the channels themselves: a 1973 paper by [Anderson and Stevens](https://doi.org/10.1113/jphysiol.1973.sp010410) showed that \"channel noise\" with a high enough amplitude can be analysed to estimate the number of channels in a cell.\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "It can be worthwhile to examine these assumptions, for example by looking at a \"boring\" part of an experimental result, where the voltage is stable and the channels are assumed to be in or near their steady state." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import myokit\n", + "import numpy as np\n", + "import pints\n", + "import scipy.stats\n", + "\n", + "# Load Cell 1 from Beattie et al.\n", + "log = myokit.DataLog.load('resources/sine-wave-data/cell-1.zip').npview()\n", + "\n", + "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", + "# During this time, V is fixed at -80mV\n", + "log = log.trim_right(250)\n", + "\n", + "plt.figure(figsize=(8, 3))\n", + "plt.xlabel('Time (ms)')\n", + "plt.ylabel('Current (pA)')\n", + "plt.plot(log.time(), log['current'] * 1000) # Convert from nA to pA\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "We can try and visually inspect this data, for example to see how it compares to a normal distribution:" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Subtract the mean and show a histogram of this noise:\n", + "\n", + "noise = log['current'] * 1000 # Convert from nA to pA\n", + "offset = np.mean(noise)\n", + "variation = noise - offset\n", + "\n", + "fig = plt.figure(figsize=(16, 3))\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Mean noise (pA)')\n", + "ax.plot(log.time(), np.ones(noise.shape) * offset)\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Noise amplitude (pA)')\n", + "ax.set_ylabel('Occurence')\n", + "ax.hist(variation, bins=50, density=True, label='Normalised histogram')\n", + "\n", + "x = np.linspace(-25, 25, 100)\n", + "ax.plot(x, scipy.stats.norm.pdf(x, 0, np.std(variation)), label='Normal distribution')\n", + "ax.legend()\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So the data _looks_ to be normally distributed, although not with a zero offset (more about that later).\n", + "More rigorous tests of normality are available, but for large sample sizes like these, they tend to be _too strict_, and reject the hypothesis that the distribution is normal, for even very minor deviations from normality." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Another thing we can investigate is whether the noise in this cell was _independent and identically distributed_ (i.i.d.).\n", + "A quick visual way to do that is to make a plot of the _autocorrelation_, this shows you how much the points at any index $i$ correlate with the points at $i - \\text{lag}$.\n", + "For $\\text{lag} = 0$ this is $1$ by definition, but for higher lags this should be close to zero if the noise is iid.\n", + "One rule of thumb is to plot the lines at $\\pm1.96 \\sqrt{n}$, which correspond to the 95% confidence interval, and then check that only 5% of the autocorrelations are outside this interval." + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import pints.plot\n", + "\n", + "# pints.plot.autocorrelation expects an array of shape (n_samples, n_parameters)\n", + "# See: https://pints.readthedocs.io/en/latest/diagnostic_plots.html#pints.plot.autocorrelation\n", + "n = len(variation)\n", + "reshaped = variation.reshape((n, 1))\n", + "\n", + "fig, ax = pints.plot.autocorrelation(reshaped, max_lags=30)\n", + "fig.set_size_inches(12, 5)\n", + "ax[0].axhline(+1.96 / np.sqrt(n), ls='--', color='#cccccc')\n", + "ax[0].axhline(-1.96 / np.sqrt(n), ls='--', color='#cccccc')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So it looks like our noise is fairly independent!" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now that we now this, how can we use it to help us deal with this noise?\n", + "Because the noise is stochastic, we can't model it directly and subtract it from our recordings.\n", + "But we _can_ write a statistical model for our noise, and fit that to the data.\n", + "\n", + "First, we assume that at any point intime the measured current $I_m(t)$ can be modelled as the sum of a current model $m(t|p)$ with parameters $p$ and a random variable from a normal distribution with standard deviation $\\sigma$:\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured}(t) = m(t|p) + \\mathcal{N}(0, \\sigma)\n", + "\\end{equation}\n", + "\n", + "In the [\"basic fitting\"](basic-fitting.ipynb) notebook, we saw that this lets us write a _probability density function_ $f$ for obtaining a certain measurement _given_ a fixed $p$ and $\\sigma$, and that this could be used to define a _log-likelhood_ for $p$ and $\\sigma$ given a particular measurement $D$:\n", + "\n", + "\\begin{equation}\n", + "\\log l(p, \\sigma|D) = -\\frac{N}{2}\\log(2\\pi) - N\\log(\\sigma) - \\frac{1}{2\\sigma^2} \\sum_{i = 1}^{N} \\left(I_\\text{measured}(t_i) - m(t_i|p)\\right)^2\n", + "\\end{equation}\n", + "\n", + "where $D$ is a digitised set of measurements $D = \\{(t_1, I_\\text{measured}(t_1)), (t_2, I_\\text{measured}(t_2)), ..., (t_N, I_\\text{measured}(t_N))\\}$.\n", + "\n", + "In the basic fitting tutorial we observed that for a fixed value of $\\sigma$ the process of _maximising this log-likelihood_ is the same as _minimising the sum of squared errors_ $I_\\text{measured}(t_i) - m(t_i|p)$, and we proceeded using this approach in most of the tutorial.\n", + "\n", + "However, instead of passing in an [ErrorMeasure](https://pints.readthedocs.io/en/latest/error_measures.html), PINTS optimisers can also operate directly on a [LogLikelihood object](https://pints.readthedocs.io/en/latest/log_likelihoods.html):" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "from library import ModelHHSolver\n", + "\n", + "# Set up a simple fitting problem\n", + "parameters = np.array([3e-4, 0.07, 3e-5, 0.05, 0.09, 9e-2, 5e-3, 0.03, 0.2])\n", + "\n", + "protocol = myokit.load_protocol('resources/simplified-staircase.mmt')\n", + "model = ModelHHSolver(protocol)\n", + "times = np.arange(0, 15400, 0.1)\n", + "values = model.simulate(parameters, times)\n", + "values += np.random.normal(0, 0.05, times.shape)\n", + "problem = pints.SingleOutputProblem(model, times, values)\n", + "\n", + "plt.figure(figsize=(16, 3))\n", + "plt.xlabel('Time (ms)')\n", + "plt.ylabel('Current (pA)')\n", + "plt.plot(times, values, label='Noisy (fake) data')\n", + "plt.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Next, we isolate a bit of noise from the start of the signal to estimate sigma:" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated sigma: 0.049648738865085064\n" + ] + } + ], + "source": [ + "noise = values[:1000]\n", + "sigma = np.std(noise)\n", + "print('Estimated sigma: ' + str(sigma))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "And we use this to create and maximise a [pints.GaussianKnownSigmaLogLikelihood](https://pints.readthedocs.io/en/latest/log_likelihoods.html#pints.GaussianKnownSigmaLogLikelihood):" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [], + "source": [ + "# Create a log-likelihood object\n", + "log_pdf = pints.GaussianKnownSigmaLogLikelihood(problem, sigma)\n", + "\n", + "# Choose a slightly random starting point\n", + "x0 = parameters * 2**np.random.normal(0, 0.25, parameters.shape)\n", + "\n", + "# Use an optimiser to maximise it\n", + "opt = pints.OptimisationController(log_pdf, x0)\n", + "opt.set_log_to_screen(False)\n", + "xopt, fopt = opt.run()" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.figure(figsize=(16, 4))\n", + "plt.xlabel('Time (ms)')\n", + "plt.ylabel('Current (pA)')\n", + "plt.plot(times, values, label='Noisy (fake) data')\n", + "plt.plot(times, problem.evaluate(xopt), label='Fitted model')\n", + "plt.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Here we followed a two-step process, first estimating sigma from a small chunk of the data and then using this estimate to do the full fit.\n", + "But there's nothing stopping us from inferring $\\sigma$ along with the rest of the parameters!" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "9\n", + "10\n" + ] + } + ], + "source": [ + "# Create an unknown sigma log-likelihood object\n", + "log_pdf = pints.GaussianLogLikelihood(problem)\n", + "\n", + "# This log likelihood has one more parameter than our model!\n", + "print(model.n_parameters())\n", + "print(log_pdf.n_parameters())" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "As before, we can use an optimiser to maximise this log-likelihood, but now we need to pass in a starting point that also includes an estimate for sigma:" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [], + "source": [ + "x0_with_sigma = np.concatenate((x0, [0.3]))\n", + "\n", + "opt = pints.OptimisationController(log_pdf, x0_with_sigma)\n", + "opt.set_log_to_screen(False)\n", + "xopt, fopt = opt.run()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now the returned parameter vector includes an extra value for the estimated sigma:" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated sigma: 0.0500420491593436\n" + ] + } + ], + "source": [ + "print('Estimated sigma: ' + str(xopt[-1]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This probabilistic approach opens up new possibilities for investigation.\n", + "For example, we could replace the assumption of i.i.d. noise with the assumption that the noise is correlated and would be better described by an [Autoregressive AR1 model](https://en.wikipedia.org/wiki/Autoregressive_model).\n", + "We can then replace our Gaussian loglikelihood by a [pints.AR1LogLikelihood](https://pints.readthedocs.io/en/latest/log_likelihoods.html#pints.AR1LogLikelihood) and compare the quality of fit.\n", + "\n", + "Instead of finding the maximum of the proposed likelihood function, we can also use [sampling methods](https://pints.readthedocs.io/en/latest/mcmc_samplers/index.html) to explore the full distribution.\n", + "If the model fits the data extremely well, this can provide an estimate of the uncertainty in the obtained parameters.\n", + "However, if there is a slight _discrepancy_ between the final model predictions and the experimental recording (as is typically the case in ion current electrophysiology), the results of applying a sampling method are much harder to interpret." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Periodic noise" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In addition to stochastic (additive) noise, we might also look for periodic noise.\n", + "An easy way to spot this is by creating and plotting an [FFT](https://en.wikipedia.org/wiki/Fast_Fourier_transform) or [power spectrum](https://en.wikipedia.org/wiki/Spectral_density).\n", + "\n", + "We start by defining a quick function to calculate a power spectrum:" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "metadata": {}, + "outputs": [], + "source": [ + "def spectrum( times, current):\n", + " \"\"\"\n", + " Calculates the power spectrum (or spectral density) of a (regularly spaced)\n", + " time series ``(times, current)``, and returns a tuple ``(freq, power)``\n", + " where ``freq`` contains a list of positive frequencies, and ``power``\n", + " is the associated spectral density (if current is in \"units\", the power will\n", + " be unit \"units**2\").\n", + " \"\"\"\n", + " # Import fft functions\n", + " try:\n", + " # Latest scipy\n", + " from scipy.fft import fft, fftshift, fftfreq\n", + " except ImportError:\n", + " from scipy.fftpack import fft, fftshift, fftfreq\n", + " \n", + " # Length of time series (assuming len(times) == len(current))\n", + " n = len(times)\n", + " \n", + " # Time-step (assuming points are equally spaced)\n", + " dt = times[1] - times[0]\n", + " \n", + " # Points in the FFT\n", + " points = fftshift(fft(current)).real\n", + " \n", + " # Frequency of points in the fft\n", + " frequency = fftshift(fftfreq(n, dt))\n", + " \n", + " # Select positive points\n", + " positive = frequency > 0\n", + " \n", + " return frequency[positive], points[positive]**2\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Using this function, we can have a look at the start of Cell 1's data again:" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Load Cell 1 from Beattie et al.\n", + "log = myokit.DataLog.load('resources/sine-wave-data/cell-1.zip').npview()\n", + "\n", + "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", + "# During this time, V is fixed at -80mV\n", + "log = log.trim_right(250)\n", + "\n", + "# Calculate the power spectrum\n", + "times = log.time()\n", + "current = log['current']\n", + "freq, points = spectrum(times * 1e-3, current) # Using time in seconds to get frequency in Hz\n", + "\n", + "# Show the results\n", + "fig = plt.figure(figsize=(16, 4))\n", + "\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Current (pA)')\n", + "ax.plot(times, current * 1e3) # Convert from nA to pA\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Frequency (Hz)')\n", + "ax.set_ylabel('Spectral density (nA^2)')\n", + "ax.plot(freq, points)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So it looks like there's no particular frequencies that dominate the noise in this recording.\n", + "\n", + "Because we recorded at a sample spacing of $0.1\\text{ms} = 10^{-4}\\text{s}$, the highest frequency observable in the signal is half the sampling rate, so $\\frac{1}{2} 1 / 10^{-4}\\text{s} = \\frac{1}{2} 10\\text{kHz} = 5\\text{kHz}$.\n", + "Notice that the [Nyquist-Shannon sampling theory](https://en.wikipedia.org/wiki/Nyquist%E2%80%93Shannon_sampling_theorem) says something stronger than that; it says that _even lower frequency signals_ can't be reconstructed from a digital recording if frequencies higher than half the sampling rate are present in the signal.\n", + "A common way to ensure this is the case, is to use low-pass filtering before digitisation (so this is an example of online filtering that we cannot escape!).\n", + "Looking at the [published raw data files](https://figshare.com/articles/Sinusoidal_voltage_protocols_for_rapid_characterization_of_ion_channel_kinetics_supplementary_experimental_data/4702546/1) for this study, we can inspect the meta data (e.g. using [Myokit's DataLog viewer](https://myokit.readthedocs.io/cmd/log.html)) and see that this signal was indeed low-pass filtered at 5kHz before digitisation." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now let's look at a different recording:" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "metadata": { + "scrolled": true + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Load Cell 7 from Beattie et al.\n", + "log = myokit.DataLog.load('resources/sine-wave-data/cell-7.zip').npview()\n", + "\n", + "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", + "# During this time, V is fixed at -80mV\n", + "log = log.trim_right(250)\n", + "\n", + "# Calculate the power spectrum\n", + "times = log.time()\n", + "current = log['current']\n", + "freq, points = spectrum(times * 1e-3, current) # Using time in seconds to get frequency in Hz\n", + "\n", + "# Show the results\n", + "fig = plt.figure(figsize=(16, 4))\n", + "\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Current (pA)')\n", + "ax.plot(times, current * 1e3) # Convert from nA to pA\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Frequency (Hz)')\n", + "ax.set_ylabel('Spectral density (nA^2)')\n", + "ax.plot(freq, points)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This shows some very different characteristics!\n", + "\n", + "In the power spectrum plot on the right, we can clearly see two peaks around $3.2 \\text{kHz}$.\n", + "These are most likely from some piece of electronic equipment in the same room or, if the noise is transmitted through the mains or the grounding, somewhere else in the building!\n", + "\n", + "In the direct plot on the left, we can also see what look like some lower frequency periodic effects.\n", + "We can do a few zoomed plots to get a clearer picture:" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(16, 4))\n", + "\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Frequency (Hz)')\n", + "ax.set_ylabel('Spectral density (nA^2)')\n", + "ax.plot(freq, points)\n", + "ax.set_xlim(0, 200)\n", + "ax.set_ylim(0, 20)\n", + "ax.set_xticks(np.arange(0, 210, 10))\n", + "ax.grid(True)\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Frequency (Hz)')\n", + "ax.set_ylabel('Spectral density (nA^2)')\n", + "ax.plot(freq, points)\n", + "ax.set_xlim(3060, 3240)\n", + "ax.set_xticks(np.arange(3060, 3260, 20))\n", + "ax.grid(True)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Starting on the right again, we see two large peaks at around $3115 \\text{Hz}$ and $3170 \\text{Hz}$.\n", + "If we assume this noise is from something man-made, we might expect the frequencies to be nice round numbers, so it can be worth googling our frequency estimates to see if anyone knows what's causing them!\n", + "Judging from the fact that we see these clear signals in cell 7, but not cell 1, we might suspect it's something that gets switched on and off during the day, but it could also come from something like a fridge which switches itself on from time to time.\n", + "\n", + "On the left, we see a peak of unknown origins at $10 \\text{Hz}$, but also one at $50 \\text{Hz}$, which is a clear example of [\"mains hum\"](https://en.wikipedia.org/wiki/Mains_hum)." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So how do we use this knowledge?\n", + "\n", + "One option, especially when the peaks are as sharp as shown above, is to digitally filter out one of the frequencies.\n", + "We could also try fitting sine waves and subtracting them from the signal, or including the sine waves in our (noise) model.\n", + "But we could also observe that the strongest peaks are of a much higher frequency than what we expect from the current of interest, and that the lower frequency peaks are quite small.\n", + "So it might be fine to just leave the noise in, avoiding the risk of our \"corrections\" making things worse, and to present the data to our optimisation routine as-is.\n", + "Zooming out and observing the whole signal, this doesn't seem too bad an idea, and indeed this is the approach we took in e.g. [Four ways to fit an ion channel model](https://doi.org/10.1016/j.bpj.2019.08.001)." + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# The full signal for cell 7\n", + "log = myokit.DataLog.load('resources/sine-wave-data/cell-7.zip').npview()\n", + "\n", + "# Show the results\n", + "fig = plt.figure(figsize=(16, 4))\n", + "ax = fig.add_subplot(1, 1, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Current (pA)')\n", + "ax.plot(log.time(), log['current'] * 1e3)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Summary\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In this notebook, we \n", + "\n", + "- Briefly introduced the idea of separating the measured current $I_\\text{measured}$ from the current of interest $I_\\text{ion}$, and noted that the membrane voltage $V_m$ is not always the intended voltage $V_\\text{command}$.\n", + "- Listed four strategies for dealing with these distortions\n", + "- Looked at stochastic additive noise (which we dealt with using online filtering and offline modelling)\n", + "- Looked at periodic noise (which we decided to treat as if it was stochastic!)\n", + "\n", + "In the next notebook, we will look at some more complicated ways in which the measurements can be distorted, starting with capacitance artefacts and series resistance." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/artefacts/old-real-data-2-liquid-junction-potential.ipynb b/artefacts/old-real-data-2-liquid-junction-potential.ipynb new file mode 100644 index 0000000..cd5a5f4 --- /dev/null +++ b/artefacts/old-real-data-2-liquid-junction-potential.ipynb @@ -0,0 +1,313 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Real data: Liquid junction potential" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "A [liquid junction potential](https://en.wikipedia.org/wiki/Liquid_junction_potential) (LJP) arises when two solutions with different ionic concentrations are in contact. Because different types of ion have different [mobilities](https://en.wikipedia.org/wiki/Electrical_mobility), they will diffuse at different speeds, and so we can get a situation where e.g. positive charges move faster than negative charges, resulting in a non-zero net current.\n", + "\n", + "In manual patch-clamp experiments (in the whole-cell configuration), a liquid junction potential arises _before the connection to the cell is made_, when the pipette fluid is in contact with the bath fluid at the pipette's tip. This LJP is in the order of 10mV.\n", + "\n", + "Once a connection to a cell is made, the pipette fluid quickly diffuses into the cell, and so the LJP disappears." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "_Note 1: It's usually safe to assume that the pipette is so much larger than the cell, and that the pipette and intracellular fluids are so similar, that the final solution inside the cell is pretty much equal to the pipette solution._\n", + "\n", + "_Note 2: [Neher (1992)](https://doi.org/10.1016/0076-6879(92)07008-C) points out that diffusion is only fast for small cells, for larger cells the situation may be considerably more complicated._\n", + "\n", + "_Note 3: I don't know if there are any LJPs arising naturally between a cell and the bath. I think even the \"large\" non-selective channels are too small to act like a fluid-fluid interface, but very much a [citation needed]._" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## LJP correction in patch-clamp in the whole-cell configuration" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So if the LJP isn't present during time of recording, why do we care about it?\n", + "\n", + "The reason is that there are a whole host of resistances and small differences in potential that arise between the bath and pipette electrodes, for example the [electrode potentials](https://en.wikipedia.org/wiki/Electrode_potential). At the start of the experiment, before making contact with the cell, these are \"zeroed out\", i.e. we find a voltage-clamp potential for which no current flows, and call this voltage our zero. This is done manually on some amplifiers, or automatically on others.\n", + "\n", + "For example, the following steps may be followed:\n", + "\n", + "1. The pipette is lowered into the bath solution.\n", + "2. A cell is selected.\n", + "3. The pipette is brought closer to the cell: this usually involves lowering it further, which changes the fraction of the pipette that is submerged in the bath, which changes the zero potential!\n", + "4. Zeroing is performed, in the presence of an LJP.\n", + "5. The pipette is placed against the cell, a seal is made, the patch of membrane is ruptured, and the LJP disappears.\n", + "6. Because the cell has a membrane potential, we can no longer zero without losing important information.\n", + "7. Recordings are made.\n", + "\n", + "As a result, all our recordings are made in the absence of an LJP, but using a \"zero\" that was set in the presence of an LJP. Accounting for this difference is known as liquid junction potential correction." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## How do we calculate the LJP?" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In short, everyone uses [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0)." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## How do we account for the LJP?" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "There are two common strategies:\n", + "\n", + "1. Apply your protocols - knowing that the cell will actually see different voltages, and then account for this during analysis.\n", + "2. Work out the LJP in advance, and adjust your protocols before applying them.\n", + "\n", + "To make option 2 easier, some amplifier software (e.g. HEKA's PatchMaster) lets you enter an LJP and will then correct all your protocols automatically.\n", + "\n", + "Because it sounds nice and sciency, we'll call these options _a posteriori_ and _a priori_ correction, respectively." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Which direction do we \"correct\" in?" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This confuses everyone:\n", + "\n", + "> Even when the importance of junction potential corrections are appreciated, research workers can still have difficulty both determining the magnitude of the corrections and the direction in which they should be applied\n", + "\n", + "> the direction of the junction potential correction can be somewhat confusing and requires very carefully applied logic and the calculation of the magnitude is fairly tedious and open to error.\n", + "\n", + "([Barry, 1994](https://doi.org/10.1016/0165-0270(94)90031-0), as justification for the software).\n", + "\n", + "Even with \"carefully applied logic\", because the LJP is a _potential difference_ that we almost always express as a _potential_, we need to pay close attention to _sign conventions_." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "To start, we'll define both _electrode potentials_ and the _LJP_ as _voltage increases_:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Inside the pipette, where the [AgCl coated wire](https://en.wikipedia.org/wiki/Silver_chloride_electrode) meets the pipette fluid, we have" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "At the pipette tip, where the pipette fluid meets the bath fluid, we have" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "(Note that this is the direction most commonly used, e.g. by Barry et al. and by Neher)." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "And finally, at the cell membrane, we have" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now we can compare the situation before touching the cell (left) with the situation after rupturing the membrane (right), by writing both as a series of voltage increases:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "On the left we have \n", + "\n", + "- The interface between the pipette electrode and pipette fluid, where we get an electrode potential $V_{e1}$.\n", + "- The interface between pipette fluid and bath fluid, where we get an LJP $V_{LJ}$.\n", + "- Another electrode, facing the other way (note the mirrored symbol), with electrode potential $V_{e2}$.\n", + "\n", + "On the right:\n", + "\n", + "- The interface between the wire and the pipette/intracellular fluid, where we get an electrode potential $V_{e1}$ but no LJP.\n", + "- A cell membrane separating the pipette/cytosolic fluid from the bath; following the sign conventions above we write this as a $V_m$ facing the opposite direction as $V_{e1}$.\n", + "- Another electrode, facing the other way, with electrode potential $V_{e2}$" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Taking the ground as zero, and going right-to-left through the first diagram, we can write:\n", + "\\begin{align}\n", + "V_L = V_{e2} - V_{LJ} - V_{e1}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "We can then \"zero\" this measurement by subtracting a $V_0$:\n", + "\\begin{align}\n", + "V_L = V_{e2} - V_{LJ} - V_{e1} - V_0 = 0\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "so that\n", + "\\begin{align}\n", + "V_0 = V_{e2} - V_{LJ} - V_{e1}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Following the same procedure, the right-hand diagram works out as:\n", + "\\begin{align}\n", + "V_R = V_{e2} + V_m - V_{e1}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Zeroing with the value we found before connecting to the cell, we find:\n", + "\\begin{align}\n", + "V_R &= V_{e2} + V_m - V_{e1} - V_0 \\\\\n", + " &= V_m + V_{LJ} \\\\\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So, whenever we think we are measuring or manipulating $V_m$, we are actually dealing with $V_m + V_{LJ}$." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Current clamp, whole-cell, a posteriori correction\n", + "\n", + "As a result, if the apparatus reports a $V_m$ measured in current-clamp, we are actually getting a $V_m + V_{LJ}$ and so we need to _subtract_ the LJP.\n", + "\n", + "### Voltage clamp, whole-cell, a posteriori correction\n", + "\n", + "Similarly, if the apparatus reports an applied voltage $V_{cmd}$, we were actually holding $V_m + V_{LJ}$ at that potential, so to get the truly applied voltage we again need to _subtract_ the LJP." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Other patch-clamp configurations\n", + "\n", + "For other modes and more information, see [Figl et al. (2004)](https://medicalsciences.med.unsw.edu.au/sites/default/files/soms/page/ElectroPhysSW/Figl%20App%20Note2004.pdf)" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/artefacts/old-real-data-3-capacitance-and-resistance.ipynb b/artefacts/old-real-data-3-capacitance-and-resistance.ipynb new file mode 100644 index 0000000..d89e690 --- /dev/null +++ b/artefacts/old-real-data-3-capacitance-and-resistance.ipynb @@ -0,0 +1,671 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Dealing with real data" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In the previous part of the tutorial\n", + "\n", + "\n", + "TODO" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Capacitance artefacts" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "If we stop looking at the flat (constant voltage) bit, and view the whole signal instead, one of the first things we might notice are the spikes that occur at each transition." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA8IAAAE9CAYAAADAsNtIAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjQuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/MnkTPAAAACXBIWXMAAAsTAAALEwEAmpwYAACOxklEQVR4nO3dd5gb1bk/8O+rvtL25t4xBtMMGNN7hySQ5N4bSAjppN6bnkDKTYOElF/6TQUSUgkpBBJK6DU0Ywy2McZl3dfe3tTL+f0xM9pZ7a6kXUk70uj7eZ59VnvUjnRmZ+adc857RCkFIiIiIiIiomrhsLoCRERERERERDOJgTARERERERFVFQbCREREREREVFUYCBMREREREVFVYSBMREREREREVYWBMBEREREREVUVl9UVsEpra6tavHix1dWwVCQSAQD4fL6sZcV+D7KendrFTp/FLtgmRETWs9O+2E6fhWbWCy+80KOUapvovqoNhBcvXoy1a9daXQ1LbdmyBQCwYsWKrGXFfg+ynp3axU6fxS7YJkRE1rPTvthOn4Vmlojsmuw+Do0mIiIiIiKiqsJAmIiIiIiIiKpK1Q6NJsDv9+dVVuz3IOvZqV3s9Fnsgm1CZD9KKYiI1dWwpUg8CZ/bWfTXtdO+2E6fhcqHKKWsroMlVq9erap9jjARERFRLuv3DOBdv3oOv3rXGqxa0Gh1dWxl/0AY5333MXzqghV492lLrK4Oke2IyAtKqdUT3ceh0URERFOUSik8/lo3YomU1VUhKrnnOnrRH4rjrvX7ra6K7Ty/sw+hWBK/e2bSfD5EVCIVFwiLyE4R2SAi60VkrV7WLCIPiMhW/XeT1fWsBB0dHejo6MhZVuz3IOvZqV3s9Fnsohra5I4X9+HqW57Dn57fbXVViEpuOJIAAPSMRC2uif30jMQAAIlU8Udo2mlfbKfPQuWj4gJh3dlKqVWmbu5rATyklFoO4CH9b8ohFoshFovlLCv2e5D17NQudvosdlENbfLawWEAwM7ekMU1ISq93qD2/8xAuPj6gtp3Gooliv7adtoX2+mzUPmo1EA402UAbtVv3wrgcuuqQkREdmf04nQOhi2uCRlSKYU9fdqFiRd39+PtNz+LgRBPnIuhT9/eu4ajiMST+N4Dr6GXQXFR9OrfbX8ojlQJeoWJaHKVGAgrAPeLyAsico1eNksp1QkA+u92y2pHRES216v34hwYjFhcEzJ878HXcPq3HsH27hH84vEdeGJrD57a1mt1tWyhT+8R7hqK4F+bDuAHD23FTU9ymGoxGL3tyZRKD0EnoplRicsnnaqU2i8i7QAeEJFX832iHjhfAwALFy4sVf2IiMjmjCGiA6G4xTUhw/2bDgIA1u3qT7fLzt6glVWyDePCz1AkgVcPaNMC9vVzNEQxmHvWe4NRNPjdFtaGqLpUXCCslNqv/+4SkTsArAFwUETmKKU6RWQOgK5JnvsLAL8AtOWTZqrO5aq2tjavsmK/B1nPTu1ip89iF9XQJj3DWi9OH4felo2AV1uHdU9/ON2DyTmtxdEXjKHG7UQ4nsTT23vTZVS4vmAMDTVuDIbj6AvGsLSteK9tp32xnT4LlY+KGhotIgERqTNuA7gAwEYAdwF4h/6wdwC405oaVpZ58+Zh3rx5OcuK/R5kPTu1i50+i13YvU2UUukessFwHMlpzut7alsPvvKPTVCq6q/LjpFMKdz0xI70fN98jUS1YaV7+0LYN6D1VnYPMxAuVDKlMBCOY+XcegDamsIA0M+LQEXRG4xhebsW5PUFY9g/EEY0kSzKa9tpX2ynz0Llo6ICYQCzADwpIi8BeA7A3Uqp+wDcCOB8EdkK4Hz9byIioqIbCicQTyrMb6qBUlowPB1fvmsTfvXUTuzo4fBds5f2DuD6uzfjxnvznvkEQEs2BACvdA6lg2IjERFN30AoBqWAlXPqx5T3s0e4YNFEEsORBJbP0gLhrV0jOPPbj+Cb926xuGZE1aGiAmGl1A6l1DH6zxFKqRv08l6l1LlKqeX67z6r61oJtm/fju3bt+csK/Z7kPXs1C52+ix2Yfc26daH25p7caZja9cIAGBHNwNhs/16b+7TO/JPdKWUSmeINuawAsBQhHO4C2Vs30aPMAB4nA5OCyiC/qC2fS5vrwMAPLqlC/Gkwi1PFScRmZ32xXb6LFQ+Km6OMBVPIjE+O+FEZYUYDMVw89oeeNYO534wzZihoSG87rB6LFtmdU0KV+xtlgpn9zYx5p0eOqsOj2zpLniIaNcwM0+bGZm4HZL/c4KxJOJJBa/LgWgiBQBY1hZgFt4iMLIaL2z2p8tOWNKEp7b1IhxLosbjtKpqFc/Yl8xt9KGhxo3nd/YDADyuqfdTJZIpuJxjn2enfbGdPguVDwbCVFJbeyO4e8sQ2uui8Ll5sCwXe/tD8LkEbzzd6poQVY4tB4bx1X9uwlmHaiv0HTpL68XZ1x/Gnes34J2nLMEh7fkldIknU+nbHL47lhEIxxKpHI8cZQzTPXp+QzqYOHxOPZ7c1lP8ClYZo0e4OeDB0tYAdvQEcdKSFjy1rReD4TgD4WlQSuG5jr70EP6WWi9m1/vS0yxSKQWlFETyuxp098ud+OhtL+KhT56JRS2BktWbyG4YCFNp6TlgfnTlsThxaYu1daG0VV++z+oqEFWcv67bi6e29WJnj5bE6XB9zuRf1+3FE1t7MBxJ4AdXHJv1NfYPhNE5GMHsBl+6rJeZjQEAw5E46nxudA5pgfBQJIFYIpVX75ixXNKR80YD4cUtAdyzoXNKAQWNZ/QItwQ8+L+3HYddvSGk9ARvA+HYmG2Z8vPE1h5cfctzWNamBa2ttV7MavBhy0Ft9FwipTAUTuS9lNKt/96JRErh2Y4+BsJEU1BRc4Sp8jAXKhHZxd5+LQDeNxCGQ4BD2mshop3UAqNzW7O56qZn8eaf/hsdpnnBPUw6hG1dwzj6K/fjV0914ODg6FDxfOdfG/NVVy1oBAB4XQ401LiRUtqwaZq+Pn3EQqPfg8Pn1OOiI2ejsUYL0LiO9vS80jkEANiu7wdaaz1oq/WmbwNATzD/C2SJlDZ6gms7E00NA+EqVl9fj/r6+pxlhfD7/bkfRDNOROB2e6yuRlEUe5ulwtm1TTpNAVpzwAuPy4Fm/+j/UT7zUY0M0U9s7QYABDxOZt8FsG73AJQCbl+7F52DEfjc2ulJvoGwkSjriLkN+Ph5h+JnVx2POp826G1omlm9SRvC2xeMos7nGtMzb/RUMhCenp2mTPEelwO1XhdWL24CAJxxqLaQ8FS2W6MdDgyOzTdgp32xnT4LlQ8Oja5ic+bMyausEC0tLQC2cVhamXE6HfAH7HGRotjbLBXOrm1iXibJCLLa6rzpoaM9Oeb6mtcLfqZDW9zg8Dn10846bSfGSf9QOI6u4QiOnt+IF3b15/3d9JvmsX70vOUAgHs2dGqvGYljLmpKUGt7u3P9PvzvnZuwuDWQ7q00NOg9woNhbrvT0WvarpMpbej+W1YvwKGz6qCUwt/W7ZtSojdjvezMTN522hfb6bNQ+WCPMJWU4tjoMsULE0RTNRSOY3a9Nh/SrycIqvWOXk/uD8WQSk2+0wuZhui+tGcAHpcDS9sCBWedtgPjIsO+gTDiSZVes7Y3z+Gh/aE4REYDNACo92m3h8LMNjsdf167F4PhOF7aM4DWurGBcKM+EoI9wtMzGI6n9x1z9DnWDofg+EVNqNO323wD4XAsiWE96dYA9yVEU8Ie4Sq2detWAMDy5cuzlhVi3769RXkdKq5kMoHBwUGrq1EUxd5mqXB2bBOlFAbDcbzlhAXY2RPCh87S1h47fnET1u7qx5mHtuGx17oxGI6jKTDxtIPMgHdWvRfNAS/6g/GqT+iUGVAZa9bmO2x8IBRDvc8Np2nNpfoa7RRnmGsJT0uPKYlbW0YgHPA44XIIBjjsfFqGwnGcuKQZC5r9eP0xY3s6jdEm+W63Rm8wMH4qgZ32xXb6LFQ+GAhXsVRq/NIUE5UV9B56l3AVn9+VLZWl56qSFHubpcLZsU3CcW2d2vlNflx/+VHp8g+deQiOXdCEYDSBx17T1hSeLBDODPbmNtSgOeBGLJlCMJYc07tcbQYzAqqVc+ohMpVkWXE0ZWTYNXqEq73XMpZI4W03PYM3HTcfV65ZiC/ftQmrFjTi8mPnZX2e+cLNnPqxmaFFBI1+97h2o/wMheM4Ym4DvvyGI8bdNxoIZ+8RfmJrN+5Ytw9vOWEBAGBeY824bd1O+2I7fRYqHxwaTSXFodHlidcliKbGOME0D70FtKRBFx05G816ptf+LEGXEVis0NcfnttYgyZ9iGm1J8waDMcxq36013FBsx+NNe5xcx4nMzDBBQjj72ofer6tawTP7+zHdX/bgMFwHL/+90587E/rsz5HKTVmWzbWzDZrqHFjsMovMkzXYDg+bl9iCHhcEMndI/yd+1/D317ch4e3dAEAls+qzTk9g4jGYiBMM4KBFxFVMqPna7KT16b0nMnJgy4jsDCW+FnQ7EezHqxVe8KsgXB8TLDV5HejOeBBXzCGezZ04qU9AxM+rz8Yw4HBCPqCsXQbGOp9LjgdUvWBsHmbNJYAA8Ymb8sUiiURS6TwP+cux5devxJvWDV33GMa/R4MTDFZFoM0IKGPADGG7mdyOAS1XheGcvQIGxfPHn9NW77t0Fl1SCktORwR5ad6x2ERVTmejhDlL3cgrJVn6xE2ApJ3n7YEIsBb1yzE/kFt3c98ez7taigcx8JmP37/3hPhEIGIoCXgxe6+ED70+3WYXe/DM587d9zz3vGr5/Dy3kG01XnTCbYMIoImvwd9weoODMzb5A7T+tXZhuMbFw/mN9bgv/Sht5kaa9w4MBSZ8L6J/N8j2/C7Z3bhkU+dBZ/bmffz7MYIcCfblwDasP5cAa2xxNhmfU3iQ9prAWgZqRv99lgekajUGAhXsYaGhrzKChEIBIr6elQcIgKPxx4HymJvs1Q4O7bJUI5AuDGPHmGj13dpWwA3vvloAEAkrmWSrvah0QOhGBpqXDj1kNZ0WVPAjec2actMHRiKTJhQ7OW9WtK/7uFoeni6WXPAjb5gFIOheHrt22pj7hF/fmdf+nbfSGzyQFi/eNCY5TtrqHHj1QPDedfj549tx1Akgc2dQzh2YVPez7MbY19izGGfSJ3PlXOOsPkCT73Plc5o3xeMYZm2FLGt9sV2+ixUPjg0uorNnj0bs2fPzllWiKYm7WDHZFnlxel0wu+3x7qaxd5mqXB2bJMRfXkSI5FNpnyG4Q6E4qjzueB2jh56jXmsT27twdf++QriyepLCKOUwlAkMe4iQ3NgbKbizGWQjIsIhpYJkpQ1+T3416aDOOar9+Nfmw4UqcaVxXxx5rmO0UA429JUxnY8WeI3QJsfP5VkWTF9297ZG8zxSHvLNboEMALhyb9bbQ73aLu21HrT0yx6TeuZ22lfbKfPQuWjKIGwiAREpHrHudCkOPy2fDGRGVH+jEB4sh40EUFjjTtnsqzJ5rH+7cV9uPnJDrywq794la4QI9EEkimFxpqx301mYNs9MnYYbuZFh/a6sZmNAaDF1Ev89PbeQqtakczbpLkHN9u8dCNYa8wSrDXWeDASTeCHD23Fv7f15KyHQ78ibl7upxoZQ54nu6gG6EOjs6x/PRTR/mfM65q31moXjjp6gvju/VsQinH9bKJcphUIi4hDRN4qIneLSBeAVwF0isgmEfm2iHCRrwqwZcsWbNmyJWdZIfbu3aPfYpdwOUkkEhiwyTrCxd5mqXB2bBNjmGJtlpPXRr87Z7KszCV+RGRMz5B5Dme1mCwjd2ZvZFdGAJUZyC1tGz8VZ2HzaNm+gXBB9axUA6F4OkgCgMNma0nJsgXCxvZel2X4rjFs+rsPvIa33vRs1jqkUgrRhNYjXO2B8Ege322u3naj7U5c2gwA8LmdaApor/fN+17FDx/ehns3HLDVvthOn4XKx3R7hB8BsAzAdQBmK6UWKKXaAZwO4BkAN4rIVUWqIxEVGYeqE03NcCQBj9MBr2vywU9Nfk96buVEBkITJ7EZMp3wTiX5kF0YJ/z1GYGwkfxnmR7gmod8AqPzWN93+hJcdMTsccmygNFAQXt+dQZgA6EYZtV708Hw0fMb9PLJt1VjWG6uCz951yEcR1LPGG23QHhb1zA6B/O/yDKcY5oFADT7PegPxaCUQiwxfrqEEQhfsHI2Xnf0HHztsiPhdTlRZxqxsqNnJO86EVWr6QbC5ymlvqaUelkplf4PVUr1KaX+qpR6M4A/FaeKVMk4/JaI7GAkGs8aFABawqyJ5gj3jkSRSil9aPT44OGKNaNZeasxaZZxISAzsDrtkFZcf/mR+NGVxwEYPxTayLT9X6sX4GdvPx4u5/hTmrNXtOOhT56J1x09p2qXqDKG5Huc2hXQI+c1wOWQrJnKhyMJOAQIeCa/8JN5USeaSE7ySKDHdBEis2e/kiVTCud993Fc+sMn837OaG/75PuTpoAHoVgSn7j9JZz33cfSFxEMxra8oLkGP37rcVg5tz79PMOBQft8z0SlMt1A+A59aPSkKYGVUtW9XgGNwR7IMsSLFER5G4kksp64AtoSSgOhOB5/rRt/XqtNC+kZieKkbzyEr/7zFfQH4xMmH/r8JSvxxGfOxtK2QFUGawOTJA9yOgRXnbQIh87Sl4UZ1yOcO6ETACxrq0VrrRe9VfjdAlrPb6PfjcP0HvMVs+rQFPBkvegyHImj1usal6XbbNWCRtT7XHDrAXa20RA9evDbUONG93AUB4ci+MdL+6fzccrKfn24fV8wlnVdZjNjaPRk+QaA0XXJ73hxH3b3hcYlGEtv+xkXI8yv2ZclGRoRaaYbCP8SwOsBdIjIn0TkchGxx1osREREGUaiiawnroAWkPWHYnjPrc/j0395GeFYEmt39iOeVPj1v3diJJoYd+IKADUeJxY0+9Hs92TN5GtXubLoupwONNS4x10kMP7OltDJ0Oh3YziSQKIKs3L3h2Jo9LvxlTccgc9dchhOWNycHno7meFIIuscVkBrr39fdy6+/5ZjAWTPQt2t9wgfPqcOXcNR3HD3Zvz3H1/Etq78l18qR+ZtMt8LLcOROGrczglHMBiaA2O/+10ZgbDxXi0ZS4ZdffIi1HldOHRWLfqyDH0nIs20AmGl1J1KqSsBLALwNwDvALBbRG4RkfOLWUEqnaampvTyRtnKClFbq13JZ4dweXE6HPB47XHtqtjbLBXOjm0yHMkdCDf63YgmUogntZ6hXX3BdI+RIfPE1ayhxp1z7VA7GpxkaLRZS8AzLhDuD8XQUOPOGlAYjKAuGJ18+K4dpVIKg+E4mvweLGj245ozlsHhEDQF3Fl7cIejuUdAAFoPZKu+TWd7PaM3f+WcBgyG4/jny1pv8FTWIS5H5m0y8399MiN5fLeZF8x6hsdv+16XAzXusUPXr1izEC996QKsnFOPvmDUVvtiO30WKh+593JZKKXC0OYC/0lEjgZwK7SgmEspVYD29va8ygrR0NhY1Nej4nA4nPD5xi81UomKvc1S4ezYJsORBOY2Zv+fyTx53d0bGjM3Eph4rVtDwOtKL9NUTQZCcbidMu6k3qxZD4TX7xnAtX99Gf/3tuPQF4yl107NxUgiNByNo2EKSZ4q3VAkjpQaP5+3ye/B1q4RPLm1B8/v7MPHzz90zP3DkTjqc/QIG9Lr107QI3zTEzuQSCkMheNwOSSdAM2Y8npgsLKTw40NhCM4fE4KKaWyJtUbjiRy5huY21gz5u+uYe17umdDJw6dVYfeEW3bn2jousOhZaIfCidstS+202eh8lHQOsIiMktE/ltEngLwdwD3Azi+GBWj0kulUkilUjnLCn0PKkfKNonMir3NUuHKvU0i8STO+vYjuOXJjryfk9fQ6IwAq2ckNm5ea3PAi8nU+lzp+YPVZDAcR0ONO+t8VCMQvu253Xj1wDDu23hg0uRjEzECj2q70GCsIZz5PTUFPBgIxfCpP7+EHzy0FXv7Q2PuH85jTrzBCLIzl/tJpRSuv3szbrz3VRwYjKA54MGs+rHbf6XP2zYPLz8wGMYnbn8Jl/34qazP0Xrbs2+385tq0BzwYGlrAHU+F7qHo9jbH8KHfr8O77jlOfSHsl8EqvW5EIwmkEwmy3pfPBXlflyhyjTddYTfJyIPA1gH4FAAn1FKLVVKfVYptb6YFZxCnS4SkS0isk1ErrWiDpVm69at2Lp1a86yQuzfpw1/ynaCQzMvkUhiaMge6wgXe5ulwpV7m6zb3Y+dvSF89Z+v5P2cwXB83PI+mTJ73fqCUfSMRLGkdTSv5Oz6yXuV66q0R7g/GJtw7rRZS60HvcFYuod9b38IfcF4/j3CelCXa+h5vgmPKoWxrnXm96vNEY6nl+vaenAESil86Pcv4PfP7ppiIKz9X2QOjR6KjP79wu5+tNZ60V43dvuv9CzpvcEYXA6Bx+lA56CWAOzVA8NjPnum4Uh8zDJHExER3P7+k3Hru9egrdaLnmAM27q05ZD2DYRzjoYIeF1IpBQ2vfpaWe+Lp6LcjytUmabbI3wKgBsBLFBK/bdSKvvlrxITESeA/wNwMYCVAK4UkZVW1omIiMrTwSmu1RtPpjAYzh10mYONgMeJvmAcPSNRzG8aHeY4r6lmoqcC0OZbanOMq6vXw1jeJ5smPbnTrl6t53JPXxgDeTzPYPTm5+px//zfN+LtNz+b12tWAmOt4Mz5141+95gleQ4ORfDawRHcs+EAPn/HRi1Yy3NotNvpQJ3XNX55K1OQu6s3hNY6L9pNPcKz632V3yOsB6SzG3x47eDofOfdvaFxj93TF8KDrxxMZ/HO5ZD2Wi2JXsCD3pHomGWndveFsu6PjEA7FK+ufQnRVE1rjrBS6l3GbX1u8GLzayml/lZwzaZmDYBtSqkdep1uA3AZgPwv91NJ2Ovaur2wbahamYcrp1IKDsfkI1ZuemIH7tt4AED2+b0AsLDZj1n1Xrz5uPn4x8v79R7hGJa11eJHVx6LcCwJZ5b3Cugnr8FoYlzvsp0NhOJY1OLP+pjmgAfJlMJWvVdM6xGewhxho0c4R4/7H57dDQAYDMXx13V78WxHL3521fEVO6qpf7Ie4YzvrWs4is7BsOl58UmzeE+kwe8eNzQ6M7nZrDov2vWfkWgCi1r86TWkK5WxDTbUuPHv7b3p8szcAADwmb+8jKd3aI8589C2vN+jpdaDjp4gukwX8HJt+8ZUgFA8hSzX3oiqXkHJskTkFgBHA9gEwLjspKBlkp5J8wDsMf29F8CJmQ8SkWsAXAMACxcunJmaEQBmjSai8tFjCoSHIvGsQef1d29O326pnXx+L6Atg/TkZ8+ByyF4antveihvS60Hrz9mbs561ZqG71ZDINwfjOHPL+zB/oEwjl3YmPWx5mzbbqdgp97jlu/3VOvVgrrhLENWI/HRjNK7+0aHzh8YimBOQ2VGE0Yw2pyRrTxz7eWu4Qi6h8cOW86W4TxT0wTLMWX29s6q90FE8OcPnAyX04Ev3bkRnTZIltUc8KC9zotnO0Z7XzNzAwBIB8HA+AsR2bTUerF2Z/+YHmEAaM2yPzK291CMPcJE2RQUCAM4SSlVDkOQJ4qzxnV4KaV+AeAXALB69Wp2iM0AfsnlqUI7N4iKotfUW9MbjE0aTIVjY5famd2QO9O6W1/KpzXgwY6eIKKJVM4A2mAMZ6yWecK/eqoDP3x4GwCgvS77d2ROMnb8oiY8s6MPQP7BmtEjnG1o9JihvH2j67bu6QtXbCDcMxKD2ynj5qQ2m7b5Q9pr0TMcS6/1a8h3uwW0odb9oYl7hL0uB6KJVPr/Z1GLNme+1gZz4vtCMRw+px5zMrI8Z/aGxxJjA9K2HNu7WWvAg75QDJ2DEcxvqsHe/nDO1wh4tazVHBpNlF1BWaMBPF0mc3H3Alhg+ns+gP0W1aVitLS0oKWlJWdZIerr6gAw8Co3DocDXk/+B+JyVuxtlgpX7m1i7qnKPGE1yxzeuKytNu/3aK31oqNHC6by7f0xeoSDFR4c5OuVztE5lQuasw+NNg9LP37R6FqirXkGwn6PEyLZLzKYt4UXdw+kbxtL11SSZErhF49vx7pd/RMus7NMX8aorc6rJWMaiaJ7ODpm6H7rFHotm/xaFurBcBy/eXonIvFk+vu8bJU2GuLIeQ1jnlPrc1X8utn9wRia/R7M1YN8j9MBj8uBnoylpDJ7y5eaEujl0lLrhVLAaweHsdD0f5ItEK7Te4Sdvtqy3hdPRbkfV6gyFdojfCu0YPgAgCi0nlmllDq64JpNzfMAlovIEgD7AFwB4K0zXIeK09ramldZIerr64v6elQcTocDXpusI1zsbZYKV+5t0jsSRWutJ7280e1r96DZ78F5K2eNeZyRaOjdpy7B0fMbpjRn0nyS2pzn8N2AN795rHZhBAcelwMnLc1+gmseyrt6UTOA7QCAlizLUZmJCGq92QMvcyD8XEdf+nbX0Pj5nuXu39t78PV7XgUArJwz/jhc63Xhzx84GbPrffjWv7Zgw94BdA9HsbDZn76As2gKwVqj342BUBw/fngrfvlEB+p9bvQFY/B7nPj8JStx3uGzcMz8jEDY667o5cKSKYUBPYnebH3EQMDrhNflxEBGBm1jqPRbT1yInuEoVuWYCmBmjHrY1RvCcQubsLjFj529IRyS5cKccVHN6fWX/f44X3b5HFReCg2EbwHwdgAbMDpHeMYppRIi8hEA/wLgBHCLUmqTVfWpFImEdgByuVxZywp6j2Qy94PIEsom6/EVe5ulwpV7m/SMxLC8vQ49I73oHonii3/fCADYeeOlYx7Xpwdqlxw1G6sXN0/pPcYEwvkO380zs7FdDIXjuOSo2fh//7kKNR5n1seae4RXLWhM326dwhDTep87a3ImIxB2OwUb9o0uL5eZBKoSbNeTigEYt3av4QR9mzYuCnUPR9FW68UFR8zCMzv60r2c+Wj0ezAUiafnbm85ODyaSMrvxgVHzB73nDqfC7FkCtFEEl5X9vYvR/2hGJTSAtUFzVogfMahbXjt4Eh632Ho1XuILztmLk7McdEnk/liT3udFze++Wg8s6M36ygKI0v6YCiKRCJRtvviqSj34wpVpkK3pt1KqbuKUpMCKaXuAXCP1fWoJNu3a1fUV6xYkbWsEAc6tWyrwnRZZSWRSGBoeDj3AytAsbdZKlw5t4lSCr3BKM47vB1P7+jFS3sG0vdF4kn43KMn5Ok1WKcwRNRgDoRzZZs2GMvVVPpw0XwNhuOo97lzBsEA4HM7EfA4MavBN6Y9sq3LnKm+ZnxmYzMjED5yXkN6aLTLIRUZCO/qG12+Z8Xs7COzWmu1LM57+kI4dmETrrv48Cm/X5PfDaWAV/YPAQD29YcxEI5n3fbNS1p5aysrEH78tW6EYtr/aXPAg8Nm1+OWd67GKcta8Z5bnx+3PrKxbU1l3rXBPA++rc6Lk5a25BxBYXy3u/Z3Yfv2eFnui6eqnI8rVLkKDYRfFZE/APgHtKHRACxZPomIiCinUCyJSDyF2Q01aPS78WzHaCbX7uHomF4W4+Q137VqzcwZXfNNjFNfox2Sh7JkNraToUgc9VMYbv6XD56SPsF//xlLkUiprMtRZWrMIxB2CHD4nHq8uHsAjX43Ah5XRbZHz0gMi1v8+Pobj8o5mqFN31b3D0ZwwRR62M2M/5F9A1oip739IcSSqayZjdMJzKKJaQWIVhkIxXD1Lc+l/zZ6bM85TJta0eT34JXOIYxEE/jN0ztx1UmL0kOj870oZmZ+Tj4J+wDA53bA5RAEmSyLKKtCA+EaaAHwBaYyK5ZPojJlZI1msqzywvagaqOUwt0bOjFXz+7aUqstefLawdEhpL3B2JhAuD8UhwimNDfYYO6p9HvyO9TWuJ1wO2VKa6sOhuJwOEZ7kytFNKFdkJjKd3u4aa7rdZdMvdeyocaN7d0jk97fF4qhye/BgiZ/+vF+jwtD4crroR8KaxcZTjkk97zK1rrRQCvf5GOZGvxj27FzMAIBcOisukmfY1zUqLQRENu7g2P+zsxc3hzwoD8Ywx0v7sO37tsChwhC0QREMKULPwZzVvtZeY6AEBHU17gRjHF6GlE2BQXCSql3FasiREREpbJx3xA+8ocXMU8PhFtrPWjLDIQzskQPhGKo97mn1OtoWNBcg6VtARzaPnkgkElEtHmsU+iBfOtNz+DAYAQvfPH8KdexVL7/4GtY2OzHm46bP+ljjOCy3jdz8/0a9B7hO9fvQzCaxFtPXDjm/r4RbU7r3EYt2Kj1ulDrrcwe4eFIPN3jmou517Z9CkPNzcyjJpa1BdDRE4TTIdmHRpt6hCvJ7r6xgfCcjF7aJr8HA+E4dupJx7Z1jSDgcU57X2J+TuZ7ZdNQ48YI1xEmympayyeJyBdEZNKxNiJyjoi8bvrVIrtQiisJlys2DVWT1w5qc+KNoZstAS/a67STSiMQyFwuaTAcR5N/ej2tIoJ7/ud0/Pitx07pedo81vwCg1gihU37h9AbjI1b89gq/cEYvv/gVnzi9peyPs4ILqfTQzZdDX4tEP7obevxuTs2IBJPIhJP4r23Po9HXu3SeoQDHhy7oAl1XhfefeoS1NdkT7BVroYjifQSOrmMCYSnPTR69L1OWNyMlALiSTVm/edMRv0qrUfYGOZsyByNYcyXNhKuHRyKYCAcn9bIEsMxCxohgvSFvHzU+1wYiTIQJspmupdiNwD4h4hEAKwD0A3AB2A5gFUAHgTw9WJUkEqnra0tr7JCNDQ0ADhQ1NekwjkcTvhssnxSsbdZKlw5tsmu3vHDGdv1bLrHLWzE/a8cHLO+MDA6vHS6zIm38lXvc+UdeHWbAvfOwTCWTmGd41LZ2x9O305mmcNrfMYZDYRr3IgmRgODXb0hdA1H8ODmLqzfM4hGvxvL22uxsMWP9V+6AE6H4OkdvXilwgI1wJh/nd8pnnlor3FxaKrMw3dXL27Gbc/vAZB9qLXRIzxcYT3uQ/r28NFzl6dHD5gZydyMRHydgxE4HVJQIPzzq47HSDQxbj3obOpr3BgIJstyfzwddvkcVF6mFQgrpe4EcKeILAdwKoA5AIYA/A7ANUqpcLbnU3lobh7fqT9RWSFqa60/MaPxnE4HPN7pzQUrN8XeZqlw5dgmmUFuW50Xxy1sAgCsWtiIx7d2oz8YQyqlsG53P45f1IShSAL1Mzz3tr4m/6HRXUOR9O0Dg5GyCIQ7B0cP/z0j0UnnNBpJq2by+80MuvcPhtOB+0AoBqVUOogxAvh6nzudPbySDEcSec8bNy9dNK8p/x5HM2PpLwA4at7oesHZlrcyAsNK63EfCsdR53Xh4+cfOuH9zfo2ZFx0OTgYQZ3PhcZpji4B8k+SZVbvc2P/QLgs98fTYZfPQeWl0DnCWwFsLVJdaIbFYtrB3ePxZC0rRDxReVfSq4JSSNlkHeFib7NUuHJsk+FIAo1+NwZC2km31+XEBStn4XfvOREnLW3G75/Zjd5gDH94bje+8PeN+Pnbj8dQOD7pGqylUl+jnbz2B2No9Lsn7AHaNxBGs9+Dg0OjPcL9IWuDiUe2dGFxSwAHTMF59/DkgbDRq9aQZ69lMbRmzFftGY6mLyYkUgq9wdi4xzT6tYRD8WQKbue0ZpPNuEQyhVAsmfccYQA49ZAW9I7Ept1r6XAIPnvRYahxOzDH1EvammVotDE/fKDCAmHtIsPk3615vnRbnRfdw1HsHwhPeS3yQtXXaKNLYrFYWe2Lp6scjytU+bgqdRXr6OgAMHZNtonKCtF18CAAZikuN/FEAsPDk2dPrSTF3mapcOXYJkOROBY1+3HOilocqfdYiQhOW65l1W0KuNEfjGHTfm1e34u7B7ThpTPdI+xzY3t3EKtveBAfPmsZPnHB2O8wkUzhkh88gZVz6nHJUbPT5f0W9loOhuJ416+exzHzG3CqKUtxtjoNWdAjnNlj3jMSQ9fQ2Hnhmcv4mHstK2WJH2PO7VS+25uuPgHJAhNHfPCsZePKsvUwu5wO1Plc6YtTlSLXsl/NpospaxY34+4NnTg4FC1oaPR0GOtmd3R0lNW+eLrK8bhCla8yLm8SUVHxugRVm6FwHHU+N777llV492lLxt3fHPCiLxhD97AWGO3tD2EonL3npxSMOZXJlMLta/eOu39r1wgGw3E8vaMXXcOjQVy29XFL7ZXOIQDAS3sHcWBwtEc4W4AzaMEc4SWtAcyu9+GKExbA73GiZySKg8MRuEzzmDOXwjGGs1ZSr6URCE9l263xONPLGRXDMQsaMafBNyYonEijP/vazuUoV0Zuc4/wSUtHe4FnPBD2uRFLKsQS9hj9RVQK7BGmkkqvI8zQi4gsNBRJZJ1n1+x3o6NnJD2vb1dvCOF4csZ7hBe1BNK3J8oz1W0Kfjd3DqO9zouRaAL9Qet6hLuGR4PfrV0jWNBcgz194axza4cicXhcjmklFJsuj8uBRz99FtxOB/69vRc9I1F0DUWxZkkz/r29F4CWTdzMCF4qqdfSmGNu5drSv3rnCXkFYI01HktHM0zHcCSRdT3fGs/oNn3CktFAuHGGA2Fj6aq+cHlklCcqRwX1CIvIoSLykIhs1P8+WkS+UJyqERERFcdwjmHOzQEv+kZi2K8vr7RZ7+WcyR5LADh8zui6wz0jsXFL0JmDhud39qG93osmv8fSOcJ9piB8w75BLNfXTs5Wp6HwzCciA7RM3k6HoLXWg96RGPqCMcw3Dd9d3Oof8/hKTOg0ujSVdX0dzQFPXgmezPP2K4U2ZSL7d/u1y47Apy9cgUXNoxe2sgXPpbCwRduW//nq4Iy+L1ElKXRo9C8BXAcgDgBKqZcBXFFopcg+uFYtEYVjSaRS1u4Mcg1zbg5oSZGGIgn4PU4k9PrOdDBxxNwG3PruNfjw2csQS6bSSaUM5p7fwXAcs+p8ejBhXa9aZsA7t9GHgMeZNcAZCsdnNFFWppZaL3pGougLamsHv/m4+TikvRazM4IVY1mggXDl9FqOGEOj81xH2EoNNW50Dobxu2d2IZqojJ7LfDJyv/3kxfjw2YeM6R2e6UD4kHZtTvyfNw7M6PsSVZJCj0J+pdRzGVktmSa4QsyaNSuvskI0NjYCOMBkWWXG5XLZZh3hYm+zVDhzmyRTCpf88Akc0l6LX1692pL6xBKpnMOcm01DYtcsacajW7oBjF0fdaaceWgb+oLaEOiekbFJdvoygsv2ei9iyRT6LAyEB0Ix+D1OhGJaINNe50Oj34OBUAz9wRgODEVw+Jz6Mc/JlXCo1FprPXhyaw9iyRRaAh5ce9FhSKTUuCzdjWUwNPqlPQOo8Thx6Ky63A8GMBKd+hxhq8yq9+HgUBRf+PtGKABvP2mR1VXKSimF4UhiWhfIFjRPb2mq6Wqv86HG7UA4bo85wjzWUykU2iPcIyLLoE8FFZH/ANBZcK1oRjQ2NuqBavayQgQC/twPohnncDjgdpd/b0E+ir3NUuHMbbJ/IIyOniAeeOWgZfUZjuROzNQcGL3vBNMyJ+1Z1kEtJSMwz5z7ayyrNFcfdtpWpyUk6rNwjnB/KI5Z9b70Bc/Z9XqdQjF84c6NuPgHT4xbG3koPPMZuc1aa70Ix7XAvcnvgYhMuDxSvSkQjietCSje9NN/44pfPJP3441AuLYCAuFDZ41m8t52cNjCmuQnFEsimVJTmn/99TcehUuPmoN5jTMbCAPAxRa9bynwWE+lUGgg/GEAPwdwmIjsA/AxAB8stFI0MyKRCCKRSM6yQkRj2skPe4TLi1IKSYtO6oqt2NssFc7cJr2mAC0St2boY3o5mSy9OOYeYXOm1zaLAuF0opvMQDgUQ7PfA7dLO3zPa/Shye9B34iFgbAenM/Rh34uaw+gpVYLzu9+Wbs2/toBLcgZCMUQS6QwEI7PeBZdsxZTNuPMTNFmToegzufCDx7aipO/8dCMD0EP64FXXzCWdyBubO/FzAJdKqcvb8Nhs7We7j39YYtrk1v6IsMUvtu3nrgQ//e24yZcE7zUUsnUuDwDlYrHeiqFggJhpdQOpdR5ANoAHKaUOk0ptbMoNaOS27VrF3bt2pWzrBDd3d1Fey0qnkQigWDQHusIF3ubpcKZ26R3ZDTLsXlpnZk0Ghjk1yN81LzG9O3MLMIzpUkP1DIz6vaHtDmtJy1pAaDNKW4JeDAcTVi2TMpAOIbGGjc+ecEKHDO/AUfOa0BLwDumvff0hxCJJ3Hmtx/FdX/bgMFwPL00kRVaTRc4mnIMfzd61HpGYnhxz0ApqzVOb3D0/6drOIp9A2Fs68q+7x6JJuByCLyu8l8hc25jDe772Bk4fXmrpaMa8jWdpamsFAyOIJGwx4xFHuupFAr6TxaRT2T8DQCDAF5QSq0v5LWJiKjy9Zp6Kg8MRbC4NZDl0aUxnF5OZvJD3uyG0eGDHpcDlxw1G16XlmHYCs1+o0c4jr5gDH99YS/eeepi9AXjmNdYg09eeChOOaQFR8ytx3o9OPvRw1vRH4rh+suPmtG6DkcSWNZWizcfPx9vPn4+AG0Ornmd457hGDoHIxgMx/HXdXshMvPLyZiZL3C01ma/2NFe78Oreo/2rp4gsKKkVRujPzg6pPzAYARfumsjdvWE8PKXL5i0h3EkkkCtz2VJD+R0NQc82NUbsroaOVXS/Gsiyq3Q/+TV+s8/9L8vBfA8gA+IyJ+VUt8q8PXJJriOcPmxx2ApKnc9ph6tg0MW9QjnMZyx1uvCz646Lp3Z9SdvO35G6jaZGo8TPrcDfcEofvDga7j16V2Y11SD/mAMR82rR3udD5etmgdgdJjvjx7eBgD41AUrZjTJl5ZFd+x3mzncuHskOqb9lQIaLEhEZmirG33vXMv8vP+MpYgnUnh6R++Yof4zoTfj/2fjPm1Zr+7hKNonyUI8Ek1UxLBosya/J6+1sH/22Hb0DEfxhdetnIFajTeSx+iScsNjPdHkCh030wLgOKXUJ5VSn4QWFLcBOAPAOwt8bSIiqnDmuasHhyJ4ensv1u7sm9E6GCevuZIzXXTkHBy7sGkmqpSXZr8HfcE4dvQEAQBbDgyjTx8aPeZxGX936I+fCVoW3fi4wMDc41rnc6FnODruQoiVc4TNS9lMlCTL7NRDWvHHa05CS8Az44GwebiwsbY1oA01n8xwpDID4eFoIuc86BvvfRU3PdlhWb6BkajWQx/wOnM8sjxU0KAAIksUuqdcCMB8VIgDWKSUCotIdJLnUBUxcjRwZ1xe2Bw0U3qDMcxvqkFfMIYDg1F874HnEY4nsfPGS2esDpWURdesKeBBfyiWnie8af8QYonUuDmtmQm9uodn7vAbTaQQT6pxPcLmQHNpawA9wdi4QLglYF2PcJ3PjU+ef2jO3mCz5sDMJyUzB8LPdoxeQOrNUo9gNPua2eXIqO9IJDHuQo/BnPRpd18IzQGtF3l5nstKTeTO9fvQMxLDe05bktfjhytojWaDTXJlEZVEoXvKPwB4RkTu1P9+PYA/ikgAwCsFvjaV2Jw5c/IqK0RTUyOAA0V9TSqcy+WEz2ePJRWKvc1S4cxt0jMSRUutFx6nA7v7guklayLxJHzumelVMQLhSunFMRjLIhlJp4y5wJk9wItaxs67nsmkQ8aySPUZgddR8xsAAMctbESj34ODQxEcHIqOWW94XpO1+6D/Pnf5lB5vXJiYSb3BGFwOwewGH543jaTI1sbD0XjOec/lxrhINRKdPBAeiowmfeocjOBb972Kh1/twuavXQSva3r/2x+9bT0A4KqTFub1GpV2US3g98PptEe/FI/1VAqFZo3+GoBrAAxAS5L1AaXUV5VSQaXU24pQPyqh+vp61NfX5ywrRE0N1xEuR3ZaR7jY2ywVrr6+HimXD0op9I7E0BrwoL3eiye39aQfM5O9lsORBDwux7RPlq3SpAeQPXrvX4+egbs5o0fY6RBcf/mR+MKlhwPAjA7fHc2iO3Z/0lDjxu3vPxk/e/vxaK31oHdE6xGeVe/DbL23eEFTZR0f6ryudCA0U/qD2lD4OQ2+MT17E7Vx70gU27tH0B+M58yEXW7q9KHcw5HJv19z8H9gMIwHN3chpYDd00yyZR6G3TmQX/4CY5pFpVxUc7vdECn/7OH54LGeSqHg/w6l1FoAfwTwNwBdIrKw4FpNQES+LCL7RGS9/nOJ6b7rRGSbiGwRkQtL8f52FAqFEAqFcpYVIhLVTtw4FLe8aOsI22NJhWJvs1S4V/b04IQbHsSv/70TvcEoWmo9mF3vQyQ+euLZNTz9xFl7+0Ppnsh8DEfi6RPtStIc8KBT7w1e1jba6ztRj9lVJy3Ce09fCp/bMaNr3WZbTmbNkma01/nQUutFbzCKA4MRtNd5cdM7VuOmq1ejxlMZwYSh1jf1QPgb92zG5+/YMO337A3G0BLwpIeaz6r3IuBxpi+KmF37tw049/89hn0D4XGjBsqdcSEl2/drXoptr2nN4WzzpbMxDy/fP5jfGsYjscq6qJZMJm2zjjCP9VQKBQXCIvIGEdkKoAPAY/rve4tRsUl8Tym1Sv+5R6/DSgBXADgCwEUAfiIilbGHstiePXuwZ8+enGWF6O3tyf0gmnGJRAJBmxxQir3NUuEefrkD8aTCb57ehb5gDM0B75g5o0D2OY7ZxBIpnPbNR/DG/3sq7+eMRBMVM5TRzBzMnLi0JX0729zaOp97RnstR5emmnyESUvAg3hSYWvXCGbV+3DkvAact3LWTFWxaGq9rnSPYD6UUvj54zvw+2d3T3uNZ+3/x5PuRV/UEkBTwIOB0PgLQQ+8cjB9OzNrd7kbHRo9+QUucy/4ut396dt9wfwvipmZR6Xku8Z5pSUiC44EkbDJRW8e66kUCu0R/hqAkwC8ppRaAuA8APmfnRTHZQBuU0pFlVIdALYBWDPDdSCqPPa4SExlaP+QdmLaMxxFPKnQWusZFwhnGwKZza5eLSPy9u78MyOPVNjJq8Hc83vikub07WxBTp3XNeXv9rHXurFh7+DUK4jRoaLZkjMZ81UHw/EpJacqN1PtER42PXa6IyDSgbD+vdX7XOm542bRxNgsyq2BCpsjPIWh0a21Xjy7Y3S+dD7LLk2ke2S0TfKdqjEQiqHRX0HTijgcjyirQgPhuFKqF4BDRBxKqUcArCq8WpP6iIi8LCK3iIixxsU8AOZLRHv1MioDzBpNVH36QtpJuREItNWN9ggfNlvL8DqVoc1mnaaem3yXUOmvtJNXnXku8BpTIJyt93WqwVo0kcQ7bnkOr//xk9OqY7ah0QZz4N5eV1kBmlmd14VoIpV3727XUOFraPeORNEc8OCClbMxv6kGV5+8WMuWnDH8vSdjhMXi1rEJ1MpdnSlZ1mSMQPiIufVIpEav5PZNcyqAOfjtyjMQ7h2JWZrtfDpsMjKaqCQKDYQHRKQWwOMAfi8iPwAw7TEYIvKgiGyc4OcyAD8FsAxaoN0J4P8ZT5vgpSb8txeRa0RkrYis7e7unm41iSoer0tQKaUydsGttV6sWdKMYxc24nOXaAmdhsJTO1Q8vb0Xe/pCY4Yw5juc0RieXWmaAqMB7+x6H+Y2+Mb0DE9kqsN3zQFUKjX1M+ahvIZGj3737fUV3COs91oG87zQYO4FPjA49eRw8WQKQ5EEmgMeLGzx48nPnoMzDm3T15fOCIT1QO7So+bgqHkNOFrP2l0pjO8227bbMxJFrdeFxS1akjWP04HWWu+0l7QyAuE5Db6cPcKv7B/Ced99DM929I3Znssdj/VE2RU6VuwyAGEAHwfwNgANAL4y3RdTSp2Xz+NE5JcA/qn/uRfAAtPd8wHsn+T1fwHgFwCwevVqXiObUdwdlxv+A1CpZPZAtNZ60VbnxR0fOhUA4Pc4p9Qj3BeM4cpfPoMTFjfhtEPa0uX9oRgWY/Ker56RKO5cvx/7ByI4a0Vl9eIAY+cIiwju+u/TUJ8l4AS0gGJ3MP/5/+YgomckOuVAtTcYg9sp45ZPMmutG/0c8xorNxAOeHMv8QNo26vP7RjTIzxRcqtcjF7fzB7IJn393Bd29eF//rgeN79zdfr1rzljKY5Z0Djl97Ka3+OESO4eYW2YuLbsVmutB7U+FwbCUwuEH9nSBYEWCNf7XJjfVJNz6Pq9GzuxrWsEQOXNvyaiyRUaCP+vUuqzAFIAbgUAEfkmgM8WWrFMIjJHKdWp//lGABv123cB+IOIfBfAXADLATxX7Pe3o3nzxo8gn6isEE3NzQAO5nwczSyXy4WaGnusI1zsbZYKV1PjR407iLCeJXp+xnqx9T53OslSPjbs0+avPr+zH4e016bLB8LZX+Mnj2zHLU91AMieYKpczW2sgc/twOWrtG08n7Vha31TmyPcGxwN0PYNhKcUCB8YjKB7OIqWgBeSZf6LeYj33MbK3e8Yw3ezfb+plMLrf/QkZtV7cdGRs9PlUw2E1+8ZSGf/zhzN0BzwIBhL4s71+7FvIIwHXzmY3jZaK3TouYigNsf89r5gDC21HszVL6Z43U40+idOHDYZpRTe9avnAQCXHDUbbXXaRbotB4azPs8IggFgcUvlDDsPBAJwOKefob+c8FhPpVBoIHw+xge9F09QVgzfEpFV0DqydgJ4PwAopTaJyO0AXoE2LPvDSqn8Jo5Vudra2rzKCuHzVe7VfztzOB1wuSovedBEir3NUuGcLhda67zwOB1QGO1JM9T5XFMaGm0etrizJ4QatxPheDLnMkE7e0cTai2qsDmTgHbB4JFPnTWlNWGnutateYjtwaEI/rZuL+LJFN5yQvaVEF/ZP4RLfvgEAG3OZjYu5+gsrPa6yj0m1HpzL/Gzpz+EfQNh7BsIY9WCJtS4nQh4nePm8GYzGI7jclNW9LaM4NbYHjZ3DgEAdvWG4HRo33HmGtOVpH6CjOfRRBJv+sm/ccUJC9AzEsO8Rh9OO6QVS9sC+Nh5h+KfL+3HrimsI7xvYHSZpFc7h9FW50V7nQ9PbO3Br5/qwG3P78EdHzp13NJeB/Q53k1+N85a0YZK4Xa7YZcReTzWUylM60xYRD4I4EMAlorIy6a76lCirNFKqbdnue8GADeU4n3tbGREu8Jp3rlMVFaISFg7eDBZVnlRqRQSCXssqVDsbZYKF4vHAaXwx/edNOEQ/PoaNwZz9Oaa9Zl6LV/Y1Y/D59bjpT0DOXuCjAzTXpcDqxc1ZX1suZrTMLUeVCNZllIqay+twRwIHxiM4Mv/eAUA8F+rF2R9/ot7RpevycwIPpHb338yQrEEnI7KPRhMdYmfDfsGMKveC5974nV/J/PawbG9k60ZQ3Gb9bnjL+4eAKAFac21HnhcDvjchaZ+sY52gWzsd7tu1wA27R/CF+/chFn1Xhw1rx4ttV48/MmzAABPbe3BS3sH8n4P8/a+oyeII+Y1oK3Oi+FIAt994DUMRRLYsG9wTHI6ABgMxXHp0XPwf289btqfzwqJeBxKTW/prnLDYz2VwnT3mH8A8Hpow5Jfb/o5Xil1VZHqRiW2b98+7Nu3L2dZIfr6+3I/iGZcPJFAKBTO/cAKUOxtlgoXDIWQTCTRXu+bMEiq97mmNEfYHFzEkikc0qadCOUKhAdCcVy5ZiHWfuG8ih6SOxW1XjeSKYVIPL+T395gDE6HwOUQbNo/lC7PlTyow7R8VT6ZoNcsacZZK9rzqlO5ymdotHmUwvM7+9Fe50NrrXdKgfDOnrFLg2X2CBtDpY3MyV1DUQyG4misced18aNcNdS4x013MPfgTpT0rtHvRn8oDpVnauTMC3Dt+tBoABjS29U8ksQwENa+30oTDAWRTNojEOaxnkphuoGwE8AQgA8DGDb9QESyp7SkqlS5h2Z7YntQSSkgW8dfQ417asmyRmJj1gGe0+BDvc+VtVdZKYXBcBzNAXfWjMZ2YwRr+X6/fSNaAqJZ9T4809GbLs+1nEzXcBRNfjf+a/V8fOScQ6Zf4QpiJCrL7LU06w9mBFr1XrTWeqYUCGde4MncfpsDY/8+MBTBQChekUuEmTX63RgMxfGTR7fhfb9ZC6XUmGWn4kk17qJAg9+NWCKV94WfzH2GNjR67GtmXgRKpVTlrR9MRHmZ7iTBFzCadDbzdEcBWDrtGpGtcP06ouqTUsh6taWhRjvhzVdfMIYFzf70nMi2Oi8a/ePXUjULxpJIpBQaKrAXpxD1+ucdjsTzGrLcG9TWRQ14XXhh1+hw51w9woPhOBY2+/Gt/zimsApXkNGLDJP3CGduk7P1NugZzn+O8EBY66V//dFzsGiCxEzmOePHLWzEut0D6BqOoLGmcucHA0BjjQcD4QF8674tALQAP3P95cnmS/eHYqjx5B71kRkIL2jyj3vN3oz53COxBFIKU5qrXy4EXCGCKJtpBcJKqSXFrggRzSweHKlUFFTW4Ub1NW4MRxNIpRQcecwZ7Q/F0BxwQ0S7uLa4NYCmwPi1VM2ME96qC4T1YG0wz2RkfcEomgOecSf5uXowhyLxdNBdLXxuJ7wuR9be9oFQHA7RAraDQ1G013uRUkA4nkQwmhiXOG6y12ioceP7Vxw74f3mbfrEpS1Yt3sAW7tGcNLSlql/qDLS6HfjoGnJqe1dQRwciqDR7073kmfOlzaGKw+E4nlNfzD2C1euWYA/PrcHR89vgM89NjFW5sUM46Jdte1LiKpBwVkVROQNIvId/ed1xagU2U8lz1sioqlRKvv/fEONG0oBw3lmNx6KJNBQ48aVa7RMxkfOrUeLHgj/9YW9+Kqe4MnMmKvZUOG9ZFNlBKd5D40Ojg6NBkYD6Wy9noA2PLjaAmFAG6acLeP5QDiGRr8HLfpc1iWttemljb5x72a8/7drc85nHQhnH+ZszsJ93EItCdxwJFGRc1jNGjI+88GhCPqCMRw2uy5dtqDJP+YxjfoFnHzXEh4KJ+BxOvD5S1fiHx85DQua/WPW6z5qXsOYnATAaGDcWIk9wjz1IsqqoPVTRORGACcA+L1e9FEROVUpdV3BNaOSW7BgQV5lhWhu4TrC5cjtdsPvt0fyoGJvs1Q4X00NPFlysZnnWubTyzIUjqPO68YXLj0c7zt9KVpqvWgOeLC5cwif/PNLAID/PucQNJlOaKu3Rzj3PFYzY2j07AYtWFvY4sem/UM5nz8YTqTfq5rU12RP9Navz9V93xlL8KOHtmHN4uZ0hu3fPbMbALC7LzThkGeDkfgqmz9dcxKCsQRm14/uxyt9DmvmqISu4Sj6Q3Esb6/F6ctb8eqBYczL6PU1PnO+awkP6hdwar0uHDW/AQDgdAgOm12HOQ0+KIwfDWG8diV+v7W1tXA47JEYk8d6KoVCFxK9BMAqpedmF5FbAbwIgIFwBfD7/XmVFcLr0U6ueFGyvDgcDric9lhHuNjbLBXO4XCm1zWdiNGTOBiOI59Tm+FIAvU1Lvg9Lixp1bbbllpPem1PANjePYLVgdFcjYMVfPJaiPqa3D26Sin88bk9OH5RE4YjCTQHvOlhpcvb67CrN5SzR1kbGm2PfchU1PvcWS8SDIRiaKxx443Hzscbj50PAOkeYcOOnmDWQHggHMu53vKJ+jBoc9BWiT2WZuaeWa/LgYNDET1JlQff+c9jEIwlxk2lmGogrF18G7/d3vmRU+EQwWf/8jK2HhwZc5+RyboSe9zdrsrOJG7GYz2VQjEWnGs03W4owuvRDBkaGsLQ0FDOskKEwva4Emk3qWRSW+vVBoq9zVLhYvE4VGryLK4NUxi+G0ukEI4nx/U+tgQ8Y5LxZWY5HmCP8KSP2do1gs/dsQEf/N0LALSLCmevaMdVJy3E/5y7XA/2Jg+kI/EkYolU1X23gHYRZyiSwC8e344NewfH3d8fjI/r2cxMxtSTIxGZMUc4H82m98pnGatyduis0SHQ8xpr0D0cxUAojia/GwGva8KLA+ZkWfkYnGQUitflhNvpQKPfk55WMRyJI5lS6dEllTgVIBaLIZVlX1xJeKynUij0cu43ALwoIo9A6/Q7A+wNrhidnZ0AgPr6+qxlhRgYGCjK61BxJZJJRCL2uEhR7G2WCheORJBMJCe9P91rmcfw3WE9WDYy9hoy1xPtzRjOWK1Do31uJzw5Ejpt3KcFcDv09Wpba70IeF24/vKjAGgn/NmWpjLarSqHRvtceHp7D17aM4D2Oi+e+/x5Y+4fCMVw+Jyx+yJzTyeAcXNQM41EE+m52rmYe0jzyRJezhY1+3HGoW04e0Ub7tt4ADt6gkikVNZszUYCs/V7BvDFv2/E5y89fFzyK7PBcBwttZO/XnPAjWAsicFQHGd8+xFcvmou2vXvtRL3JaFQyDaBMI/1VArT6hEWkR+LyClKqT8COAnA3/Sfk5VStxWzgmQPNhmZQ0R50JJlTX6/cUL56oFh/HtbT9bXGtaH+Gb2xmRmj+3OWPJkOBKH0yHweyY/Kbarep87/b1N5ECOJWnqfdnnwRr3VWIPWaHqa9yIJ7WhCBOttdyv92CauU3JrbwuR9Zs50opjEQSqM0zEDZb1FLZQ0cdDsFv3r0G7zp1CdrqvHjt4DCA3NMbmvwePPDKQfz2mV14Ksf+ZLIe4fRr6Rct1u3px2A4jluf3oWhSBwelyNrgF3OuEIE0eSmOzR6K4D/JyI7AXwMwG6l1J1KqQPFqhjZQ67smGQdNg2VSq5A2Aigvv/gVrz1pmdxYDAy6WPTQVdG7+PKuVqvQMDjRHPAM65HeCis9arZZX7cVNTXuPB8Rx9e/6Mnsas3OO7+zPmUbRlzWOtrss+DrdbedgCY2zC21zWRTCGZUvj39h6EYgmE48kxSdsMt757Df7w3hPREvBkXZoqEk8hkVKo9eb/3f787cfjyjULsLC5sgNhs/Y6H5Ip7SCVa+6zOVDe3j2S5ZF5BML6e728Z3TY+1A4XrGjH6pw90c0JdMKhJVSP1BKnQzgTAB9AH4lIptF5H9F5NCi1pCIiCqKQvYEeXVeFzymXrLNByaf92XMVc0cGt1e58NnLzoMP37bcWgOeMbNERyOxFFXoSevhWrye7C1awQb9g3ib+v2jbu/Lxgb8/3PzgjuciWEMhJxZbZJNchMctUfiuOfL+/HW3/5LG5+ogPAxBcIzjy0Dacc0opGvyedyG0iw1Htvqn0CF94xGx8401H2+qij3mUQmYPe6ZEavSqrnkd4kyplMJwJL9AeMO+gXTZzp5QRSeG40VvoskV9J+tlNoF4JsAvikixwK4BcCXAFTm+JEyoZRKD70qpXhSwTXBpZBESiGWKM6cEuNjCPNGlxU7tUYypZBSKNo2S4VLKpW1J0JE4HIKYvo04r19oUkfO5xlGO4Hz1oGAPjpo9vRF4xBKYXfPrMLpy9vw3AkUZWBGqDNtXxhl7Zkz/6B8bkA+oMxLJ9Vi6FIHAGPC56MA0Gj351ONjaR9HD1Kvx+T1jcjCa/G6sWNOKRLd3oDUaxq1fbfh/crC0VmDkn2Kwp4M6a2GnEuMjgrb7v1syc+GuiHnazt6xegG//awsSqdS4kSFmI7EEUir7SIamgHbfS6ZEaK8dHMaCCu1tt9OxXimFRIrH+nLkdkrFXogrdB1hN4CLAFwB4FwAjwH4ShHqVdW6R6JYc8NDM/Jelx8zB98/bPTvp7ud+PI/twDYXtT3ybKSClnA43bbYimCaCKJd/x1jz4/tLjbLBXm+IXZFxF472lL8Ltnd6MvGMuaPCif+ajNfg929Izgpb2D+N87N+G4hY1wOqRihzMWar7ppD1zPjAA9IViaPJ78MurV8PlGH/y0uR3IxRLIppIwusaf13bCNamMnzXLmY3+LDui+fjuY4+PLKlGz3DMXTrc4WN4ClbINxY40Hn4OQjIEai1dvbbtZePxoIz86RBOw9py3BVSctwhW/fCbrvsToic+1LwGQblNAS2525LzKXBSlrq4ODsf46RGV6McvjOCfGw6Cx/ry88pXL4TfU5n7rGnVWkTOB3AlgEsBPAfgNgDXKKXs8d9msYDHhU9fuKLk73P72j3Y3T+2t2DvQAxup+Bj5xVvhHtLwIN5+hqVVB7EIXA4Kn/gRjCaRPdIDOce1o7jFjVZXR0yOUlf53QyHz//UPzPuctx/PUPTpg8aG9/CJ/5y8vpYbu5Etz07Yqn58Ou3zOAQ2fV2WrO5FS8/aRFiCVSeLajd8LvdiAUx/wmf3rt4EzGnMyBUBx1PjXuBGeyTN7VQkTQos+r7g1GcTDjYkPWQNjvzrrm7ehFhur8bg3modGBHN+FwyGo8TjREvBkzTcwmMd6wOb5yEfPb8DL+sWNSt3WXa7KrPdEdvVFsLQ1gDcfP9/qqlAGVwX3dk33P+RzAP4A4FNKqb4i1oeg7fQ/fPYhJX+fJ7YcRCg2domTSDQKt1OK9v7G8kmVOmTCrlKpFOI2WEfYSMZ2woJafGAG/mcoP/ksm2YMj24JeNA7Mj5Yu+ul/fj39l4AgM/tQCBL9ucWfY7w/gHtJDiltJPeap0j3FbnxbUXH4ZP/fmlCbPo9gVjWeddGvMkf/7YDtz69E489Ikzsbh1dG7sSDQBh6AqM3IbjKzlvSMxHMzIHp1tuZ8mfZ3aVEqNWfrIMKz3CE8na7SdzG/SLmL53PmfYDf5PXi1c/LeduMCRLbkWx6Xtq8JxpI4bmETNncOIZ5UaK2tzDWao9EoUjaZJJxIJDCvwTMj58dUPaa1p1VKnV3sitDMi8eiiMXHzrUIBoNFzaxw8KA2Z6qxsbFor0mFS8QTiEQnv3JeKYwtdXh48pMfmnlT+b9vDngm7LXsMwXHrbXerBfTmgIeJFMKW0xJtzoHIxWd4KYYWgIe9Opzp43vL5VSGIrEs/aKGUHyLU9pyZ+e39k3JhAejiRQ663OjNyGep8bToegNxhF11AErbXedDbobBcZGv1upJQW8E40ymE4PUe4Oi/iGGq9LvzhfSdOaW3kbHPbkymFgbC2T8mV7dzIvbWoxY8mvwddw9ExQ7UrSTgcss3qHfF4ArGYPT4LlY/K7cumgonIuJhXgb23VcMGxxPFZGwVr9E/PuMzoM1jNeTqjWnWE9xs3D/2gki1zhE2NAc8iCVSCJpG/ozEElAq+zzJzB6znRlLMA1VcUZug8MhaPJ70DMcQ9dwFKcvb03f53JOfmo1Oux84rmsI5GpZ422q1OWtWJZW23ejzfPbTfb3RvC6usfwM1Pahd2cq1LHI5rz1/cGkjPoZ9Vl39AXm5sEgdDQfFYT0XHQLiKCSaIhZS9sgySvSmkI2GqUI1+d3runlm/qZc4W9AGAM0BLVDe1jWCRS2j84LN8wyrkTFE1/xdGssiZbtIYGTONfQMa8+/d0Mn/vDsboxUcUZus5aAB1u7hpFMKaxa0IjWWs+YgHgiRm/xZPOEjWRZAW/1DjufLuMiQ+byVOt296M/FMeLuwcA5O4Rfv8ZSwEAR89rwIVHzgaAik2WZadDo9ZRY3UtyG54JKtiIuOvFDIpfXWwy8HE2H55Ra9yNfknXk6mPxTHMfMbUOtz4QNnLs36Gs2mHswTFjenl7Op1Hl9xdJoCrrmNSoEY4n0uszZho1nJnsyhvx+8PfrAACrFjQyEAbQUuvBut3aMlWz6n3418fOyJnYyWiTzG0+nkxhR3cQQ5EEvC7HhNm6KbvR7zaOdtOQanMmab/HCZ87+3f7yQtW4D2nL0FLrRefvnAFzl85Cytm15Wm0jPCHl3Cih01VAI8f6xymbtHpewTJFF2djg0podGc5utWI1+DyLxFCLxscMZ+0MxLGoJ4PfvPQmnLMvRy2bqwVxs6hGeVaHz+orFCGj7QjF88c6NWH39g+kMx9l62c1B2CHttegeiSKRHL1Mun7PQNUPjQa07zei59mYVe9FS603Z5BlzshtdvOTHbjw+4/j0S1daMmxbi5NLD0CIuMig3lERGaC0Il4XA6060Oh/R5Xzv0PzQwGwlQKvKRbxQJ+P0aSY7Nd1tXXwekMFe09lixZUrTXouLxeDzwByp/SStjaHRrK09UyslU/u/NJ69zGka3yf5gLOsyNGYtgdGAd25jDU5Y3ITnd/bjkPb85xfakXk+6u+f3Q0AeFLPIp1r/vRX3nAEXtozAAjw7I4+9GRk9jayJlczc8Cab1InI0lZ5hzhf206AAB47eAIjphbX6QaVpfGSYadm3uEq22f0NDQAJERq6tRFG6PG4HaQO4HEk0BA+Eq5nQ6kHl9zeFwwFHE7jWPhydL5UhE4JDKHxBi9Ai7bbRWoh1M5f/efPJqBMLxZApDkUTOpDaGGtMyPnMba/C9t6zC7r5Q1fdapnuETYHABn1d1FzzJN9xymIAwNfv2TzhWrktVT7sHBj7HeQ7DN/43vf2h/H2m5/FB89ahlOWtaazRQPZ1yGmyU2WiKwvGMXy9lp84vxDccyCRgtqZh2n005D7AXOCl6vlspT2W1RIvKfIrJJRFIisjrjvutEZJuIbBGRC03lx4vIBv2+HwrTHuclHo8jkUyMKYtEolCqeDOF+/r60NfHpabLTTKZRDw+cdbSSmIM7w6FglkfRzNrKv/3E82ZNHp0phMQLGj2Y36Tn8MZoQVdIsCevnC6bMM+LRDON6O2Mfw3M3N0tc+/BsZunx5XfqdTLqcD9T4XHtnShSe29uDav24AMPZiRXsFZyi2UpNpjrBZfzCO5oAHFx81B3MbK38k1FREIxH7rCNsk/MWKi9lFwgD2AjgTQAeNxeKyEoAVwA4AsBFAH4iIsalrp8CuAbAcv3nohmrbQWLx2KIx8cGwqFwuKi59ru7u9Hd3V2016PiSCQSiEQr/4BirI84MmyPoV92MZX/+ybTnMl/bTqATfsH0z06Tf78A+H3nrYEqxY0Ym4DgwiD0yFoqHHjlc7BdJmxNEy+y/MYwd7mzmEAwGx9CLB5Lna1MoZG5xsEGxr9Hmzv1i4suJyCZEqhPxRLv87sBl5kmI4atxMepwMD4RhSKYWkviBwbzCKliodyh8Kh22zjnAikUDMBuctVF7KbjyhUmozMOFatpcBuE0pFQXQISLbAKwRkZ0A6pVST+vP+w2AywHcO1N1rlQTdpszGQFVkPTxnRttxTKC3Y6eIL79ry2ocTvx63edMOa+fHz+0sP1ZH/cGMya/B68oq+v7HU5EE2k0BzwwOnI73sy5gJv7hyCCPCVy47Azx/bjhOWNJeszpVicas2X/HIKc7pbfK7sVsfMBGKJjEQikEp4D2nLcHWgyP4r9ULil3VqiAiaPS7MRCM452/fh4OAX79rjXoC8amtC+xkwmXyaxQXD6JSqHsAuEs5gF4xvT3Xr0srt/OLB9HRK6B1nOMhQsXlqaWlUSAFJdPqkp2OZgoLiNc8Yyh0S/s0pahCceT6WHSmevZZiMittmui6nR70ZHj9b7eOLSFjz+WjfapjCs2Vij+dUDQ2gJeHDhEbNx4RGzS1LXSnPY7Dp8/y2rsHpx05Se12gKyvqCsfSw6MNm1+GzFx1W1DpWmya/B32hGB5/TRuREk+mMBCOMxO3DTBrNJWCJUOjReRBEdk4wc9l2Z42QZnKUj6+UKlfKKVWK6VWt7W1TafqtjLhlUIun1Q17DBaysgazU22cvncTvjcjvR6rICWOReYWo8wTcxYY1kEWKMHbD5P/gl0jADi4FCUc1cziAguP3Ye5jdNbZi4z62denldDsSSqfS61+bs5zQ9DX53egQEAGw9OAKlqjcBmdioS1hNdsZPVABLeoSVUudN42l7AZjHC80HsF8vnz9BOeWg7SDH7iEVFPczVDG4jrA9NPk96BwczUr8sp7ZmIFw4Yzex9Zab3rpmDl5LvUDYMzcyvYqX5e5WGq92kiHcw5rx70bD+C1Lm3+dbXOYy2mJr8bz3WMJurbqCeHa6rSQNhOGAdTKVTS0Oi7APxBRL4LYC60pFjPKaWSIjIsIicBeBbA1QB+ZGE9K0ZtbS3cwbFJhurq6uFyxSd5xtQtW7asaK9FxeP1ehEIVH7vjnEZZ9asWZbWg8aa6v99Y0Yg/Mr+QdS4nWOWRaLpadaHl89rrMFpy9vwxmPn4b2n57/Os9/jgs/tQCSeQnsdA+Fi+PDZy9BQ48ZJS5tx78YD2KaPgODw3cJlXjzbuF8LhKu1t72psRGQoZyPqwQulwt1dXVWV4NspuyyRovIG0VkL4CTAdwtIv8CAKXUJgC3A3gFwH0APqyUSupP+yCAmwBsA7AdTJSVF4fDMX7EjAjyzKGSF5fLBRfXeC07AoHYYh1hbQt22WqtxMo31f/7Rn1t1cNmayc5+wcj6aVQqDBGj3BLwINarwvfe8sqHDG3YUqvYax9a6zzTIVZ2laL/339yvRSPkaPcCNHQBSsQd9vuJ3aiYwxTLpah0Y77LTuroDrCFPRlV2EopS6A8Adk9x3A4AbJihfC+DIElfNduKxGBKJ5JiySCRS1HWEe3p6AACtrVzTs5wkkglEY1Grq1Ew40LOyMiwpfWgsab6f28kxTpsdh329YcxHE2ghevUFoWR9bmQdX+NZWjmcGmqomrTe9hfOziCOp9ryssw0XhGj/DKOfXYcnAYr3RWdyActtHySclECrFo5Z+3UHnhXreKxWJRJJOZgXAUqVTxAuHe3l709vYW7fWoOJKJBOKxyl+Pzzi+j4xwHeFyMtX/+1qvdk12bmMN5jVpvWScL1kcFx05B1ecsAAfOGv601SMIeoLmrl2cDE1BzwQAWL6klZUOGP0wtzGGsxpqEEopp3jTCUDvZ2Ew2G75MpCMpVEzAYX8Km8MBCuYoLxyycxGUF1EJu0snGl2x6fpnr5PVogvGJ2XXq4aLXO6Su2hho3bnzz0Viir3k7HR8791Asb6/FqgWNxasYwe10pHswmRiuOI5d2IiGGjfeduIizNaTwtV5XfC6qnP6jJ0SSWaerxIVQ9kNjaaZo+0fM7JGK2WrHSdNzg7HFDt8BgLefeoSxJIpnHv4LDyzQ+tJZobi8vHm4+fjzcfPz/1AmrK2Wi/6gjH2CBfJYbPrsf5/z4eI4K/r9gIYHYJetWwyNFpxeU8qAfYIVzERGbd/ZP8aVRIun2QPC1v8+Pobj0Kt14U2fS7rkpbp92ASVQojSGMgXDyiHxBm63Paq/m7tdehkct7UvGxR7jKZV4n5NDoKmGTRlbpSzc2+UCEq05ahHA8iYuPmm11VYhKzpjTOq+RGbmLzUju5nJW9/HBHv3BxvlpdbclFR8D4SrWUF8PV29iTFltbR08g8VLlrV8+fKivRYVj8/rRcAGczCNHuE5cxg0lZNC/u/b6334/KUri1gbovJlzN1eyERkRWckdzt2YZPFNbFOc3MzRAatrkZROJ0uNDTUW10NshkGwlXM4RAcHIrgou8/ni7b1x8u6nwaW61hZycCPLujb0zbV6JIXMsIyrUFywv/74ny877Tl6LW58LrjpljdVVs54zlbfjBFatw9mHtVlfFMiKCeFJV/LEeAHqDMTgc7BGm4mIgXMXOWhJAz2ADvN7RwHd2rRNrFjUU7T26uroAAO3t1XsgKkeXH9GMJ3c4xrR9pVrR5sOi2uKNYqDC8f+eKD8Nfjc+cOb0l7aiyTkdgstWzbO6GpZaM9eLV5c3we2p/HnSc2pdOHMRR05QcTEQrmLL65K47vRWrFixIl22ZcuWor5Hf38/AJ4Ql5vT57lw+ryxbV+ptmzZAsS4jnA54f89EZH15nhj+OxpLfY51iNpdTXIZjh+jYiIiIiIiKoKA2EiIiIiIiKqKgyEiYiIiIiIqKowECYiIiIiIqKqIkrZZantqRGRbgC7rK5HDq0AeqyuBI3BNilPbJfywzYpP2yT8sR2KT9sk/LEdik/ldAmi5RSbRPdUbWBcCUQkbVKqdVW14NGsU3KE9ul/LBNyg/bpDyxXcoP26Q8sV3KT6W3CYdGExERERERUVVhIExERERERERVhYFwefuF1RWgcdgm5YntUn7YJuWHbVKe2C7lh21Sntgu5aei24RzhImIiIiIiKiqsEeYiIiIiIiIqgoD4TIkIheJyBYR2SYi11pdHzsTkVtEpEtENprKmkXkARHZqv9uMt13nd4uW0TkQlP58SKyQb/vhyIiM/1Z7EREFojIIyKyWUQ2ichH9XK2jUVExCciz4nIS3qbfEUvZ5tYTEScIvKiiPxT/5ttYjER2al/n+tFZK1exnaxkIg0ishfRORV/dhyMtvEWiKyQv8fMX6GRORjbBdricjH9eP8RhH5o378t2ebKKX4U0Y/AJwAtgNYCsAD4CUAK62ul11/AJwB4DgAG01l3wJwrX77WgDf1G+v1NvDC2CJ3k5O/b7nAJwMQADcC+Biqz9bJf8AmAPgOP12HYDX9O+fbWNdmwiAWv22G8CzAE5im1j/A+ATAP4A4J/632wT69tkJ4DWjDK2i7VtciuA9+q3PQAa2Sbl8wPt/PcAgEVsF0vbYR6ADgA1+t+3A3inXduEPcLlZw2AbUqpHUqpGIDbAFxmcZ1sSyn1OIC+jOLLoB0wof++3FR+m1IqqpTqALANwBoRmQOgXin1tNL+839jeg5Ng1KqUym1Tr89DGAztJ0z28YiSjOi/+nWfxTYJpYSkfkALgVwk6mYbVKe2C4WEZF6aBe+bwYApVRMKTUAtkk5ORfAdqXULrBdrOYCUCMiLgB+APth0zZhIFx+5gHYY/p7r15GM2eWUqoT0AIyAO16+WRtM0+/nVlORSAiiwEcC60Hkm1jIX0I7noAXQAeUEqxTaz3fQCfAZAylbFNrKcA3C8iL4jINXoZ28U6SwF0A/iVPo3gJhEJgG1STq4A8Ef9NtvFIkqpfQC+A2A3gE4Ag0qp+2HTNmEgXH4mGj/P1N7lYbK2YZuViIjUAvgrgI8ppYayPXSCMrZNkSmlkkqpVQDmQ7vie2SWh7NNSkxEXgegSyn1Qr5PmaCMbVIapyqljgNwMYAPi8gZWR7Ldik9F7RpUD9VSh0LIAhteOdk2CYzSEQ8AN4A4M+5HjpBGduliPS5v5dBG+Y8F0BARK7K9pQJyiqmTRgIl5+9ABaY/p4PbUgCzZyD+pAO6L+79PLJ2mavfjuznAogIm5oQfDvlVJ/04vZNmVAH1L4KICLwDax0qkA3iAiO6FNozlHRH4HtonllFL79d9dAO6ANu2J7WKdvQD26qNYAOAv0AJjtkl5uBjAOqXUQf1vtot1zgPQoZTqVkrFAfwNwCmwaZswEC4/zwNYLiJL9CtkVwC4y+I6VZu7ALxDv/0OAHeayq8QEa+ILAGwHMBz+hCRYRE5Sc+Id7XpOTQN+vd4M4DNSqnvmu5i21hERNpEpFG/XQPtYPkq2CaWUUpdp5Sar5RaDO1Y8bBS6iqwTSwlIgERqTNuA7gAwEawXSyjlDoAYI+IrNCLzgXwCtgm5eJKjA6LBtguVtoN4CQR8evf5bnQ8rTYs01mKisXf/L/AXAJtCy52wF83ur62PkH2o63E0Ac2tWr9wBoAfAQgK3672bT4z+vt8sWmLLfAVgN7URnO4AfAxCrP1sl/wA4DdoQmpcBrNd/LmHbWNomRwN4UW+TjQD+Vy9nm5TBD4CzMJo1mm1ibVsshZZF9SUAm4zjONvF8nZZBWCtvg/7O4Amton1P9CSMfUCaDCVsV2sbZOvQLvQvRHAb6FlhLZlm4heUSIiIiIiIqKqwKHRREREREREVFUYCBMREREREVFVYSBMREREREREVYWBMBEREREREVUVBsJERERERERUVRgIExERERERUVVhIExERERERERVhYEwERERERERVRWX1RWwSmtrq1q8eLHV1bBUJBIBAPh8vqxlxX4Psp6d2sVOn8Uu2CZERNaz077YTp+FZtYLL7zQo5Rqm+i+qg2EFy9ejLVr11pdDUtt2bIFALBixYqsZcV+D7KendrFTp/FLtgmRETWs9O+2E6fhWaWiOya7D4OjSYiIiIiIqKqwkCYiIiIiIiIqkrVDo0mwO/351VW7Pcg69mpXez0WeyCbUJEZD077Yvt9FmofIhSyuo6WGL16tWq2ucIU/V6z6+fx+nLW/HOU5dYXRUiIiIiopIQkReUUqsnuo9Do4mq0EOvduHL/3jF6moQEREREVmCgXAV6+joQEdHR86yYr8HUTFxGys/bBMiIuvZaV9sp89C5YNzhKtYLBbLq6zY70FUTNzGyg/bhIjIenbaF9vps1D5YI8wERERERERVRUGwkRERERERFRVGAgTERERERFRVeEc4SpWW1ubV1mx34OomLiNlR+2CRGR9ey0L7bTZ6HywUC4is2bNy+vsmK/B1ExcRsrP2wTIiLr2WlfbKfPQuWDQ6OJiIiIiIioqjAQrmLbt2/H9u3bc5YV+z2IionbWPlhmxARWc9O+2I7fRYqHxwaXcUSiUReZcV+D6Ji4jZWftgmRETWs9O+2E6fhcqH5T3CIuIUkRdF5J/6380i8oCIbNV/N5kee52IbBORLSJyoan8eBHZoN/3QxERKz4LERERERERlT/LA2EAHwWw2fT3tQAeUkotB/CQ/jdEZCWAKwAcAeAiAD8REaf+nJ8CuAbAcv3nopmpOhEREREREVUaSwNhEZkP4FIAN5mKLwNwq377VgCXm8pvU0pFlVIdALYBWCMicwDUK6WeVkopAL8xPYeIiIiIiIhoDKvnCH8fwGcA1JnKZimlOgFAKdUpIu16+TwAz5get1cvi+u3M8sph/r6+rzKiv0eRMXEbaz8sE2IiKxnp32xnT4LlQ/LAmEReR2ALqXUCyJyVj5PmaBMZSmf6D2vgTaEGgsXLsyvojY2Z86cvMqK/R5ExcRtrPywTYiIrGenfbGdPguVDyuHRp8K4A0ishPAbQDOEZHfATioD3eG/rtLf/xeAAtMz58PYL9ePn+C8nGUUr9QSq1WSq1ua2sr5mchIiIiIiKiCmFZIKyUuk4pNV8ptRhaEqyHlVJXAbgLwDv0h70DwJ367bsAXCEiXhFZAi0p1nP6MOphETlJzxZ9tek5lMXWrVuxdevWnGXFfg+iYuI2Vn7YJkRE1rPTvthOn4XKh9VzhCdyI4DbReQ9AHYD+E8AUEptEpHbAbwCIAHgw0qppP6cDwL4NYAaAPfqP5RDKpXKq6zY70FUTNzGyg/bhIjIenbaF9vps1D5KItAWCn1KIBH9du9AM6d5HE3ALhhgvK1AI4sXQ2JiIiIiIjILsoiECYiIiIiouJRSk2cPZaIAFi8jjARERERERXfdffvx8W/3m51NYjKFnuEq1hDQ0NeZcV+D6Ji4jZWftgmRETWe3F/2OoqFA2PK1QKDISr2OzZs/MqK/Z7EBUTt7HywzYhIqJi4nGFSoFDo4mIiIiIiKiqMBCuYlu2bMGWLVtylhX7PYiKidtY+WGbEBFRMfG4QqXAQJiIiIiIiIiqStY5wiLiA/A6AKcDmAsgDGAjgLuVUptKXz0iIiIiIiKi4po0EBaRLwN4PYBHATwLoAuAD8ChAG7Ug+RPKqVeLn01iYiIiIiIiIojW4/w80qpL09y33dFpB3AwuJXiYiIiIiIiKh0Jg2ElVJ3T1Su9wS/Xin1Z2i9xFShmpqa8ior9nsQFRO3sfLDNiEiomLicYVKIa91hEXECeACAFcCuBDAEwD+XMJ60Qxob2/Pq6zY70FUTNzGyg/bhIiIionHFSqFrFmjReQMEfkZgJ0A3gstGF6ilPqPGagblVgqlUIqlcpZVoh9/UF88vb1iCaSRXtNIrNib7NUOLYJEREVE48rVAqTBsIishfAjQCeArBSKfVmAGGlVGimKkeltXXrVmzdujVnWSE++Yfn8dd1+/DYlu6ivSaRWbG3WSoc24SIiIqJxxUqhWw9wn8FMA/AWwC8XkQCANSM1IpshxsOERERERGVi0kDYaXURwEsBvBdAGcDeA1Am4j8l4jUzkz1qNKJWF0DIiIiIiKisbLOEVaah5VS74MWFL8VwOXQ5gwT5U2xS5iIiIiIiMpE1kDYICIeAIdDC4DfCWBBoW8sIgtE5BER2Swim0Tko3p5s4g8ICJb9d9NpudcJyLbRGSLiFxoKj9eRDbo9/1QhP2Q5WK0IRgJExERERFReci5fJKIXArgZwC2Q4trlgB4P4B7C3zvBIBPKqXWiUgdgBdE5AFogfZDSqkbReRaANcC+KyIrARwBYAjAMwF8KCIHKqUSgL4KYBrADwD4B4AFxWhfrbX0tKSV1khvF4PgGBRX5PIrNjbLBWObUJERMXE4wqVQj7rCP8/AGcrpbYBgIgsA3A3Cgw0lVKdADr128Mishlacq7LAJylP+xWAI8C+KxefptSKgqgQ0S2AVgjIjsB1Culntbr9xtow7cZCOfQ2tqaV1khPB4PAA6NptIp9jZLhWObEBFRMfG4QqWQz9DoLiMI1u0A0FXMSojIYgDHAngWwCw9SDaCZWMF7XkA9pietlcvm6ffziynHBKJBBKJRM6ygjACphIr+jZLBWObEBFRMfG4QqWQT4/wJhG5B8Dt0CZ6/ieA50XkTQCglPpbIRXQM1D/FcDHlFJDWab3TnSHylI+0XtdA20INRYuXDj1ytrM9u3bAQArVqzIWlaIkaA2LJrhMJVKsbdZKhzbhIiIionHFSqFfHqEfQAOAjgT2pDlbgDNAF4P4HWFvLmIuKEFwb83BdQHRWSOfv8cjPY+78XYJF3zAezXy+dPUD6OUuoXSqnVSqnVbW1thVSd8mRcpWDHMBERERERlYucPcJKqXeV4o31zM43A9islPqu6a67ALwDwI367ztN5X8Qke9CS5a1HMBzSqmkiAyLyEnQhlZfDeBHpagzTR3zdxMRERERUbmZtEdYRL4gIs1Z7j9HRArpET4VwNsBnCMi6/WfS6AFwOeLyFYA5+t/Qym1Cdrw7FcA3Afgw3rGaAD4IICbAGyDlt2aibLKjOLgaCIiIiIiKhPZeoQ3APiHiEQArIM2JNoHrSd2FYAHAXx9um+slHoSE8/vBYBzJ3nODQBumKB8LYAjp1sXKiWtiTk0moiIiIiIysWkgbBS6k4Ad4rIcmi9t3MADAH4HYBrlFLhmakilcpE86SLPXfa5/UCGCnqaxKZcb5/+WGbEBFRMfG4QqWQzxzhrQC2zkBdaIZFnTVIJBXM49+bmycdDT8tHq++jnBRX5VoVLG3WSoc24SIiIqJxxUqhXyWTyKbOvkbDwMAdt54abosFosBADweT1HeQ6VSRXkdoskUe5ulwrFNiIiomHhcoVLIZ/kkqiIdHR3o6Ogo2usFjXWEOUmYSqTY2ywVjm1CRETFxOMKlULOQFhETs2njGgiXD2JiIiIiIjKTT49whOtyct1eik/jISJiIiIiKjMTDpHWEROBnAKgDYR+YTprnoAzlJXjOyFI6OJiIiIiKhcZEuW5QFQqz+mzlQ+BOA/Slkpsg92CBMRERERUbnJto7wYwAeE5FfK6V2zWCdyEIv9zvR0RvGZ1YU5/V8Ph+AESguoEQloJTCY/tSeP2R7VZXhUxmzZpldRWIiMhGPnnfAZy8pBGfL9L5KRGQ3xxhr4j8QkTuF5GHjZ+S14ws8em/b8FPnthdtNfz6mnuOTSaSuHxrT34+v078MMn91ldFTJpbGxEY2Oj1dUgIiKb2Ng5gl/+e6/V1SCbyWcd4T8D+BmAmwAkS1sdspsU1xGmEgrHtF1S91DE4pqQWSSitYc2IoSIqDi2dQ1jcUsALidX/ySiwuUTCCeUUj8teU3IlkbXEba4ImRLDn0S+kgwZG1FaIwHX9iCWFLhTWessroqRFTBlFL443N78F+r5+PX/96J6+/eDADYeeOlFteMiOwgn0D4HyLyIQB3AIgahUqpvpLViogoDw7RImHOQS8vH/mHNnyNgTBVI6Vf+RVhushCvf3m5/Dkth587o4NY8rjyRTc7BUmogLlEwi/Q//9aVOZArC0+NUhIsqfQz8P4ogDsrNIPImRaAKttV4svvZuAMAXLj0c7z2dh+Fy8837XsVPH90OgL2W06WUQjKl4HI6sKd/4tE+H/vTetz9cicAfs9ENH05A2Gl1JKZqAjZG+MUKgWjx4WBMNmVUgqHffE+AMDZK9rS5dffvRnvPX0pkikFp4M9j9P1k0e34c4X9+NfHz+jKK9nBMEAsH7PAC7/v6cAMFjLl1IKS667BwCw9gvnYVfvxIGwEQQDwMOvHsQ5hzFTPRFNXc5xJSLiF5EviMgv9L+Xi8jrSl81sgOenlEpGUOjmZKN7MoICgDgkS3dY+5bfO3dWPa5e7D42ruRSiksvvZuLL72bnQPRzNfhiYwGI7jW/dtwZaDw1h87d1Y8YV7cfZ3Hp3wsd+4ZzMWX3s3dveGoJT2XX/sthezvr4RBANgm+Tw0dtexI7uEdzx4ugKAK/74ZPjHjevsWZc2bt/vbakdSMi+8pngsWvAMQAnKL/vRfA9SWrEdlKjV87aCl22VEJGB1hHn2ZLiI72NUbTAe1E7nxTUeNK1v6udGA+YQbHkQwmsAbf/IUYomJLxP1BWNIphTe8+vns76X3YRjyfTnPeYr94+5L5pIoaMniPfeOjaw2rhvED9/fAcA4IxvP5IOlv++fj8A4IFXDmLxtXdj68FhuBwCn3v8qdVZ334k/b7VcjzMd7tafO3duHP9fpzz/x7DJ25/KV1+QF8N4PyVo729D3xi4p574712dI9kfS/jgtGn/qy9TziWRCpVHe1BROPlM0d4mVLqLSJyJQAopcJShhkgROQiAD8A4ARwk1LqRourRAA8bn0dYYvrQfYk+pgDh9NpcU2IimMoEseZ3350XPn2r1+CZZ+7Bz9923G4+Kg5uHtDJ57Y2jPp6xzxpX8BAA79wr3jhuW+/eZnJ3zu1bc8h8df03qdO75xSdZkT3e/3IkP/2Ed1n3xfBz3tQcAAP/879Nw5LyGnJ/RKkopHP6/9+V83IObD2YN4Haahuu+uLsf7/uNFjif/73HAQDXXnxYOrvxyjn1eKVzCMHY6OqTF33/iaxDsZd//h7Ek+OPmtmGVyulcOkPn8SqhY34/CWH44gv/QuPfOosLGkNTPqcYrv0h09g0/6hceWLr70bf3zfSWgKuHHY7Ppx9+Xy7lOX4JdXr07/bf4eDvvivYjERy/2nPP/Hsv6PRkXjP7ywl785YXRNWmf//x5aKvzQik14XZv1NNoTwB47fqL4XHNTMKucCyJa//2Mt5z2hIcPb9x0nqaJRngE+WUTyAcE5Ea6LGMiCyDKXt0ORARJ4D/A3A+tB7r50XkLqXUK9bWjJLJxIy+XySehNvpSB8kkimFRCoFr8uJZErB7RQoBfSHYqjzueEQIKlfnd8/EMFIJIHmWg8i8SQcIugcDMPjdMDhELQGvEikUhgIxzEYiqPO58Ke/hAcIjikvRa7ekMYiSQQS6awqzeISDyFC4+YjV8+sQOttV6ctaIN+wfCeHH3AE49pAW7ekPYfGAIxy9qRjCaQDiexHMdfTh+YRNOOaQFf16rHaSHowm8tGcAb1m9AH9auwcnLmnGIe21GAjHsas3iNcOjODMFW144JWDmNvgw4lLWzCnwYe/rduHA0MRnHpIC57a1gu/x4loIoW5jfZZ29U4D0gkuMQ5lQelFAbDcbidjnQwCgAPfuJMvLRnAG9YNXdctttIPInvP7gVImPnmBru//gZcDpkzAn+b99zYvq2cZJ+10dOxT9e2o9fPtGRtY6TBdBGEAxoQ7InCyhSKYUP/2EdAKSDYAB43Y9Gh7K2BDx48rPn4LRvPgynQ3D3/5yOE254cNxrtdd58dznz8taX0MskcKd6/dh+aw6hGNJXPnLZ/CGY+bih1cem/V5z+/sw0t7BtLBqdk9/3M6Vs6tR0dPELPrfVkD5S3XX4QVXxh7f0dPcNzjVsyuw8avXIjNnUM4YXHzuGBvy8HhrPWdKAgG8gsaX+kcwh+e3Q0AOPs7j6LR78ZTnz0HAW/20z2lFG5+sgMnLW3BkfMa8gq0AG30wtW3PDfpXF7Dlb98RvsMLf4xFxIMn75wBb79ry1avVe0jZkGcNyixklf966PnIYL9AsQwOgxYaoyt80NX74AR335fsxrrMG5h7eny40gGNAuMgHAz646HhcdOXvca27YO4hgLIETlzTjvO8+hu3dQWz+6kWo8eR34TYUS+DtNz+HF3b1p8vu1EchGO75n9OxoLkGCkC9z43dvSGc8e1H8np9IgIk1xAdETkfwBcArARwP4BTAbxTKfVoyWuXJxE5GcCXlVIX6n9fBwBKqW9M9pzVq1ertWvLd16JFcPUHALwAmJ1qfQELv/e3oO3/vJZq6tBRXbUvAZs2DdodTUste2Gi+Ga5vIw5uNHrdeFDV++wJRYTo2ZdwwA33/LKnzsT+snfb0Lj5iFn799Nf758n48vb0X9208gN5gbFp1KwdPfOZsLGj2T3hfIpnCIZ/XApz3nrYENz2pXVTY/vVL4HQI7t3QiQ/+fh3u/ejpuPgHT+DMQ9vw2Gtj524/c925mN0wesExlVJjhq4Dk+97v/bPV3Dzk2MvZLzhmLm466X9Ez6+HL3txIX4/bO7sfmrF+XVAw+M/z6mkmjshBseHDMHO/Px/cEYjv3aA5jb4MP+wciY+047pBVPbpt8ZIUdNNU48eKXLpqx90ultAUNzUn8jM4DQOt8CMeTCEYTaKzxYN9AGG11XnhdDogAqZQ2LH5ffxhzG33oD8XRUOPCed99fJJ3JKttuf4ieF3lOzJPRF5QSq2e6L6slwhFxAGgCcCbAJwELffRR5VS5bbXmAdgj+nvvQBOnOSxZe+1HFeLS4VBcHUpZaLZWCKF/QNhbNw/iJf3DuLlvQN4ZgeXHqf8VHsQbARd02UEAt974DX84KGt6OgJ4panOvC7Z3bjAX1I7qVHz0GT342A14XLj52Hy4+dh1giBbdTxgXK/9p0EOt29+MjfxibHGrTVy5EjdsJh17Xnz22HTfe++q06z0TfvueNZMGwQDgcjrGBFJfeN3KMfdffNScMfcbQfAJi5vw/E6t584cBAOAw9Sb/8nbX8Jf1+1F93AUbXXe9GMyL35/6fUr8a5TRxft+NplR+Ldtz6Pm65ejWNNvfDXXnxY+jv/zn8egzcfNw+9wdiYpbZmyhmHtuE3714DALjhjdo8dvN3Za7Pt//jaHz6Ly/j528/HhceMb43ddWCRnz3v47BEXNzD7V/Xh9R8LHbXsTf1+/HYCiOBr87fb/xfRlB8LUXH4YPnLksfb9x8aO9zouuLEnNzJ9loosbpdbxjUuwtWsEF3zvcTz3uXOx5usP5XzOWUtqsakrkvNxSinsGwjjE396Cc/t5LGapiYST5V1IJxNPj3CjyulirOuQImIyH8CuFAp9V7977cDWKOU+u+Mx10D4BoAWLhw4fG7du2a8brmq1oSlwDAiUua0eh3Y0d3ECtm1+HsFe04dmEjZjf4EI4lEU8q1PpcSCmFOq8LKTU2iCvDKetl7/iv3ocT5gfws3efPuXn9o5Ecfz144c4Ek3miLn1E84dtJOGGjdOX96Kr7zhCLTUeid93HAkjq7hKFoCHnQPR3FwKIoTlzaPGy5dDMaatv9z7nL88KGtY+677uLD8H5TMJApn2NQsUaUxJMp/On5PfjC3zeOu++ClbNw6dFzsHZnPz53yeETDisdjsRR43bip49uxx+f240PnX0I5jT48OLuAVx98iK015dmOshbf/kM/r29FwBwx4dOwc1PduB1R8+dcJis4aHNB/GeW9finMPa8fCrXWir8+KJz5ydXiLLUMzROpF4cszre1wOxBIpfP8tq/CGY+ZiZ28Q85pqxp3I7h8I46qbnkWdz4WX9moXp+q8Llx69BxE4klcfuw8nLyspWxOgO/b2IkP/G7duLnqmdtyru/2439ajzte3IdXvnohfvP0Ltx476t45asXwu+ZuO9oOBLHTU904LhFTThxSTM27R/EUfMaJ5w/rJSCUtpw/bf84plx97/71CWIJZNY0OTH+05fmr7IlIv5XN58TnTNzY/jxf1hPP/FC8c9ZySawJGm6RtE01Xuowuz9QjnEwh/EUAYwJ8ApCfDKKXK5pKRHYdGzwTj4DDRFdtibdRv/tEjeGFfCL9+1wk4a0V77ifQjJhKIJxKKZz4jYfKYvkPl0Nw3KImXHjEbDgF+OUTHdg3EMZZS2rx6/efaXX1SFfs/QhNz76BME698WF85OxD8ONHto2579WvXQSfO3cA89NHt+Ob94328v7y6tV432/W5kymVQ1uvPdV/OwxbU73U9eeM+HSPplCsQRW/u/Y4ONzlxyGr98z+h1ff/mRuOqkRcWtbBXYuG8Qr/vRk/jZVcfhpKUtAIBYMoU1N2g9p9W4P5osEJ5KZ8sh7bW4fNVcnLysBQua/Kj1ueB0yIxfAOFxhaZr2kOjde/Wf3/YVKYALC20YkX0PIDlIrIEwD4AVwB4q7VVIqpsE80lnMhZK9rwtcuOzDrcsFSaAh589Lb1M/6+RJVglj70NjMIBpBXEAwAHzhz6ZhA+PyVs3giqlu1YLTXMZ8gGMCEvYpGEPyH956IUw5pLU7lqtCCJu0Y9ErnMD7wOy2Z2zVnaKeql62aa1m9rJbZ35UZBB8zvwF///CpVX9hi6pTPnOEr1VK/WmG6jMtSqmEiHwEwL+gLZ90i1Jqk8XVIgA+rxdA9mySNPOcTicC/skD1/0DYZxy48Pjyn//3hNxahmeqNXU5HcSSlRNMpNtXblmIf743O4pvYaI4C8fOBmf/svLeOgTHHVhls/81algEFwYY17wTx8dvfDzC3395/8+Z7kldbLa/VvH5pwxB8H/99bjcOnRc2a6SkRlJWsgrJRKiciHoQ2LLmtKqXsAzGzmAsrJ6cpn0AHNNBGB2+2e8L5IPDkmCL7qpIW4/vKjZqpq0+Ka5LMQ0ahvvOkofONNU/9fXr24GY986qziV6jCLWj248o1C/Ce06Y2QO616y/GX17Yi7ecsADLZjjhUjWYaPmpQ9prLahJ+UgkU9jePbrU1/0fPwOHzqqzsEZE5SGfKOUBEfkUyniOMJWvZGJm1xGm/CilEI/HJ7zPnFRl01cuzLn+ZDlITPJZiIhK6RtvOnrKz/G4HHjriQvHlOU7tJpoOoLRJC78/ujyQwyCiTR2mSNMZSoStT7BEo2XTCYRDI0fsm4eNlXu68KZhSNhq6tAVJaOX9SEF3b1W10NmsRLX7oAd6zbi3ealkqi4mr0c8TQUGT0YjHn+BONyhkIK6W4dyaymd5QEg9tm3w5m+/+1zEVEwQD0C7NEdE4f/3gKfjt0zvxXycssLoqNIGGGjeD4CL64FnL8NNHt+OY+Q248yOnWV2dsvHpv7wEAPC5i79MG1ElyxkIi8jVE5UrpX5T/OoQ0UyJp8b+/R8//Xf69puOmz/DtSGiUnn7yYutrgLRjPjsRYfho+cuzzsrerV4Zoc2m/HOD/PiAJFZPkOjTzDd9gE4F8A6AAyEiWxkrT588vFPn21xTYiIiKaHQfDkVszm3GAis3yGRv+3+W8RaQDw25LViIhm3Eh0NKnZwpaZXw+YiIiISufo+cVd7ovIDqaTDjYEoDoXZLOZ37zjWIRiyZK+R43PB64jXP4efrULgDZfrRL5s6yJTEREVO2+etmRVleBqOzkM0f4HxhNReMAsBLA7aWsFM2MMw6fW/L3cDg5RKkS/M8fXwQAPH3dORbXZHq4XjUREdHkjp7HHmGiTPmcPX7HdDsBYJdSam+J6kMzaGhIyxpcX19fsvdIcB3hiuL3VGZAOdmayERERNWu4xuXQESsrgZR2Zn0rFdEDgEwSyn1WEb56SLiVUptL3ntqKQ6OzsBlDYQjurrCHN1m/IViWvD4+c2+CyuydQF9MDdnYpZXBMiIqLyxCCYaGLZun++D+BzE5SH9fteX4L6kMV+8Lr56A4WrxeXu97y99S2HgDA5y9daXFNpu7cw9vxkZPbcP4hzIRZTr598TxEE6ncDyQiIsrDJ09rx4IGj9XVIJvJFggvVkq9nFmolForIotLVyWy0mFtPhzWZnUtaCb94KGtAIAlrQGLazJ1IoLXH8Z5T+Xm6Nk1VleBiIhs5ILlpRu9SNXLkeW+bOMkeZZDZBMv7x0EABw+h72qRERERFQdsgXCz4vI+zILReQ9AF4oXZXITjg3uPytnFOPloCHc4iIiIiIqGpkGxr9MQB3iMjbMBr4rgbgAfDGEteLZsCiRYvyKiuEsY4wQ6zy1TUcxbmHt1tdjWkr9jZLhWObEBFRMfG4QqUwaSCslDoI4BQRORuAsQr33Uqph2ekZlRyPt/40e8TlRWC6wiXtwODEfSMRPHqgWGrqzJtxd5mqXBsEyIiKiYeV6gUci4aqpR6BMAjM1AXmmEDAwMAgMbGxqxlhUhwfdey1jkYBgBcdORsi2syfcXeZqlwbBMiIiomHleoFLLNES4ZEfm2iLwqIi+LyB0i0mi67zoR2SYiW0TkQlP58SKyQb/vh6JPaBQRr4j8SS9/lhmt83fw4EEcPHgwZ1khojGu71rO/rVJa+s1i5strsn0FXubpcKxTYiIqJh4XKFSsCQQBvAAgCOVUkcDeA3AdQAgIisBXAHgCAAXAfiJiBhja38K4BoAy/Wfi/Ty9wDoV0odAuB7AL45Ux+CqNLt6Q8BAFbMZsZoIiIiIqoelgTCSqn7lVIJ/c9nAMzXb18G4DalVFQp1QFgG4A1IjIHQL1S6mmllALwGwCXm55zq377LwDOFaa/JcrL3S93AgDqfG6La0JERERENHOs6hE2ezeAe/Xb8wDsMd23Vy+bp9/OLB/zHD24HgTQMtEbicg1IrJWRNZ2d3cX7QMQERERERFR5ShZICwiD4rIxgl+LjM95vMAEgB+bxRN8FIqS3m254wvVOoXSqnVSqnVbW1t+X8YIptqDnhw7mGVu3QSERERTeyEeX6rq0BU1nJmjZ4updR52e4XkXcAeB2Ac/XhzoDW07vA9LD5APbr5fMnKDc/Z6+IuAA0AOgr+ANUgSVLluRVVgh/TQ2AUFFfk4qnLxjDQ692WV2NghR7m6XCsU2IiKz3u2tOgZqwa6jy8LhCpWBV1uiLAHwWwBuUUuYo6S4AV+iZoJdAS4r1nFKqE8CwiJykz/+9GsCdpue8Q7/9HwAeNgXWlIXH44HH48lZVghxlMPoe8pm9aImq6tQkGJvs1Q4tgkRkfW8Xi98Pq/V1SgKHleoFErWI5zDjwF4ATyg57V6Rin1AaXUJhG5HcAr0IZMf1gpldSf80EAvwZQA21OsTGv+GYAvxWRbdB6gq+YsU9R4fr6tI7z5ubmrGWFiMe15ZN4ZaJ8nbWisqcJFHubpcKxTYiIrGenfbGdPguVD0sCYX2po8nuuwHADROUrwVw5ATlEQD/WdQKVgkjYZh5pzJRWSHisXhRXodK57gK7xEu9jZLhWObEBFZz077Yjt9FiofHLdKVOUOn11vdRWIiIiIiGYUA2GiKtcU4JwbIiIiIqouDISJiIiIiIioqjAQJqpiX379SqurQEREREQ046zKGk1lYNmyZXmVFcLv94PrCJevd55a+evyFXubpcKxTYiIrGenfbGdPguVDwbCVczlGt/8E5UVQl8ei6hkir3NUuHYJkRE1rPTvthOn4XKB4dGV7Genh709PTkLCtEPBYr2msRTaTY2ywVjm1CRGQ9O+2L7fRZqHwwEK5ivb296O3tzVlWiFic6whTaRV7m6XCsU2IiKxnp32xnT4LlQ8GwkRERERERFRVGAgTERERERFRVWEgTERERERERFWFgTARERERERFVFeYir2LLly/Pq6wQgQDXEabSKvY2S4VjmxARWc9O+2I7fRYqHwyEq5jDMX5AwERlheE6wuXoZ1cdj0UtfqurURTF32apUGwTIiLr2WlfbKfPQuWDW1UV6+rqQldXV86yQly5qgVup2DV/MaivSYV7rh2B1qcEaurURTF3mapcGwTIiLr2WlfbKfPQuWDgXAV6+/vR39/f86yQiwJJPDPq5ehKeAp2mtS4Yrdzlay02exC7YJEZH17LQvttNnofLBQJiIiIiIiIiqCgNhIiIiIiIiqioMhImIiIiIiKiqMBAmIiIiIiKiqiJKKavrYAkR6Qawy+p65NAKoMfqStAYbJPyxHYpP2yT8sM2KU9sl/LDNilPbJfyUwltskgp1TbRHVUbCFcCEVmrlFptdT1oFNukPLFdyg/bpPywTcoT26X8sE3KE9ul/FR6m3BoNBEREREREVUVBsJERERERERUVRgIl7dfWF0BGodtUp7YLuWHbVJ+2Cblie1Sftgm5YntUn4quk04R5iIiIiIiIiqCnuEiYiIiIiIqKowEC5DInKRiGwRkW0icq3V9bEzEblFRLpEZKOprFlEHhCRrfrvJtN91+ntskVELjSVHy8iG/T7figiMtOfxU5EZIGIPCIim0Vkk4h8VC9n21hERHwi8pyIvKS3yVf0craJxUTEKSIvisg/9b/ZJhYTkZ3697leRNbqZWwXC4lIo4j8RURe1Y8tJ7NNrCUiK/T/EeNnSEQ+xnaxloh8XD/ObxSRP+rHf3u2iVKKP2X0A8AJYDuApQA8AF4CsNLqetn1B8AZAI4DsNFU9i0A1+q3rwXwTf32Sr09vACW6O3k1O97DsDJAATAvQAutvqzVfIPgDkAjtNv1wF4Tf/+2TbWtYkAqNVvuwE8C+Akton1PwA+AeAPAP6p/802sb5NdgJozShju1jbJrcCeK9+2wOgkW1SPj/Qzn8PAFjEdrG0HeYB6ABQo/99O4B32rVN2CNcftYA2KaU2qGUigG4DcBlFtfJtpRSjwPoyyi+DNoBE/rvy03ltymlokqpDgDbAKwRkTkA6pVSTyvtP/83pufQNCilOpVS6/TbwwA2Q9s5s20sojQj+p9u/UeBbWIpEZkP4FIAN5mK2Sblie1iERGph3bh+2YAUErFlFIDYJuUk3MBbFdK7QLbxWouADUi4gLgB7AfNm0TBsLlZx6APaa/9+plNHNmKaU6AS0gA9Cul0/WNvP025nlVAQishjAsdB6INk2FtKH4K4H0AXgAaUU28R63wfwGQApUxnbxHoKwP0i8oKIXKOXsV2ssxRAN4Bf6dMIbhKRANgm5eQKAH/Ub7NdLKKU2gfgOwB2A+gEMKiUuh82bRMGwuVnovHzTO1dHiZrG7ZZiYhILYC/AviYUmoo20MnKGPbFJlSKqmUWgVgPrQrvkdmeTjbpMRE5HUAupRSL+T7lAnK2CalcapS6jgAFwP4sIickeWxbJfSc0GbBvVTpdSxAILQhndOhm0yg0TEA+ANAP6c66ETlLFdikif+3sZtGHOcwEEROSqbE+ZoKxi2oSBcPnZC2CB6e/50IYk0Mw5qA/pgP67Sy+frG326rczy6kAIuKGFgT/Xin1N72YbVMG9CGFjwK4CGwTK50K4A0ishPaNJpzROR3YJtYTim1X//dBeAOaNOe2C7W2Qtgrz6KBQD+Ai0wZpuUh4sBrFNKHdT/ZrtY5zwAHUqpbqVUHMDfAJwCm7YJA+Hy8zyA5SKyRL9CdgWAuyyuU7W5C8A79NvvAHCnqfwKEfGKyBIAywE8pw8RGRaRk/SMeFebnkPToH+PNwPYrJT6rukuto1FRKRNRBr12zXQDpavgm1iGaXUdUqp+UqpxdCOFQ8rpa4C28RSIhIQkTrjNoALAGwE28UySqkDAPaIyAq96FwAr4BtUi6uxOiwaIDtYqXdAE4SEb/+XZ4LLU+LPdtkprJy8Sf/HwCXQMuSux3A562uj51/oO14OwHEoV29eg+AFgAPAdiq/242Pf7zertsgSn7HYDV0E50tgP4MQCx+rNV8g+A06ANoXkZwHr95xK2jaVtcjSAF/U22Qjgf/VytkkZ/AA4C6NZo9km1rbFUmhZVF8CsMk4jrNdLG+XVQDW6vuwvwNoYptY/wMtGVMvgAZTGdvF2jb5CrQL3RsB/BZaRmhbtonoFSUiIiIiIiKqChwaTURERERERFWFgTARERERERFVFQbCREREREREVFUYCBMREREREVFVYSBMREREREREVYWBMBERkcVEpEVE1us/B0Rkn357RER+UqL3/JiIXF2E17lNRJYXo05EREQzhcsnERERlRER+TKAEaXUd0r4Hi4A6wAcp5RKFPhaZwK4Sin1vqJUjoiIaAawR5iIiKhMichZIvJP/faXReRWEblfRHaKyJtE5FsiskFE7hMRt/6440XkMRF5QUT+JSJzJnjpcwCsM4JgEXlURL4nIo+LyGYROUFE/iYiW0Xkev0xARG5W0ReEpGNIvIW/bWeAHCeHlwTERFVBAbCRERElWMZgEsBXAbgdwAeUUodBSAM4FI9GP4RgP9QSh0P4BYAN0zwOqcCeCGjLKaUOgPAzwDcCeDDAI4E8E4RaQFwEYD9SqljlFJHArgPAJRSKQDbABxT1E9KRERUQgyEiYiIKse9Sqk4gA0AnNCDUf3vxQBWQAteHxCR9QC+AGD+BK8zB0B3RtldptfapJTqVEpFAewAsEAvP09EvikipyulBk3P7QIwt8DPRkRENGM4jImIiKhyRAGtF1ZE4mo00UcK2jFdoAWxJ+d4nTAA30Svrb9W1FSeAuBSSr0mIscDuATAN0TkfqXUV/XH+PTXJCIiqgjsESYiIrKPLQDaRORkABARt4gcMcHjNgM4ZCovLCJzAYSUUr8D8B0Ax5nuPhTApulVmYiIaOaxR5iIiMgmlFIxEfkPAD8UkQZox/nvY3yQei+A307x5Y8C8G0RSQGIA/ggAIjILABhpVRnIXUnIiKaSVw+iYiIqAqJyB0APqOU2lrg63wcwJBS6ubi1IyIiKj0ODSaiIioOl0LLWlWoQYA3FqE1yEiIpox7BEmIiIiIiKiqsIeYSIiIiIiIqoqDISJiIiIiIioqjAQJiIiIiIioqrCQJiIiIiIiIiqCgNhIiIiIiIiqioMhImIiIiIiKiq/H+2xzE4cZX1rAAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import myokit\n", + "import numpy as np\n", + "import pints\n", + "import scipy.stats\n", + "\n", + "# Load Cell 1 from Beattie et al.\n", + "log = myokit.DataLog.load('resources/sine-wave-data/cell-1.zip').npview()\n", + "\n", + "# Create a figure\n", + "fig = plt.figure(figsize=(16, 5))\n", + "\n", + "ax1 = fig.add_subplot(2, 1, 1)\n", + "ax1.set_ylabel('Voltage (mV)')\n", + "\n", + "ax2 = fig.add_subplot(2, 1, 2)\n", + "ax2.set_xlabel('Time (ms)')\n", + "ax2.set_ylabel('Current (pA)')\n", + "\n", + "# Highlight the transitions:\n", + "for t in [250, 300, 500, 1500, 2000, 3000, 6500, 7000]:\n", + " ax1.axvline(t + .1, ls='--', color='#cccccc')\n", + " ax2.axvline(t + .1, ls='--', color='#cccccc')\n", + "\n", + "ax1.plot(log.time(), log['voltage']) # Convert from nA to pA\n", + "ax2.plot(log.time(), log['current'] * 1000) # Convert from nA to pA\n", + " \n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "These are the remnants of capacitative charging currents that occur during the experiment, after on-line filtering by the experimenter.\n", + "They arise through a combination of \"pipette capacitance\" (the pipette wall and other parts of the equipment store a small, voltage-dependent amount of charge), \"membrane capacitance\"\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Modelling pipette capacitance" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "How do these artefacts arise?\n", + "\n", + "In manual patch-clamp, the submerged part of the pipette acts like a [capacitor](https://en.wikipedia.org/wiki/Capacitor) between the pipette and bath solutions.\n", + "Whenever a change in voltage is applied, the amount of charge stored in the pipette wall changes, and the current \"used\" or \"released\" by this charging or uncharging is superimposed on the current of interest.\n", + "In the diagram below (adapted from [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348)) this is shown as a capacitor between the internal and bath electrode, labelled \"pipette capacitance\", \"stray capacitance\", or \"parasitic capacitance\".\n", + "(Similar effects occur in automated patch-clamp, so that \"parasitic\" or \"stray\" are perhaps the more general terms.)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The current charging or uncharging of the pipette can be modelled as\n", + "\n", + "\\begin{equation}\n", + "I_p(t) = C_p \\dot{V_p}(t)\n", + "\\end{equation}\n", + "\n", + "where $C_p$ is the pipette (or parasitic) capacitance, and $\\dot{V_p}(t)$ is the _rate of change of the pipette voltage_.\n", + "If the pipette voltage followed our intended voltage protocol exactly, we would have $V_p = V_\\text{command}$, so that the $\\dot{V_p}(t)$ would be infinite at the step transitions, and zero during constant-voltage steps.\n", + "But that's not what happens in real life!\n", + "So to model the pipette capacitance, we need to take into account the time it takes for the amplifier to set $V_p$ to the desired potential:\n", + "\n", + "\\begin{equation}\n", + "\\dot{V_p}(t) = \\frac{V_\\text{command} - V_p}{\\tau_\\text{clamp}}\n", + "\\end{equation}\n", + "\n", + "Typical values for the above equations are $C_p = 5\\text{pF}$ and $\\tau_\\text{clamp} = 0.8 \\mu\\text{s}$ ([Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419)).\n", + "We can use these values to simulate the charging currents:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import myokit\n", + "import matplotlib.pyplot as plt\n", + "\n", + "protocol = myokit.load_protocol('resources/simplified-staircase.mmt')\n", + "model = myokit.parse_model('''\n", + "[[model]]\n", + "clamp.Vp = -80\n", + "\n", + "[engine]\n", + "time = 0 bind time\n", + " in [ms]\n", + "\n", + "[clamp]\n", + "Vc = 0 bind pace\n", + " in [mV]\n", + "dot(Vp) = (Vc - Vp) / tau\n", + " in [mV]\n", + "tau = 8e-4 [ms]\n", + "Cp = 5 [pF]\n", + "\n", + "# Note that pF * (mV/ms) = pA\n", + "Ip = Cp * dot(Vp)\n", + " in [pA]\n", + "''')\n", + "\n", + "s = myokit.Simulation(model, protocol)\n", + "s.set_tolerance(1e-8, 1e-10)\n", + "d = s.run(15400).npview()\n", + "\n", + "fig = plt.figure(figsize=(16, 6))\n", + "\n", + "# Zoom parameters\n", + "xmin, xmax = 1899.995, 1900.01\n", + "\n", + "# Left\n", + "ax = plt.subplot(2, 2, 1)\n", + "ax.set_ylabel('Voltage (mV)')\n", + "ax.plot(d.time(), d['clamp.Vc'], label='$V_{command}$', drawstyle='steps-post')\n", + "ax.plot(d.time(), d['clamp.Vp'], label='$V_p$', drawstyle='steps-post')\n", + "ax.legend()\n", + "\n", + "ax = plt.subplot(2, 2, 3)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Current (nA)')\n", + "ax.plot(d.time(), d['clamp.Ip'] * 1e-6, label='$I_p$', drawstyle='steps-post')\n", + "ax.legend()\n", + "\n", + "# Right\n", + "ax = plt.subplot(2, 2, 2)\n", + "ax.set_ylabel('Voltage (mV)')\n", + "ax.plot(d.time() * 1e3, d['clamp.Vc'], label='$V_{command}$', drawstyle='steps-post')\n", + "ax.plot(d.time() * 1e3, d['clamp.Vp'], label='$V_p$', drawstyle='steps-post')\n", + "ax.set_xlim(xmin * 1e3, xmax * 1e3)\n", + "\n", + "ax = plt.subplot(2, 2, 4)\n", + "ax.set_xlabel('Time (us)')\n", + "ax.set_ylabel('Current (nA)')\n", + "ax.plot(d.time() * 1e3, d['clamp.Ip'] * 1e-6, label='$I_p$', drawstyle='steps-post')\n", + "ax.set_xlim(xmin * 1e3, xmax * 1e3)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In the left panels, we can see the currents are very strong reaching into the $\\text{nA}$ range in our simulation.\n", + "Because their time course is determined by the speed of the patch-clamp amplifier (which is luckily very fast), they are also very short." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### On-line pipette capacitance compensation\n", + "\n", + "As in the simulation, real charging currents are _large_, typically in the $\\text{nA}$ range (see [Sigworth 1995](https://scholar.google.com/scholar?hl=en&as_sdt=0%2C5&q=sigworth+design+of+patch+clamp&btnG=)).\n", + "This large magnitude causes several problems.\n", + "Firstly, as the charging current often overshadows the current of interest, it will need to be filtered out or accounted for somehow.\n", + "But if the current is large enough, it can also \"saturate\" the [op-amp](https://en.wikipedia.org/wiki/Operational_amplifier) used to measure current (as well as other parts of the circuitry, see Sigworth 1995).\n", + "This saturation can lead to a complete loss of information lasting for tens to hundreds of milliseconds.\n", + "During this time, this voltage-clamp circuitry can no longer function, so that control over the membrane potential is lost.\n", + "\n", + "So it seems off-line correction is impossible in this case, and the charging current will need to be reduced/avoided (e.g. by coating the pipettes, see Sigworth 1995) or corrected on-line in a process known as \"pipette capacitance compensation\" (or \"cancellation\" or \"neutralisation\").\n", + "The goal of pipette capacitance compensation is to provide the current needed to charge the pipette from a secondary source, so that the charging current no longer passes through the measurement equipment.\n", + "If this current can be made to match the required charging current _exactly_, then a clean measurement can be made.\n", + "\n", + "In manual patch clamp, this matching step is done by the experimenter, who adjusts the controls on the compensation circuitry until the charging currents have visibly been cancelled out.\n", + "This is done just before the cell membrane is ruptured, so that the matching is performed in the absense of any membrane currents.\n", + "To stop the circuitry from saturating, this is performed with the amplifier on a \"low gain\" setting, switching to the more sensitive high gain setting once compensation is in place.\n", + "\n", + "Some patch clamp amplifiers contain more than one compensation circuit, allowing both a \"fast\" and a \"slow\" pipette capacitance correction current to be mixed in.\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Membrane capacitance compensation\n", + "\n", + "Moving on from the pipette, the cell itself has a much bigger capacitance, and this also needs to be charged!\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Dealing with the remnants of imperfect capacitance correction" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Unfortunately, this method has its limits.\n", + "For a start, matching the two currents exactly is difficult.\n", + "And even if the match is made well, conditions (e.g. the fluid level in the bath) may change in between calibration and measurement, so that errors creep in.\n", + "\n", + "\n", + "FAST & SLOW PIP CAP CORRECTION\n", + "\n", + "SIMILAR FOR CM CORRECTION\n", + "\n", + "INTERACTS IN NON-TRIVIAL WAYS WITH SERIES RESISTANCE COMPENSATION\n", + "\n", + "CUT OUT A FEW POINTS\n", + "\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "TODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODOD\n", + "\n", + "additive: noise, leak, endogenous currents, estimating E, capacitative spikes\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "NEXT: DEALING WITH IT BY FILTERING / POST-PROCESSING / ONLINE-PROCESSING, OR BY ADDING TO NOISE MODEL AND FITTING (FINDING SIGMA)\n", + "\n", + "THIS IS AN EXAMPLE OF WHAT WE DO LATER TOO!" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "import matplotlib.pyplot as plt\n", + "import myokit\n", + "import numpy as np\n", + "import pints\n", + "\n", + "from library import ModelCVODESolver as Model" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "protocol = myokit.load_protocol('resources/simplified-staircase.mmt')\n", + "model1 = Model(protocol)\n", + "\n", + "# Define a parameter vector\n", + "parameters = np.array([3e-4, 0.07, 3e-5, 0.05, 0.09, 9e-3, 5e-3, 0.03, 0.2])\n", + "\n", + "# Evaluate the model\n", + "times = np.arange(0, 15400, 0.1)\n", + "values1 = model1.simulate(parameters, times)\n", + "\n", + "plt.figure(figsize=(16, 6))\n", + "plt.plot(times, values1)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "class ModelVoltageError(pints.ForwardModel):\n", + " \"\"\"A forward model that runs simulations with CVODE.\"\"\"\n", + "\n", + " def __init__(self, protocol):\n", + "\n", + " # Load a model, and isolate the HH ion current model part\n", + " model = myokit.load_model('resources/full2-voltage-clamp-ikr-linleak.mmt')\n", + " parameters = ['ikr.p' + str(1 + i) for i in range(9)]\n", + "\n", + " # Create a CVODE Simulation\n", + " self.sim = myokit.Simulation(model, protocol)\n", + "\n", + " # Set the -80mV steady state as the default state\n", + " #self.sim.set_default_state(hh_model.steady_state(-80))\n", + "\n", + " def n_parameters(self):\n", + " return 9\n", + "\n", + " def simulate(self, parameters, times):\n", + "\n", + " # Reset to default time and state\n", + " self.sim.reset()\n", + "\n", + " # Apply parameters\n", + " for i, p in enumerate(parameters):\n", + " self.sim.set_constant('ikr.p' + str(1 + i), p)\n", + "\n", + " # Run\n", + " tmax = times[-1] + (times[-1] - times[-2])\n", + " try:\n", + " log = self.sim.run(tmax, log_times=times, log=['voltageclamp.Iout'])\n", + " return log['voltageclamp.Iout']\n", + " except myokit.SimulationError:\n", + " print('Error evaluating with parameters: ' + str(parameters))\n", + " return np.nan * times\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "model2 = ModelVoltageError(protocol)\n", + "\n", + "values2 = model2.simulate(parameters, times)\n", + "\n", + "plt.figure(figsize=(16, 8))\n", + "plt.plot(times, values1)\n", + "plt.plot(times, values2)\n", + "\n", + "plt.figure(figsize=(16, 8))\n", + "plt.plot(times, values1)\n", + "plt.plot(times, values2)\n", + "plt.ylim(-100, 100)\n", + "plt.show()\n", + "\n", + "plt.figure(figsize=(16, 8))\n", + "plt.plot(times, values1)\n", + "plt.plot(times, values2)\n", + "plt.ylim(-0.1, 2)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Capacitance filtering\n", + "\n", + "Use a myokit.protocol to find the steps, cut a bit out" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Leak correction\n", + "\n", + "\n", + "We don't know best way\n", + "\n", + "\n", + "Explain use of ramps?" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Endogenous currents\n", + "\n", + "(And maybe even gating current!)\n", + "\n", + "Subtraction protocol!\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Reversal potential\n", + "\n", + "Estimate from concentrations\n", + "\n", + "Estimate from crossings? But hard if leaks aren't done right.\n", + "Use carefully placed ramps to avoid leak?" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Summary\n" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/artefacts/old-real-data-4-xxx.ipynb b/artefacts/old-real-data-4-xxx.ipynb new file mode 100644 index 0000000..8227be2 --- /dev/null +++ b/artefacts/old-real-data-4-xxx.ipynb @@ -0,0 +1,499 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Understanding patch-clamp data" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In the previous part of the tutorial\n", + "\n", + "TODODO" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "TODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODOD\n", + "\n", + "additive: noise, leak, endogenous currents, estimating E, capacitative spikes\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "NEXT: DEALING WITH IT BY FILTERING / POST-PROCESSING / ONLINE-PROCESSING, OR BY ADDING TO NOISE MODEL AND FITTING (FINDING SIGMA)\n", + "\n", + "THIS IS AN EXAMPLE OF WHAT WE DO LATER TOO!" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [], + "source": [ + "import matplotlib.pyplot as plt\n", + "import myokit\n", + "import numpy as np\n", + "import pints\n", + "\n", + "from library import ModelCVODESolver as Model" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "protocol = myokit.load_protocol('resources/simplified-staircase.mmt')\n", + "model1 = Model(protocol)\n", + "\n", + "# Define a parameter vector\n", + "parameters = np.array([3e-4, 0.07, 3e-5, 0.05, 0.09, 9e-3, 5e-3, 0.03, 0.2])\n", + "\n", + "# Evaluate the model\n", + "times = np.arange(0, 15400, 0.1)\n", + "values1 = model1.simulate(parameters, times)\n", + "\n", + "plt.figure(figsize=(16, 6))\n", + "plt.plot(times, values1)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "metadata": {}, + "outputs": [], + "source": [ + "class ModelVoltageError(pints.ForwardModel):\n", + " \"\"\"A forward model that runs simulations with CVODE.\"\"\"\n", + "\n", + " def __init__(self, protocol):\n", + "\n", + " # Load a model, and isolate the HH ion current model part\n", + " model = myokit.load_model('resources/full2-voltage-clamp-ikr-linleak.mmt')\n", + " parameters = ['ikr.p' + str(1 + i) for i in range(9)]\n", + "\n", + " # Create a CVODE Simulation\n", + " self.sim = myokit.Simulation(model, protocol)\n", + "\n", + " # Set the -80mV steady state as the default state\n", + " #self.sim.set_default_state(hh_model.steady_state(-80))\n", + "\n", + " def n_parameters(self):\n", + " return 9\n", + "\n", + " def simulate(self, parameters, times):\n", + "\n", + " # Reset to default time and state\n", + " self.sim.reset()\n", + "\n", + " # Apply parameters\n", + " for i, p in enumerate(parameters):\n", + " self.sim.set_constant('ikr.p' + str(1 + i), p)\n", + "\n", + " # Run\n", + " tmax = times[-1] + (times[-1] - times[-2])\n", + " try:\n", + " log = self.sim.run(tmax, log_times=times, log=['voltageclamp.Iout'])\n", + " return log['voltageclamp.Iout']\n", + " except myokit.SimulationError:\n", + " print('Error evaluating with parameters: ' + str(parameters))\n", + " return np.nan * times\n" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "model2 = ModelVoltageError(protocol)\n", + "\n", + "values2 = model2.simulate(parameters, times)\n", + "\n", + "plt.figure(figsize=(16, 8))\n", + "plt.plot(times, values1)\n", + "plt.plot(times, values2)\n", + "\n", + "plt.figure(figsize=(16, 8))\n", + "plt.plot(times, values1)\n", + "plt.plot(times, values2)\n", + "plt.ylim(-100, 100)\n", + "plt.show()\n", + "\n", + "plt.figure(figsize=(16, 8))\n", + "plt.plot(times, values1)\n", + "plt.plot(times, values2)\n", + "plt.ylim(-0.1, 2)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Capacitance filtering\n", + "\n", + "Use a myokit.protocol to find the steps, cut a bit out" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Leak correction\n", + "\n", + "\n", + "We don't know best way\n", + "\n", + "\n", + "Explain use of ramps?" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Endogenous currents\n", + "\n", + "(And maybe even gating current!)\n", + "\n", + "Subtraction protocol!\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Reversal potential\n", + "\n", + "Estimate from concentrations\n", + "\n", + "Estimate from crossings? But hard if leaks aren't done right.\n", + "Use carefully placed ramps to avoid leak?" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Discrepancy" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "#### And what if the model's wrong?\n", + "\n", + "Finally, we can ask what happens if we've simply got the electrophysiology model wrong.\n", + "In statistics, this has been called the _model discrepancy_, and some techniques have been suggested to perform parameter estimation even with a discrepancy (see [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0349)).\n", + "The bottom line though, is it's best to have the right model.\n", + "\n", + "But what is the right model?\n", + "The answer, unfortunately, is not as \"simple\" as writing down equations for everything we can think of, as adding more detail to the model means adding more degrees of freedom and more parameters for inference, which reduces the model's identifiability.\n", + "Conversely, there are many details about the ionic current that our simple experiments won't reveal, so trying to fit a model that accounts for these details to this uninformative data increases the chance of overfitting, which reduces the quality of the model's predictions (see [Whittaker et al., 2020](https://doi.org/10.1002/wsbm.1482)).\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Summary\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/artefacts/real-data-1.ipynb b/artefacts/real-data-1.ipynb new file mode 100644 index 0000000..f287f10 --- /dev/null +++ b/artefacts/real-data-1.ipynb @@ -0,0 +1,382 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "33970f55", + "metadata": {}, + "source": [ + "# Understanding patch-clamp data\n", + "\n", + "In this notebook, we look in detail at processing data obtained from patch-clamp experiments.\n", + "\n", + "In particular, we focus on **manual patch clamp** experiments in the **whole cell configuration**.\n", + "These can be used to either\n", + "\n", + "1. pass current through the membrane and measure the resulting voltage (_current clamp_); or \n", + "2. control the membrane voltage and measure the resulting current (_voltage clamp_).\n", + "\n", + "We will focus exclusively on **voltage clamp**." + ] + }, + { + "cell_type": "markdown", + "id": "866f0da6", + "metadata": {}, + "source": [ + "## The very basics\n", + "\n", + "A detailed descriptions of the patch clamp technique can be found in the chapter [Penner (1995) A Practical Guide to Patch Clamping](https://doi.org/10.1007/978-1-4419-1229-9_1), the (short) book [Molleman (2002) Patch Clamping](https://doi.org/10.1002/0470856521), or the (slightly older) article [Hamill, Marty et al., Sigworth (1981) Improved patch-clamp techniques for high-resolution current recording from cells and cell-free membrane patches](https://doi.org/10.1007/BF00656997).\n", + "\n", + "In brief, a cell is placed in a bath containing an electrode (the _bath electrode_) and an ion-rich solution that can conduct electricity.\n", + "A patch clamp _pipette_ is constructed by heating and mechanically pulling a small glass tube, then filling it with another ion-rich solution, and inserting an electrode into the open end.\n", + "The sharp end of the pipette is then placed against the cell membrane and suction, electric pulses, or chemicals are used to break the membrane inside the pipette opening, thereby establishing a connection to the inside of the cell.\n", + "A _patch clamp amplifier_ is attached to both electrodes, and can now be used to measure and manipulate the voltage between the bath and pipette electrodes.\n" + ] + }, + { + "cell_type": "markdown", + "id": "5f08b890", + "metadata": {}, + "source": [ + "## Patch-clamp amplifier basics" + ] + }, + { + "cell_type": "markdown", + "id": "b8e573b6", + "metadata": {}, + "source": [ + "Now that we have access to the inside & outside of the cell, how can we control its voltage and measure the current?\n", + "\n", + "The answer involves a lot of electronics, but a brief outline is given below.\n", + "It follows the exposition in [Sigworth (1995) Electronic design of the patch clamp](https://doi.org/10.1007/978-1-4419-1229-9_4), but also uses [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348)." + ] + }, + { + "cell_type": "markdown", + "id": "2ef02fec", + "metadata": {}, + "source": [ + "The image below (adapted from Sigworth 1995) shows a very simple schematic to measure small currents.\n", + "A battery $V_c$ is attached to a pipette, and a resistor with a known resistance $R$ is introduced.\n", + "To obtain $I$, we measure the voltage drop $V_\\text{out} = IR$ across the resistor, and use\n", + "\n", + "$$ I = V_\\text{out} / R $$" + ] + }, + { + "cell_type": "markdown", + "id": "630cf0d5", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 1**: A very simple current measuring device._" + ] + }, + { + "cell_type": "markdown", + "id": "80896b76", + "metadata": {}, + "source": [ + "By choosing a very large $R$ we get a measurable $V_\\text{out}$ for even very small $I$.\n", + "\n", + "However, it also creates a big difference between $V_c$, which we control, and the voltage over the patch clamp assembly (pipette, cell, bath etc.).\n", + "\n", + "A clever trick with an [op amp](https://en.wikipedia.org/wiki/Operational_amplifier) can get us around this:" + ] + }, + { + "cell_type": "markdown", + "id": "0fcac2ba", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 2**: A better way to measure small currents._" + ] + }, + { + "cell_type": "markdown", + "id": "524bef2a", + "metadata": {}, + "source": [ + "To analyse this circuit, we use two properties of **an idealised** op-amp:\n", + "\n", + "1. Connected in a negative feedback loop like above, the op amp instantaneously adjusts its output $V_o$ until the voltages at its input terminals ($+$ and $-$) are the same.\n", + "2. No current flows into (or out of) the input terminals.\n", + "\n", + "From the first property we get $V_- = V_c$, and the second property gives us $I = I_R$.\n", + "The voltage-drop across the resistor is then\n", + "\n", + "$$ V_o - V_- = V_o - V_c = I_R R = I R $$\n", + "\n", + "And so if we can measure $V_\\text{out} \\equiv V_o - V_c$ we can use the known value of $R$ to calculate\n", + "\n", + "$$I = V_\\text{out} / R$$" + ] + }, + { + "cell_type": "markdown", + "id": "450f600a", + "metadata": {}, + "source": [ + "Finally, we add a [difference amplifier](https://en.wikipedia.org/wiki/Differential_amplifier) to $V_o$ and $V_c$.\n", + "A difference amplifier takes the voltage between its two inputs and multiplies it by a fixed factor, using an external power source.\n", + "Here we use an amplification factor of 1 so that it acts as a simple _buffer_.\n", + "This means that the power drawn from $V_{out}$ by any connected measurement equipment will be provided by the amplifier's power source instead of the circuit we're trying to measure." + ] + }, + { + "cell_type": "markdown", + "id": "3849ce4f", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 2**: A difference amplifier buffers $V_{out}$._" + ] + }, + { + "cell_type": "markdown", + "id": "4fde49b3", + "metadata": {}, + "source": [ + "### Diversion 3: Shunt capacitance" + ] + }, + { + "cell_type": "markdown", + "id": "8bcc3682", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "e976e38b", + "metadata": {}, + "source": [ + "The assumptions we made about the op amp are wrong in lots of interesting ways, and in particular when analysing _changing voltages_ instead of the static equilibrium cases we've been considering so far.\n", + "An analysis in [Sigworth 1995](https://doi.org/10.1007/978-1-4419-1229-9_4) however, suggests that a more important limitation is the \"shunt capacitance\" of the resistor $R$ in Figure 2.\n", + "To make our measurements precise enough for tiny current currents, we want an resistor with a very big $R$ (10-100GΩ, Sigworth 1995).\n", + "But in addition to a resistance, these components introduce a parallel, or [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance (in the range of 0.1 pF, Sigworth 1995).\n", + "\n", + "With this, the circuit of Figure 2 becomes:" + ] + }, + { + "cell_type": "markdown", + "id": "0d7e6238", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "3e4d7069", + "metadata": {}, + "source": [ + "We can redo the analysis with this capacitor in place, to see how it affects $V_out$.\n", + "\n", + "Because there are now two pathways for the current $I$ to flow through we write\n", + "\n", + "\\begin{align}\n", + "I &= I_R + I_C \\\\\n", + " &= (V_o - V_-) / R + C \\frac{d}{dt}\\left(V_o - V_-\\right) \\\\\n", + " &= V_\\text{out} / R + C \\dot{V}_\\text{out} \\\\\n", + "V_\\text{out} &= I R - R C \\dot{V}_\\text{out}\n", + "\\end{align}\n", + "\n", + "To allow for the idea that the current we calculate is no longer equal to $I$, we introduce a new symbol $I_\\text{obs} \\equiv V_\\text{out} / R$.\n", + "With a constant $R$, we have $\\dot{V}_\\text{out} = R \\dot{I}_\\text{out}$ and\n", + "\n", + "\\begin{align}\n", + "V_\\text{out} &= I R - R C \\dot{V}_\\text{out} \\\\\n", + "I_\\text{out} &= I - R C \\dot{I}_\\text{out} \\\\\n", + "\\dot{I}_\\text{out} &= \\frac{I - I_\\text{out}}{R C} = \\frac{I - I_\\text{out}}{\\tau}\n", + "\\end{align}\n", + "\n", + "In other words, if $I$ is larger than $I_\\text{out}$ then $I_\\text{out}$ will grow, but if $I$ is smaller then $I_\\text{out}$ will shrink, and so $I_\\text{out}$ follows $I$ with a time constant $\\tau = RC$." + ] + }, + { + "cell_type": "markdown", + "id": "3fc41843", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "f0e14ad4", + "metadata": {}, + "source": [ + "Section 2.2 in [Sigworth 1995](https://doi.org/10.1007/978-1-4419-1229-9_4) points out that this $\\tau$ is in the order of 1 ms, which seriously hampers the ability to voltage clamp.\n", + "Luckily, this can be compensated for with clever circuitry, as described in Sigworth's section 2.3.\n", + "\n", + "In the remainder of this notebook we will assume the shunt capacitance has been dealt with, and omit it from the schematics.\n", + "\n", + "**Add some note here about old vs new: Sigworth says Rf 10-100GOhm, Cf 0.1pF for tau in order of ms. Then Weerakoon 2009 (planar amp) says Rf 25MOhm, _deliberately added_ Cf=0.3pF for tau = 7.5 us.**" + ] + }, + { + "cell_type": "markdown", + "id": "0c404c5f", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "8886cfe7", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "b253b88a", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "d03887fd", + "metadata": {}, + "source": [ + "## Adding the pipette" + ] + }, + { + "cell_type": "markdown", + "id": "eaf914be", + "metadata": {}, + "source": [ + "$V_p$, $C_p$, $R_s$" + ] + }, + { + "cell_type": "markdown", + "id": "b764b93c", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "98c8cbe6", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "6eb76dc2", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "87263721", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "efbd5d5f", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "891a5ff2", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "85c6b88b", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "189a138d", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "968d5bf2", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "65c7e3a7", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "81eed969", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "cff2cd08", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "427aa5db", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "e3e54db4", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "843ddc45", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "2e508d25", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "e3528d0b", + "metadata": {}, + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/resources/ljp-1-increase.png b/artefacts/resources/ljp-1-increase.png new file mode 100644 index 0000000000000000000000000000000000000000..8f4ede7e2ce6d965278cda9fc1aae87588eab416 GIT binary patch literal 4351 zcmaKwc{J2**vDtAW0!5LlYK2@mk0jRx= z8vwutJ{s$RxQ^cIYQMi9eRxpzo@fAo-~RXk9!9+-9*I&>wpXLv!x2%K>yiEd3041}%MUY%W+07%G*j-+1%nRl2Ku zFc;V(=j+g8L0!o3UDXnsQ5$}JSLnZz!%wQJ6e@1Y-k9aG|I3AA&KhR-R(UN;k|ppU zMht9Yv%VLxJo@rr9ZAX6M^=q3>O)+I^kXwEZCoIDn&0pwO>+Y+=99fwJ|Ec5!~xdJLN%| zmq1{vj}GKel@?P}20qu(K!%T>yW+`Y|JgH$Z7HUPt}7)*rL-I%%iitMewWkgqe43B z1V@;kQ41gHX0NrI5S#;*hc$O!y&HWaQ79BB>-D%-FqZ$SMCC0az(5!;f$ ztpU#bhxbg0f8Q{tTT_4}0KCH%iSY|rI}qctFaGWSP!?3Xe^ z;@1obwIPPBM@e2dPBLZz(EQP3*pl~aX&KapQmnjhN9ny>=3D!kyv7ZwX2ct@ea+pf zcxUQK5hz$IimJw!hv-hrgC^~dqwUC{0=hzyszJ3LFz)WsAz*$K8%hgh(2~%;IKflL zy?wvU_fI?r)1v7Mz(meOfGA32`+B0}fq|@*a1?NMP?}?PP6F-@$K!iRug)ikoXc<1 z(v{i)(^gA|_0sTb1#cXsU8*#w{7*TTl*4#sIjKlQc+DSQHtv#%c7zewM07`!SzSst z0;T@_sb@?Sl-iVooj%Rrp$XHJ9;)f;yB~JrQxF|BP8V~6KZz0riJ(~gWEyTl56S{& zvNRt)VgX*pAzJi`DMa)v_oX`J0kl@C;-qfc;% zlgNTizhtu%fvwUJo-8Kv1TbB)XRSIv9#Y&U8M&k zi!&yhu^r`X&{{T2t`D4J4wJ^-mCcO)=fVXY_YG_*#zSpV@(ea;nwAN2#$b)^KgsVj zm)LIYm*`8u`Y*-fO>-OiW3Ap_RfE}2+EGBzeMiHhHostkm)0OQZ}2xpO1WHtWhodBH+Og zd^e6(pcisQ4h3oPzw?Ig+WEiH8$1W>2SM2FnL&aG^2<G+*dknWi5NM0Ecm)sShk$AU0 zxt4L!z{O3t;6{82~agIn0w{g`1(gA4T($uPeEcBCd zn3ecjv#7DT>?L*hwWc*)S~dwE`Usz!tZ-h3HeY1o3zg>J=Rm$MYiFio6AG4IRJZ(5R(3ccRJoYIWb7vkQTw@(L+kLnDSj%>AI!l^$rug7TgT@_ ztU}^-gpRlrOQ+xVlcVKZ9f+7*|c_srqyLJ(g&U% z-yq2HhK&QzdaHuKiVvT`WJ}z;!6taDHAIQ^~cq|tR1_R7!kd*c-XV$dGemdGJ7{WY=+!}!3SXKLYnnh z7aisxg&MoEYv`?I!PxTsPn*9NyMB75%nzHd&(-gJu|Z!)R65ji_iEKuV$J#F>(sO( zzWGDl9z@AkSnnj#Zo<^1a%#nziVgb7S=GxuCyHUsugh~6YJd7OX2gkS*JEx+K=_@w zYi2KaDly=+8#HTp78Z*qq*St34UZ_Puk3~QT}G$@b?AMss!8!XSkA^r+}bz2L8tmT zvw4UQH$fQdTCnMRlmZrJGGwexRpMiXC6ZX`u)~7*z$BDU77C)6~s_ z=zviOj1gn<3LUo3CY{6q;42`ZooZI(Z(l$3M6~wGTFM85bd4W4lblu6y!=Ee8dMSl zB-I$9`XRG{lWa&`f);O*eQA39g#e+H(&U$&YS*f;RV7`47kJg8VFV5m2lPlQ#?3J!YCI~SI2*7U)Uz^6A~J6F>Zv7=p0 z&=oTd=R93SjZ@7CA*7C7A2w#lmQ3IZB-oUcoecI?3B@GQzU9DOiAlZqD-l6$&dR=brkQfABI5AV zVmuOBKP|~>{wijy0SGM^A}pHlze%!TM?*e^Z0Iaki1}{4Z#CJ?#5O+II=gno6`n*( zYK+radGRdO7V!aKU;n-koCtdnAfu+yZP^sLlD5u&%fFN?zyFW2cUt9WzPAh4?E2=( z$hj0;c}%V7bwr~;+5yhajotYq*>lZ+@a{|5B^S}9h_C?=)DZ>TmkwDVrUCl{x3yw zeRcYv=SFB|z)#(Cxg^y>`>qN<+4*(@$M>I8!tHe47(U!*3S8grrx{1C+D14}29^fX zvUqI~+eLUzk}mYcEUr6xGE9HhiSib2o@cNx=cGZOMNqkt#I#{siiE)0=|8(qU;Y69 z$lYacE8-z)%tuns1eiW`uPiT>N{Vos@=bHBZ9ob12kOVGf0_xV%Ax{g7TmImp4_vn z@vq;#ksw}X#(MU1QDaZ*K*|}|WJKr$D(_3|T;)7()J0}Nn!{=wZgc>%C|JtyADau}%qWt%LJ@;s zq4KnulV2%;2I(^IO^R#m3eCLmkdaZ82~y;pnZ*GY%hSXXt8bspu#E-HuMchQy9E<2 zHSL8_Lw5M?utC*mmLNTUb0#P&Z^H@YTfCKLE3wHS-ko)Tdik%-bf@)v5AUn`t43AS z?K)MbgZ9mnSeWFa$_l{QQOwsYM1Qn)b6YP zQ(ZUHiZx20EW(M#tR9BGH+z}aT0&L>+SjHcn<)K~Z|eR#caMPx3?N3^)ffoAwf&S9 z+rtzH9?=U!a(!t274S^KS0xW-OyK$rMSFQ}w45Zb$U@Pm3y)S)UToyQ>8=`CzdG|0 zehztyk*BlWT$1U$$}L;jn=eFj+n#JYf9>Z^4`&`qGOvNeU+lp>n+VFAJ0Vgs@%bi8 z=HCX+nL#jZ!8*Tb08D4QL`xLZDGHqWeqK|5_g_4g`1`TYT5GrZr|)hKHuWVF3DA%; zT&!FGxZ@xYkymZBsUq-7Dee#L-TOq`L8T!yw|1i)!wu;-bX`{TB(H=)U%UCHp_1;2 z6G@Trt)^Vw8R2xn+aLAR=HToD!G#BtV;CyOd5TnQQ)L9{XHHDqgKAKL(Z4JR{U0AR z?}XwD{-6^ukZc%Yc_7H~7EehyB0}39!M;y-lKMtqNlNFDr5{^P1^Xf6LKR)K+W6ky$rjDimX#H3c zS4q!426>RC!x3*Dhj|npfz+||L|p;)UzCgM|1;6g!&6*Jm(ZPb%+ciq0BU>DrpX$f F`ah=s8>0XK literal 0 HcmV?d00001 diff --git a/artefacts/resources/ljp-2-electrode.png b/artefacts/resources/ljp-2-electrode.png new file mode 100644 index 0000000000000000000000000000000000000000..091b7ea2781e31d9cbad154295becf9451d90877 GIT binary patch literal 3606 zcmZ`+XH*kP(@sGU31Cz}ij+{5q9pVp@EJ-#-~x*DW(Y>AbR|e4s8OVa4pOcJc`2b2 zFen5?h%tyaAV`l?Y0{J;#W(kSKfYhzkKLU!JF{nY&&=%eJo(GcQt*Vt2><{fh_XUD z0stT}t_(lU%bnMh_hz{Zf0&hP1ONcB{dWM_pD1=*rFf*dOQcgMCNjo1+#e7V6Qh9* z35>Yu8|JSO8Xi#i!$^XAVi^i)b}jb#>crhkk2Tr_yvp?~!y&t&UH1+MS2sAH0KR=2oaafDz3Mm>w8Y~v8 zrcBBs@4A(N9oq<%>9!K_l5C?u8Tm*PR3_P7C>a=1-`;yl7Eq|njY2dXMTGfMU;N<} z;!DL|Q^7iMngGxZ3*lw$aU*~fkQKz$*>Di_xdvR1u&JZ}NTD^>Bna4|GykohS{Gs6VrNC$w7Yb=D9O=wpxS zobt(Cq#x2B6g#t6kB;$)VN~1C#%9xo1W;pueIOXRVQq3jhvfm`xl~4TV1J@}(VuHh zMxk{u`fZo0PeXUWCg9X8ty;%Ot@Y?}MUW}-;6$5vo|o>e2;RLvIr;#SP*(GGnJOar zJV|M1W)yQXpBr}%Fa8O=D?50AU`SZzi-4heZ46p(YD;?Y;*0R{s$(yKt;p_#?9NVS z)!d(!q@!{tvE0bN$!RTL=gx4PifG08Exlb2U2jI<7tVXilcJAJ+DAWuL~pfh&N|0?fc2m12QAo)o-<|ha6sXs zOI_LVx}Xm50b*U>=fZjcxs0(`>(uI6(>RcO`&`H5)CmY~bgThwIA8f4bTm~Dwwf`ngqW7xEnDg6e)B#oG6 z+5Ii9DAeA-)=b$)fAInjxbvIDMX~H}CX{Xt?XJU{3N^@f1(IrGZ!hsO=?Atw7 zkTy4m0%0&O`7Ku|RCKV1+j+0!LDy*(LVz5g7)VBAE-nLMSFkrsjBPr&EdKRGp28vo z6_6e}Zy2-WMLQ4k0%$0kR0MqRDLdB=j6rs<&KUtcjFYNXPI!nwFXnC;n+Np9t0%k2LZcI}5c3nDAXjQr*;e?t@mY^|<2jK@+#;E17F+0585F<#vaj%tX_R zYvaL@o~scBGSL3kyR2BwpCu|~>FXVJrVh_hYl!>d6lMraIl7`Pxdodx*!(ud5JZ<8 zo#Ff>#yYYE(YnlSH2t4cK1R;dHS_M!M=o7@?xCK$N#W;AiU;f>p4(;g?haiYIAed9E13 zij}KY?URrAGR1foHjR|3=$_Lfg*we#dLx5v=trXx)Uo{ zx}2dnYfVpJ`;~Oc@@blkXG;9acrDR5@?CN9d})rdUmdXx#r{3}?x=>SSC-J??urfG z^+3LEor)4)R4C%d5pcKuyr^9XrpMDgp?AW{W(-|~ft|d?sYGENl@fEIN0&8W9m9RJ z$U<^5{t6*jetMDC7|alR907ZA9RR=3Hushl6iG{xYK51XjcCDjQNzO@Dr-vjfv9$^ z%8yNN{$nG|l5hY0Qdeak-1B)9DNjxK$g(Kc#%u3;KKVx$_9G`!{#E_Bcy?ufy7141 zTM46=NZYXX`hvS^u#QRciXZ&d$Uo>-pVjAuKt3Qh)kdP1;m`WO_icE^76!9z!J^>d zUo^)lJzC@acit8k#wf9ynZog18q`+tc+hMFqN1e1F(ip|Vijac$|Fj(a!F4vTqb$?PKzz4dboiA#Y#Zx*7HXrQ&hrvwkc}(!PM%j+ zY0acad@%?zkM10|;k7a}@1Azl5pSy_msQNNz8-69;<=dXm_-=6#6SaH11Q^!~Bu&I>NEfq+%MN`Zs-rUboy^dq~egcO+93<6$s~ z^4iV^SD8bsChCp$5m7HL?>!lN-a4|KiMEP;RVDYw z1OLTQ77fH$2R3fg%vie37#5=Pp8E}KWy<^IllwDgV9v~W38;-rF(f3))1nq&l!$A) z|EegFS$N<|-+*eizFrmfKj>8^ydg~3Br}m5(E=W^@;OdJw7oQRC#M{+t(=&wD*qz- zAPODx!BF1se7@Ms0{Y~yLwF+QM)-J7kDy%wB+L{!&L_L*0q39CfI>;1luW9@W0X+c zSSpeGyt=tKLz5(tEj1}5FQnJnK4W@No=Hp)Y*D=jl}X;O3Sz2iCaO;Xa>%=%`I0 z?vEK^PCMJpbW~xRDblrt>C3B-d0e7)A?~w@nVmhwjb{ZFU1%f@UC0E!m8-dDK3Cr2 zZB3ovr>`{}7>=LX)topKy76HGhQXA1U^S-1Tnu5-RcDMr5F|4GZAD0dJ+EfJ@N}Ls zzHiz2bJ1U|{gO4iSF2^@9eye3e{Q^elk>%Fu(BNPwD5*5>7Qfw1s1-fO3$7v5nyP_ z#sRzowpF-+MQY8K?xOOjs+e)g2uy9^Rw)IRLD8kG)bmXi-@ z9%;Jr(?@1X>$C!?XZJvOatb4I^ty0{@WLqM*=hIZbvni|6^(yBT|1Q$WsNkFf~IMy z&Xu%j#D?l(H|dH&<>ohraRMZNnWr3 zDG&2Mj@RlwZ2nvebS!o#7vY@Y$S4$fF>84zJ@vP*atuir62ecz@BL859kqn{J|#X| zuZxTN9REi1a^?PRVyrd$9D3P0IBZqBe6lrLBvDQhP?Z8mVb7GHQb*cs*#hXEfsy7P zZi@zWPjBn=Ko+h$P)rmk=JS5BS}-@;hLvhk=FL<2-hLO>Da1UN^D>sy<3^r$OQSev9huPMge9dh^~ zs);}}rG|(7uQBH>m(v(9xgCW|b+Qr#5D3Jw3KvlSYx;kDCqLiSl8f#BU&p~eU{3$3 W=Ng~??&Wei0Lt7BS^Gae5B>`;(%EkS literal 0 HcmV?d00001 diff --git a/artefacts/resources/ljp-3-ljp.png b/artefacts/resources/ljp-3-ljp.png new file mode 100644 index 0000000000000000000000000000000000000000..6035d1e4243551464e5e03a50916c5c5044d6c93 GIT binary patch literal 4111 zcmZ`+c{J4T_a8Hsk)7-kV}=T2$(BfIWZt$=mMj@NAsS<;tTh!P8Eb?OMrElq7(0_J z9~7A)OqfDKV=OgcEMN6K=X-wt{LXond+u|ed!F;$b6@wql5K6w1rEp@0D(XP02*Zv z0)Zv>Wo;<;{>oI@oZa7e!_b$)K_GtgpUJU@`?qmlDUCC8#$5>Y!$o;weL+!CQOLln zLE+w>VZO*vtbf6>kqihV^cX;$xfuPJQFyb?-65hU-a~XM9eM;)ngO;Gexn2yoqDNI zXAe1}V33q(5y+KtcInyJ7I;u^6MkAWQGt27lb!K0 z{%r)|O<$ABJT_{%?Zl%FEtZr&vz|4vR2|;yK3xVu!V;b5rV1_WadD7gec*`QE!7%v%=%>9aF*a-1so0S-Qb7rF!U2G6WZV*Q_h!P zFt~B!ab+*rpU$}P7=coko^0D+#7?||1KgbZPk8W##Z0^zu>|3&s1-Plljw<($TQSIN&x0c$FIuUH9I_X3Hm?WPv4zQ+EbT=pk-7S zAP&Y(R5F2FRoMmyDpmembc42XrM_&@laDUY+X2DTaGGQqBJ4!hs0F^Hz0#T>3tm zP?I}ax^aSazr25mQf%SQVJ;fS?Vb1q&+OS7F|nm8QMCdqJGHSB~*uP1k{jb@bp*lxZ_R_M8*7?#bLzq#&CfL93mLT^wj z&^HdRXlmX`65f=>9H$LstuevHpi!=6&Qs^0%aCA`qYKU$0z-?y4dF{DNOVe+OoSvH z4>^xyFod@AYVxYxC)h#sSc-nqXBj7OC@2cloAY9jbGa@yhnP&MKs}r1>2G7x;z*s> zE*0cGJm0WWe_(3^>9@Y6?Y% zp72P^qqkoeOy|0aEanl*N&B$0Y?3D|{l;>$jiMDXx5u^J8Y3_C_Db|_JjkT-`uDqQkMLq43}3%>?W-->>xz_P5x+DlWBog| zwK_`L!V`KEehqB?v&NREO~;2`pUp6rYf>ZN4BaU&`{$WDM?2oJYC&X=T-=G8p!=+= z&thwgt*JtZ3|_uIN6J0;NHxcjs90dj4^TB%YK~5`n=EQWlixYG1HPc&MH-IDH=l6} zrIqi#AuPk%nK)V~u;rW#8c!0wG~n{JaoktBivW0C8k#o0Gx zdP7zXEb~p(vfX%%%r>gj?n}xH3e3tY1KOweaR;YE_CUy;Xera$>qOI6C4QMWvlRm0 zVE1My)!6Hm4A=aKJKr)rV!K9*p#$B&-7=U7#9vEAtG5`D%FFWJY^xDlJ}Zu54-9a`+)!RY5u>zxu}y=g1AWrv?)8HcN2VUP~FoLK{VzayW7N zgdB2+XYirUIqF>k>mlxR6BEEh1E6}pN0?$xa*obLstd}rvLNAT`IIKW&N*^FYCw(t z2>WB~e&mgT3_@f^9dacdU$$6|FZUDCB;l9j&luoF^LVO{1{T}5_uH$hSlaFGw!7~> zX{oAxP7DQPKIFzOJ<({%ZI2lU4x zVYVmYvxPwyQqFhILo?%@uJ>G`Y&pbziNgqLK9ZW|NuJB(B^SRk=G*Z1s`kq!g^GGX zX|=o}QJ0S%<~FiaEB?67x$+sir>qJk7b|z(+ABA!_fE)^lA2+Ghd%-D4&0YA;Tju? zoFR;zp3{Pj(~{K}W26lpjE+*p3H)Z(jxH8Q7VnZ($aW19Db45g2jn55z>w&!f^bRx z@k&86Yi?yiyFkpLv0)ZNz_&`&=HK76sg7Iz49Jg>(tbIbiTgR(54=x5r#E_f9rK)W z0`JX=ZneP_7M|jDamV%XnYmdTwF&DX@$DvC4MxC#*?tN0$8vn}i9u(QuHpCI*QFHc zg2$eBzjBZbu~LdDVujc{S`Ly+8GbRmHOlYeZ@)><4G2GZOFRwt-OADLuJGp33B)13 zOmS|S5W1Pyz?u4KF^8$3yd?Q7K+J?T51wa{9`Pk}VtGWQgE=178D^v|8`8pWY^Jt< z!+ITEQGmII8P7nMhIP~QBfx=8Xh%OA@GiM9<-zke_aF`GRaXi83eC_U%Bgxj!l=@A zDzd>?cv~G*v%{%1{2;T=&wbc{zH?CZKG7QCkn@3P(7}Qqwx%6C6D3{KLQEeu4nO#6 zj`DG>qkINEU`8@kTrlB1?wuWi{Is?6c0#?sC#Qam=8AOk~oEK3Ny4LSIu4#gmO&({>|8WclTtw*(cD-)eo1x5gu2 zRW?CoZIO9s)R9;CKrYOacXR4+<44xE9xWi5cv#ppFj8Ye3LKLYwX1FwN)GmB+W-wB zGjSG8MuLsq0E#vRjhB#edC_Jo2Kc6um9!V9hNZecr0jP>1bE>)9r_R@4mFrH^2}hdv~_d& zb7_AWStHo)@(_YD+@;;^+_`w|`q@I`#4@O5zd6F)hmEJ^swWHVeG?I5Nf4BUPXr7i zdgxVnUHS%fYOCwX9X_bmDKwRXEW7?0gt=KlOuPOxGfH)!-;C1GALWu=uH?~<+UNimf;RYz`Ae&JUV&Pv9Qy^4Qm#NagN7Da+%{9`O>`fO~Q^(pc8w zCVmH3S+qk9HC^|-E`5Zlv6Ldajb8#2TS8#(U=x)EjRaS?dl1_m7PQ<>K76bD<|iwX zu^lxUc7|q0W~$Dy!8DL}$h32gK%6D?acJbHz9QoH24h$1FUl0Yv9UQhY+QV-kEPZ< z3f30}8V7@^vlx0r=Pgg57vi6bsF~;O$XX9Bc5ENgp~GptfZ?67&Fib&X^8nA7_B#Z zy*|mkpu>~h>czFG9tIBCYTn0Z0(>FniHP)xpui>7Zq`AJg;|I?0Vln}<+WLIsqu$H zJzA0$4tKYNW>^mF$AnmzbR^Ru8MppCFOc2SJU;}V8m;n?5qV&kw4pB3R6%a_W(NWn zcwY}&xq)ya>KCjO)s%`AI$e8(PpO*ctj#C8C((TU`}0QXSAEV;{O#GPn{H;U!=XKd zpDf5D7ku|2a_Ck2smAr?n3T{AVj1+q-t8{xzfiC1MDZd0#~s$cDrAt#_(@m}s{Lc_ zKVMq2I}UzKOJp>_jnAtw`IRCi)wT_Z@)PmnS<#V z1v{9U9^~@!6N@s0my~8zaB!4FSSiDU18pe2u|bj_FW4pDW^5A3$>~=QnhT5FFjhKZ z^LVo68sqG^aM+A}JW{LSJUKRg-L=4;X`-Dj?9@w(tKbPyQ_c)P0RG|pU%F2v8V+sv z%)Mb(BO zrw&(7_UbbB4yeUqLiRLOf>MI_z7l11tX)J{u<|_kc<_+=@7NaBNsEbR2G>oU1N8_$ zcbm!IAO%+d(PC+e5@q&lXd+PrvFYSWoPz;|QnYt(Ujp_+7_aB;ae;JSVCg6PXN{1w z>4qmx+_Kx2u0!j9Jj5BUhyf~M1B#LtVz`1k+}GT;t*Ay`y;MIMh`)q6-z%Hcw{46f z)bcqK>|-@8f3IGm@0RjhhU~sT#<2J(9UQfYHWXa`v6YWhyU2!dSOd{N;d!EmsBE<{ zJn-DLxI)gykhhsX4}57^6Nlj<9?iaZ29O9PpT%_-IOfu#xHaFhj_*ts-{`0Im64}| z8{6eI>sq_@TSC1t@223?N>RWcB0E-e5qr6HY!ZtC==BNE-ZnldPj<%D#TRGQUK)9^ z>+h1R6Z9=6B2sK=a?#bh*@UmKzogd!_&-ed|8uj)gT2!b VuBIg(>FNe*o1Q%iaJ0 literal 0 HcmV?d00001 diff --git a/artefacts/resources/ljp-4-vm.png b/artefacts/resources/ljp-4-vm.png new file mode 100644 index 0000000000000000000000000000000000000000..bb2329c46a60fce4da1bb4921b251197257c3d38 GIT binary patch literal 5502 zcmX|_cQo7o_xM8^dqs_spiOJltX0&gimF;QVvpF=-m^wq#BNYKl&TS1?HVac&{9=< zl-iNnO3h#1pL2fSKVIY9*L~jSJ@<9*xzGEEd#0mKPs2$A008JUp1}110CINHy95;l z>Au7NZ-w-r_IhIK3jokP`R^jT^24`~gdBcqCVmEkXK%Z!;fN(FVfP|{SB^@)lN1xHpdo2X_`&$vwv%Ha&j1F znmxPhXBu2)eMM51Wvd{2uXRdW?*;F#=vlV;wHAgRZ^uHtd%>2U{5JJ}mS}GUbpM&E zQ=P1_?kX=TvYqcsf*6PG5AaXU2d`r^eMB-zHm=_n&2#KQ7+a24wX_6zTwPwIaLIZh zGf8`{nH9I&&o;R$oDn}FSXo&|DlI<+5Wi+iy84Kuk(Sc_xAgPL-g4;S#_002rf6%i zwYRr-^%obm_Tz=n2W!KaPeEfP+D;*5z213lKiXal=zK(Wzow4<@ZrNjb<1y>`@(-C z7dk?lA(DK6123?QnB!eNiKg?`Wt|fuzasf0|RJx;(#99K>2LPq$jv z^Fw9Vvg{ZLKg-89EQUxwa|@S5%U>fXAh6+sBl9pP3Vr$VrNQ&pCEj9a>HmLqA%*VD zV(2@wfUqze!8q_gU;f)6&nOag6PD^KM@j{*mab`UGcZj5nje*CGnO!6F-S5$WZxAk z3NKDW`Ng$+-iCdF{ji;zUkZ_5Gh=Z2B`74coMG-!Si*OdJWUE5^7L@iH2v=TbD9&` z_DiAb^znEqa5fCa>p}?Agoke|q!DkzD5H1mgL35i6Ywc;d!&qm=B*(Ym5g+u9JqZV zYVHoKVBn>~`hAsBk^-Ye>9U541q$y3Um*v#>ccy^E_a&P*R!b4756V?kzBi&4rieq zCUgLf6L$=DCNnfP_T8##-v25&(#sujJRg`X;Xt#~brqh$JLF0ec}3CJ%f*7WU1VD{ zIa;T;2O%z~Zh+3kwHxaQ!3~OC9^iTzAx0QK#xS-?Yk)T(pQC}U z%X5u*^hKjsQ23EZnnmwQgA@Ogh-g&ar`Yu}5W#jZaNFj=KOJ{c0sY0GZ>#@k^>xY* zA(T%o`>qdvC@G0K7*SRpN?n!KCEu}mWeT+hw%NWwr75nF^CvvHS^?VVOOXdzA%0Cy zUXR0@!hC#lCR_XpjK{BlRurOIOVlTKcR}@~s=9zHSfh?Ll^+@RP^!YWWQsnu6MZM( zRh0h?U@c^dRhHJbTq)OqgNxtQ@6XKJTdFa&$j{_5Zc{H_N_j3QBrBad>&UI9L!V?8 zlsy-ju+F;$D@ZB#AzPal8o?rmh25Ch*Y!9-P@Of3t$dvEkM?AbN01a?0cA`t6aosP zQOKdTfv6%}#W6~fNjfzQ{&_c271@n#t$$Zx<#iC&2IClPn<=8eV4No=*D3|Y5{G93 z|HGo7dDMlyvMO--=wl#!#R9yUX6PA-qGLsOy37!6!kk`*DB33T@l8)(q6%-b6b8E&*7N+6wKx_2=x>6)%D!9<@~Wq!JDpeW0vkkLys->z86w_4y*O z0&QPL=A&U&bbdKOuCLanXR6i)c(6X=`RsDWwPtTE*Hgl_mrCJl8zjYc2~7d<2X#Q{ zzV5Az5{s}`04wsx2~T{im1$_z?Csa8u*X=!qEwA*&iAjkaYk;7)#`A0z&bEOfBabD zmgn_g7TT0MTZikBV^OWxQx~>X7o$5ua}E!Az3dE>gkj53t2jyA8154+HSSJbGR_tE z7Pe2mOC!!0WDa*k;q_qqJ^}?igAx2NdZR8BeljvJa{W;U4Y*YsUNlnPLXpJ_`$g+t zK#|Yr&cK9*)G>{c6Jy)paEdSZa}IDYcMveQP=pn&jKZs+<<-d|fWypaQ`~PaOVyX{ z_klaWgqKiFHT!UsDgO=nb{On^R0M_T6;=Cfn50_q%}wq^Kt^=PLAPRypQOB-RWvD18B$#v}eVkWd?_M;mx_JC#?6Y}1%hU2na3Twp zcr6?_$9T>>MPWftDtVqV!aT>DoyWqr{Fv96&&b5dZp5^{@1%F5sHXL|0&r99V>s>4 zfZ|Y1Gfv8LsuQXqUJWn0j5)u16Ds-F&Kb6tltH2(E5@U3nt}_T)XL?^*r=_jMqDdy zBx;kG&*JC%<}6IuwM!yBg6jO5`udc)|B#X>#$ZFmjysAFj}mf zQ1ncO#)RfPm}g{}$d!V8F&I6Cqt5^uXi-qAgR^5fgv(9dW3_`He}^LPWH9bO5rBXy z8T*;gf;e+*P7>1qbg}OSCj9zVDTW2^bgFnyx34SbWV#}%IWjKpSscnD_FK0YNW#XV zo!%lB-$!rt+7k&}4n#DHreE>zYIF7-Ra0Oiy|HFogC5Li$w*CSXNv~P@Jei4IpH}t z`xS>+W(6S)y>E&`z<%f2?uJOG-k;EM?D&-2p)XNF8=r&B0q@lmvp)KNQQe#qC z72X+LRjaWUj_8owHZX9V)8^qMdh}L^f0ManzVQ=DK%eL*Vd+=ZT8glH;L12TDV@6 zz5nqZrj5zu4y@lU*j#zQ@Now!k1Ek<+^^zhUL@8i4o{9OMp;~cWWWxnsEso&$x03m zH_)5z4d4cbN6{~)psEIM&?ppQw^T9i51)SUNPMFTwhR}-hD5{@KGtgdan>r;CGUKl z#Gd_QGc8gNJ5zMJT;`4TO1nQZ{n`RWX410MUVL&8@|8Z5+A1YH3VYg#G6ZTe$EXR^ zu%R0t9^;*KK}N`1DCgYVC<9Up#d^f0UrBGy@x*i`*bY7*F92PF1tWNEP41jXt|8ur=^sWrP5*scKKCI1}whx~FZF1>}lq#fFH<-ht5> z4k>3Qb?)Fh2W#Id?u*99$KR0iv44T7v+LJ4Go!%~#w#|z7Xm>bkg!#IR(JQK)8ido zgZzXaRp$3IM9iD4tKWP6>O7L@+pWYdyeaoBC)6|bwLgS=;2iP(S)+QocsT-N184zt z;|hfz#Su1qdKRP1V>bVM!GR0i(y*c<>E|L@a#^46KZ09wn8sg*tm7WLg#=H3I@!$R`G;H^CrCDQqYAW;K z;Gn?Wr*DCCu^zFr1PhN(2k%V@hGx}`+0~oziMi?s`v@_Z8F)IGXUOT<@ zP`KSVvdY4oF3dB+N{e>Mn;00*(6nEpNN;RuK^Z36j*VP~+lzju-vIqYrlAjR7e-N_ z@R@bgmUkD7$JKv8QzY1LB#0<0LJmUU*WY>c^BF6D>E@49Oe56JJ2BGKccP0fC|x!h zM`e3p8u>0b!70VCdxSVPbXuKK03@J>LbwDrf==;sk58#C96y} zChu{Eqw3F=pzDzDslL>|r(VU9^b)|~FBdk)ko zJ*UO{Exz+)VO(d0zY2D-ud|U*ZS=7LG4@TK{kl}t=zDeFey%`qe}bV=wZ%Zv{gM%1 zs|IJVuJVOcN9eKRP=*K{w?YnSf{Ke^E&8boz4{mM{Ux{g#2`18`Gagof3!A z>g|r;htDn4l@Y|$idi;Fu*O9Gf96nZn@A9_GyX_J)9~m+@pE8gnxX#(6y4{2$xHsx zKotJA$%foS3n2N6<6?PGw51$N(jLoNxjdyTeb75}909NhLx|AUE5%1Q@b@8%#{Ura zweCkxn}p9gm`t@Uyi3|Rgd#3f1U@m3Z7xIh-rey#efG`-(bY;Rv8s4fq1L@9&%PlB z%Pcv2h9^`3D4uXv56~8-f0$~tcg@{vS*`7l>3XYua-NNQgt*Y|trfsIUJo78e;2M% z^U>+>&uk_ckm8w<(PI}ELDkrjA>&sDx{7Z}(+co=xdH#_(Uv*_fslcJgBTv!xqTRO zAa;tWSTEWOgB3n&xusrjCLbDrrq~7huQM0m|D|H9>8`Fox$o4Rjy-{b1=tF#q~Xzt zR1Mz_9@8sfZxCID{{%I^3mMGB5-@TJwf9ynbns}ed)o~OzYwAg{qq~jjr$g z55C7`3UT)+cnp~5Rd-V)DrL<+KvNkHioSZ!rWvp4b*<@8KI(U0ANPCgU05lQ%TU@w z4QZvwql&m<<8wCWtjgn>=K0dsAdA_4y?OZHS=XkqJZAezO$6o^YzL_soY1|#)ALAZ6eFMcTE>X4IqHw+C@$W390D(<^Nr<> z;q4j5HQRbHbIJR?BMsQCY`4?2`W@@Odw~r4s5Hj{XEpQ?zrbi^vim6f$uqIN#mZi@ zx#OtMuzm4~C9AhTzlIQlIou`Q@Q-7ky%L0t*vMb}dA4&l6_i~$ z*46KKI#}#D0pxF#!X#Vrmtr5(Q8p`#ngFq<(55@m<9q$73&u9o)|)expDBnfc69}a z@mc-!E-g;6cbz^N{1-oLI~|pv1G+-f59~5`^3MxVKV=B-oSb&*Q|ih_QAlJ zz0@g((~cg3K&TWetE!q8xmHga6x(SN$_TD-K5986`Pv6_URZ%`SGJJfhXq3df}wZjiBRN=8S07EMHrZnF30N4$MnDr8oq^NGZpbSCu14bKMeF#lfA_HAd= z)bYz}zg0iOw{21}w#imdNBAF+3h*9@UT#2obX^26$O{C#EnSu!4)6I7c9d6C%ub4| zm`nG>GEyS9CaRda?hblG`Im!CdIwyeySg?%cjQ)@!cI517{Kx4fP~KyAnYX9 qlb7vTbT|C|BaZy<{|`9+i|9R#n{KnSZX&T$fQFh5y!sLH<^KcVxTDwr literal 0 HcmV?d00001 diff --git a/artefacts/resources/ljp-5-correction.png b/artefacts/resources/ljp-5-correction.png new file mode 100644 index 0000000000000000000000000000000000000000..64da1e6a23ccb5938ac89e0f04d0bce29de2f46c GIT binary patch literal 6145 zcmV+c82;ypP)Fk46`u6q1&av{0kVQ7e)bk+fu^%h4G~>Luy-l2)i=o<~dC zre68Y>qy#A(kYEDM|VWhmnC&cIoMW`+*zv8*P20b{eazo_1jaZ`HZ!JA2qrV-IP(lj~iWxI&lW@mwIGWM(!*pX_a>5*+e(|U{FMST4l1;CGCYri%$X(C>&pyB* zz)HZoz-(YvL>!k)$diGu0^b8hM#S|g%}>(3csbpRw^M$*0n0_iUo+P@5cnt}hD%xx z*cKQM`~c{U+y3B)n3>LB0yr95s$RkEKZm(}`2^sMhP@T?NdvIV zI=0Y`>conY&IDEgCgJzi=jocOq-%a1%bB|(VuYkMfU|*>()0K%B2G&A^^|lB@D1QS z;F^dSUu|L1)a0*$OCsW*^{L|Aytl&jSq%57Ilz>NxIohBz}4ya2EauTF)pRq1Gm?w zQn|iJ`5gtk5E1{#T;mkro`@*)-!I{IvLk-i+!YZwXU<;>TMoaH^6wBGs8cLf5iuKg z-kks>Er_T3PLi~EI&FL4o2iiv05(qh`v6Da>A_clr;|Xq1)D)fV_`Bz(lRv`aVg+H z;A>T$KLGd}9(g^01@4$6fWf1^t<&$XU_pOA@FEtBr%O5`liy%qKj0xO;O>_6bzouK zAU{fJ-@xYWPl317zIRd{!?AU1bV@fy((+Z-rG-u+D{U{c|mt~G^jqk$) zSUJ2&()w5+PRI3m5i5-a2BkFj;^%W$75*drQn?pxL%GW$X=z|2@G-Wa_6Bwa?oX$D z7dRJBCH)I{Rni8yp1pxFz?#5AnYOWcN|)t3z!vHE>R8a7jTO|#faB61nYO=WDu?i3 zB*#nzb_ccrNJ_v7!10-L1_FK4?>%Yi_Z#E;d@fd)Cnxzd2Dio0xQ*Rj2TRL~cFmPr>%xI;~mtf`ZIjo2*2q0-^;5s}2e>~N# zp8cS%vLwT4z-Pc%U>D%}%(2bzVCf;?1>hP<-=gVxe2(w^tC=(hr8J{~p1?oS;G$ZV z$MsyZ?NupH8oUexo&bj6{?-r6GqAEh1XwTan<{A^U??yRx5=G>5d~j4UfDTo=#)QfnHZja?+pACH?Dosz9GPQ>G!R` zspXNpFUxLS{?LT1a7x+aQz_yw5 z_r(g)LRed$4y=?peiLv(`h5~`N741~0glOR?}U|-MKk*z!Iqm^?#CIa%u7{q?xJ{f zbx6_u{0!(*Ce0(j!Rhzmz(YmH?*oQpwim!wtM9c^mSa=7SF2N-9RR$V*b%nHj z1GZfD&YW`%a79r*qp<}AJViXT==e36w!cfdM!(GQldv_c(0AU&RHVS1)Q)_h+^jNo{eLc9wB8FsY4cZUJt| zYzx1*ewDU=4_upmZ@dmJ1 zX5ZLCc}kSOPbzN!zsu}j9@wnJ`Rik4Zru{c9;CSp+`rIQv4Z(A&?9X>N|mxblizWv zoU7KcUA_aHkl9`}m9s_N)A73s_ZttqCl(zaPRn=0^T1whC{zDb&wcAv&rJKdJ+r+h zFd?%&8Mv+}&6B{EPfRnMsGn@V%U|2ot9ub{0 z6_|JsI5>^;c1YVZfdP_+0pA3EQ6}vx5iu^ay)G6G!<&>@mIv18h=P}4a^Xirgrvu@ z23(4nSdlF7Ah0yB4i<0#mu2d*HXeOcOFukX9Gb~vWjgnM%$=r-h>4Qk#iNwxleu|+ zT(4!Yg0e^(@|z`TI<`!9CjSMNmeemICgKs%R6J@gFeZ|zI?JlLz2e%OL^V{39JO~KrQmAAhFXG?l2 zB5uS=%nCGFGYYJWr*J0~<<%n{lca+6cTr%KjAgj1l9g+(NqQB(2Og8ODz+eg4OkA> z@zGZDn^?5{KAkToOEC>tOVU!|Y4i1f*Ne`%x9}sm_VmS;e*hxl14&Qfb~e1q>;5~M zyRW=YbJ~C@r@UWzp@XPt9;i>Hc#ci`(D0!s?;}$O3 z{;a~e!oCVwW>z@A(~Zo@%x~d1t?uN;rlR>=8DDnc>1zCQCJdU1w4H<5?fiO;wkCYXthvKo(1}EiYEz5?b-w+X%9z-SPS|HL0YEwL=Q;ME=N^oS_ z{x+U+coskG<$#xqtP}-i(eh)|QB zLRj!JxPDs#A7%vVV%SHdz$}{ArEVnum^};I5piV=*=&Rz#fL<)9k;^MxGiM+$)==x zg9ZQGHO^Ao`8v)0%PR3A&{xvZ(d1Oyw^D-4wSJ5pMmLT&IJnlpYS`yxY(x}WAqwr| zy!2OhBH|TE6LFsy9}%xg`Ut;xcfuCqYMF#z{C7nP#%K%4N2X8Od?q6PRq6aHD3&{$ z;lXJs3UcX=w7oSR#65>)@3MIM?3og2XVS7?7WdUj_*p+55mQ?!_X1>;fN+qcQ@-ADl=1DlEvwMOWz2i%$RTmxJ8p2gFb z3rEDDbZkL9RX8@KEA>1&1W5A!$P7TaAQQ=Um@MXG+)MHByGH@ z88(r0A)Xf=fTy;~b+%7|v*hTwp4gH0qOjxUQq+`K@NU#GvIjfxW}kO0iq#i5B5Z)9nYeQfjuhorg7blqk}kjw z!&~8Lqy5wI2Y{0$oraaE0c3dM zHx;CUfA0upV5iyZu{Cgg{CqD?MN7x7Gv$Ve#DXJ(PKLRf?@HQTO-;R}% zEH~gNk4{6OIW5xXBj74D_&HZa;0Y9fHeEsyS)ixrIOxB5SVIQTe+!-ZD+4WERhvG=p8CdR& zNZIU-{n<9gZMdY|Ny@`EIAVBn+}96?i2LLa`+<_yi--wDNe{$weiJO`?@sxRl(ZdI z&bGl*m<46-zrf`*O)HI{bKec+xE%YJ9fSv5w~^NHOMPZ9d_Pyj^7P|E!iab-S)6yl zmaMDry(pe`9f1d4jpjrw%SPh&-=eq=Er#3CFx*z=5)s2By^Wvg+SpnBlFUrO#rVC~ zAL|U~V2f$l{)?5dNcm4qefbbPD0vbG$5mzV&yba)-^K=k=50QjyyJV}j(TgchdZ`3 zy#(|uKGvQ7(nF2RUm?1ymZ-pAS9$K{WFl0$(jOj47w*Nwnf+Bq1$L!BilPFs;xhMn z*O1>hQp8DS-vM~awc+)xp+1MwWZiwGR9~;-wT?>H8c?)t|#o8f`Xf{nfh-SLm2s6cGZD?G)9eI5G$#Lx^7-Wp1rWqVZ);R z=K_~Cy3TdDXUC^|vr4*G*A|~McO9#+dG0n~J9%+A0OSP=`vZTE=1#jgIrBObf&C@z zCh5EK0*$BQ(R+8K(BEgL-*Y5wBI!LzdsawS3&XHwrFfchcl^S?sYQyMoSbe?(j_JW zgCq@*w7sO0@SybXjV)bWC?!X?Npf^MXu0a>&3N6Hy=ZbQ-5bvh4+D_2Ik0}D)o92Ai)3V&9to;I(r`R!r4($@&KLNdJ3be~P*;y%G^`CI89Y zB>gfxrM57hN*xsuQ(*3D%7tn3_kqb##n%t!Qzlb=r0<7#J-A%%*({hLxyT)+(P@F}I|@_U6+SD10b8D-g0F`1R&y zJV@IW`_Aoweb#QyoO2lV;hBQ{^UjcTYeba%KyNo|kFBv_8{5*AP<8v8iT$fqpSNyV zr?G6MgY!^3Y^@$Ew?p1t+u~x~S9WG8w2iWIwA3hdIB=RA61^0TE<3KRS5A=tOSd&$ zgE=ex<(RsBZ?6mSLZb`NMaT*SW%kvZTdx;ntPt zh1Vo1$94D{Me_^&aa2S({!G_hfUXpq(DaSQQXJk%<>;zxi=#(pW0Q4uq*eDRqloxC zEm5#vTC!zZ?5p`ng=7=3mABLi+SBNuwXZsm?eW@0rFe^cAlFs-9=5QSqr0`ka;!+x zQZS`B7YDlJG_f;Y53j5oZJ}6&!#W?rLU0M}57Fioyd&cD+REH<$cs}}Qmh`FpM1w8 z&7iVkby~D<-Lg(a(y>%ftRmvl&MQsVBds&GC!IGH2)0fgj@PMMiV9Ba$p`C1?7!Gz zDTeUkx5pRn@5;y@@-C-XeK5bb$*xN3E9Dfch_v8wcSllxDl1kaBBHg=>daS2S`jN& zW#wp((n+})TQfGKrP)3wC#QQ-{7IA9bnH|hcv*poSb=|*(x0t5Ptt2Rba_i)*NFJI z#CbV6IXR7{RXJKtu^NUK;+jUTSmor*D-;WaIT3MRL?|L|?T8D87k(K|T3|SB7xM?~ z;64~fUskiCc}`AFP9rEKM@J+%dOws2v5tso$x(DpEB+8UIXT^jtU#E8SHJEIeviMS zS?+pMBjQQtw&Z^r@uf%b5AQ5`q0V3d}Ddv*q}lru#9y(uv)a*))IN^E(~ws9p;*$gHIk5!XoC z7k>|PzlN2netb+*8_cc$-IuH^=}P^lBPe{*2D~0xPIFq7qit5KBH~Z!>*~e(ySh?E za)@1~(dFnWM8x&@W1sUR$>Nbe?Io+6u zc!BvumPEw7u!v_zG8lhcy6h+ZYWj>tcTl2oa&npz5iet(fSjD1oSYhni05e~z@}VO zU`|d>PEJlvPEH%i6|0<_oSdAToSZsQ*Du<4V`c(xVn@>)JF>q?_jA(x2$y?SqYILg z(+blXU4Wd5%rCO!3tZ1n8(olj3FYomIXO8wIXO8w^&y`M%*n~g$;rvdsT2PXq?S*x T7i1{@00000NkvXXu0mjf%1!($ literal 0 HcmV?d00001 diff --git a/artefacts/resources/op-amp-1.png b/artefacts/resources/op-amp-1.png new file mode 100644 index 0000000000000000000000000000000000000000..ad581f56eef7cbfe16b0e812e5671a900751da5c GIT binary patch literal 5738 zcmXw72RxK(-uLr9pU?Arp85aH=lA=5f3GaejhGpD82|tPv+*5}6#zgHMLvH-M?*f2seb); zK_7U>As7H)y7OuMvtxqX`zUPq@-44Q(C=Kr=iaf1zTkj3}D;YR%_r*oe+2TN@5Y{mxW8GfgWvP>C7uKoYX8;2g*gKa=tL6!chLCxSqmTn(kY0uGth$qWS5zC zr9RWy``mZ@y$pw?8|pzBh!MOeO1rY3N7IUfQU|es1mNKs#cEtcoMDN_DeS5XwIb6A ztPtTlOWzwHP8o-2I)X7R%Plj)u16TK8zik=p z{TT|<7-{(@-hd$vi}oz^@*}47>S^x9TyE_tU~Y8pZ6mp|i9Xb!=4T}?QcKdb0s50q z3S#JpC~sl9yR^E$Tv9?#HM;)LMtLgJgi_1__q49TQegtQ%yMVO0)GL1fufx< zIF4T541LNNTLf^6^8qOA3gxm+a!Mf1Drmb>V|aH*5y8|jrIvyyk%WIF8S%SafOUz4XkV(Ts-7=S0o zoG{FzA&r@RjB|0=UiRPA#F{%3>Bf1`gc0T?1E|A!^wfSm>;ueXc{Z0tnsExS1h{QE z`&BGY*w{H~`wwk&y8o|Nc&R|4E}l|TF9+6TR+uR)|7XIJnpJdE>BsB~w$LlcmerqN z3$kc>a~FwS0D-BPoxt*_#Q4BmtZafyR4ojlV?&}2RltWLf5kT4RSBHJ3MT+NC4`Xd zWxdEaa_H~$n5;v$nArdaclB&wioy0$4X{ze$L1 zZ!wDrpRIR_l7?%aEI*f4PzdlgIkG)~P?2ALhwLsXR6~UtYVflZnVnsAD>HMZlVipF zm7fQY74W)-?!y|iJk7+vAX{TRJ5=64AvTGh@7$JET)+y0njL|JW6R~{t208yZ+}$3 z?r=4!BI$=^*09p10VBj4LK!j_J;l`#S+x>13_5X9GbXc%&-fL2bby(N$Unz~NDwJ5R$Y%JZ$N0qCr?&FACJ@miVov+veamA(j{M%@6SP5I0S0KPaW??|F! zFkiSbDC6P4eNNp=k#D~eCYcBA?{JE1PAa!&p1c3)9-rhSDZhermyBI*vXl$2XmXbo zK2=Cb$xtQdqL*P)Q=KIpUk^=fyB3|ugjr@EaDK^vRpfyR+2pd^C7haFt-ULh`;cD~ zJc0#Q*4C~qe|a8~_zV?+YfW8HzKUTSN0?{!!+3TUF_WLD+EL*?inkhaZkSX(?N;^6 zs|eWmnd>lHV=M*vf#v@1`pg1iko!Aw^fb>+t?&=(hMb>&ap^QpAxj;TX3hXz$BCY=WP9D>~Ywih*v;q|Q3W z6eK4zXohUh%_^oq0Sbs;*trCtoLcCvOPk+14m0Y{D;OQmQ27>%y;QBkeCJ%Ua;Itk}dDQ97bP=aU27b`LbDi^O z`?p(mcJ^&sqT6f3izqil@y(U${>$hmP~SRZ^J`JD<^`H7hv5!w zzC15pywHhL%cyovvUOXA#rdLoG#n4p70rSrEN-)G)cC$_*4o?n5RhhbnBJ--F9L$U zs3G|+wB!i;Ey=ag>3U%|m3qASxx=@Wz+HqP zYh&`_CGO^vOkwe^&M zJ!8+^)lba^Eh?`OT(=t19z?V5QW9Q^_#AG{rbD)7cpf!ywJ$`~dFL&R+w^-teSg7&fA0g*c-Nb~|pkfN9WT7XZ@t>PuY%Uyx_bgk?OtjJ7rl3*x zJn{1K^6kaWNq&tWclYU-PGqA;bA`BN82x)jrb`2cLSR7lwUhbYq$mP+Iz`~0uk?WP zXgzY$J+tGz{`gW9LAmGXN1p*d@#>1$Dx|M)mCf zkdZFTlDJn*w%mjJWs~fdWT209h*iA5;k{l7P+K72f;N)c$#;iJ{8|TA=nm;bm!|S> zVT8F<`PAlg4ZG$rtAOT}WA3H)fTAuEVe5HpldO+YZXJOOV5-#7+j7FtMeNhr)yVC% ze++m^u3gVAVBa4!d!}}+Wtvi=L*NX>!8E9xt5F%L8>J0Ur*!j?Trc)xz~;1_vl)C( zfQjba?3N8RH8sVgvd@U)!x;0c#89V#=tT3BdsGXtdM~n_6RFq7`(shyT=~j_1)X`whMWl=I2#J0awzBaCH6^f`E17IHtjJ_yYFbrYeZweSaOctw zIe2)EMRR5nP6`~cgk*#J)1nx4JU@j-csJn1Y;0|-b)yI%TcVTIdGr9eW&hrkgQc6} zU4yH%h9xROBLpYxF-FOjhhr4^Qqg*@8kQJ|KL+^rUKzs$xYvZ8N!8}&Q}QYmOoMcJ z6{mLKcyn?)5Pf)fss88kk+!=eCUIw}-#L{>Nt{k{P_d2b`NVEtkSInXNMeEBMTQ{X zXIoWV9J{|CBrPM8it3GkQam!UBRcu9$T{tMb^XoudPt(&ZqZ5tZz8+Z0{TuX$Tdhx zQj*(?dsrwgXu|Grp+q>tIddULEQMbqQ$Q=^y@v-m+SiAgYIV>Rwb?-*yW7_(?8=u9 zGgTDz^kPcA^Pb4Ut3$exKCy7$6r-e+SH4?9S|PR6Z*wa;Ik@xVb8yL6^hDOrUxz+` z<4z=2Ouuzuht%zzcVUvo0pSTiz491*$z1K+A5AVU-))Ipl(@u4Mie*kkj%SV>w)U# zOCbw>tpUA<*$=uy>Ued+!Kv_dP2Ob7q`P}>RyGQ}R@z7*JM+{`gO#`Jh+)QkMI|uH z&9uGyFte&TOZw2Mose1GgP%%I4>m@BY#mm!2xv~J^QTes%txEJ-}>irr6<2YZHGcs z6<7D73rnzrz}%Dm{3e}jz@&1_^~jUJ7)5UF3sR(RQ5Sx~Gz!-5d4qF&BkZ#VCQ(2; z)FPEnO`fh2;Vw5mXm$0m40HNkX<7e#$b;*27X=P74_Offp~6(Lwo{+#t5AKVF{-S}0ou-uD`xge4u60W`KLWyBqlGPy- z|8BzOg8iO0TbM7w3$@3wbz%$q?b&A@EcAo|vNnC7ZC4$h5ovF5 zBm#efq=p7wFgkp8xrtCriM6Vx)Vchn)3fJigZCVW_fkbEdvI3^)uZ3tPz7WcM5})n zQDQhXGn0pA#A}GiNE5luw46=%iH@oD{Gu|ksqWFruvZn{G|>kimL$u;kb~{!G3iO= zv5Y)M2ZO6W3^}a{Qcve8LStXrM1M4#X-R^whp#5f)K_W*F2|B9P^nRR^`AeF`xkal z1}u=NMjcFpbN{2modq=xu4jXNDSgvKv*j~%06Im<>_98qW10*P8Y`T-L!uK0pV2s_ z(q$M6`rai-^(FCz@a;at$3m8bH&ZlIySk9rcIH7kP7aO&6*HyfpibT5+^l78Sh{y) z*OzbOrF-SC{fzbY#pU2lX&HIgyx$eH;U81JqgRwc3zJkjU7lmyW4Y*(cMWE%M>=zF z@vQvn#lvX=d|_F(KI(9lSRX{N$dxSjW+EeOlN+v8tRS3XqS|%os~O?iwQEn$j&`Jo ziq^Kax9yHGylFS-x_MwmXiE9{OW>SAu({G^$*Zz{DDII;6aj2=|9)1}YInwFp9=E* z^yAr*hyu{PoD(yAvCp2llO~l%wg-_boGE=H#%I6HJ;C+n`1-k0UIyU_P%+cdIbgZ?htT&XZ4iU5D3I1 zZLd;C5F z632k~#p}K>#ce*=k@^;x%yy;^{*LLzXrD&vszjfMl2hza(Q=4RnFa>8(0(sWyKv;Y z^@K|8{yoHyQ&}2}Clkl~*49krq(7z5MMT@_j}Dq`jWqvNQ-I8)5E{W7++kr5u0f%g z^Ji|Tp0J(qRfvRg72#7+Gz08+^OIbiZW4MlPCxc$)M1RgMseM8G3Bj!M z*Gk~pXr9W}Ib{xfh}`<~OK+!2(?lot#WhOh=p)}&+Su60K4?LoXRWyP*VZy6mdfAK zIbF*FV;AuVlJpdglwl-DPl)Ubh8Hia&B%k&galk@#E=I`ve&#*z`C9oM{(_~4 z;x2Wee$l|9(B3%`T`k;nBT%}WDW`@c`7_(Y)qxZ3bBVs-(J3(+5dTH^>=@T@oNtvZ zW|RhGq8U@rBHNkO0Nr0R_8iUoS6i+#t#VtK5E5@5miBAoKFVX3o@3`BvZD)}qJ{6! zAKm#ijhRbG4mj=QCB$sez`iWp>ZN7B$HaP2$=e&Tx?k@RoNjUj0H&v>57YKmzokyYWr3aHIT+k~eSFaJ3IwO&~ZFkw3RU z@cOnXnyQ8|{DMf$O?JjDH0$@-aldK<_X$TZ*C>vnKRMiAh*>WSDF@&0Ki`M{O>b~f ztR!>g5P5RHG>TuqzZuvl&=)T5dDQS@Y>f4N1x74*QtTzt2#Gv&203%R^8JBL$6iu; z#oyuj10+F&R`T%#X@-9yvFSL3^C)URE?8kn98`NcC3&&f89Y5zb)V{`>m`JiIGdCy zLBc#g$+!FBO2;+ov#UH?nNcE1R{|D<7ZCW(wBrYkK=2Xul}GjqdwN+AIA z(yTL9MA-H15b(hX6}r$ez+JP$J(8A~D%6)Db^~+4guHthNnPDQZuAZo7N4gvVELOD z8SL*e%ld=XO(1(iTt$ZIaVtY8>2R8GlP+hg7!bUOg4O8!LIdB&A(}6b6fD7W~zQE(;c1O8hIPpPWk{FQ@Fa(8=CWEyO3X=xd|SciS# z1=m*2z&|vGDCCulmkHS*g#g2I{58Ojr`pPyc2lMYkxf+g?@weNzab)xF|t0sIjMZFB%<}ZWQ!lDw=4#awp8)>@pfcWcjg3dkhHiW zB8mxE>T_b|RhD!_Hr%W}Q~et`(NI}wQJ@87;eIJH-3zQsq_r^3mVH%=)iWYLhXbq= zSp){AiA5tT3)wG#%%Mr@!(_g1?A75huF8XEH2|E5U^>Mt=v;+ZyNb1p2fL?k@wpe% zN+s)wB?Cpd!Vqq!rVxc+!9y1uBA~R)X6XNCtpA&~CaIHzbiY`y#nwSG$a7+V@hx*u Jy@5;oe*l7sU@QOt literal 0 HcmV?d00001 diff --git a/artefacts/resources/op-amp-2-diff-amp.png b/artefacts/resources/op-amp-2-diff-amp.png new file mode 100644 index 0000000000000000000000000000000000000000..a673afda7fc0fc371b2f77c03c0691e3d87a49b5 GIT binary patch literal 18115 zcmZ{MbyQVb7w-Y2lt#LgmXMG}1QC#K36buQE@>3$kWlFqkdW?HNTs0glTs@4P%@H0R9`~*7ZCy-_9nJ4MI9sOdJfuV* z?jYo(9&380ZqIu7D4krl?T6+L0D-)$1V6+|G3b@%`I z;*hQO?_VX#zW`gd?%2U_)Lj;vTWI%D1}W&Gk^g>3lKsw$?K_%f;Ymr@D;`13*5jy#xK+;no@EV2bQWF@bgm1-*1K~Ib~Lb zneL(~skJKL-61+9W15R32pA%n82rwoy?{lK`nVi`mD19K%lN^Os|39`wg~v+reOkH4UbAy&%M zMMR~_#^AMZ7>OHFAAm1kt2yquJsse_ze9(bY;N=dxgOH@Q2+L4uJ*>nNs&ku$p0P4 zT;QB?A@C$akYvF z6DT%ghQyvxt)ai@ZX(u(e@j1V7vRMDz{@1ScO&>vMvK){>gH#fP;_hj(`-%Gn+4Pn z@ZS3}1!=#B2u{Tl_SxNoYsgrKLmo(aFSO!#m7KC!@gk0eu=GlpxO~7gKOTOtfYMvoP*W(w2tO6d7pp}=rI7V_(ucRE z@K0z3Jx`lQq16BWDqg6Z0|XNK@P!DCR`f zrKkb%7omxeh3TK_NbZ@r zsu;5F#-5;e7^Q zM}9tC96!+ae?-*!AvhX1yi9SScIj2|vm&j#@hmF$Z~kiWJ;xLf5IBQBSw8-stn=ZI@_YPRoH*-L{3Qd}wly9pY6{1|nw=Je~!UH`jq zhrYrdJ2T%9_V)HCv=2Rvh|ixZC-E*%6+SCExPgHovNDh+YV$1!TTmv3R)6c?;p*6E zk+%O>o>B@6F^e(>#@_Nr!$)P+)luG@Vq#(rJJYpXt65J%oTnxy*Yi{|uI6LJuXO&* zHG8{5UHC2U?D#pX{mNoON#?gb{-jx;ZhVWBgZ0L*e3gvG@lwOu>6(|*-Uuk9rUs+0 zuTej884i39_rD5-M|Cy!J(fWs=u;XM&`y3}*WNKd*_qvqq2w>T(Po+9d(7&8 zbrB0ya371?aiMJ*7ObbmW7ohk0G@$C62GlhmhE_n@a_9Agl2|^50Bs7eIno0(P2f& zXSG+Q_2v0b%OA|pM6hQ*-pe%&CBy&Jw5j<{v9m;LkY&G^E+Jl`bHR!_F-aQSC@>q z%SwOI+}xb>&P=_jcD7WQHdK6rpy%E~96~8Yz*oJ-Zn6vtrJg{d)S!81uEDKuXWswX zmy4cWQWPHUo05_efe?H;gMUyLM-Jz+M(%p3W|?Vica2#^HGWm050 zR;-IdBXT=o=hKL*v~T(cC+yg+twOCYXVW{gja0UL$*9l2a8z+hS&)&CbYA>Be1e9G zN@_RP^lBt!;72$~3SXt=PulG4>=8VMfj~6O5xmEtuG)o~1#ZK6O19SK=1i@vLEq`^ z?Ci+-tW*ac!;Nf@m(EwdXV+HdcPqItk$nrlt#CG%c^SbJROhz&p&h=r8T+jE#Loje zmVYvV?OE>wcwqT&-nF+QD0X^J)t~p(~^bqNJy%-#a|ak%^*o-I^fO*4BpF=z}%28Z9J&rm8I}WxRLs z&*kFc!V21Jnuyysc*&oS&Lg2l2eYMb?aVb7xrZi6HhUdOs*>(1NdE8Av9YnspNV4e z^V`oP%D-ppoW0&CD*bHGEaHE8M7G?Ubm$XYygIBR&IC=~=|t3hOSV8gccSJc6_m~f z`UknXy1IuKJB_<0ku>q)Q3T)A*EU9rPNcT)^vijA3dPWgcTG+Tmuv%?c<6uiqVsbQ zPgYh|ljlDDSMQ^PWrcxM;V00H!((;x2pMD&9#4wUV;4+d-Q{?@6T#Ku`PrSo=6Q>c zFf8NRk(cC?C;KNS`8wq$K8HU95i<>L5zxddy^d^bMm}dbSLvpdmU0aa4k8}Hh(|5-uEA~7d1K_>RJCnLetv%PT}w*!azaU+o3<({KRJZEn8#)t zJzzQRva*IkM_Sz2=!Fi~1JB3L&(Dc2S<+X~VeZfRa6YxTUn;b^rSsY zsjl{6WEn?JCq-CurQSd2@=zcIx{CedhW@@!Vd*p&TmMt1RIQ%K@vN^_SF_2o|F0_bi_wuD~nGp&MY*T;*PTR)D z#;zM9VUlle@>ukflQ8tZ`13?~xdP3FpYjLoCocvmnX-eUqf3eHJ0nIfUn-3jKI{3w zsrUMrUOJrHy!ZCStQ$B@V=~!J6+sAIwIm0 zJc;h^Zp<%QkD}*0Va2&n)ViYOLJZh^F7~^rMO;5W*3{I@RL-YD?{DvT{TMK)y0FWN z*?fzyOw7^R;2YSs8S$E_URxrwMHo3l@h4G#3^dk z#1NR-@Kznr|H;Mud}g-ym%432EO<~+QB!$h+hseM-^+jC=z(6!-+Fb%{st4jgqV=f z>3RNe6s84&msTRQD(C3%u0Bg!iT~VWWPoAM+;$0A!E4*dwiDDG6R?HcMc}@otK5u(4 zCuAFje={GYl4!HRF2)O0(MNYVbVe3CBYvWEYB-lG=PylGS_%mZ8=IRuzbtjFesyR8 zb@9XgZq3@76*V}+WXtZJ`epWBk&e-YZ;?ECVImNzAN7;m^9*8Wa0;1q@C^&o()@q!Kp zPz4|UK&zYntW!=O8ymY$YUHe0s2TS8Gizl%v{Cud@%B{yms6TYCoEUn&{hi0ylBP! z`U^Djc{{xgplB=;6W?-#DT#!CnFGXR10c%^%~Mxbm*z%qAx#PasjSQDK$>8*A5=7d zbab@cRMowna@cx9@Dd)B5){P5?Qd_933>gs$~)yIps!qmH{oUu_LMb=M_JT%*xK5% zkw20Ny*>D>K%MEY63fKY)WN8>Apl-~Ml1ibzxM!pt1Cw`PcX7-Tfq&x*)@ysz}0?b+fK z^laT4yQINx&x1eMG$O8~abtb4aKml8nUMmAPCO3maSR*qem%tcyOs^K2!>VaFc%U<=#tghjEL z6bV9kjvucLwp;v67cOiti0j=!s?Je}aXTO1tKA80c z69L_%nP{(*HPi%CZIt1&Tx{YxMUsJx<VH*4r z8;yD3wxOA)oR*A1SfEfen!MegrCVviV>`xC-LCpRCnu*zGJsKlOms3k%E)bOU5G*}eVB^Mi#9%`R1~!sUmNLerMZw5s#PtYZ2tHh;ik@FS!r#Shn{b%%4c0TU zjjWo*WTv#f9(7c(!+J;ONtbJKLlx^3kJaY%I;M>;6H^tHiKj6rjyres!p65Jjwzl$ zfB_nGmBT!(xVZS$#i0r*hYo=U2k`Gd-(%mvrb=o8wtC#3DjXk8Bg*@7iZ3-S?H@2) z-6l^SB%+ZUYUHgwvR$mKtn|(=?VFuV0~Y8AT(PbO+RSi~b}8fg`wC_QjW0!ogc#48 zD{5=~&*hRL|FyVKnBMN?n)PT-Lo8|$%+@;4)zs8DGy~@BHM`RpL1qbEt^7g0jaBuO zM%xYb51!bEs#sPrLznURx$3;cRyYqI7X%|X&}|SjYH^_wPrL~n)2bA3)Hm215ffO& z{8i|v8Ck9o!Z|+r2un3K(Q4Hef0sU!xqthMM?nJ=hJkdArmP9~y9)8fgjU&)r1#Ws1OW3*p2>UEGL);( zy6z-KDAF^(ui~Y zXtvz3V#ikEd$Ux*2O!TUyAS=~YQQ@t4m{#NLN|DmD5&4l;FRF$NyUc;4^DnCl}8)a{jk{VSbiA6#>D!O1sG_-MU7g5IEFA+)A{Y`Y@Q|K*YiEH*l$jnR0fr`!kZ_fuoa? znrP|`Xfp2$=89gU-x{iOGEGfQ9l>K71?XX>m5|G(RZI?iDD=ymWdD?U9sXp4{A59%8%KXU9j0+a8Q-3UvSU{QQCMnd?-oL#d4- z8U~K)(Z9}=C~Dz~+r6p*jQ$IjN4jdHxv-Q#dGsqCe@nu`Ahl+O0J75jg}e_W6jlDC zfFUNUtmE^f!iauVzO7f=1$FKOD+T_YbiiT;35MKg*g`H2g( z2$)YojtdB+RM6i329HL>@nlE8`U$Z`N7>zyEt2<>9a;{6ezUeGEAuU&X)y3pDseR% zw31=T?X+hW*TzkBw>jVWGVU+fp#J>rO_GTQx1zD}aWXEh(hpwESE)dd0(o@=Ijd1` zQe9osme#VIVx3Z&pOtf~oFV(2swh@0&&EhWJ1j&Zw=pV+X_?AtB8tg;a$WbZ5i_&1 zeOVWGGt3a>ns&S>dU|>l=6$5o<5q7fu2pJ0>XM!}bFlOlR#?A!P~TD;6@`0wezFQk zBg(LOvUgL8k@F(7f{ciDlu|pPbCL3{TvUBTI=AsR{^~ab6fAy6d8%2Go}Qkdzj_zU zg$l-nN4?c+bmxTmHY(*^C0=%^BCMhM8azn7NUC(2E8y%?;9 z^L|`{kVc{32TBhqn~+clqZFte$} zyb=J|c0Y3fH802AN)kO7*XanjtchvhSc$#@3^X??LHkIQCN~j%{SYF#4_(bG{%RnM$N(W-};ZDN&O zp#eYmNixi#19}cpU;renD{4Ai{hlopRA$vTn$PY>*hcZb ztH0fwm}1AeIfiwZTlAv7!j&wq9Nz43JwKxYIvQg)^qeQP_6~Xn_m(#fvpJnoN@!N z-kPio0n8|S#2kTa@Xr+O*crp3l5XMQ<>@!NgZdT=(^wxW&P{HbElN7fu=yT{V;ALu z(j(DISPG+`B{>N}r6HTvNpo$23O6RcpZi~6DsV42D;Z;57)NgP#KH3;X z1ciiD`dywe&Z-g;60W(f)MI%7GXp-I>lNR#JyE{ot7wOk@IK%Bqf8QRJW*~y9Shm=h+&-0|kG*H;1;1!*(uY7@{-+NKV&2Kw) zV*&mN%vl1}hAI6QFB18z)n9MEdHve+@7kcKxWgh%6HnEeH;xCRt1<97LI3h>a| zpl&{V`0#YIv^7!Ghe}(tZ2++28e?Te!HRU1p?i|2GS;d2~k6CKOp*Z1vRwmnFqI<%?+5Xg$RMw4kM`QmbXH1qM^SY{Utc6j0sW1hVg8~vwYr)gc(KaZQiiY#hM=IJ za;nf{*k4J44(XZ>yn!$+(o=+8EJz}^$lkwi%$A@Cph|wdX@GE|>xUNgr$6bzPG)?( z#!Tz}v{IO1E{esPYeTgd~k?db-dL170irNe8rf z3^3TBzW4X{<$n3W6UM+Hmw@#G$bReh@83ZodIN0O<)#iWAY`Def-KS2+4*+5)}e?d zS@v#waGlK43I&s#xCsgs|LaSS3ksE_@=ZDZeM@CsJv~AG$|Mc_05<06YJnLkNy>IZ zYx*}}PlbV7GF14C9iBud2)t=#ze<@tgFiOYUjRcCu5@TKH!)=l348yUtUD)nM#9gDzW%=e6mDvWh8BN@NlOh>8tk(LkiEaXy;Y!^^?J%UH?+Fi?Zr`^ zViGTm=RL3;p=+WCx4LgllEeWyQH7q3tECU*f?f*NaYD&qvxwvzjt@JMpLAa&cWZmpI`|` zN)0oRyk94YGJy#daMbPE4!PpQMDnZCCH&EvrFR|7Xft(AZ@^gC1i07->}a4zhtiF_ zV6K16iqD&;SRp}P`pcIuZ@_aHG(O&%lx~6j(+gg3qM4;-?O?~h^T0lde5vi(z7tE2LfagKUZxhtoDh{Gk4j7MilNE2_%~%pIwW@8Nu{|qHFv}>1 zIYUT6A-6fUKAtlNNdKusWVtC6f6fG(5f z%Y6oICvE(SII`ZvU$pePcRn3$MA&B-0} zgSP$2V|NaF>?RT}0Bl)?8u`0BFJ5w4RN7*)HBpZ2doZ#Nw^9RWx!bI^+Z z$m^2!PQdMAkDO5(WUiqpqwW&8otI+idsjO1{C$Ujeb!mkh$R{aoJi?{KUskh zG+KqJB5vVOJv^>!zsw9PL5Y==l#Hti2?~10@bud&Tqx5_7;R8e!EmFTLGk`EWx<`tj_Nf2mS1^eW zE-`FX24N9!%;R9O^iT4p!C*LbfKPn{xr8Aggoyw2efjmJw;>^x=b%nMt*@(_AZ6FW z37GdgkGe&6Uk5E^-f_LO?L~qU|FeK+!N(@S*Mg5JB9?9`O0Dx2b#30;6jtrRLPw;i zvI!*d6rhw{!OWBg#wfWhB*lFD_U)jQ6e=j7T!UsWqzjk8p{u@Krv4JdP9&~|ya?lY zGPEtEed2YzMe%V0h*Ajb7UQkeQh>g7_4Pd9JHc#uZ}e*~^YNQH=#?7XBw&!Egl$iLmKE5#eWQsOnr=k!)OD>m4m?{qo zGC4^AColOCGcz-4V&?c}zG-%;K)tKT>nc;cI`;)1t}6$y4$zS&~Q)(ntu=Z zqlg;a+{4_P`87wtbT_=&opO&w-h?4YmH`}2q^bu7lBv0QXGa(@sJ^lvISo0aL-1&X zJooP4Qu1X2I9ziTfuKNWW1}dvZAE84aH$-folT&KfGq!BTtsE1O0|dX0}d8A3BYx5 zk+GI(hgSZxrsLyd%_1$_W&;(Vwe#dlu-Rz1%bAlH1qxg*&)i@M3+AhBKg#3`-k>bx zyGO%tcW4kW5LoQ8cJEg*v*cZbqi7$|KYZA9Z|@%{I2&jxs%)$d@-`Cd%Fk~`qC5&8 z-;w&3q!uet<9YH$wF@ywtT(`PnrZdV00zP&OiD=j6}~Tkx~@2} zs9@YL;-mBZOW1mGaEnPkK~2K>Zw<8Dh1On;|& zc3=#k@GUyM2?Yn>3AFBbjvaD;@8~~gfHV)XE@%~bAIKA>XzJA3(|`dt_V(>tX|yHW zMyJ-pHjUVpp(1(HAleD5y5;bYi!FyKu>Vz){NO$PJ8-|baFN!>iR!s>>0r3N<~N~oFo8Upx2066*r+pv2g%{K z@g(SKump;+hW+4fFTd9Y+?ek-xqvykJ6aCPxNDS_m=-`>womQ^NlH z%;7$@)7bx6&K4S-8F-Ek;yg*HXlMo6rL-W@X9Fy!e&`8_m|$7;c==Zce~iDsyNlh$ zL~>6(2pSBJ)vr6yVI{%Y0f7k9-Q9hpST`PAuLCGQ*oX;ryXC;#%K?BwFln!A6`8Pj zrKs2&3x4<8oQq{~G~$RG*hd{jLu=ssG03&DDS0K|RK#>fjajVzxq4*3yx)3q#XA$^ z$%3RzV01)M^0V1@Z^AAu^FFZ$#FFjoYW<{;Z$qcT3>zHyB}fDPg|P!I0A&wkat$y> z(jUJ11{+-1`s?d3p&4yM-%d!|2>V?KAt{m(jJlB${S~m5-|#ZDSIP9oD}ZH z^x$mbC{vw&|1=G-qzhb2-8t}>B`|V1Ae>Ob37I^f<4H>g->uVUlmLDK2EQpPvVHMP zUZ(`i{kNtjKX~Nqr1Bhpe?te#<_c5)2hKA}WT;0kdBsTUAy_+$&z`YEE zkq5xc=_N9mW@|#+Dv#u*erN0Xb%}46508&qc>S+@auf<>O&BGd9U>eFz;aAxLH_01hUi?#mGOpShj~MMCn4(#yaP`>}a(U6< z8V1h5!s;rEoD#DZ3~>TQ%@xjj<>eSM2y-QBx_}Dn%(YlGctB)ZQtRo1afu&hHWJPd&ch9uU{j~4<}%q)gTdy6V@{}CSbq(+!XFg z0fr|zzs+rMn*QwlZilHWH?G0Fy0~~iK&t}b9{f{E86`RbrO9aIPbxZ5AJ{j zz{@HzHny8|baXJbLz8$c>_fi$on-j)e#x@73{RswdV0bDye$0r zlVChbsR03?H}KS0lv6Vy>ertlsM3%oMXj(Aj%x0)M1E)gVXJ*xX^&6jG3%|(_vllo zk6E#!xgS8MSo@hKN+$Xtk11}%?Acg)dOB~H47zmq?Yj_o9r>cCJ^G0m?gQ4qX0)&q zssySLZ*#o#<0s}@8^ifOITblHZLCRBWQ~}9QRp~W72ze^7-L*Ivtv~l?4D;WyR%2k z`>4B^ykO`rs(tg3B*gGGN_?|efm|AE* z_6P3;$0XE9KAZpT!Hfe%B-Sv-De-h-$V!^mX>H5-yWbOow6+6mw(MTlp(rcvK63d z-7yV8kDlO4-S5ynK?_-iAQYeNSR}F~etu`ScYm&*h?q(hgU}ys=E>w8?zUeBWaL;Q zXa*>vfl;hz5sxREoKbp1Y<+S9Xx6DJxMeHw8Z@n?cy<4so%taXz(q76vX)(ie2`|f z31RC0j!X&x7rv+QvY?DI5%2F)IcF38rxf1AqJ0Hfv9_3*IOOR!9^ME>)f?he-As z0ym93#k=6}SWdsh{$ly>8@P_U6BQG#9yC5NJHC9Xkc=>$^%f8IOz<^pJ zd5KYhzFOYaV+swI8ApExUiU5zePDIM+_I(P*Cp;8-%PBKks*9?Zi#In^?AM*{#@_AoeZYc^6%e|0Sb*kA_%Uqu?|SDB7fJ4 zk{|a>(7&m|;q@~_?I@m<4ym{Ix!^!}gVPcQFf}BYmx~4z2810ina^4hAloo!mtZ}h zA&_n!P-Z;;>m>#fEpQFY!p^-OmJDuSuP{PBKgS8vht>`qR0HxK)lb48O!XD~aL)rN zEfxxJQbJhu*cj#Pc}-0i`lqQE8m1m&Mcl3ibm$`Cq``trs6Zag`FKnB(dnJv&Vv3I z`;LW_+hcHG|n!Vg!*8m=K z{JW;s0{q-ed=fIHpY>|*BO_%Hplvkit<9z2N`$O&Xs@vt2S)}W)^U~^vphMpi{_Q2}FvRmUaO4sP$w86Z{na6u%qb zObmS5e&pQu*r5i28<~@Y6y^bvnr~~HXW7rxJ%;uS5l&1a7=RFnXPIf?e6lKaM#W^E1XVDANg^}r$IVkr$0rn07lgg1R8KQ;_h@=)4O-ENAKbAp zzA^#ZX&3Q+&UMIpxA`f&_CJ`ZpVf13LW&(23o^rk0HpWraP_AyV0x&Ad??M-hp&h* zL&iHZzv$JfXGx-i-<5wC@EgUy6m`De}$cr_Qx6LK_w;ydL zX)oW!&p>~*F}l;3afYc@wEGB`z-)=92{%@4f~7h8)0A5ME_+Ksa{j{N4stqRum|Ar z1BLqzBGlp|JGcuKh%Gv~y8eON?1JPUz$i6Heb;T$iTf!+)H?p5JqUduJ)=OzOMZ}y zfSOvdK;40w`BO4@CauGNS6~UKUY?ws{JgENe)sNOn-HTRcpqpniWNT{p!P&$a-toe zc6PuCh$|MsiJJ)h>yq&)V&?}cDQYfc5}MWApK;mM{ma>!Fz9oF#+&kXu%B|umRWj%$e zh5T&c*nSZ=vOK4zl41Rs>skPtTpUk3K*E>Zo-`bE7VxF2Y(~R@V#X5zEvM)E`=!~s za1CDL#WQwc0s9$QxcK-gusDP?H0^B0iVNJam~kn1rAGNf`U8=9rLXpIFOhs`%I|tTX9;p*UjPMD<4)qJ1P58{o~PaKvMciM!ytVSwtNW%qGE>~{;p0y@s) z0=(vs3d$pYR((@r3F(F8yCursv!hqo@}LcTZPUvi1a3Bn1a~F+P=|Vuu&|7Csd1Q( z28-BstoUnhFWc90XzhDIHHXTKp8yVd4B850<0wF33!~DA8?AmhO$u^0KhNXLS*)fhEC*47fjs37IBQayK+^nXor*aqz!j*D&mlX#vD$ z+#^=6@bNzI{U`w3>J?@^H@e|UI}&+Jk%@EY)p8}HHr2)Yjii7<05d39S+Tlpj2K^E zUEXHb;utlQwfGH*W~j}2NDhKv5I-)Hhz`{+GmP@Ppzi8Mui@4!H8!qMRg075NRI&l z0~TiO00xPm-&d~^3YChPSmkh8<$iFnzR^(0rI(3)gpBuuvsgyrvTo+sP1kVt*wkEK z9O8q^V*!f`2QDW59DzasLU%f-Ao*--{Uwku!0uwfjwS4Z2nzB{fv$xDx^c_RtgV_W zLpIuW3Y`%3dST%1jK6Ot>~}A(sWzmTFqL$47(RXU&C$L$uL-Nt)K2&UEWw=Nb)sVH zrOi#-D(84wh#3!nKKtNLecY3=Wgf__K85z4L|K+b0zMmqVDHuxBU&g^IUz-XxFey^ zliqPv%7D0;KdWve7Ff(<4`hRf_OGr{-r?rY39{{RyvS)N*&uF^XcP>DhBGCxF9}>q>Wk9 z-0--e4jKH399OZoXbfr=vdwPN&xvOOw^^55{bVKyuk3HqDSYC3DIp;-Fruji_91X5 zrS^AoKcI8}aHlM{d-?7c_-!41AGzlJvTs6Y^YS>JR~QpBL{XHzP{`=xH`~fApVPd* zwSP432)!M*aEJHDj^Uf}59O^az>}}WNVu^qRN6iL0zpf0MfaL_sV7mGb$1dwVch@T zo>*yP%s`IfNwnMBpxt3yBIWgCv@SIBfG=%jwf?UpnWm6&JV;$>h(_NoGH7m?x*KX% zs8?G8{}|F8WQ zNs};iw|LZ2%m2cWhl2fky;CARhAA&T_jthxrhFE~#7wRCTKsVMArAlrxP|c$CP%;l zj)`V(L6{{sZrtej@{VJ~?dswcGExyr$l&PedgBh{utBMF1P>Ji)<|Hly=u=}fJ&e% zr$4Nxl(CRDf7`)Khi%!y*p0pLOr(Q8NVcA1nuH`}0_n}jzc<)Lp`@Wf=5E*E%-EA) z95o(ELUw`jdY^y1kRFJz^@kHFAg+9!o=yR?vWVPx1&)jy`rjg98yTA5QP>TakFH^5 zpK6KdZJTrrmBxy7{xAFCd2X$%U}nY$!850mCr_S~*Vc9q+|NB^lkx%=9L}gQd6ptT zE0Ki3S5`A{ldjvkdv>;&+9<-V;7(AP(I6yg>Vmz9F*Iwfhnb^kG~5|p{aqt$^w{kh z8~ae&a&|KS^5@8emEYx&c1{FwcqGg(N{Cw_>in-Eg@1?-jXy?@j0KKnAhY=p&IT~? z6&PfcJsaDczLu<=fw9kXcl(6Fk)Ki24;mCJ2_&Bhz*8zonBgSh%>jt=du>hD(UBV_ z4pP%aJ`{$hc;MwBJr2-~T^|L>DnM$o6Rrp}3THUmz^s&vRF(1YdgJuweNSkB?&rV; z0-g39Xy?O#ChL|Ke}L)a;*XSl$1CK3=YKF0R&D$KgU(#(wrThM&qM_Tl1*PhLz=8} zVgPwI8w3)hvSLqIZ`vKb4{DcMi_Zs6tEBhfkySV?$snymP@^E9E%!P}9j10Z6aYd< zL?nB7Jv3UG7f&nEXo3K;-#T#9aFF;-3FLC|NAbQE6Ou zus(ISe@W4U*Lv}HSOs~|13dS-WALDiEGoWZkkjG~&`WGW80Ojr2V)_O`xa^yNldz`yE{7ewrl4P_I^JB0k^~? zC@F#wc`nL$dud4nj=Yb66Y?D_7q|%QDR#}zZLm#|NmP&p!{i6UPK%3+k$?UC<+qq| zqy$=OC=S|{ijEFBr0zjyM{73t32qUTo%TJGK0f!YiK0Yu{1g%ld^k%j-IpdR2-Xgt zS3lee9Oc1@mQ7U8Q>2LBeP3JaF>?)}{_>i%PRUUO0z(M-xd1g?eSHxN3r5HT1Dc-+ z;5;VZx+P0XjrfcY|_6X(6}4U$OAv=59801>VZ8ey}epeb3#&P&x(i z1`e!H!Hy_?Q6C3*xQHfQ8hU&8c>qZq^88ZbfANTlM|~-f+0fi3=+J|Nxy!*Hx$5@s zRc4XMlTtd!bFi&IJnoLvg4ih$`5)ebBg_QfzA2^(m6P+%>R}oG&IUl>D8GFN&aB>J+T!A6&XnKL(CE2=5{sSvX%4 zNq!m#q4TSA1Bk_$mX{a~~Bb4lBtS*kYS=_2Q<4|cJN z8=Q02Yy{)i0~3#$brNc72zhc`wHvnGZmDkNN<+a?5`XzWiit#-7`I1Jv`?{O<>5Hf z!}stsj*$mS=Rx?Vw&`XF`mO_V8}`x3i6Jco1%=f{YX1}o$-KA3phwdRH9o__(wg8q@!NJ43uLa}dBb*-<8iTk(9w_I@fXNITz_ER!mLoHYK@QJ$9niCI z@$>3JoHp3bCtlv(AI0F%RSO)CYI+Wi*jF$?`8?157WjhexeLz1c`NiD0mRx|^ZBc% zPrKJZov$ZqgT0Lp53+m#GK%7GN+{y$e7E%ksy62s_=R%<94p<2l!FSyQwr}%K&s>l zW}Vk}ux)G+KG&BgEcXqX5|sn zf~u(z8fLXU(5_0z7^uJndH_Qp{eb{A`_d4YKstcH7UnikG?ZakN1fmtPA&@2f?7*B zKuZFS#NnTx8P}cHm-E*&&Q4DM=7B}M1o6y2AKp~3vX*bs0~>!~{&PIG`Qj|?lgA>VTphHNs>q`qfx?DicT*rsy;`}#qe z@>6hlN+7X)JqT56ixNrBvp52WR4TvdR_5Wffe>*5YoB|8v}abJ*(^g{pn==g7Yr=E z61eHyENqOcarhku^0fFWDCusn%%xb|@BjlqoF$oS^l*p;A|dSn5`GLA2ynSOLeD;{ z{*L|laXbmw1|N#mbj|iC__p^sKTPMB#H1w|-)-osrf8-AJfj}P4A z#g>qtLUHS(uBCL6Gfkr1qK+#@7c^YtCq4XszsMF`W2Pme3Gkjvmcs|oAmpT#rAj1? GUjH8zaMz6h literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-amp-1-too-simple.png b/artefacts/resources/patch-amp-1-too-simple.png new file mode 100644 index 0000000000000000000000000000000000000000..67b9b5505c4d0b603b6ac4e252e93aa97907044b GIT binary patch literal 4390 zcmV+>5!vpEP)597klQSx25A$|7JRTQ8~H`Qs*ZcSt9_Bvnc3e$L79bX6tw zizL6?x^?gGf}$vjq9}@@D2k#eN?d6NECVuv>`Mn$0Ie*IC*bDsVdmILi0B#%O zs;)`MmQA1)zPy{rxB_f-tOD!c{ zc!4*8+CU4NW2vg2fiHoZ%4{1Bd;t7rOGGwPiARYSslcC+mtR|Kj-@*01H%9f`Mn$B z+vcYs`?1ok4^2_ZbMmE@2^{o2{y<);G4MU`4`4Mg zv4Yol5aa>dCUEG;Cf`AkfKCPExQ9y z;#RD;cy#1l$GVj{P_fPsV4dATC`y!^3j6@H4R@fr)Urk!6vcKb@kCcD z@H}uaaGGzM3wYO}^Unu9w&+}ernp?LcHs}RmJmXira2ziZg(s-q*4A(*fF~T2_YHT zS7iq7P>CnH3Xuch%61f*$Z;H2o!=I9>(;$8J3ITFE?v6V6~al1i;G#fa3RQni1Dr^ zu(izJnq7f}umiXUImb@1K?`ItsC|?lzmc%&)~&nhvBw@0v814&Kp=-F#Ot(+vYpGV zknhF+hy@KO|Npnb&T!SaY>l13<;dakdu)zHQEaCYkF}Nqf3iD>s&Khny$r+nMA!AT zhGEP^Rz!3J!!U*zhViAY>nmL@*JNb1K&5)#1Mc$wq562N7c0%;uv{+J#X^W>fUap8 zKu5zc{$!fw`N-Mg3Cl2y+ktrinx-Ly=wcW~8`Cszv^lhR)dV?65>Sb!Drx~&Q(DR( z;hCm6LDRIrR&iHd*C%?t-jL&wgb=aZGP(>|`=&xdh{-`V4+O?wU-7O`gHMN87DdIv z4jlATiKlW>fp>tO#O7_ws1QQb7b0i_Z7gAi^a~;CM zd&wd8&9E$ridFi~nv)YxFXRZz*uDjx*nrI*(=?Zv;qrbUx|?EwA@2PGW zV3*xN#j$58^TX}5#FK<<>0|-dAqz7FGLR!%$064|3U?`%=saGpcLTD2%PC36@2J=7 z9f|DJt>_0zshqdU{^6v=qakmPUW^>Z{r4cpoQkZA+XLtWd|_2^G46kXe^vEK9*>8@ zLfd9-oTR9zs5(!(64_VvXk?>NoFW%FhGtF$`?G+2Hn zq(7D(Rhcr;7ugpW3(8JGXbj9P^N0n3QzoVZU4tDMixbPD;YQ%i)0$?k+xzQ|uAJOo z(-S$ea;@)JRl1_QbYx=wz6#IjNa&7xJFTYjox}~1<5PZ(UO1JukJz4c>A$PhmH1r^ zBw~7MnpV^zt4+x#-xYYi$rrZ<>>q(#N_GyaI$%ZGlX!KGE9((tDb`pJ>lFwCTRe_+ zrlx888l3sXf!~KoUv0#N%?+O4@x${A5!s)%MG- zoyL`ybk8U*E-|LP_zzC4Us{7|z@Sm|z4kV;TD33t9Mkmh-W#)d>A8nRNpVr_VYj*u zPkj7uu6p%OsnRIWBZm*^e;z%ICQX|+iOjo+Ndth#ftXva#scZc7GErA101nCh)5`x zcw}`;GHr>ZnvNVf%&;4;=Fp)6o?Wn<<}F&5+uuC%z@?CnP#-ulDOjgUYY16Jf$;qX6Hcivy&XGgeym38`Jn<^+JNF2A zuEN4&y!^ss7A>64DfLca^3)mJo^v<4o@iZN5$8e<4s)W1kn^=df4Gz?u_f`WUim#A zFL|FYmw(FUjqCAvDp%@8*L6B}K9^pXU&-}14rTtFnSA!~5^leHGQF?9IplNy@2e%u zm~t0;e%;CCS6s!D&%8iJ)8>(QJ5G>|EO+|4-GSKPRb&N9C4wwVJYKJt58hqGtQk+T zDStfxZ924N@W`RGKc_tz%`!+$PbK}dMx-@L!&6d%5K!=Y0sD6EW!t80fnuUFCgOmnjW@U0-&u%BS zZOPxlu)f1dOFx~5AAbek(ktb7yb#p^wJv49`9u47tm4*6@pBfCRqraw2A&Rx!{(K#J%Gce2U zAZ+rA@1aCcm3mc+ONx2z<+&W#U%6$4HmqCC@IhCT+9-ujRxaa?``k57I(~KQCex-< zI<2ygaqQ>;0E!BavtV{Eciea$+qbUc;Ym~Z^y?o~(y6&(oLi%40`R5XK_r@}U21te z9!8BAOr7L9y#2*uTAW$A7E)EYl1$p3qx07MrA(hRn!US!=8AsTFlE|Inl?Kx2k8xb zZg&ti>5NVPq0#>DM7g)p(-2Yh5zEKU)%#M7W*3IzwP zO#lb?%c(3WscDv;*8wg>t~YiPx7*#p?RKv#DJi+u;+P`FG|i1}w|m^cfdh>oyO$wr zG$|1jwZwB)tJbVvwf@AMD=VyBu^NC@twR+IRI1@^WMQ-uMvoqy;&!`VM}9Fo2qEIO zG^`M!1elbPlCo*!$dP>mcDs<}Pn8IYS_jNUmt4+Q%Rgn^%5`+=+9_J6Dd+Q#mXUcz z7A-Q*wB&pa(jB-NxEmlRC+A+T*L%OFX&FKY9YELhhum)W?RExP0~yE?r>%5de>Ep3 zXRTovL!W>C`8~h|Krbq;&8<{J)Dq8t8wT_Eqz73zYd$kxelA+4IbqHBt68&R4dWlo zjrzG9=wFms!r1HeeywTRP)*b70x1AOh%Ly%_;E+mv<}F`BY;97#InYX8}Ik+o`rKq zZAVSi5>Jziru4sV5bwY77GwT$7iYJN?ovBXJ~EX$$;k{KF)FI(QQ)PQURncm%gM>P z8ORkvoFRl*Ja68-SM83iI^1set$;@e@pV#C(x}<9XCJUTrbJgR@pwF*tJx@FkotLxa&W0V{zrvEk9^4ffBf1J!9bH$uu z&YU@~jU797@zJA4AJ=s~o-5;R@Or%y=FOWImo2t4dxqLeEjzdNK zD{VFdeh>57+p8Ot;u!ORzW_~ueZXL3^6|?6`Xg&Te}MeVH3d2WAN!8!;oF`LGz9Jd z{st69KYS%pwjwX3BJog^%2*EE1N1@O&GL^m?FjsYTuAUyU>lGITtaEm0hj@dLSFvw z2W}_ykEap?0$V(nqW;koYb^jqBNNL4N`JIQ1J6)e3#Sn<68OG?*I5Z1Ll&ejiB_;m zWCSLjpKXakakBT2$)z2#mE)I1`Esk9ke672iAVKQ#-UKN)87UztHNt-M=pb+C{=MB zdHcK$u&d1W-N?ICel3Atk*%4s9mtuKmSq)`nSZFnu26|*Ch$%bUMrqwCoA?^6lB{h zw%{1M%dm6}k$4oWP`1_l5g;B`t{L~Vhq zkRzOTBJX-_0?tAvr}uzERtKw8US$){c;KcW+rLHLkx&#xskF*gAY20ktH7_u>L`k$ gD2k#eilUt4KS1P8#-I9n$N&HU07*qoM6N<$f_n*6RR910 literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-amp-2-with-op-amp.png b/artefacts/resources/patch-amp-2-with-op-amp.png new file mode 100644 index 0000000000000000000000000000000000000000..78435ed7a48e9d1e2fd9d5d7eaf6d2b319328ffe GIT binary patch literal 5126 zcmZ`-c{r5c+n-W!lU)OW)bIx;L*SVi_KA&?x_nlyU@tgp!3@-ox5WrzE zmjD1Dh|_-qQ%_Y!7JK&aA&Z4ml03e!y!RB#XkXs&g#pF6X1UCiA!5g8mgOGu z7D-$+rA_ze{TS1*D#FpnkKlb92HVf=^#3^NB*^3JmO4C)ci;+802+^Kye%Ma7zvA* z914fNiQM1pqIPKjONR)f0Oh-Tcd>G4`CR{j6?_k#6&Z@jl07@b7V6hNh@rWacB9c3XeKM#=T^b37J2bE+)IB3tOW)kcTAE@2W66!o3jDF~fdd*wN$sBAAH_iOkcqu;^L?xiug?%6 zTwzIFr)$GoDdcaAphEmYvH+NIj^7)wzv#0gx2f7A8m{@aFai61A=&Xlwcd;gruB}X zj`SR06j(3fYk*pqh9FE*3?w#1dyuTpemfxiT;KW;sMETS12r~8-tsH=7 z60&i-!v}$wm{5%#sSsh{zDLxDXR{*} z&2d+3(o2P~u40}2Ye7|4ASaf&!+Jp4Twghw^2a$hV`8mZpk3o$1L?t8jvI+=O?J@L zEM_syZSwSve0qd@PCo7QlIDDgiZ1;w`;is7)Vnc2yQT8k=%SmP$gSvP8M)NVk)7Ma zo`6iWuhA19W*?8=0aw3^r1qAN7BSrpJ*ZEYmYO6q>YvE@i}N;x!TjN`OS&>3qKW zUwy<3Tow#)vDr|&ds$!3AGiJ=YXjIsPn01 zXUV?$v5#wv?-7t-nfbz$srsJ=7kjP*Fobm#={6$i#NV@8ZJHD>G7=R3-p|3?>2_&f zDcVofMoA)RO$r@la5i30K<#eUc*Hcq>Gp4BSbKsimBLGT$4XFt66KwyanEEvFLE)# zgIZo*zWMu8bgCgX>-+#o0NvVUEjxwAC?!36fA>{$S5CJ(iE{8!1vtdNn^m%(Oq-3% zMOs6nxb47upk!>6c$*R8l*I~t`6hiY&|YWK{qA*;eng&C>n}5d1on|$38a!r%uVlT zPeSET(l4BX|1n~w>#N+kAyp6f^Y(6fz(&e$bWu9%Mb8!2jmuG7b5Bpn)(VVxy#~vY zad_o$o)ZstwXIW>ALYNNzarKI%Vv4Y&>~QkpvBh8P!>=NQrRA-Srf_*NYln%fV8s@ z1_GijPMqXnMN3`W5j8DAT8Q=OCHBcz?43Fnxj#l;$e%JEVI?9g&Q4nR>gX?K+7+4{4a)hh(dI_U=U6J`j2M{R>Z(l_x>3yYksA)^AC8{ zg+G~=tlv)iwjOFVg38vm5`1~nC;G-_Bz|k(QfJbHKzO1Zwr;}`0pdjrWX=PGfD<1q zhIB@_FEGumoj!irx&lgu?c=Xr%|7XM^JlG2iVS(}?wyoSJT8NK{?dZUpW{KD%8wpE z+Ayf}voQa^kF9xbqOb`+KR>c1Nca1C9X{;9(3%l`KR@UYkla5wco{%KrFW$L{rmTg zriO;RkYVMuQcMrmz`%grl`Bw$`NbC%6%Ar@50oX67DSUiEDQ{Ztz%dohh_OT3K1Sfwscm$c($)6e#D_6cZDp?(O-k`Vwm9X)ZInAhzRpueaV2 zndB6%K)Gq3_8?EQ;@(ou%8k5+49=*dr{637+jS->TFTnH=b^x)lf@hTMAHobvg^2GKGrf@(f;~C4E9-#8 zP&qGnxuDW#j<3!4;fgck4LcoJr7D@6yS6*FRn+lXN1dP_7^gdXYaL}a#k^#nlqs!d z&kcB|h6TjY&d#p2<*l2 zZa<>-bI{X|tkrRpv{iz_y~M;sJk6O>4(>FxfT(9#BmM!0{rvg!u-O2`T}L@aZ}~Em zi;JuMg<;x-5=@%;@~rdP>_B>k6`H^?&{75=#l*#JY;B8YO^#)WP^{`nP@aM2bklZ~ zA`JTt1q@ZW41H-GssOY_!Avv-poi87e%nw5QyUcQagCwD&xf|07YZ$T zu*%lOtNp$0M&p^UuDzq~?(UAEC5||a-cSHT1>N^|w-N@LD@>xx54_VcE{%P?mP}G^ z)B=$CVOcd6D&*Pq^kf~$CMYN<=kwG+GmGw~$T{vUJ_)Vbk3mm$UFj?(XM0sQ@u3PG z)tF4jaKFM0+-s)>DSiKI-;0(j>uG6QE7L~0o?qD6H!DwWe?HQ54bDMRGxdAdLqBY* z`1;w{2R|2#q)y!qkq?ZWGB^OF2pFE|JY@lquB6e@B(F#Hz9=hmPCd0QHEYnt%hqlg z3DW`18R32j7l3AdI_MovZZ?mmN`s7HAy&;q6szJ#YJkVq0P_yf-Ge$gTqd}Ge1-+0 z!HqE6{#1_)vMXEnG}wa1b-ur|QdJ!+$VGhSY-Gb$V{a>c-UfuZ?vy(|gjHdwvuuxf z%SVX;kA0U9S%aVEyAN$KwXPTY{60QExHzp`9Tq%tNqRzyJwX}gS(tX-@gYQBd4@^z z_%YBt{Pw1zTib(9u>XAw>a}p?th2%O|xcdtrm|G`c+_a z@aNF)6<&)0F}l1^Hu)mus3p-ExxK=P3i8Y+dRF|1Udhd9+0T*SVh6&y@Zr;=0!&f= zsPi} z%ERo84T|eAfUEZ)ZJn@#-v;(JcNf zK)cJt7pDdqU%h{;`5~$@kS5yk$$>!BT(YFDAAD%cYUJ+~^g8KMuDd2IIXE|tMDs84%?C_y?{XRNoQHiaOI0}UH_@2;_wTK?WZ83mehU(8 zezsOiI^>5ZNSUcNZ+*yL$uidi>5Oy1NHRo$w;6xEE%}XnYe9A7d7he6LQ=o9!T2P5 zxr4!bn|Uo7*)N!Xe74(=$@XXR-}{|b!HEFuAq1br(RUBCvf}8x*j0l-+4Vy!`+Hj~ z=uzz$62MLGPIt{lYw-qMq^VfGt!?X$P*kQ;R_mtWO=ylGqBpn(&FGMs(^S68&t3 z6Ki5uIPg}Lq$d1XbBSe+)KOOmlC)~`Y4Bv&;6mGnhcpSt$MLZ~+N z1cz-jNvCiMl}c?J9v(Js8Ix4-k@$t_5i%-%r7O6O9-_NJ=LRcA*6JG@<;kh1_aFO@ zWfOxA_V<4G7PtFf8Sm*~K2*2;Vb7F!CED@2%KnVnmjq{(z}p&^t3AKU#0kg_7Ln#z zzf|8JzFK`h!|LMjl{e?98U=EiK4#xkz9ay&q(^%bz9Sy2b%1n2W~|Y>OCKEh=4NLN zChv-ty?9YrR_1*rvMGA+s$*d}faelRwAstV>-~DemCW9T$S`hxDcW4bZXmlLR`AB) zc=mMuoxE?~F4+S`qy&)MWrc+l4j@%7JT`H3bUaM#{X$UVx!>L51c$U$fQsI}eJiM= zD3RoNj;1?@z5fD*josUr$QReVY>mgWTnY8OQm3PhLqkJlX&i@@fjns%F?t={-P5&G zDK~rfM}xaN#~aDp+uPyS$>o4fsdCu23-)Apusy`5 z%5Q|>XwT$ez>W)RZflmt-HqPS;#}~{l^O+fx(&xn&mN*K_8N5Y^j^s9+?+n3v`E9G zimVYM7W9%l!{HU8Us8c!E^aERYzLgP5mz8!6AJhww6Arf9XeaY!O>AR#ar*{g+Bf& z6ya<>)t~YOadwBf(Y1)=GSM?lK3n=sT7{ary?i#)Lc^8U|q6uyWI8WfU1_frO3@(C~ zUOFp&CJj+xJg9cK8C-8K?#db|3F*}(ZU0braMFUM{l_zKj8 z^PWITLG88>Y0u7uhOVV}>uvKD9Fj;P1+pp7amkPiRDyPU%I}6s?kwUhQa5OWsE)$r zaCX8YL)8kcJY%4=vdY~ENZF{a09hE;=7A|*v@J*@mpo|Q!i-Ec^ziW5fgobtE6@3C zOCS@!^07X@F-EF^ZdcP&%s~)utem>wTvfODdy&zFWu1#xo-f{^6w}gkU-*yc zb)(-8`Rydv9k~dJUSL?BiOTTP6+6rkt0 zok?1Fl&5DeOQI|Fin8jG8E6*tIpF>yM#C5*YGXRwfiiu(w&^;1Kd+`Deq`{w-^j?A zr@ocL>$@kQMx$GD9l;|gJp?FXWPNo$r*X0B)L49tUn+6FINad}dUkRMNupMN+_0f| zAwN!u;BIIvmG9i2yVvl0WXj{}Wk~9?V~GXFU$CZ~2W|xzT)6fp)h< zX-Ua)f{CL3^mHrb3;U2_b3n_P$mcUoTP(m-nKGVQ_sc6Y;do@T3tsiI0}(Ls%t$TW zH_b2ip5GAvLoUQ!?1@j$6HPFNxc?XV9s8n*w_UHag_`TnYt(jKw5SrzeWFH%@8kY% zaqWg1FMgO%{gLGR8s_Pe;R%_1OJ-=IP}JKlwdx&t%tl1iSM&K<47?LA`E7aXKopc< zr=%c*7K3wm#RG-PB5+a0v15vdhK{9M^L)rb$Bp%>5hANIJy3;3XTfkAPGRZ482E3E z>A!}zHomm%vljYBzR4N@45Ia$Hqc8rjTjO5oxSXSOB}JVo1V0(eMK`ym0V+6U8$^o zH5J>&`+?tVj7~^2bT#UE9EZm!DP$L7-Suy+^)Tn`+3SLho+LvMr(n~GcbQRKlHva1 z=P~5${4$k(-~EA(oJ{y}KzB`*Z)rZ|{=ed$bpZ8fQ9POPUA%=;!vo;VE@CRrILG`C DTDEys literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-amp-3-diff-amp.png b/artefacts/resources/patch-amp-3-diff-amp.png new file mode 100644 index 0000000000000000000000000000000000000000..8365e2303b4c061f4ca935249b1e2096980ee346 GIT binary patch literal 5514 zcmZ{Ic{CJm)bNb4WhvR0B(fxXLo}9=6hkPCbufvsjAbw~lA0+({g9DmEF-04EsYra zpb#^PLStXEhiqZ!oA>+kJ?H)7KKDHLx%WADIrpA>Z_*803qd|zA4*>sRyQplU6!xkM)*%#yjk*=?3y6w}(hLYeN8G-J z@zo3s_sjilBn<$FNx;l6JL2+I$6^{CBkMXhzDAvhdTwf}+4<*jlokEQtnf`B>FsMC zu-+vUa(~GsOmQLd&w}dB|J1cgEI3Q5ZwPD>PiAs>TbOEy0_Xa_m~GnozX%Uoi|&&Rh5l9%CILLlSlN2=+u#<_33&KN zJQ8pbxXY21;~J|#sB?U4jVv(6A01oK4_E_La&iNBKnZ{>z`Vu3%={uPSPsHxY>`-5 zX?{xcK1?y(eW#uje1W1*UkOFsky}?oV&ZpNxTx3rJ-G&LfaB#iPHCb_uKU1tE$d%* z)z=YGj*vHIirbuz0cGc_dkzRu`0qKN!ZoF6jE=Rh0%#LYQHt#KI#) zdyD)~G;uA}kI({mz}f7Tl%LByK509dr(D(nY`H+mQahRO)XPm|&lAamu}Yuw1ELv0 z(Q{Wgkn-A(guG55GfA<%^cqL)$5J#)SS(O+0O$vzoR@s`)b+f`rBWkkF=h@{Dh*QC zr^L`##3$2fd!Hc%-Jj`X9x}IyoW{QB)GqS=(ejh(R~{Kwz6us74i(rE*O^5jq>y~8 zh#v|~uqKocP3)(}zD_qm!{>n%Mmf;5UzO+t+Eg+=yO_<_`OE6ru=zzw28|CHRJ|~P zk4Ek6etI}OAb>onZEb(R_j^}!k{4zn0%=BpESLC3kLRIK?Ys~C@4K~95lKYLSv}fW zvEiZXlcR5Cahg+Ex~fpn;GEWbZRvTnxc1@p^J11ilneMr`P9J_=+(00JcGH+`i00A zu5qo!*o-HPjB6REnQ+)m!!_SY?@2=%0NkT21CM;Rb+b(_D>R4M=+8W3#xq*gw+Wfl zKze~=)T)qUr$3e|SO;n?hQmZ=_|Dn(X-ybS^2B+4Q^yxYC;yVKgZ;Swo6^RpqBE%E6Y?3&qitp4G)ARRDEBcYIptM1M9y< zjm!AK^hFucS1wHACWqn(e|ziVo`?H4rIVWY{!9Cz^9I*#W-rk;`8ZWr(~){Hs`5vj zu0LF1KAX8?P~&i%IDC@(#Xa57jZ4Io*?@bnN z#EUjGxot$S0NPMUeYoCv=ZfK%=`Ss$PgyQPJ6CC0$A(lJq~U%jt4)wWRhM5&ef-Ft z8`lF(jgKG1CceHYdK6WWHC$E@P7hMWc%*+;!v`FTn?bl1nWO>fD>5|g7eK1CK`Z^D zicChtMu13?01}ldA{?C*FJ+!*o$O)@{ir0PAEKE4MNziHcBDq>Qs4lb-)>f1TF2YG zUw*~RzF(di7p6E3>Jh0U(Sx`OYe(uzzoQ@xdDdV%pLu(l2(wOf z-TaOwmr+{2HSm9va#ibFNfGcbB6WM3&vc#pO<+9J2nTYP2KZw77b6u_4F}G;=j-Mn zg&|}0+g$fG0x~B;e*AxB+^YT4l6>Wk^bT?SBvTS+;TkgkeYl$~DxgFD=pMLyz zbg;|ud$)#Soud+-^jJ`)qdP-wS+j0dSTUL5a{=85X(+-e)S6{!dQgw{mtEf@frtCs zn@cOgGfAf&rJc3-5I9g;e@sLf7kMXX-8=xU}gQY&vD zyOxlPS+?XZU&j{^sMg~1qM7i;nIr_NZi$sU!BTe4ms?+2nf{f8kbiY8InXhGd1+~o z{b|^SdF2b%(rC+rf8*?{&W5=y-q}w=pXA!F#pNuM3X?C`>)s)=iguZ2Ia=DRVuIz^Je7e zk(7hybzq%hk9>*B)_*2yFKlSlbkE+cng3c~H_;p$13dBOgI2PLFo#?<$%JjF%vY;Qf?h;rF9?-Re>dL2oDbL(084Pl;w}3g|WAVp`DJd$$)AS&aHV0Km zlCylhCc=otUw)FSbhG`n)BM8w9pGf(i?iASE}Dx}+^g{nk}o5O8>73fSh&i{cAg&$ zK+mV4#~1ouxqb|UqozM4(aFX!W*#16CB*xtk_-I^5aFgxW+b389UC3g+U%D#0S1qp z3E*7!olJ;ZVolJn;GRtR$5`uqmUD&CTUzvNCT>1ID(F+<$!iAlh}G1)lf_P~24hK= zQ%yTzlh3^0ZCgww$hi%@P$AB{f9yR-6z zsARoEoqBDZbs=Pwx-$F`y^K%bg|Whu!rqWc6`8t{R8i`~8~H6)WKN3>z7du(;K=4G z*PLol)3EoklH)as#?|G-6G%ghebU<4st`jagxdN)+C5z6i=IwW zS$W@n9DPQh8z;0-u(j*Zu@)=RAoWtJeP;xiP6(!r^!-~V9*t()!^zaO{TXRvyRheD zyqym8xh9D;kjvDIvwhF1*~I&tEjwj5%jZ@TB=v|}uzTAQ`kaAE)rCG!NhVcrKL$AP z%6h}WD0h1m*x1wZ^rF*5NM`-vCn(bG)C1NA0^4_$YiO=?eX{jY6JM5V+EU|NzS7MN znlg+>)J6XkE=jxAHGpVR^KSOhg_^XduJzc(-kSGCUTM=c>mkhzA8OK~C=(xQ^9i1` zy||}0On9nC80aBDI=^~>Ad3nQQ#`y- z#zkPWjfZkv>MB@u6K;XTfQBoE*oF5cQETHPwgyvi75Roy_iJx=rl{e^uX;kITn2nx zo!flL?QUY${m@yyf2L~0F3gZ^*dzMcM=i&5rx)M?*nW{8>7LgMt>|{#j-QE6B4GvCPPqRX>@2I4N~ zN@TT#{|1d;R0bY^-T~G)mPJCLaB99NTBZ)>{#Sk&=Z@2#@X)JH>*RQldVixBDC^$9 zxU)L!F;o{ZFY8cj`!Ra+7xVANjEtflpn!Qr-5FRbbo`xNw|ldwWX|qAd5rM791R}b zlO@!fzQ)gD(nq?KqIbulNRY_$6NkT}nlun|ireyvjCnkqR?Ju_F{ttI^7@y&zrVlD z4)2`v^78U7+jnDD;Dbz(#&ElPC=Xd-%L$rS%L15nI`_Iwg8Ke#hE`E}(yCR$`72zi z9)f!f&K|5#zTS1GcEhBtEvI*ZLx8MY<_@(cw9x42+}-Ng*kvUfj*D*8zh~!_kbuHi zfkMFtP>j%f2~%CG;D7$Hnde%K)7<@6epdNhATem4nL&4K`!}c_>?)^1Vy1t&Hjqsk zb3$(D#ihJoJ2~8yPq4rb;d_)0PrCdTtJ^yQ=G{g??I1$6Wo~cV z7`IS%BEOW;^{iBy9KX7kVEK-Dh%)$q-D^EFcH(aLB@aBlw~r20;AsR&6sb1Sk9uzBqz?2Eoorz1J-isFY7cm})#}f5j|;fEIgdPl=X*^ouX8r_Pmid(e1Ml3N5N&P%Fvhll4Id| zXK$|If*1Uk^mU4w&$AVfaf zm~`j(7@^X2F@{lhxXll91&a`sQ=XwJjthDGgtCY%F{``e8}YTEY-WK{to+>vc5R~_ z6RG3|PMlbZmxj_Ggc%1%UPf)B+`9MgB$DyZtz^^=zNk5_`(7q#%)QstBP<$@lS6W^ z3xZ=*m~R8UG`8G8NK`0jp2JI*q7hx@sdzn=179R(F%y>C+(N#QT$^d=rI`fdtZH#SSHu8qI#2o|f81jnRc zJfjV#noWuoWzy8V1*aOp=G!I0G%Ba-T_QJ#=;lH9#5;L+7ZL4sdX5wP6f|Ppy5X0E3qTwcMX96RJOW%qu(ADWd$*Ei%Kj)E9Gl0hQU%T zrOyY%64jMyC?=AkBHE;DKg^MsgVyQ0kkIAN-x^j@vRl-o`c z%^5F4D6o<~IZ|wsDCgD?KEi=z6dW6%U$nlfu>WrjplHK6C|1X}v`dSP&Z<0;%+9K9 z2CwUZN6sy>Hvvc@sUoeKJKLN}Yd-gntvd5wE!4F5npI+(#87JX0Vd*+x@TZg|ng@hPj zJb!E^7xkGM!d|nEYEr%TuWlWE1vMbrJ<;rV=QW>Qv^UHBC|3wtUXeuoqw-Vbm!3m7 ze{%W|nw3JkS+|exM=XS6x?f>FMi3 zJJltt|&ftD*-zRI+RO3ceEVy>y{d|^zv$4HHT0Xj`-!U!fNeHx`CElH+Ui;nPWuQe&CTUs4{qyo!s_kD#W5yV6V;@ot*HZuN-8guo*356-1P$ k*i(B?0XI9g=ULFaYz>Qqga8%x9u)w)YHMC;hJ5({02)Hg6#xJL literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-amp-3c-with-shunt.png b/artefacts/resources/patch-amp-3c-with-shunt.png new file mode 100644 index 0000000000000000000000000000000000000000..a67fac381fc2910ae83734c61e540bcc417cfa46 GIT binary patch literal 5486 zcmZ`-2UJtf(oZ80dM_fy5I`xyUy$An5I|881e6kr6a@t7O%jR(qzD3nND)Dbl!OwR zgi8}q6qFW0Ac9J7B1I$c#rNL%-Z|en-<)&r?#`W={oT2{vokm8lBFpJ>oHak2*hEA zL0f@9UzyfF7Ukm9xWHbnWjC~*o#E$uUfscY-w=kF@LB@7LS8jU*g}U6i0SXNb zJ?-sxE6~lw|HkRtcRcggb&i2R{B$$4p>^1kwdu$(>z#uBt-ZY0#sHdI5pCTlv;wVg z95t5ivtV)*y3zc~VhoZ5udIEvR-$)(`dZC+fAY>%M|R03zm=Mmvf7ff=CO8Yk|0b# zdRT5Q;Xe9=L#8IZe^33rd+vh)caQhy-!JUwY{&n4H>f$wp0^Pd^C*y$@EM6wO^-2*7Aw zSaq5rt(nStj^|&L5%sZ4v5N{7hWNm*@lA$8DNJY*^Z~k5w4M99s}8cV<8za9!5#rM z+E|Q1XR)BsNe1XkNNyfR7bXqiF=PyhObRZ+I^+sjmdf|%9xQ4Dzkacx5-#EwAd}57 z)L;^=9}q4+g^S)>qHR6Ie_%Wu5U27yFo*FTy9jM&YTPh#v=8IhG&i8A+ue_+Pb%DI@a zp7UmBv&Rd>IHN`m+SNnCe-7c*@!5t<(q9CEkiv*T>8J_p6P70k1^+!=Yz&QsHj9gd zBwHBCEuy(S?vvafEFPmR03Fo4Wb_XsH(0_AreQ8=uK|^VE~auNf9_%ENv3;{32+Q3 z1SXgrpUG?JFNd2TZDm-|qG@`xG|9M3f2#>ejX;w9!!yOa`^Q48=XU?aG`=J(-qVKQ z!p_4i;y6sZO#}?Tv#u$3@;o|v2pm&k*IYz?c4F*ON)BcJ~xSPimW`c`LjBSE+Z~`9s&8tOy*A&j13nG zm4(o`)9!oaV1*PEttFlrn|(w$UVypb6G^T9Lrtj=wa|d}B`vt9_fYcz&IY`y?r4gg zS3-I(-9+y5`JdXnvbxwRPSx4ZcKqzuc6Gs9|5U1keqnNQvgA20x2?H8oj;pBhKVOm zj0^3b-Bh1T92aaWCw-uwXJ`7eG*V7aaDV?qQ!iw6?lpT%-7OZ=3Cm3PTSR{q|9{j3 z!eVmBc^0~)^+AxTjSwFg?rN&P%c>zb(BKopauur5@baCHHYC4JBDFx$ooAOr!XpkB zPBnVYL;Z(qmg9`%Kpin!bobTxjzsRT8gDKiMm50=9-``gUNgjuT#xO}h_Vn@czM6O zP;jLR41iBf_Jd+j2U17B-4#ndw=iilyJ{f;{c^8aW{bzN;1RqK{vz%zejAsMwtCE=6EP)E)*(`3w4=RSWrYKmukQ_oH7- zy4xcT1#8=Gj*-*G#V|Qpctyf1IAxy128fJv5DJuoPlecgk5Xix8Yne;xXXTX)`g#p z29aq?CuvO8N)d9)_wS|^NH3ZA7|%V0HMnlecSP(&te4zBt##tHa5pL?Nzy}{e zbx@6!X6=2Y+_L6p^byTVHNy7BjWWxRnaqYo>BSYgJEK>s?Hgnkl_~X6zkU>-TdaTc z=1rlZqM~|uq3w72p&t~^-jXtCi!*n-4YsT zt34hx>L@U1XnOYS*%!~x=ke}-dh!ynjeSbcFoz*a(2__-6U&Py6f?Rfc?c~38X7)_ zL;9J;;xXitJnqjWE-`bfNVfBJf-ZM*;to>wHO9}Y8*{e3i&qeE)CQXZDN=_Keq(DJlLIL zsL=kZAW|Tdyiq;DcK?O>@br@x%F4=|ZJ6Vjxh#)s3v>H>@w)asW|`?e?f&>PQ!c^r zQ?upuT|6_)lfJv=?Fc2keEGzwBVuh-FJ#p& zNAbE~o|^BmrlzLs42=8vTensc?3xYHTFPuKJqM9se-~LNx+*R7n_BempxM3X7)C(y z*6pNFW;R|24(Tp%iJU1N>yVip!`BA!TlG2c;G|>w@$GmX;9NnN@7ZD5o&!$sG1t(0 zToRUUZf=Q6a!N`Yo0rAfqk~@>d)a?VUec^TR`-hP6+>|X|bo^E?*Y~cF}KP zYl^h=vr%2fxVWSww#?#@Np0J*oX(K86mOCOv&`49=6*K>`F3*uJ+M4cMQdS%mv-K& z$heJ_z`KEje^K=Lvqa!eAH$M-hq~{U*a_{_^QUJ+AA#!1+y9Y{MSd*5DB(x><*~f7 zqH8OrW9j!IE&*u96!^HOEZ)qx3cEB`*L=8AU%3;L?ElQ^G8zW@4DVqk| zL!6=6+5)r=^7iBO171TO^IFc&RlN?@%D1#C|Ab0ZB4_ZP{Ce_kwS&z44ipS(d^- zr7B#ROpgAo{8LU&E^Paqy41+?3vRDcM6wGPQn#az9BB>gYI_{3&>XL%_JULn1H%cu zpikVvbbX7hRtovcjq;3-7Cu!{o<)`n-sAY9m9A=I8S7 z?|kY9J!HrV(_?Nf^^zYRz*`Wa4fgjAX8)v-=TT+U$9d^fQv_Z^b)w(2*41jeFK!L8 zMc}ueenAV;LUCiKCOutZdYj!O+O`_S*r&vV*LMq+cUqN2TUhsxjVnfQgiYzHvC}2* zLXOAx9o$;g5d^8X6a`a3^Xa%~Vs`dXp{w%?{L!j=R1;7{l6NUcxA{k+wLcB?9VFp8 z_KJgg9y6E*+Qgp6zQ-n4Ub|+*cwk?OY_rtKg9uC+qCkrCpK&E|q+! z(06;q-g$eLQd79F2J(YPe5LIv_E2~dU8H6?5b0vzm-n+f*znp7q#o5r!usja1W_+- zo2!XCAG%zRKrcdSgPU_Fhys;#7Zu4hP1yc9?PkZCEB5ixUXO;!qTBGzi|ey=&@EDY zPGtaK?vrXZM^bw>eL$V}V``i_9xpH7Jn9p2{;4w*`F8Ih3CP!+oR(Ql zr|@c|k)fB>!TMnF9{5TQb|Kjgv;MA|Qn~lcwV1%SP>Aiy;dpphR=2KkLEOP=z^1Dy9v;LrBWr@FjXyX+TYg5GA%SzJ+ zcc~hNS>(9LCGp`V!S+%|&jaz5h|eZEE{(2^E#jx&_wJt&2&QXrg(*KhV4FOo^i1o` zTFl@)IPMg;w&pR5hvA+-e_rhuy0bj?By29}FzeJ6FF!x0W%^+Tb$K9)eex`JHhE%X z_uK?}Do|;CZSseU=q0ejqtQdvUCJu_cwDgaG2-d&Evn>nYcH4V?e5HO7yGn21pR7Z z;jmy|75)YB_EvzM?e^H|^%YB>Z?1D#PcbzoWqu2_CaU*gND2A@R8-9eJJ*|3?bxm+ zKeZiQL|<=Dcj>ujyt1+q3bLhB^fNyPGg;jOI~e>SZsaE7oI!NAz;C7*;Ys<5w%FMg zHWC=vq83j|QCk2hs^r{7Qf-fnkMfrO4}NEkUAzO#Aw@dQft^+Zd+wV3nT=`|`MoTn zqz%sGH&$h1ZEH(c>iC&yYh_ihaV*smrKjb@Qrmx+yYb58dAiiT6e=HQai#IL^iDO% z&g%7}9Ux0*ZAIjx#*9f)v4M0Nlh1Tp6Vra(`1tsBlAgy2@4h!5s|N)!%H2;`OkA(1 z=Xt4&jf{@=#xk){+B)xcT==4{s@kaOGEi~(+2U;HyoE^hwWj2%D!G`Mm*EN5m@w*m z-_e^YtK9F;Q(UGT)Sr?_83?{Pi-YLd_t)=i^$E>~Z{T!yY3C3bUY0BgBFSNU z2|NQfxJ(?(NWhRY>(QeI?-7~bJ1fm2Zhz%%Y<}(R>=Z79*ZrdCBcwryT)Eien>y(q zKUyI(_NVeS1eIeB|4^3!x68Z_AjQd&T$xokW6H)w6NCXPfgx*N1tGiH0A5+JQlJ9) zI^)$V6Pb&Js|=U*R^iO32pu$Qnp~{HeUoR-HejXBKX+UO7=W291Pldh99lCON2r~^ zW|b)0CCG!=@?=RiR~vx9BgOjy$I7sXsX#84WXs#03IE~FfbpveQtDNST#Pl9h|c=E z-h>qFh#V~rZeXiwYo4I4?gX|io!j^gx(ziLWSpES7dz++Kh22a8Vp;nE0M1UO;%Hy z<>NTfC{acx$fvzsgJ~|V#S<85BjNvMkc@;f7-nT~^XAPrB_9^mK~b|Ch~xfUOhPFz z^57HAD-+?bPuRe$l&@X8R+54Fnt(xB{X2P$Sq*oJHZvReWY|AGEntCcfwWU1<@7-_ zsHKQ_t0<45!UJQ~V;yjMdrDa01hd^#gXd5_gtKN!J_o%S10bls58bceWI_6MJZ6@R znYP7g8|&*bG}+MU;0dzhOLTp)9d8#aR?pwhihhYEOgoHXMrqE|DbDlZGZC|Uvy4R% zjatNYGsGB)ngUN2s_{Z^8+**kSMn}s7XpWN)bkd&U-0!^lc#J9L91fZj9G`)sb4x} z+vwsU;y4wp)`AVlEmCcKx?`|L$E`;eGY*WoAAiUUnT8IA9MyvKo~^0>#$px5Z(z!} zHhH#C-0dBY;6*_f*QOPu*0b)T|^4pQnrvN-c^-*1;^|-6}Ntf0G`$Q=0r}D{Io%P z5YM)Q!C>EJ=t6tgvO`oeXbfm}yndTe!CTV|Ca$tNusLwD2lztq^3%SzC&+b?@0-@o z2K$NXL;U=wY6Iz2A@sBs@;OJJ=61VUBsaB?6@i=0L*u1;Czof+ zd-p1ur@p^9y_?QE^K622+UZtzWFxi1RF_zZ9sX2Q3KepTi`(X#d_&alPRqi^5C+D8 z9{aS*=sVT=SN)0}4-0lt)2n%r@ops&d4mWOI z`&*O!Zz1;Yx{UuQwj?e|f`e*b2Iv8yXQB>FRtY*h2&!mf%w4?0Y*24WkZ7M1k3(WX zq%zA3$YdRXfZ0v>QX(0L`%)0~4*600LlS{MN#f(L!=nlVqyU2OoXfa$k(q5|OS)1C zSI-slV>tDc_=q$aZ8Yb-%KshtwEX|y*#8A+w5L0cg^5W-IHZZ)i?(DQqN`|0=V30l z;a3YXR};h298nu>D@kU%(0lIQ*Ehf`^^J-dv7?TBh9w=iIIUlgzX9Km_ac$t49vU&D4X_3{3-}5U1;#nG$S?}zQQ+!?<5o<}h9im{GanE9FX4D^hHFm+`WN{; z!$?c9Vtx@AANw2u&dze}B}G2ZFw#=&1n>^vXj6vh%ZD<&g zX{ccsq2K^=;T6LqpkE1WF+xZoJ@ZYdH_nAOfZGaqMmiP)!-2ykY|}9ECRNXT4jOka zKx1x@SZ5_%a|LiN@@c;d+=V8ahS7skFK6e&RA3SCH{hSZN;Hu?6}T$lx=WFlWG(8E zAHyb^MhZ$L=6WPdkoanIxMvpdJ>YTRKHy|`E$T2Ib1gw z*qLzr?}YoR63&+*e#EE%iV^cCfsMfP#Fw-$0_uQUfeVwapN*EgJ^lrZPBQ16?}e}N}boPQe)YYgKk3LSrXG0Ziw<0}(>cNjRf2%8L} zAPU_X(vEz?_X0D}Vn#dgM--p&V>Ir4sRT9}M%l2fQby%bS66q!^y$+lySBp!CuQ~7 zMk0~ZqtWPh%K1#Aa}pwdTu^73}+PLdRvTo`fQZ@!Z3Q3Y+@db(rCQ{e2I8M#8Xjk zeHbmQEK0cNW#D|2s&)?YPhSVDFO|`Tk&0{+z{^mu5vb1JX|bP9w6uL1aC(aS4kI7* zXMmGX!ONzut}cthGkT3|;;JJq=3I!Nq~P99AK=?4$Hx4YmX@C#I&`RSA%+@8HZlz} z1^~lQLc@I8OI03i74S|+N5?rUSFVhr45Clw(XL_SO%rmpfl4;qo94O$z?6I&A2w{* z^?m#HJ&2Np-wnJkZwn104Vi`+nT8l!Q5lC1=JT+TBS&tYGG)qY;P=FR(!=vMCoN;%=dwjNTd^aH)f$k;%z7r&oGK2S@4Dn60lh6+F zC9z{$IW#ijM=^V>K^~I_kx#l6)lo5wl=xZ9pq_aK@=4bqfzNemkl|naE@ltUC%px2 zqJ0E7-l;`~?+A*RK}+c8qfN9|qRHVzrxqE$B1mEe<-Th|an=zO6xDWtwjkUjet{$Vuqf@ zhE4%qz={n8o=O$7$6_>wZbLrl$AA-^T4WSVrHdJ0CrW&?0A*>~jNExfz%Vfj&nG=C z_IW8*Y$$M;n0xXJnjAiXHqoxaiVXz@6Z6r$iQ=MXq21u?P>~|T=a`t&(+r%4#?%kQ zjt4rm$jFGF#e5?0BixoU_KFR8KIvBE{Rle!fZKtyT^mwL{4D0-sASs~lsm%}o=@5< zHuOA-@$mECE)(O>PWO4FF4@tWOa*T0t;YTSA94VAKk##u9n|Hf)yL4}a1DySTZ-Ga zM!xg|?n0Y?hvH^|Xac?1G5KB09)nOG=a+%6IkaOps)e`!^)ee#qDN4DmXjRWm5njT zfBseUw+tmg5i`i=I|voablFRHA)oXl;9cO)DER*X+A+QX|BOW=C>6uAsB&1SgQ|ig zW)Hvg(l4ON;7ce_$y;CD}$JN)@ zFVFGv@xVSLmgJu!z}vVTW_a96Y8!W;SE4IMqe@-}No`*z@Xa#YbQ`Mu=y4p{1?1&8 zxt@4Y)i&b!xIYGLi+#Qs_L!3(OA5F{~op#PM4=+*S9Q)}XMqTb?Ds2=z^XvOeM zv?F{zdVRVmHq?RKh?7w-{jLKWGSP>g!?Y^m8C|;*J|CA$V?hzK*Bg(-AH8uZI??dQ z<296ew-JfSWwD`+=uP%R-1gG9(=(^`CSU~dv9Y&}tsMuaV;}%qlw)8=nFT4_{8qJXt=f>`R_N!&OeRD z*f*Ee(q!_S0Qw=&C?7^6v7}=VioWYclbaFcFe(k93^Xb$d5Sr#%tT2Z4`PWOON!rz z8DS*3*w7-Z*icC@F_(@3sJvS)Y(+lkJ5XrpdaT$`(U_P^$7M*AxzY}ND|TOBtk_V| zn3&zO98Dfuuwp|+VPf_NucJkm#9~7YPAv)`CT9PTs@Tx4a34w<7);Fm!z(uQ0B{4^ zre(#3a=^swPu@XGyR%Tl*lNrtofQ+aziCFj@&@FSUW^qRN{flv|Lh=MZ0HK){=3kn zL4L)=91IeR4Mk8+ye7;i-2)SI=~zeaM{=z|KIx~BPkI$rZ0J2q%%$TM;CE$s&KoF? zbR+V5tOq_@hUfYo6LT<0E;jTqnk-hdPuj#BY})8qY^aqAE;eLh4nAID$7`tYVnZh8 z@bCx~UTnz394-=z4K<*}mWx~(R8CCH;luMuUxJ1j&rl)7hD^-iB~`JZVNNaTWlYTB z=1r8~@QN>8pT~ z34XDm9)#i_VdTxDs8{xi4LwP4GDoGw#9X=TLPdra02d>l^kq&hDiRZOW#jpzFGh(K z|AKtdfz0}EVm3h4sK%fj;d4-u^uYO~P0WVJKHy4}KKKj*nE%eiY$Wpwlryi*u^o9+ zRxuwra%3|wyPVH73gRu-1{j867=~dOhG7^+TK*3O@mBXNM@ZNJ0000qBkx*L%Yq(QnAkPrlfp*y6zJCrVIqy}k*lJ1mF>F(}k=y})QTko&O zS_8vf_wKXLKKp$8+k2lIuKZaB3xga31Oj2n$x3|zfe_U}AOs?GRNx9-V2K3q2if_9 zoEkdtf&zXWCn6~cW1Y>vvxKy zaxi1JcQQ{u5g`YGXhCvP@6|jqj+Wg$62Uhe=ck_LjKM6lbYX;Xc3R%2a}?4NLBUcz zb#;1}EZwsKwzGOq1KP>p4#YRIzk{fn4zg0n>3 zZC+U*U(rgH3;PvNln(gzjk$cI(|x({(qlOuN|lgXgDfg*2)CeB%dIHm@=s(??@jem zOk&#bUoION3}%|%%Bm|N&P1p|#GPiUb86%&psws5Bvq}DHu*V*C=Eh3bx=Gxm*PNG zzIFT1ehx2d-HYOKjs8!J?O=e+Os)_aNa^7Q;sefQ(_8hwU>FJHL7*g}DC6!`GYU`Q zDp*lG($Rk9|T$w8}}Z=WCH@HsqRCjlUve8FH zcGy&&vVvo27vjk}7PWJ%GATK%D%i>R@s90b9rDt9hLDW(gk0-vmc0`-_9y>yk1svyGEx>O+N^gylJ8 z<{19TAQ-0qK7nagq1N|>MANv|gaX|MyA+ui^fmx3kdZlRAT?8ac-@f})kOf_bMzWC z5yXHL@Uf$+cW6>y(afhel`7p?=N$ZtMyCs}p1R~@XO zN9%6>6vQMqvCyseH8=Vk(uM_s+Xu zH8nrI`823lQpfvq1DF<9cX2Oy&FH`TlR%{+>y^z>OcC zBT<6fyEwXXba?`+hgI!J|;@ZDWa32lP9A8;`JgPSCiIQ~DK2!YV>_ft~d4r?~$qR8z~et}8VvVTsu-b=O7U%5+Ty*Y0us zR`?ZC*p+FtN1dZqby4mAeyc6qw>JiX^y*RiA)LU6q`}X4wNeSZv`d5I!?ySLg>N5x zw=0GHm^8B2Pvxq@D9K1-$U#*MA%;@K*MWfTlYd5P*6yo~H>LJuT6`7@Ki)E4lfgeo zry~{WEm@Yc`@A=#A(U2rAwzA{cRMltf{&=dq={OYHgq+CCVdNge$N4}%i}uDIu&FiHWJ+&;WlYJ-m7TWm=ZwUV?Lyk9}UmfUXtcHta@3Qw_q zqj~?XE1Km#A3ZjgSIuY;u&gjY?@on*AX~sCJG4DK9*tFH`=oQysQ^g>5iWHlM&uWpsC_z73)t~16K02p}H}e>R71s@W@JY41&HQ!iUq6MSC5uY#$-5G%QY0Ov9uizGoo} zq`wSvD?7f0jY^aLI%Di&>om;W{}(IPn3kyHVDIlEm~g_maT~CHwbH7hwTifC1e98) zP(r(^J6P2|t6UKpvP0q2B5b>aAO=E^Eu#mxo%lQAbgS5V-S6&F+aJ|vQ2tic?7koS zu!VsYtPR~gM!K&pQW!{c8~6qKHx7cUKH;3=dfvykANFJR{?qu$(Ynb=s!)M^*&m??{NljLtQ5oFkDic|?EFkHMtw=qk^PvVo()Un zpZVND$&?)$$<0scSXJ=SBWELeDOO9>x#@M^srWb{xKO4NqCYvWv;6)E%~ir+lL-HR;yLLhiK@d!t2$9 zo;fw{%ydHybLw%`QVnI5++sFfe;tr=ex>#uWVt=7Bx1c`6_7#V{H#Mg*(X|@COe(V z>23^h+_ev56Mqm`GnKZ>B&0z>@@qc3#(e0F_!gRcY^{bFYL*anGe8YXN zS?f-)K0rG>j=ZXyr4n@`_Kk1H4naxht+>NmalLbD2jbt<{)8$4#OJY;Yuedt&l}gm z=d-$OXcK1%f;w%63PC9E&ZJ(RdOgBptts;J6_>Z@k`OvH2p(d*D>we$ifzuCDmLF9 z1)vT-D4o!B7*O1)OMgM(1j5wZ-Pmpvq0CrZz+@=a17T2+Lhh!$lw_Jhg$>S zwl!uhG=h(^F0R$GPoRwn@{s?eH|A@DH zynBqF*J#gTP+z^7$UgWq6UzeE`GJxx@WG_rQ&c_}W$fDbppOOit0L$~IXsDF(>#N# ziPG>NYy#K_&;AfQsA>WgnuVZTYCp*2iLc3N-|Y?BDjtZs$x8J`T{AEV0+t+N1s=p=2gwR66L>8t{sB%yjS_ zB*zIcN8mT3wbf@1I5`KKx`Jf3#M<7iuM7gRWFSAwDh>U;RiYJIpTaxVO8L^=vxEZ3 zc|w*$zB6JJN(iVO#Qqz#0mJ|5!0_=MxTk|Io9ej;jCzLjoK{j87;*RDy#dP#gKuSX zF$QLxJapGf{$is?>qXoTn<+d-&4~4|5eiQUxQmhJBjwL{lbW{D;?YQ8~E2n&I zj8g&^zNG@_S#Cu>x-VEOyz-?~{1*2#Q>~Dtp;5+|9&buYC{?4Y#)E!#-p!Nb>K1qT zNignl|Ck2pPn9@TZdxWq7lOmWf;PJ;#r?F`+RsZq#O2Ew6y%P+U3)_QQO90qvpE@~ ztbDhch3l3*xZ#IaNO&zliGb^3kNYa)+*#dk^pxcDWQauCZN4LD?w$6+*OO<=3hG3N z?_MnH5`c7P$^mX}@(stm*FO8R3z$QW4+A=tMTHK~o1`xgS8}Ct@LHv?#7PKbicfX)Nq9JOE zAog{bN`d*NzxuNh9P#psw^V-Aw_X+u=r& zUF<=c3zGNGcn(2}$vA?gow?hLq2RQVsWUVB{_lRV9G(8(wArrto)(JR+gvfb5h9dN zo1)v@Ky;(p0i7H#=lO}FeQ9ykJ+zVv)iTGZ#)-4-)pcq7FD`n4_~h(;d9gvDBC7GL zK4OK&u1oB;UHEZM3hKj;LZ4hdUBR-t_aGsUAQI}P!(#XLu2d!9;%fQUml;ElV~3YL z(sE%(oT-5OQz<@26g=h_y48|_}&uH7#-UQ5u0hP;;M&xww3+^ai(7g!^!)ME9KBwj(f;*vk< z#6f%e0t0(OIsxV%SWO#0LuV5Gu1bZ9S^I`)gh`2f$`M zK0WV(x74KJ;B!;zj;wXaq)Hk-&AW@7XpF!w@5hQg;bA)@mH-{n^-l@hCZY7#{6d%K-AYd=x#jZnPl zm(z0&bHyP~^65`#yXG)j$j=Bj5EMEZALosnl$ihQ34ha$zC5;UpP~vJbk*Xy2eCR8qqJ}S~ifT zAxNUuiM-oag|JhLxXRiqnWh!NEN7-(UE*23T==j)U{QK2)(&A_54)Gz=(~I*PJK3y-$i05d`o}(9m-`u%Gs=h9=Z4pn**y~ z{FTrd@^k+T?i%kJ;bWoq4H`BY-7Nz#>Uu@xJ=ratpAzi$sW+AIzXY<#nH0(q?hwvW zpZmDu=`>Z{+UNW7SqNgGhJ#9tB#1H2sz$mHFsH%S`mT9{CKp`eW4MhX!x;UC23Pz?22&F@xL71$c|c=8EHrCiN3p zrOI)Tk(TMkQTbo3bgpausgUCeen)HXt)_PrdnO2lsEKU}lp_8N8VrgsA@GiEC7q7M z)hlyoTB`xsSrTGo^6ngQF@O^8qx|1Lju&y@3L@rJei-d;+w_WbCqsvcOS$y%H%LW~;js4T6+?ReZBNfT7W%Q;%#;^~Wk3fN z!<^^7ikt8 z?%JqM{a2h4&h|YgC@_9R*`u(IF}RmFpB2lDY}Y?9q9qsiGs`~|kEWrhQ4wZ4A9|xU zgMN$W-(+7eenI!Is+B-q_b^tV-v>#i2y6$nR*iQ+0@2P&vNhbeo;NPPJX&@kJ|fyb ztD`&oE|~S0R5DU~pBA@HgVX{UIv`BJhOOfZ~sFR_M{*hj6;7p$tcF2U(a| zpC>^3>W&#~SH{HQOT40@Px!PtQeIWp6)<_cpGTf6sdcuCc6ENSTm{dmza2jCypT~x z2yBX+I|8DLxX=b8m;BmsxALGjR@ND^~)t*Wi^ zsHi9gx(gh<`Oq|maW=JUdAz%v?CiB*;0F8sOVcPW;dfx7*pA#RZl?_nxMk9Thr+V5 z0e;EqS)>()A63!(t*Y-dI3E01EEYgiRT@jbfgEJ_AAQ}z99H64g=hg$hk%=7k= zW@YmG{pfgwP9`M@vw6ZcIZ!etLSex%DBMhuyTyR-w?->=)BIdO^S8o%WbWiR$8^oA z{OMyFuqnC&!SoH3kMo)p=wzqg5WWq|}K)lgs|r-Q}*m>b03kRPhia zM^?uED_BVp2C|fCKaY5MSS67!#Ad}j=#JxK3bxTc6jRpyaev2Ye zYBX0tc|=mm%0XC-RPmgy(2mDwyAATHjiRr7>C8HlG6-#rWP>u?AnX!<%^O-??Dg^u zf)~O=PpoK+LASF(k=xtAlC%1}p9<5inxD=f*h{9)(^79(St(1Q?kdeVuQT=pMv=i~ zN~=6T>D6LF$pof0olaDCg{u7xF;wBv^RZ(}`s+c^{mrL~SC3l-9M2n9x{qw8D}5DI z*Dudd|Gv-+Z@-bdZLRt6!ViCEU5P>LMMkvvyIYPmnhp*%s%!=A`}sF1ox@fR zW7<;;7#&ES6IbXS3(?@Z#nHvLx!NR%?ufG+94_K${tFz;fLoM}DE+JV-utk~#wn=EbJUgz?R=GbR@FiCN6 zXlU$O%w7>>#-yIGBosCPg0j^^WOU^8Jpw&C;)!LM1=AX=G%xK?^rSXBw5dudmHir-9Bi z3S6!uT&KZ}X3cL6^RX2%#m>GElbF?l3M052tsInQW6l#Py@dy+3V^$qKs}%fZMKVb za_RTHz4QjYD-&P4IAZHlG#wB?@}JdHUTuD%h%3fCI1Eb)HPCxfd1Sh+OVsqm%(#&e zddtdcv*x+7Oy`91yf;fzSqMErCkmj#6HGylS|c-YqZ}LcHHK@_o;{p~$ktq>cZf1Q z@;+w|&W7ea79wP_8$@!U7C|Cs--?8{PmcuN0<1W~L-0F?)Rxe*$Cp}3ytqwOs&8D3 zmBydfxK+MBJ^wmY%TQhKW!e3a!fag^9K6yyC4Mbf$|yIPs-enOscWUBmAux=}|-)Mej z*W@kYB|n3Lf^?*|o~3H*kudWL3+rWFaeGs{G2Eo_g zgM&RkC9}?pPoI;S)G5uZ2%d&rMA+%>DuoFhV0}{2>^(aBR~3+%d%jnz?NvpW5taYL zB|m6Fm?F*07OO%XgLjE977sz7EQ7w5+A0CCbRLkIG6E47w|pQYH^Kk&r};BWyV6xj zY%Jx}aeUrUsFi}AX*f8DkdW}|%QTNFl}zx3Y*cLzo_Z;>`P~7xdKqT5o9}nm9=r(o zoKm)!-(Ic$e)GU}l3-@$$eb6W)oQK=V5)@VWWM^}zt0fCW&F9Gy;H8=nztT<_K;{* zIwYA{aSo7*V}??xelnfr1{{3q}zWm$X2r-j|4nhLlnYkAd%@yu0SN|yj*$(li zpv7rqVZIQxiJJ?sJ^{O)Bn41=u%-s35m+Tvlhsk~2TLhObX8N4`o{`4)#-Ww_C`Ou z`~jThq4F|_=^gICXIILBg374r?E0XU0~8l^=q8uzw=#FR0Kh?lC7MlPB^_xwoZE3D zv*(|X`|#5EKfflGy{-SGDK%;bKo>>0-CQcw2#RVoj+%^{qAO*=iA95mfb;OS37t;+ z#F4Dq0(5Pl%4L57J8PGLzW7V9#qeu<)f|=B)WV|s7m2TF>5!2=yrD(@hJ6_Ex;9G< z!6U1x>5alAqa||2cSa4%jxE3q{w&s5UP{tY@O_opd;!bxa)NGhy2@w`Rp|vL703Ls zq4-o*XEUz!B5pGwo*0h zD%GmS7QbElcAWW>3+UaA;FpjqgP8$d@=1z4R*H^kGqy%8WAVf-mn*-BSKhP1&IX$% znz_B~tqiURSgHeW{>+?{HV=jB!+Ud`gbE~`db=l*wYG)urBtd<+O)E?%6cl!suj$M z9|u*bg73buRxU&^d`hO&*VMelS?iY)d!?a9UY69PQafTm3-|t8Ibu^uiXmW{ht{v) z>|n*`D_}Da&k$R0yEqflI`X;VQwg?t=P-?_5{g|fFqIF0 zx5Y;E_tF1O{4lJlUXH1%s||~X+Tw+K5++G$wiRSdOB>ORmzAR|_Bdwz8VDN!8pp+K z$(mr3^{(I%Z9w}psQ!CINJfwaL@f zrv4<~THKV!N@)UCA+^sv8g!(jsN@EChq;z9QN73chA-GvGiR}Ej=a}~1^-%8PtA2p z9t@M$lLBN7)0l*VGd2a>RWP@i0a==Q}td)pTTOX{9Bo9&x>kL~%BIN0cSm>(wrYrw- z-xr7Q-%5b3&1zU|FlVfXRarik5f=&(n*@HVod3VG08w0Dy{8|#t^UR40AK4|TOFNR zTlqmzHP_F8D+6lOX|yVpcC9;TSnXe~X&Kil=5WPMI2=8w^%((~kWGabMAI{Cwt#OT zx;HVbtYU#N=5>?LetgOos!+gc)vfsY`q|i4Kf*q$J>{eTs~|g7ZP{^*i_w3C6eCi_ zJMH+NrX?#(>U3Yq)8}=}tXblVtA5LPb`P>Vs=RW1PCFotn%64RZwrz2{EiyUV_EYl zS1nYvELQ*iJGi}kW3H|5ugfeW$VX_CCz(TkG|rsnedNptzizYF9l5^G5fQOZ{SQOV zj$egT9};$=?~G<*DQ8bfg>Gyh&=jMCMqmOx#+BfhYFnP1@zgJ0i1S&GY^xX|=f(Cd zM`!h#>->cQC98QbQi2=zuNL2EX?!Srx-s6GT2c#DE30Q3*$UMXuR0xnu#qiY8G;8? ztYj+gBHgU~(3F0y@=?!$(29rV;Js+Kn2_Xaq!zJ?|8)<7pu== zE^j@=1Vx;v%H_GD#$q{RNv^)q`jA*Em+R|izu1cjr?gW$3W3zAVp!ztVy(3XI-$*e zn(P$dv8mw0u4FZa)UY39PA7X)#UnXtea6G12d%rSGL%MZ2KNYOGkHImV~TdF?8y3U zl~%g5^cL*|>JDbXAQ#~VOg7jYk>ht|?H{V_0^x_$O=+#L(?qC4bY(Zo$;bgVvKb}&OP_41 z)~n7K`-bEPHR~M6;?8FH(_%m7{F{2a4-X_hCrv!}i~5&dKuB1kjIxqen+HleQTf$k zRIeuR^=-<1_)J95YAXCV$jU&6o^rdy-TZQJlgDF!wc_GV#{ic(*2Zc1p9(I$=B00L zqqie9IQLIgQ}6#`5V!Dpdi`f_`1$GGU2il^P+v2&sFt-urb(y$;MSLHJx<=*nX3nrWqGeW{Q~ zY;%$fG7j~9OYLzeRv>nTc)IJ~e+|Fq3C;343pwHF>V=^gOz#5cB5TZSjNP_m2{w_s&ug{tBhX(oDF-Zl+#YFq&TK0HFNn0r+vG=E6GK)0kcf_1iPb6=2#Md zCg$75AE$8s6zWjdlAD#r>K(_2Nq&3M5v@iSBK`~)o>f1QQ1xTC&9;5_ahrNQkU-s- z%GM3d!0hSRN?zvl(5%DUe(2dQS~)E)I>;|RWznA=?(Ivw>cboA8+)LYJ4=9$DscX| zdAE94?eQ@Gvu3|RSSG`Bj`-B`{vxt^C0RyyyRczx_l zG`y{C(0AP7J05|Z*{rDc)Ehn%mO1j02GN_j&BmPgJ)cidD5R46U8Q2Z{X{Otq&-=8KiAK{bqEz~Dr{=JY2~?;%XD#^!<@anG)eB_A(nnXK zN{ea8ug@Lp8+hON>A@hZG~Tt+p;6G|0=U-f*#7YLX7jY);zwsO$@Rk4W2J8_Birlf zidA^X#RSy4Ux?;+Jp=e_^IRC10srNd8{G;?R$*Y7fvwdCDOpTG@!++lay?-MC0CK& zDU!ok;!9xX|45GOH7ca~vc2T!8@rR=r@vm!FJ^vy@eUlHhv9uZ>zH5?opv8A{q|!! zivU7s?+8WZ*B+Wi&r@Br_5OEbSxkr7Q z7OaCT-*=fA`RSd#J#MaFbZ7xTsMivw%r|NogXQX5Z!$!8`|eVblDtjc*ZF-bP6ln8 z^{nhde6{b_koM>?Xmig-(>~lzxDnU@fi6N z0ROJn6BfTI(4E7z;{A-|W#D?l2;;YC*>B2^*w(~?YE9OhYnNdpmE>#8lqMNiTP;If zb^N&&i#_ySfDUI%cQegbmp5wj4@<;~Q?ugKb&RIxoF%g!Ui_9RvN~a2yD!rR4;?(= zG)G?_O*k&$ToRwCf1z%c0kbtPgppv$_}zJ)*hf?F%Z=Im{A!lDV$5T4l>`�nMAo1pm z`nP3fWVe=Hq%D&U_KSrFSZq}{lzdsJzTN&rDUvubSq2j0NiOdyA{=2DaQ&Qu-djJcP2!Mo%0G*4jnMh7S9jh^E!q47SN!)7zqv z=0lHK;2G`%s=g5DGl2!4n-LVL$S`u2KlgCuIBV$TN1?9;C|^%O_PSr#NgX02`^7|s z{zFbG-JYE5*JENn)vh_*GE4umA6QC) zUDY}ryD`xjVqSlujH?g#^gkUpsR&+uYB&qLaJUjuI$W{x!0VUAj5;3A|HA;_E2%0E zr1e=)x+KzKx{WCFX3;uw)=kjC{${Qj3*bZ-qgyaC7ghN8zRBN~lECi#c-5Ssr+xC_ zyvdku8&A8=cEEt9IYwFg_?dhG2t$oGoh78+eX1?@-PZp;6r=g(1#t=Pc%cwSi?UwB z0}>#Wdq0*%<&R=Fm+bB3V(I?}whF&ePzbu)j?-)|Tdg#a#T3U9l7N5cT-+jbG5diD8~vO*rlf_uR`I&uVsN>@JdtO;UcGFxo@A> z0tjvj=j}%LD22C!^eKHN0*_YKVXkm0@48(e1X{FeOkZm;;fRGJ#jHYFVHIi0)Nc2$ z{_z)|%XLZ&KNcxUBN%qso~MU9`SC>2U@h9UP(X82Gq2^i=_Q2Mq%M0jI%mpkbwtm> za(L4Jd+rMMgE-$m|9uq#+gm#C{Es}+zZLiXvvKXaaHJSY?42i&vjDCt|NaT5DT25x zo#)=S2DYdr42!(Q-5d+tzM!k=@B&AxAL)$R_N`RGY7i#t+%MWkd z^y=w5eMwCYQc)Gj&$?4$3xr;p#E;^aP$`dP;iKxu_^WZ*ue31!m`To_#J^7KHsvp!sFNIbA<*X$je_8c^wu+F+FA+M-SCrEGArrWpO4YxlX+e;5bbpErc zil#BR&*fyvlj=k|P2R$Lce5GwnR~(E(Ar7M*o6G!%Q zb+|(pz<^Z0hhuO0690cS39V8IizjY!O{6H4l|F;DHUToK=JJO_+xlfU<2Qs}m~%`( zeFHe{az^maG~#4Wv7}taa0%M8?ysLy5UkZ)+jc$2VkreLS_hf*W=D`l&qPiOT3T9u z)>7Lr)Gs-Gngo`np!|@1;Y~q}1@D66RFR5v0$D7eCR;UL$^EY7<-T-&_7Uc$JpJtqCapj| z>pC{r-+zlB&~R~%_xd9x@-Q~)x2O33#we@qhWdHE5TFuQxg`}~)dD8h3~2XAZ&(Md z)0qDGClu#2vGh4mdV70&RYllRJ`_WDyUA`ffvc&)+I zRQ{lf-vm2pg{@3aQyO5rbk}p|2e&GKIS?)oHYWY$G7))&>x187YN^+-OdF+AML|;z za1{`NebVk5)1LZ-wMIl6>A|hC_@9XK!z?kLy?4%xQ5OIvg{y0yaHYbjL2U$lh5^jlF<>|9SjHP5*<6ryNkS>zzVO z$KVwQ$sl0{3`l&g5^~dXSG2U;FTPzoJ&I|sUlSWRXcvmvjy)qN*#uuR;@xrc^SgKV+TzfNwRi(Kxf9m!0dAE7E1?1%Eq=fg z+X39q^e8y%V;&uBp5h@Q#3)IKgWK^r}tG?EHv!YsH1NCX( z0Fg`->A3Y)f7~j4E`0DFc1iHIm0&1{f&0*f_fpiTy0fnS&U*EkP%$XfH;vC$h`eFSbveiAPslqZB_%k? zk9;Ue@Sk-J^)l_+Hekg>d3bp2m8XTJOii`4=8?$A$nrse;!MQ{1ax1vJXe(a9J8GD z_~X;ly<5UWP@+ahM)ZCFqgt`~+z-!)Mu~IVC`1cbXKnyJo4QN}^@1*zY!Xha2AcNK z>EEUV)bV1cVDcFqZ@@*be)eN1#k%+%zbqK1ho?2I#lPh6$5Sz# zFS8Ij4{XGCa{wE}`wHcUz(jqH5pT;db<)$*by(;?W=XS=;r+|Es{R_g+uMpo0$C|3 z{LbBBcq9Tbm+YJvzq?sb7;mUx#!wT$%ftXLODaPf?J_WT#XLioyQgl1KR-kUR!eQ! zf^Esrk-x@}a&7#Og5^TX)UHRO#R`fKkUDK9B^|=a|7$x9i$dk0GQc6+zYKX}5X#S|-LvNh%r9ziJQ$6lT3` zx(97aDM>+52<6=z0}foc#m6yvvuwa_q{Q=l4_0!c+>HjnDud5yt6zy=Iy8*1_#iJj zrbo>+ILrsDWv9t5T7yV9hNmL!4-4I8;Br7~fWe(F*W>C2icHB$_5us_(8{~x<|?4M zUJi|bqDpPGmmjLTYY_x!vd)mbS<3SJS1|>eH3dRkkF_650^2v3&|A-CYOInDn;2$w zok!+@Xq}n^HAh1XoCSP&#B~|YnBxo9^Zd7fSz&dcuJyCQkqqdq?z=G9;_r>*19sv# zvkq=U=VM#NT((*o*talTbY$bjd7${3TJ{^5a10_p;@bdk;6xqI+_S;sJ3gd=Ks|@r6&xO^=_I?kSLDBUiLyYiB3xM^syV@UG{BoezMz zMk8vquYW^-|8`&xfU2w6iq|!{IXOFX01t7r>5UKz#^g>@X0g$6WiOW1uPhjECIE+N z-IpBue9I59fxS|!a|sYOU*qtk;&7SsLta+|F;JZ~)!#ZNJ^mJ;e}f>=2IV7+(*R^D z$$wmZw=FQLSboS?>>|s}&HWM4l8)PQIF$ms2f z4b(MHeI6(alJYcGpWdts@&N^HX+9zgD=Ylp zl<@spoPf!I?FJBY92}=33n$HtYPG^=mUeb0 zk^oLSqV_~Ov7kgL6MlT$!QA!VP|FDMg&Mwo2( zWsCJ`%jM@q{y#uO43F0QX?xD+fREd?Dty{+>LO$|m^haq=*%7yd(R6rUAKX!s}sgy zGerj@x4EjZVf|8IQ3{XO_~DcQ7KeCRpQ2sf=GMGbPpi}MCwOXD>fNRdbMQ+3oP zEyna1Z%S{OgO?@2)c{gEo~urtmrV1AYXpcht@H(HvbyDkwO~=Q(V3{ZlAoEc$&6i& zjtmWXx88`q1vaKXaLAF0#DHV7r!Xjf-M_=Jdy6U&bFywPM=zUzq=F6-RqNQ@-jP~I%J=)UYayYc+=;C?(`Z4T39Wdvvk zW{1BMzJT%V*}9%I{cBuw*7AmbKzJFTUw&8@T>*Cz8GB$LeK}ei#{!UG-}nR2@C}8K zi$xKDoIGl^NnsiLO(!|@ZmW3Wl~!oFVeMmv++l}JI3)*35}Hz|0QdM1f4{O8BYfgP z)}FopLU>~`0x-l_B4`XGsY(^5h%#dYTLn{CDRPzED)c+9$T$bV=Dh4m*ugUB}$E z)8NNZ=*}w61a0%KSw8xrLQtIoEVzZJ0Um-BC%feZv;ec*<@EJe5(f5Wfu(4#eX#tdjJs$RqjCir6~fu*I-zTs9*MD-@mBz%W<>U1YmKSz@D#A_}C86 zzTsY=kvY&%{?HJX@uF09S_~?5S+{6sos@TC^1lS#5cRKVq&t0oH2;c+=TI^mQ&<=X z2kvOG4Dq$7zlivh-(0)#~`oki07 zi&9hsxla|rXTUm51<8ypRB$Ga8(!p(!D06cCnX}u#8lpP^*JM%6)MKA(^G=G;r)r- z!De;i8aS}y%8`6R-C5gj5M3%-x{|;)x$;JrSDeJRFZ=@^HrNcF`--6oshsP%o|ijR zzn}*KqXxROz79GIx0f}i)au*Ng@|zgLyeyv9+CE)mgX}Ywln4S6wSq!>UYD_h10L_ zO4OXgPBsL;6cQgte*8qe-+by8&DU7IC<}%ljdpMpd4?Z0jnVUUu?eAhVEaQlRRbDp zm)HOGcg3HsCTCau;lA!5yvAkM0FZufVO`Vi5_uO(&TFjLhFR14+(FrXhg_FsS-S zI)BB$tbza2$kBW?jBpiw)>UTO?cb_@F%I=)`HNrTMbFO<6T-V=dX~i81Wu0#xd4zK zNAK#7`)qupkd1SuZtE`KezGL$ce@^1AqIcEB&MT-9_(yyGniz|0j6;}<_u2~CDM%D z+TMPGguNt;`(h}Y&S(3zo8R$s$XKAsIc7u~;?zAKuU@ko+ul;+<%>{iFN^T7qOgYY zL&g%J7GR=Y{eULDuFJb7m2+}*RUg1gh+-{IH;ao*#Sb(Axq&FR>3q9WZlR)HSGR7b ztuV3EAClPNci+^#hJXah(ZCjF9mQ4PS5@5MWd&eQW>Du^33P$z7A!Ri@N)I|CVOlJ zG;9<*#AlU7s^_ymI4Qufu03%Y|Hn!w6luvHUVq^3s(&59hxF ztYp3)cP~u4*FI+@)Rn$3HB$JqZ89p>K>vPZK`mRZOeOc@(@RQbIvP+j(rHt<_viQ~ z1C%So71R@^7wP=&hcn0FH^+;s?ptv(5n&!3(Ez3rcsmdLhnowf%$q`W;yg%S1gM3F9Zqkj_@TSu+Xy& zdsfO@BlUZ@=B_WHZz50@!4=tRc*P+gmM|2qBo^8U6vX1);rnL+xtBjcmJ){TV+p(Q zKj*!V|DfppoUfj-%ckKBG`!pyS38IF6%`f9=+xQVtyYhTE#l+JfKO{}9~2dw%t(9B z&6)O~t!>{yolGw(_+1KpL#%?IZCh-0olKPcqD>Qp-cMPReq_0XTG4nfe8tOI0v8~GFWR4LR@48k#X3d6Ak&T(n$>SmZ} zsY`(E5m(q{f4}_08KXoeWMNZs_FHbeffT8h z?gE7qSJvlhT;@Uia+oI_Do}%ngL}kuOW8@TL4vRdrAr;#UCc^Ob~CE}I?@&!8EKuE zm`Dh43sll4@M$*NKwA;Ei&cBs7{;Za>P(+`Lqc$G4u(=T)){P%SNnHWAn7U>Tp}7 z3W?Qb7*^Wr)yK!j|0)>cjQv|3taR-mA}#`8(=m?f?QY;=fNG$p#3U4hkbt0C8~pIj zcELpncCxIOY=#o>R_%H-Nd6kIejo5E_EbLf92B9z;dgQ)H&EET6lnTaCNKnpEXM|K z592;>G2!8O#3b1RL^)0TrY-2#`kD(~WL?j$^O{0m9L@Vp@Ei(0!b^Oa-DN(m+Eb(3 z25=X>davKd7|KRq#gY9`wYPV7nObiatWzip&g>C25L7x4*Yf^XGbt%{+lf56Y;V{J zd&&?Ih+TDB=|4}bRG12HGx>1wqpM=EO`&c3=0)*q@}@5&L08CPl%j9GZs>ob^h66o zKJhSdIFidn>$&mtb+FNJ)TIhw%W=7x!4xMkyjq*jN~PpY8UDib&#>3cCM{695) zWmJ`2*EQXZbO}h83P^`^hjh1ecZZ-LC9QM|hX(2H6cFh{N_TgCoBMlS27egiaK+v$ z=9+7+eU1c6bonY)D0?hB5JlmQg~zKPaFUXeiuiHRG%jngu}mQY@bK6e8dGBqZ8sm4 zHF~)*x_NUYZdu-zAInQD!TIB@y1kS%xP3t*`C#stnQCaX!a?w{{SEJB^gp%M09iLu zNaw4O0)|8r0q(z6$tG$nmFuAgm+&cEzy$t* zh)ME6!x z*&96uK)!MzGcqo^hMTXpNy1H0375eR0_`6Wg5!a()XQ(4I*F3HLAhe2Yy8mZIZP@o0iV=( zm=^}NPCWVBw_`dd_DVh$mXZSiBphx4)mT`n-}turt9S)c6RzFAdnS!ZbO^vSbD}Nb ztQC6o?5#c*r(S}TB2EE-Z=wIOKJ+?jP0Y!0$_OS|g=%F~c5o4G327m^TT-*==jC>1 zm1WzThQ+cx_0T|wh>2NZrXhmSLyKqeeD5+<4~n4W**XJ@UioK>LE=$XtT1Zu77R*4 z%ZBwKNYA|PqpLDHnyJ*uX9>#ta1LLzL9dM|A5)>f)^J-XwNYvB3u*(*S9~#Q0&m{D z@wwQYGAbx2Ffi)Pc|y8{;|yj9(kc!S4g`*7fQo_SvO8TSf3(!PXjn0W-2-bRay~AO zn?&*VHO86@>02d(s~Gw6y9y39_S1C%BY5th$+E}qh%n661uGNDcb7OV55tMRqRNOk zzZk2Av{=wZLklnKmnnHXtDhY^(jE$}cU)f5k`Pv%`bHISopZy{esB8o=g+`j4vS3? zKl3_dz?C2$!U<=i8Fq!o#298nwBVI8_}#bv{)`pi;^H#WXr3xkHEXhm)-nqe0=rzL z*@mxImig0F@%d0aFjKEir%S8zmFzn`-jrA>W>^?!9eh-e?rmpi{^So&1(|@A%Lt~} z#dp+nsH;yw5-_;MM#Oo}Z8fk1V%Aj~M^d&9S>N97p!iL8kAh8W1Y|e!Ox=MA&1rtP zjIOTkS$=+g1E8|Q0M%Yr^4g8Evn}KZMho5uhjmz`*woatuVj}NCN3`S{BRM9PfEJ0 zHC3bGK6<>`y{GP>0CEv?9b3bj)_jftq#tlD?Q6edNw^yxCc55N^!M92B?feusy4Bl z(hRK;nzPIci9Qx&m%NxKTKZG{<@QvfvCa699<2%{*F2>B!&Dg^hwAi;sN!VEMoCoP zA4^cM&FCa^OKAwX?@sDKn>~+GDPy-F+uPea$W#UnVwLqP;s~||z3@MhC|(H(`M+>N z?kG2C{x0NOC&Iwc&qgBx>u(QbKS(kGk7*Y3a8Q5vTaR?wbL#xDb=k0lmI3{mL*v{< zP3L>hP!U(1{S{K1%GAL4__)ZJz)?#dx9zlFF)*Sh!Z?Uu*`>pZNC)1ESaxDo$dI&# z0U#xf@DvsXMn=pp_vaq>_wDM#?*StT-I}w^DvSU82PDEiYCao0mNUlj=c|t)jlxcE zuCH?eKs;Z50IgrH_q#cr)6g$_&{*Nm=KO|`SWwXQK0@Xt4b4Ba5XV<|xVZ0^+x!<- zS62fx4)!m6EP+8kz5Gl3oMK@Uv`LbN>JFCA&d$bg;g#jZ?pt!2b1_aQ!q8dTeGg$3s(fTP?T(HQ8hvnYDCeFonZtY0){IL=>eXQ^@x_ zr)5ly@0EkR!~}SCUm@_nftnwIA!4ir#iR;p(pSBOEQI6I9umf-z?Og#H?7I@XlY=> z#ez4+RkqpVAX!6oRvVnpvf>{3fdJh@5mi#ca@`z3-Ct<@OGh&t>}n4+ zH2U!dgs7+w6x-Y=}w8v!a!fzcu3aj@g^zdbJlq_k?X1skNx=70%-XW~ir73_A@=fb(FErXE}Tdii~WCBS8QaA)!=-VQcApt*wA4 zOUiJP74$M9i3G&6Zr-LJJwv>9&nSrz2POS|Ww7gFMf;=ARWh3%Tt}sl?|G(l`4&klnG*g`L5|94%V=j)bc`s@}@ zfmgPKjR+Ra-UQTFI`EP8uLDewTUW4**~}=-pFlz281yB0rYK+J4!qKDhK4UCMHioD z_6oEr3^hUONi=rhUamWs9SXMumQ20~!YH}Nn9$Y2GK~x6u~EOXAUYBIIlDm<7kW5C z+sMFxmy(7-68XDGVSC$`t2iLV6%lyzD;EzZO?+S`IXCsRq z&SzY1xLGE;CW8m9%Tk$QfYGhBsRfXGEb0ZW#H%+VB2O+^WA#<>W=%mnL3%-8^J=%$ z`+As|m<|lsXW&9mX<$HB8%g6)uD6?`p{YjQ2DsuV33m*vGNb7d8W)BrhzyeJ{J4Wg zH6In%LF)Bb-`dK92rW2V#RJ~6hK27VOalQi7RF2M&pDbF3gl#Dcg+w(q-*q8f~7{A z$)Y%j4Xs9o8Pucye=Fhtyb{iJ_JJ$Bqlg_bV18#hQ`rBu9Bdm57k*q1Gi3Tvu(KYa z8QwJrDd~;1g2D(7#K-o;%%MK*aIsk)&?qpZa1nL(o@G&9&(Y^kFTl*I)YQ}_F>AbA z;R95_oy)m_-3o!6h3phL;0l5mKG+t6=J@V5Bz^J9i{FCT-WCd^`1og($95-Xh=T+` zrzEAZSG3Ctty3=f-*vm6uWJVSLW3P79r2*;cnm<z9#EG$A>Wc%w4}h* z5y70(wR69-cBS!q6PH@#ZA++!>Hoi3wKZg{CE2` zwhfDt8$SbZPHSg|Rosf!#2&~OynVzR|ETvGFxVSjF z@)2I#9=Hv?y6HeNLP80Ca2g6Be%Uv08}?+2-)32X807t{U#J3%!PCL$(BL^jFZaLp z0pH>Mya<19nPoP&Z{nhOMNygNUE!>x2q&PoBeo2?M-6dO(J3 z)xVJrNJzzhAgJ~&==<~MdeGMCfSCQi}&Y!NQ}~ zbv2?pF~2nd;cd91^^Ve${0o?%t+AP64idy|oUA*LC5W&f{_Kq58$H335A8_!;>h#_ zSTNOt*}4ObDbJu14GjU0{UQo}H%7yR-xZKpNonc!mo&Qbf)*=COR(jL!m#DE*S8Lh zMUbS-OkpJncJ@_rFlS|QbGiZJ4e`+t6B7&L87BjF{3(~IwepPAxOY`==-GJHwM?Ox z_%UlyIJnk-3!|SV)s)@!rbTX&!WB-u1ZMyM^^vydfj6XYus&rmX6*bOzflc9X=Q0? z>0F1-U8b5w!0iqL=~a7ftveYMh=uHOA#b;K4REOpg&O7Ox ztUL4K-erw&j<5CYv?eP*Viai_KmyKnp!OMLv0Bk*eBs3aM!oYufjL@6 z2YNC&?S@}*fqG|Am7LP8{K0M1Lq8i{u@48 zTC;YZ(;*Y)&dY-u^D;v=8p(*qsp? zo9=7uF^0hIJ%3(6s&dyF=(1(OVfI9;oF0|A&*oK?Hu>H&s8A|~)NLgdu#rW)_ zt!2#wzda%TMi0y}Oa;<2TsHB ziz5zhBMS|UsfV1LTmo9(UBpU8bvtUZDY4(musjg~fjjo=#$RVuRaI`glf}oQQ!KPn zQ4K9ERWC}M@O`_Hf(Hx>47RWv$^ksH^ljLG&0P!L5~~Ab#W%xs2lbNq?cwI-diA5J zoR&&287!A6GkO~J%Z>j2{VT7?ils{0In84mxb+zTZbp;yxfCxS ziNIgG1Agid)Efxz9G5EG63M(wLAXlLe1;Nmt9AJMEyBoNs9xoQ3D;r9j; z-ow>kNCqE<=Iwo)G-a_?CNF#anJ^Aam$S>-l~9F}>H$RZfJi}KH}k&=2Om^8{b4_| z0&d6l_xE{M((dp5W&oK3oML+4GXsJ(Fg$$sMo6et4YBKhUOU4d?)Y`*=5LF~WO$iqF?GqJCpa{0xCtj&Q3=*B@^NPTV`eexX}jQJ_9dd1LigHaiV)XAiZCO zaF@&3(JwZ-Zgm+gnE)uhp;IJoGV#hk`GR!3Xj|f8!7H1x63}T+XQw0fAhfiA(BiCL zWe)}UwhZDE3)!u<7zPa$DyQ@Z8`sZpj#`zvzAz1Oz{gpFA^-)QDEnMapvg<`mjpcA zraN_^!Wee2kI~fYHW$K>!Pal2$VVy_y%0o79D}l_wk`K@0Shq;e6|pIR8-UoxD+_P z63sH2H*ZuyyIZcwP5a}=%`P-`Tdk0^*)Q-!xPJSDI@7YC)R%(n>d|Eg+^i?y;(`++ z0ODiXTM)v>e?XxkD!r5oDzL<~K}kpMJ+gX6Y{+J4p``uX(7Le?Q-h)p0|PQYffz{B z%gakPb&ncgwkss^kJ`2fISfa>60qRJk<{5| z8BK>3WO6bNRi$%@>hm)2|Km5(kcPLel1(rxNR*E2rMn__ibt|~_4rMF`D(2mo>k-_ zM3>Km`e(y~xr5u0wv7)4rM{egd)?OyaPY7H?|LVjQ(VJ%6JiTvP-Zn)f?B3y1~UJS zm33riskK?7-eEDGy)4S+KnsQnW-q7>rp_a`Jaz&d{&f$x|=_lr<#7Ur@0_YRS%Dgz_5Kd~GQh+Iz`^NbX4%8VJwug;%N9hny4y{|ylQ zi90~Ardd(q7Ut>f?qNCv5uJ;cHt*Lki*A`esgtnEb1BC;{FWDleQ}_I!~STl9YZ(t9TV(-&@-*0@+P@5qVFiefHnA!SsHK{G1sr`CWF;n@NUE>w= z*iAfr^j^ctutwDxy$Jfc6$!p9@VVW-SDK$yJL(S78M6FN(Qv&doXK^n%~3%&6dyw8 zz`<--)+!hn8;?@gF^KdL*N@d}z|_nvkV7W(>m{qVAf=x$6-=^)kUwbIeNMC(RpPWf zd`}GDgyu$I)9TN-hM+Tf@v}aXGWu&TJ&ZGA!2K5K3k!Ui2(sB{U`PNQB&zk9;DIb* zT!YxGQ)vu)$K}Mnj+7~*tekZYb!?wvk}h2ic0iD)P(Wm78eRc-;im`1$WR16qM;VOvcu$CiRzL#~yvWS8lvff1bUKuW<-A(;^F3+a{T7NC~ z4RE$GkV|+EP~pXZxbZ;@-V#P4KTt+yfCFT`pUJe7%prps{Kq08?iE-%pv?2_;0#;V zOP2hC)%nvNa(ms4Euz0Ufn`B&gGUdR5$^d-K7cOM2u_?s$1S+*Tia+}WXB=g)jai7E|!Jf$RX;>hfvF>KwW zEgc(QTyh}#JKFnGHq!8;TBd)boh#<4b_rd|A0HlZndJogqgmYWpm&P0#Ir) zbrjx0k8rwi2q$upCnG$VIlW2<*GEGD+)(K71{^Wqd-#w^c437XzN-tx%0gn8t(_nA z82Wo1m3Jrup>c+hCd37t5lJSApX{MVK-_3ZQ*E)<7d?_Glm@s2aw~8s>{iV_ak*w{aTQ-pmn$~|wMqzv(dAQASE8}_+_xIz4Ez(e-W ziqN}`er)R^kEf>ZO$aODWOD3?Tn`HCmc9iyP9UyjR^6*G~L z%x9e_ESXmslBy-25F+3uI znx6jiw{P%=d{4|kpRgN4A@FGMS*0~d7U4=a1Nq*g5*A`p@bhP^yrN?3H4r#RN=yV_ z*4Yy!$BTeF#U?vojQt+8-qy4awcu9C7q&9{)|6cvgcC~28%paHEVG_Pg(ZnC0zz4b_R50Syv-KQ+D+xkPhLjV;QUCe#Cvq5Pmutl~#53&< zb~(xI&v!a98?S-L#x~oMteG-BqU0Yx_^wJS+W5@?CIiwlkn)e5z9%r>>A7dA*!r^! z&fxdvVmg_2YLSiO2ZuwV0%6jE+Ep|eQ(@!Flan@G?l{Z$7JT|w4Hb4Grh*cj%=vP! z?lu*@Nmi~L;5i8Yc%nY)J#vndT7@0I-)|Y^L8+@@Wp8Ulw8f>*(MP!z3Mb=5*EV8V zCR@C}JDK}(=StdFHS`?VBhcQ3Lm~6%9S0sjWo!n+p3#IHl) zC0+yZ_o^dA{6OgO;4R$pC|$M)gk`;dPuaSc`YwOa@dce`r5Z(v?;Rq33f!yyUJ;lUMo3EkXx3>v(DQ{7Qa;)7jL=(W^>Oc0I)b0F33dzmSg6;NlyAeyYUb}RcVj|1J2CqTQb zf$}}xbAR^F`rT3c6PA^9WxjY=JhMg;cA!4l-b?4N_?FZ^OT=x_5-3zJ2Yo9gP_DE? zef2||4MKfcyA}wk%U4ons*jr>n_F!`%sK&I^xMVjmZ=3+zO8xAW>6V>1_ZGN!aP{E zMh6E#&f#GLDb0wUs*tEa&l#*n^(n>Mvxog5^kdKRtajJ?b)>I8iuGdF1x~fnVO5b& zGDsgIc@rMD=a~lyi&fNiVXAe};tlHab_xs_v?&OF$J+lh_;BCA;q7PWve1#G!u|mz z`5$u61b1(RYw0~3FC}?t>*Gt(&#K@kqPJ1Z>U{_$84z|kdHH4#=RV$3E3`i&|B9eD zJd0j?Y#eRJE<{02v5}leIO&TO9Z2!W};h9u?P{F?F5}ZVL zUxPU4sFQ>?vUM#`b75i!`+Or)sWacIq7%qc)bTdIqj-_}(&tMT1zUiA^T+*MktMfP zpQF^j%Cnktd#WZiqKoU4;x@N<{YOh%=C;N)#sb6P75n1=ItR&?VywO9??EU5b=nr7 z`iDW~^e*vT7Uc8(Z1RP(VSwRZJ%`rdH;%C%w?-+Vd=3=fIowCSu1LYR@gfPh`(3JJ zINxM2Q)5}kuH#i39;%Z(HSe5G+PmfZ1f9xJYTy=4w7u{0X7oL9L%V9Q((jf}aN=-h zVTuEYhSqlG5mt0qv&?!n+azp@^}L_T7KIm9$!-xT2%P;`y&TxRcDZs^TQR;s&Au9% zN}aC4iGcVxI%v6gDEMxFl#bzgwvwRh3>NNkR?=1kKc&URU}h89?zIjf;fQKOs_3J8 zM^V?>pykyStYx;Ju1*zzt!~ejN}KH!QUFoDet2A>d!-#VHD3|+^=oSF5jojAt7r;n z4L$YwiBD_G-6*nzl+@{}^wHVHx%K{hEq)L{$e`MN$4>0QB7W$XU*DlvY}DfXfX>lU znHEB(VwO@WK*8V?{Z*e{E~D!z46z9RU!m-89MYNN55sz=ZTZzhpvO7_&AZuN!`7~M zI56B&+F1yb{wyMOwB*l1zBTz*xvyH^ZULvoBrzjHam;lLC|l|C=_`tk@52y^$aZra zioV2LbkC(`jO}Ld-6thQ=7m!GwDS8L%=QNQF~e@1?2X#B7UsSYTJ_G_IyhO1+(u_w zL<;??>k7}FtKv^N`$-<3`Mwjhern9F+FDK2X%SJUyAf>F2$HP9ce=oJr~#mZ`k~U& za^jyzT9%54xn_csqZ{Ux$e>a{fbg3t``I@CBL?N)Y4s}`Ov`aUs1{;qj|J`FSx4(f1@x{ZaaP7iXI!_Ra}m9MHBcX6TrANkA^<}E+=<^m%RJqg19tNbAQQZ z0NodyY?OnTB`xIz`nQ|4Vqws0rzogy!g$_oDl5Uth}0W#wlCMhOl|p}{cO?TT|RXX zCta64#4ft{>iC$iO4rMUk+=UGxuxSiz0x+?0J7g!#*)Gri^ge~97_4)DfFKj5{xvJ zc5{wB7rZq+jR|Tqm4eH|m+A5K_F)aIsWTWkk`gF!)EcgwzI)SU>Hed|c1zViIzO?m z&RH5Q?ET4F<89&I#C&|1b&?3>=u_TY$ZQ>=IFez|47-Pv&c^*drI_p8vwC1UuGmwu z<*Us-E1opK=UJWD^u-X`i^x;u~9oUi-&m)J?9sp8(3D{)XC$C7EP4sE_c(cnk>>+?0w(0Wl!5mrHEhGAN>vDZJ^0EPfTO=1bSt@eA|Liv3lTzq zEn55R%W*iEI#qI+%JAw{Xc#)--_4%DTOMR}>^(g{a1K>LMg?ZDXb@G~T|`mQ_J@jx zwODS!>tp|P_f5V9=R1Rj#ixb$dQ~ob6)mTMBl2?QGvyhR#cC-_t#>X@t=K>q_qTPk z_-hX{2VM02RA8dlDD+Z7P}N>uaLcGUbHQQ|#{7n2+JeyDg+Zixx`~29scmKcuP*(O z0DA#+h5oCnY(&D>n#B~Zjf8lmvco^LTr4Vgg%iU4!IV!0J(w-;lSt_@&wYhY{6*S& z9M+f?*N}^884`I%Hx#bul$cIXur~hes|n^J?OTLbAL3m${zjt zV6XW4A;7Qg5?KKPqg!X!+wi0~dvu-NSVQ7*v0-j$iA%PZXPcs?)9OP2)XDD>7?h1C zLahk`phw2l?Rq1b*2{@r<(qWy@`|M{YJcZ$4!=k)^RRn3_}<0*uCEul`Qk}b*0|sI zS5J{eSgEW-ma!tKg;W+^PNDQPyePtoX-#z1eXfE&V3lu6M}jnwcYNpvdg6EO;@w4* zMR`=-7mRPJTu}7C4z$bhGiWQrAS;w68#%p~Iix&-?(%*Lwr14HT}YjHNMZP}_-WLZ z$I`SQrI|7EIolQTwvV9lKA!1_>X25{oR_}Gl+3vKs#IrO!4oR(B1Vd|lEAH3rvQWX zU7M~Sll*J9PKS`i6T(IcIgb+_Y$oi`1b(gVe-#Zp9i6uAOnEeD_YRAQb4f&JOQAzK`TD4c{ zmPe|@S_)#%yyVEY7_DSbP`KI5PiK3Vy!)erR+$XTvJcWZ*SB_ZuVV3u{2wrbi^#&Cc8^}dzZZa! zr|_y2pICPvbcVMKxrEKwV)S(XF0xVoZ}0vfJ#tq&*)|kK$a&z!@a?a~7FoxKB{NZ? z6k11SH?bcKyx5@9x^-P`Sf?a`lsxK3m#V0=h(*Xx|pt9%ZY{!yrp2O$_gL5(9=ub*6`0 zZn6lsgQ}5|5CDxA3H!n4Ttpmx8XtvC(yajU*q_4X?%<+-uh$XXp{#u?VIqEFwistS zYe6j4I9Hcp4!vxQSxbla+u&6yf(SRznmHc?nHj)-J7R1;eQaz5jn8f#qp?EkghGA3 z{SWjC_x~!(8=bNemjf$JPwC{-uh?L=(%rE@%Xs!^@7Lc^7~@sXGZxEEy75xGbb}vO zN=gNzWUKCf@^oI+MlSUw5-l73sxz&nkHK#?xTz8iE!u z8W>if=hO=rheOlxG}~Um>BQ(ESl8*gx zb$tIGBk@fKrMyK0!&v)_GN=}{F~izwZC0zDXjDibP7ws(^j>UXN<48i_Gi1cke&)v z!mRcO4U?3Ke{M+kvm`7clSf8xVI68_T0}mF?a0l8w4o^=wrNiZ@O4?-wAZL780?-C z5r5epS*L_onxGcf-_=%oFLI(+-WD^&W9(nmBps`Qyr&VeA-Uv~=X>!>F!`A_gj9jK zKX$*=D5hWge(8~opgDzc3WQ4j^`uUPy@s-VKUaJHgJPZ5%Q;Z3p)!dJ?m*u=M!pl@#y%)dD!OZ$8@2l(qFRv6v>%2V&k!YaFt_o0)0L zALO`+FO2#ps6*+$Gwmi+J@FAy5cOvD6fs4gu__61=F}kqtRzrj` z)B7r~7PILL94;p#2b^k^6a**rqI^ZD2$^n|#B#v^m2DRZBbnNvVp8#p4mJ2!vgkUBaxdCYIo`@oD@n7vgYjJ+m&c~(K+g_0NcvR2(f%6 zaRwJx7kyO@Sq_=1-zQIg9;&%7_yJ-2bT%PYnb%P|9TCCT?n&kKiE*rv_3CWU`Q(fo zH7f1|pOaVV*?wbikDe;a%l@^X%QW;${zT%IZ+!=7p9mu*lUZ)9tm84~al&x3KyE{{%+4y9zdqhb zuw)botI4W8UrnOrh)wtG=F4)Kz(#6K>XUb9vWEm+*5dM3!>f6V!SdOj!I~=}s^&_0 z8@UAzvU_bJIN!w(O!Q@5uHgtjclTII26H}MMoCAah8nTmgR7Cg_Aw;if^A=1kK*c{ z6t0_*KqcBn9>D+&BINSRdK8-RLpL8(EJnZJfxIwNy@IvNpvdMS0$Jx^nQ(8!vF@>JV%M&(6n zsq^y=*X5@Schc+9QMAGR$A8?+WMaa7ecrxCEVzxg6tJb}(ERZ6{t?2$jZZ={*!$_z z6T8Um(H9g1xqwCm4e!I7pRnP3(-{f#2*X6_s>k$e3ypWRiU=#6Uk=wwU6q9Pefycy z`qx&c^&GaQp+~E^B~OUsuU9;TYucyQ&X7$~+>^rmim~q6t3=-$FeYsw>?cw_j4=vk zdRzusecMvGsdP6rjbYToRl2W}#^wnM*C?##nLHYS=jYgalg)yFADP&2Eeq_xkG`h{?jy03;uUJq9<{et83{5UkqL*3$>-uM2AmlJNHSU~+rLoF>8&EH=Sr_XC^gXc2>t-U$>kw+@|;|^5w>Dx7;4t%vRKP=eA6)2 zaGT09Fj%$_J=ZH_Eg}gf3choCzSF{LP6Tbt1NVU8X}0^ly0q`SJMuuuiGjKLHEk4419(Im}vz4)9&hq zU|%{n3L=F&vUUE@Hy{xyD8@uS!RA-cmZuG0Hap?a$g7g1F0cjod}&P3{5s&zsFtvX zq$CGEWSIEZ;GdxC#Q3bY+DBM3IBgO7{@y@bP1nC1L7#nE9Ix?ymf~!vC3TFodjbpQ zK1`A9xV#_V=YUnwfebVxI-Kxm?r!mY{V!)VUIiFJUu>ub6|Pcq#qw^~#k7JoGkk2H z@iOg^DGcO{rWQwv|MA27ICx{c(u9`Yk1y%=Vvp0T&rM0VS9*zTq7)@xYIhj>e-UU7N}f+J|qIPk7tB)eJqF;e~@eH5wdi_U)*Iu1Z8;CEz^yC?6V* z=fAbI98pZ6qqkpeZn}##yj2%!-){~un#gxf?*EEb#Eq6NJ+~RnK6@RlOD$4jcEP^m z+Wc5IZ6>>g-$s>%PvT@5&hI-lR878D=hwbh(?twByc22)-!qzaPOlk&k$jeHHb`rC z*7stvx664DzQ}fe$u|8y+d@{0K=@S$CXgnK*>U>bD+SHoBskKqoY#@xEU30J)Wuzu zK6j#Fi#D#XoQ$1BzdMgV(Z=Ey#(-9jD`3x0-Z&-Ei5+d$qKfo1YtWILU0OY7JEg

xP`h|+n zU)jNd-FJVt zK}DqksS3);wmcU{#`D9!6jiJr12|KB4_iOQ3O@$j9r=bMe-RnocMnV;Qo(6!eVk0* z(zIDQ^~NAZ<$`-hwLXsq94nBJSjkCQ<-(7%lehf2H3+G~4!F*XG&`Yv`NzF0yo}6n zl~07~io|oln}s2B_A{!HLXJ*Nyk5ITa(cSmyZhQ;97hzOFlJJlI^W-C?drlhQ^aSR ztn$j}1@A`C(>-r6@0%=mVBp}(1uJ^G#H3h1}wimq%j*gJxcn$3BVKZxc@Clbg@ytoUA#&(3b;PQNQcX zpKkl(5xz&wpX}QMu!D-+?u`fM@vaug6C^bAnqW45(zd?n=6$iLnr))4cJ$P6sjA^W zkH=dfX&@%gh@`99et*j;{u%2Ph>B1Cw}_UR-W~=0yoLq=8#pf2*z-o;fjBDQX2TMU z@>>)9&HR>%Yksg)Yp*!ucxNBN{!_u&iKIXAlJOWTTe-8M9f7%BqV<0wkg3U8$v{?V z-eR5KI7+rJR4ts}zMUX(a}ESz#-ZjTKhgF`Bz2wAHQBS|XjIgb2|%BGG6cuh$ou$! zBaLtfC=|7|iFbJ|*rggPfHG@f6!hBpW7K{|iOb8EF2R>`TwkDfbQ2ilnW*#p*WeYW zV%Mc2_|_o{!)B9Q)*_|@rXJ6J&(x6koxqeg2M|}Zog!)JJLt}8e1?TbdJPJpPev%L zdUa6%)YaH-DHR4jaI@*vl^(MVfdhn2Km+6lRV8K~-jC-^!Q?|2g|Cz-GU`^_I7#Jk z?EGcTX+6W9EO6M8^v5vrC~pZ1=SKyRQd>Sew=0MAj2~9hoje&@ zN#KkPPLF+U@XZ5aetv>r7(?2Q?X$`L7??ATve{hA(&R?=L=Zg~?|#zKme8)X*)Z&{ zuD*1FQq&(mbkQ{|_8*F{2)I-a{vM;{vBe&}JRnF@7&iKB15TJJHEPd%ay)u8%PT+P zjS&&?Y|ZPhfF}`i4l*90vFnQnw)$t4Tg;!#n9#)cyU=vu@q0#lNJyS3y=!6h8&1jB z?>WuhX}wEQPuDTMu5!nhUNA=~RDhz$3Fu{u9F<=^1YGtfg&$mHA1q!#9gi+#*bf%B z`dgV4?+&-_ZUUBUr%PACSrcmoDTXvJhIWqDa|j11M$(et4AcH4h+Y{l7p)Er?Fg;* z{3?<^RUagX`(wBNi130WkDR9o<}FXC;%JWFZzl@j1yMb{y`UNByzb4A5C_YP`3Ie9gOdA4k6bs4d$)^d%M%zN+TW z)40-WcG4B5ef#7mN5nB?U2bIW_jbz*$V04bZOuRzJTWP$3aE%y6%r6KN&kIk`m}xa zuF@67Rf#^~-mf#B=`Yb(gknQ}zheCiH&wAv850`DMj9K^2!&W+oXLN;N8&^tD4um; zFH}sEve@t}D)YZVuUK~b>*?*b=woC`%2alsREXm0eJJ@o@a$a0^+#~j8@r{JADf#W zAtfad{cGwPnBH-Wu%+PkovbqlG-_)c40;@G|D0FwR{l0^(u$0jKy*4(S6cPW@c8iQ zG-=+OeGdt<8fahpk~x3PWx+Y@Wq(%!v*7gLLg{mCviy(fW1LJVkc@yPNGCW6PF_cc zBq=E=;0xV{Uy0fg2vNOCqxxv)M}%ffG$6(Wz4^{78WGE)*~{#A=q9)6_hXz-ybMOo>%=Sl zS$zVOPMW3Koo)UQ9oB!yW#E3%+(@jnZtO)b#*wM~I>6}DDgV|`5(^ki&1q>#2QvRR zW_?km{$!-2r?*RC#+3$ye?P8-E?0$Pe8yvmTiJnia^XdmmHKjf>$AJJhyE_m-Tgx6 zj@ZnFebX;hIp~vr7SEPh7h-e02$EoOY@>u!2>7?4H?t1t`37{F?=S0x9M_t<$E-h; zr#vRx!oVN~$V$9dx7dmsp&4C$I9httcb_^8FknZngNyF?ZLV`tHNDAMr3<|}{wvfW zNmF_*MRE==1ffKC`p(%9X;|kESogsPDS7BHL|dPg~b~d^&2} zg<#*g-{5S+)xj#mYk>-FZz$OD+e3Oufj>4!pj1TCx=cipOo#Ri<(t0ojL|KzPYAzv ztlfDf>R9hIR{A^WSFSo-UK*^7-+PEJ_oIxri(Tw6R4bdV9Ca+g_0dU+J^y!Tl=UxB z&-q@s`EFqsB?tewrC3s?*7H3D{YSR;Zjw^V;2#~d9cLX^)V4J5lKm1COugS_n8&lm zwWvIM&Ga!Yp}wtbzJaN5Vl9*A4mO!A1+UB?$>A?DCZmBUyKB4Ql)Zs{mRnS(^aa~% zd)gY=2lJS-7ZWBc5jE!|W5r!*G~T&Aa<7cLT5~=r$!>af4w|QG%T8{E_h2(^o%?lQ zm3|c(_ZKB`;yHe!lF$vM+Vze4h&+%udBf}^xoq2icVP{88Dp;U_L8Xz5A{2h{+qk) z=~hMQ;IsMo){*q=9tbg=l6>ie!}j0z7|w(GNa<1^m#1n(rQe4P=fP_kwo`W7taNlO zR`}Z!tLY=!jZ9q9{HTbKSz-j2dkOzk>9lszqrLovb?%i&yLV?FLxvs6{_D47vug-1 zi{UzOXljz28fIDok?*wY{W; ziue3HZdfy_B)-8jVzyNhZD=MyQ@q%qzd7Ym z`NN_EtD??a%Po?6qmhB>UCsuq@h4Bcvxf%3!>Qz5(s}~zovF(JR~M4+cdhdhQY_B_ z%VM8JyJjU}^aWAiARg*Y#jO}w{dmcTp#gb*c7xW;NI9B+oX;U<`!}AcyQLO$Ju=@*J}Oh^EAC60IJzQB3naiCEmV0Onzpau z#*OU^e2jysDSx07wX6_V^G(Jae_NPOK3r8kLho(nwYcRZkx%vfMjrj~XUlL)2)u4B zY58gxbSq!=%%tJ^b%TE4dl(+`k>l=2KRdI|o*+s-e#~$)Td$j->dB5f>yyx*1aDKX zjZyh6%jK+BG;Pmb>%@{W@>Kt36E{e?S?&I3?eNjJe9>CYeA|DHE>>Z7Z?qaF%VGv$x-k8%nwyhMmTK!u4a*u123)w&@|3ismst86f) z=PYUN)^wU`E7$HnHWsLd7p;Hb$@+nJNByW=#9rU^ER$zh;hG{c9A+HdEz`%Pu!kAe zEBPf3sDf}|9^ur}eR72~X~2)SOJTx{lyrj*(j^St2uR0Bw+aj)h;)Za3?(fRgGeg_O81Z> z-3-ll`Tf6lt#_@LwSYC3bMHBK=d+)^iPq6lCM97c0f9iIsw&VIAP}Ab2n43RMFd=d zgqJA*zX;$@RrPNHUqQECB7o1gJyeY0Akbaan{P0mFpn8RzH(Q7?-``5nKUUnc~ zUtfMlH)pu5wTB(QyO%@OwhSW(#0FA@D(d@XZ_fJq>DwK*@9i`RQ$HXAz>+n|E8IMTCmQ%=usk`paOTr1L7Hd_vC{F+mORoA zYp)M@q(QcErH_Z3<1KG963NmgnobFL{P?>$9uj^hMv&qVgt1dcA|Gly;sqevRTC9T z^yf`&k5f1ey3-joQ#}1GSw>uD_hZ#+2dy^@%}7KDDMmd*hi2*Z-Wu81#g+bn{FxdK z=K~RZc6U79QzFKD6eRiu+ll)nAZ4rJZ5y2;RP_AU=FvX`eFqT6IiZt5d}P-Ax|6|B z9FHG=SKpBK}+BZj!LK z1CF(+dfk|YZ>zKc`j|55+%e@vHbAd8aC07^u)?K-w#{S-+o2zvM{7Bv`Lw+Z{?Ze6 z3bwzs9#=obmSrlr@$)9+nQ`g(6cx~gjIo9_$C&ZENYH%LJ=A}VhhEe%a>0TxCV>GcPnEK6~QkTC!BjCdBr>A$F5hdynS4zDAAiK z8CmmUuR8KiR4%{a=Wa{-j8-0Rh{Jauw53gpP~38JI$g8=b%*XB7g{Cm4(GddjaHZS z?#|zxI%fH3ZYnm8`cHXUZ7=s5KH4DMNOSlK_a6L-khBZUJkL?2ChBr8OQQG<7|2+# z+*r9HDZD^w=6PF2x{RlC$oY-!69%JTP>$xS^`Tma4rG zj#MKCTMePYIK6_Tr-PjgeeAkZ_$52qkLP@MXMWtC_F^9|8)B#<+vO`H*V>ZXJGhXG z7IrJSPx5k})1!cdnEm3BoVLcA(VHLLQ%V1N0WX23J8VATOy zw+N3dK)#}F0o=oZ@x1B&>iuflByq>LSz}55s3f~Cigcw3qC7rr=hv2-+`)+Y1){cQwGi9%)nL*1MRmC2A#$1hOj z$w@(@_eJPcQdE|m6#4Zi33DXt&)-E=W@dOHv5t%$b@}oLwe?|HuAod*=we)_!XqQx z^AF&BK7z55C&Em#0habvzc=4_+LOt3rp@R>`IRK^B4YMS1=fp>(obH5pxT6!s`MYo z(oMbVO$Ai&`Tf^8FJE{kDgG1+Yb#}!r5PO_@{Rfm*&G!0_6tG0!mhrEZsYX|XPr?)zgx->!4 zN{G z_!Y#+fv&394#I_X{ZZNm{r(i^D~u%eq2Yp1C3)%v)nqB2m&XHYS^^SA<@8ESlzun zX}tTe<%Ld$==ul8vBnF=n7|-cm^clRy;lc%mUb%cByjrb&buE}@6k%1t71ZkOzxi; z{w-gw&MmIO-w2P0$=w>R3zd3h~hK1_*s6809)ct2NOp+$K~Yr?@Db zzweRj2%Z;4Q|zj+4e6{?*Oe|_MdgvvtU zcjssIGJj&B-)iDegy*7JKwM3?c@k*1dYmEpDyC#6W|t218e4dz^XfdOB>V2`tSQ?? zmoUXzqDxsrM0`%osgm-riHrpA7D}#7;Jf%2p z$;beyBoK5qz;qEOu#ggy`zc(Z%+Wm0jC4rcS*N9AzA`h(tM_$x)$eKXuqQrFgL^;0 zM1#yS?#>c3q+h;7zTqqOVK~39kXN2ul#j!X45zk31o9oCYdFL=Iqi-a8|8M4<{u7d zIKKUdIFrmG#}9e*dP@5yO3eFP3alliTzqTq4KqZE?iwrLi-!??f)dNYS3d9TpUM6e z=RJKK5pupC%uW1LVGM< z9v`3PZ;^MNAmmyh*#1ikJ91ED`dcBZpr<;=U*mVF>yG24vk!j{?4*gnhn%1fDFYE=!t_q|JL%C=R#_qy%0&{5w-3c*`}`KLGbaO;^ikna2mg|2=PNU zuDz~V{$Z{P%gtAZ4TIDEk8nw0&RInAcuOZFn|1uI6MmsD6Djr>w@@%yp!LPu=U;8BB2)HwG71@$ZaKU<9Y52lMia(!qhpOmi|McQ6KFsw z->ZM_v4<^>WTuJmuAtg_TK3F)s@{DxycSrA4mLaaQu11XhFlCVnKk;2r5>e5UE&iZ zOiRlpn($U6UKP!pyvlOKEl#cY466Td0%!V+?TjHHz?{2`2&5asv|Yz>$25?{8Xvpg z-gnsxF7^psrw+R^z9f%%8f@jcCsz=#Q1xm04aPaPV@u6S^G`07;%SAEDL?0}m~!5~ zcY=~hm8SIBX?WAuvQlIyRsv|X2%O$l5>0|I$`_CvS<6@%Ka+^BkNhk~V!O0$^Cu+3 zAZ0u)8p^HMAJ;5wZt&OMdjq+<>I>s-A2t*d7egoo?jI@2fGG}NQ9Ka8iUQ!ryfpf+ zltQ+`!9@{1`{Y1scHKp{oN=E+(69X@#0$%0*IS4f;-U3l>_vQ%j;DR?^(As^N`HX7 zkf5}ZF=T(8*b#yKBInw&qQuzgPV%NOfi`F(cC48@=HFx2yLk0pF+tZ4kJ9V-xp|v9 z2eT(L0ANR7dne+~P^_tcZ$F3vIzi+p2E5p%Kl`~7oB*EtFD zORQ1hmknHVo3Nf6>`?KYA!XC#fziq9@jdmkm!#j#KZFvsU~*TUvE1KttkJ7gV^4XJ z`yW+Gg7;nx<_IUl2sfUygqbrYat^xAhcA|gX+FH-<7+~;Okfpi zz(EP@K8@chURLXZy_}c{9uK%{XXLzaSJq$hxy()PxIvfct%Ao>)eCLLeW){&*WI`G z?ZcqxUQ&04H)FGr${UNpc%BOlC!D|e^#y5LIgfSL?uGKVw7iL`d%?Eoc#+Gb{Q;+c zcs5r{)DTp3u18pJ%oCu<5NYlYO)U(l?Cu)i^@@fiel~s^p!})ko&qcq?`ZF6!qHIc zca`WTt0S)mEcrkj(qggr#hn)K&y-I5+Zok9JL0mmPp-&MtRv7uidUlcwxi%Am&yFQ z8BC6t6XVQf6||6k{#z~N#RtoqOs_u@sI9ceo*3UAdeFQA#U<^S6IM6ly%(|Cg zn)sbJ+(ogf7o~oHoa7#WHWiBq5wE{W8hUb%JD#b{1xK?H*?jj$Kyi4bI5;hv?$dH?;LOulz0sGo4}P*G`nY~l%pd-Acz zaAo$1W}Y#3u#z|A&%b`at@t|E8@k_`8M$STMY#P<|)gDfyRCx4(Lq0){9`_3gU@h-y$ zgk%b>FT;LYB)DnztNGtOJbo_Sz`r3a?BDeKh=VrROh5koQ#zOB6Ms_xgnmDT)Iu-G zKiZR-#Hh#)b(3f-6;40z>F)cz1(aBUVHh*TdEhZ~xBf&z_Dhf~x?1jQAwBj$9b_+{ z3Dg-}Bhu8Pk`S|sex2m`AWL-4oNGrjMcF|#^iTxj`2kL;`P4h&X-}!_!wdFnBjg;{ zBip6*$XJ@bvJ>so{)-M*mNUZMS0dkt*f4vPy{`lq`CpV&BFRg*l-!aMVnW{a)+efz zmjs&NZfl>n`7-h(lwk$%yQA1Ge%ZFU2+`Eh^I$A>MC5N%iUSn1bMt6qD-7{l&c0`xOU-DH_)7y&G~$v6qx`ibt&-nz=mz zA2jq+R~j|9#6sAR_!P7GL&m#|_`aj05&VqPcAGw7jX z9_^8UxWE&v*hnh-xiA!6=#|EUB0)mVEG_G{MskIi5GwqOzi=BCS;~4jT*pF9WOv;ExTG(j zsOPi0A&Uppl(Gq$f_gBQq4zxj^+ylA_co3%#O(i~88l!H+SdT-P^*sQp-$6u0qHmd z=4`c29V0zA7)p@{{aq8vqNy52pqdnF7V+*HiL8gz6c|9opwFhug7;2yGp}Y(^ol~S zM=Da7qe|ueE1PZA@{x0;k*KJBEx2&lAOA}~_@<}>Fh3Mtv$F@y%wLu_lUhHW3)LTW zJA2Y>cLQ7K^?K`edRQxwzC0%X);JhxB!bt;sRIoyUKUAx?d%6g6>jKgAjN1-h&&Hl z|FfjFbp2wgjwhoxWCO5sZZRs)kADb1aR_Y+Yj9;~j1X$ZxQ;};c^nNh2@hzKJ37*PPu#%pt@6biQCRB#_8h|f zQ~Y@cmAk)`G{DIi$7#^37i>bBsl(uzvEFr4*b5OB2{8D+5TQ7^^nE7r0yVVyvp7h8 zZ=~b$*~f$6s?>2eWXVZf>H2>{*JE2Jyn^(YQ2vAq2Z%J5={ttN(i{ z7LY=?3iL0L2LJNc_*d7Ip9@n{%1 zkBp!#5`4psbZ>I3{YySAl&PZNuTez!t zb}LGD8l(0%N>8Uca!uK1FC>2h1+m)MY3Z<*Z+?p_?f-AjTJ_1qe=4w90zZhC`hH@c zG(dpaBA@(kzrUjuRdbMC@ehQ-@hlQ$fDINQYg5L{GN#>{D}3G(k)B~&FXkl0QFZ5J zta2A43Tz+Jar@TT>`~Pbt7lPUvNALz-snKd9!Z?@7owNQa`yz|;}Icsa^9oC%*%-9 zOX9Z{0znrbRzn||qJR(b+cwC|y1Kf-FnKU9oq$CU)ZX5{&v}4mDLbnY*&3b)T$?GZ zJI}aGf^WZo1%umhmp%*(4DN6E&WN)^mSY1BxYi~4IXK- zT8DDr&V3p88pKO%@NA>V`ADUCb0cz$=)yxnroaqe1aJzcM_JX#$ZYui;lF?F!K%ni zziHRT*$d2Da{C=ORv2?SZiV;L^YVuSxL-!Wd8A2d6CPJ1 zi=Z#Fkq^N~8>3~uk{_DN+R#m(g6HK;p%jUpv~*vDia07V8}gNwp7BcG)$4lq$=br= z!p$zq`Z&>?q`W8_ekW|FKtBzi7=ZwxDoh*Q+?t3T0D~FPNi~95B9YVwZEYixjb+X6 zp|At$s%YEpxN3iUz&DDrjcj1=l|KE6hETyqDLm{{gR|juAZ0KDL0fSjSg_JdRp#U} zQlg6j34m!bQ+Nt>{+vC<&Md~n3;@nr8VwwNHE5odI3J0X!p8Hoxb}W{;0^uEWu>%n z6EO(CHsh5^4j!!Hm4!VElKsU#(%Uc+|F0Xks8L*j*BbmD_& zkt3=qDiS{rS{{9+FClmFL0=j;hBMkaaR5?Y&4yEA)>_i=N!$-)+}Xkq8lGs^ihmPv zlZNP~w}AXdB-1i+Qf)q4Q&}71Rq{1Dc?RC=Bd5C1)w1=hwjIlSq&%oJ<=!`;qVAeb zr|aF%-AuwkC%by!NHXStM?)-ZjPIxH6lzhsO3T!+OG(s&s`yZUjFNM8_Tt5w0$QRgEWuy34j09tT#l^)X@JK(m?SBL3r<15K zIUyjpXRGn$E3mT=i$vZ;%^hEaiU0@}T;suool*Sr>TnmhRXd8DH6)0Q zLShzoNt%mobxOOVY3=W=j`h#={kC(i3%itIXJ4wl*g`iEV3;s^Y%Kp$ar2GYa8_xo z42A?IYYa88b8yhUFB@=J^z{5V77D3~Rbd}I2mt3I+gAMN%&^&(FEr3i`8(&nez`cx zuS2-YW(22+B6bG9ZAaipW(UK0cvif>9VZHh!Ds}LWbW7L6dzx0*< zJgzvpR}%8q941elc;%lq^Im9`eDX{CWoMCQRxVf!xxRpI%0&h|`3mTgJL3GbZNBHA zB_RjC2H7XXKwlDl{Vh3O`NT#XmH_83)yk2QkL`_Z$A|2H#`@Sf{$#yg z=&kjHwtR$4J~GX9s*altvK96mLZvgRr}TNWP$?D)+rg7s-AT^OoSFm<1*=a&1c5F} zkiK0#J*b^*=j3^9SYS-A3S|?+#A8U5(F?n{N$OOiEiRUz-5)NL{zM9}o@LpNhrc8n z+df|*16r~E4wBe-1QeoNy6%KA#+v<%iJR7!MK|3;N`d_hp_vj`GMYquC)PB{{3y!|bO#A28wnGkhAra#Fr$Ow| ztm8Z-`}5ztBZq>!wpYv*c0X{J==SjTYudJ%oW#Vv+q;>_hxeveekHOihmd~A$Y9_r zlZX=%eLHHjrTpZ{6FD_?^}uwaoL|SlV_K@q+S+zdMkn=HfA{mYDu@@6U_%hQC`?g= z(VX@c!4t54y;UpVIz0+%Ha0fxlH*gj%Ou%BX&7v9aD&QnQ^%4vu$6(0;#N@FJOxR_ zSSb&Lif0Tr+aZt*Z-Z~&!7R4Q*A~hQI)6fztb1T*wj#v3%GMVYg0W_o7aSj2qO$JW z{+vY4`ct=$Zd>RNgHPL+KubjeKo*q&;Jj#JT3u}heS0h}A%agV5ArF|bDAUP$U4C22OEA( zytdO5Q!R;|lQ*5~1LcjU7w-imSC>ECp(<06=;#e0|LU~16Uy2kdoB@jG5=z&-=x>m zp`oF(U!PIE+^Ix?ZHO}c!?l#0T$}pbmrTMG`qWZ9{d!)EJD`Qkj@way)0OeG?TqvP ztfmV8v&^acGm=#LITUR$+T(-5viRRdAbLM^c6QPoYyc^exODv~MjBH-%Tkbej`@-> z+2$|p%{a0UB@p^@&G47R{>i-*W`j~DpTh#E*Qb$E%i{d4v>n45r?n~(xzCiP!`mzE z&lstrp~iwsnqD>VSFd-$DfHE)Yd{7pfG)Q!{EWIj84#a;>@r-WDGg)JX}st-NMX(@ zeft zkePE0uG5Xv4X)>PJLeBD&nZip-#_>Lp5zgFuv+W>Ab05eI5$o^tJ8V--fo(1-_6-M zW=8{y8;(%jm28c26||aUvJ_!DW*=hD%{#j;H=k?iHEmY5Gpa!?c4geJJbRcMpFvk+ zd$kulzQtBd+CrB@C{InGMbg+YCf^y)EZM>4dEBKOq(%{@&G)l9S7$U8c@~cN4GzJd zlEfOUdhaQ{e7kc#3ECK|(6e#Yp{*P+dG?rrARn-59!TCvo25tTw50e`=ou1;#|}IV z|AdF}eQq8Q2DA!n2j~)&?7Tx@Kc@1=_m85~U-@_FnhhNUIgM8gG{fg@r;GktUd|j& z+k5$LnmuW>Jts2|u+)^mC4{;_J1A2_>f>0i8U z8r(T$8Dcx2?VNFp)3BGjU_xDd^(t=bPVTe^%u$77-`DE3AiWGX<9q&r=A#+QN+xEnhk~2#k66{~GnF1I7KGe))YVbc;osVI*QE_wI9Ca5hH!ClonM{rSem+^L=_af_S1!Iy~0wv&Soq&>j%*Ztv@8iY16+q z!?m@wcUqdaM*rN;aQ}qNe*NS2JEsVVl@9qmbT-Z}@VNQHza6c810T|xS~8cPTKK%*=sa* zWwyMe^y%$=&tX*Mm!q|wdv$yPJI>v6(z$buxR-OCh9ou?$44aFew$T+C)-(>03Ky@ zS?*1orz{f?Dnhhm{A!GCOkfmUPrInznAcB;)-(-)z6Dy%A^q1cCXh zn8CS|H`g;)1R)~*G43IYGrZ`B{BrR)i<7Vfi_>>!@7Lkp&*!LKB9~ucYc4iu7;kx_ks@Bi(kqmcl|57?n5}}Nw$nyD;;MEA1kek zl|0*#=C}TJ#+U6exA!G}c*NzV{{J1a{wupex;MpWzOF;Tk2e&{>x*lZVcxBI(xmJas1< zBFmPe6|J60AVWF$$mL|Qw8Sg0fjU37jC9_W3p%yE!l5Br5{<5 z|KKycOlE&U2TPw-H(W;0ygm>z*L>Ys`8C@<=U?tSOX(Gd;9WCIg*#lGK7N+_t-d!T zaYpcG@yyTgC^3GROZRduV_BvH;jW1w{Y;g+fH-W<$L7wT5R=L9&B3{BhOE~vgX-!WbNhEwbk!bVV2wi?j zSMfj^NL_rTPXfDuXpnw`a`5b7K1@aSaI`ByWyPk%v4g3&>r&FV94BBQ!q-6oiLP{q z5($zC@`K7%K^>8z_hUI!PvfQbdUK}M#SnatGv&`y2JiR*rk3{JS)B5=@@;}p?ZQl^ z05;OB&7h0b3R~5wzg4MQdrc{0`&DW96mfT+{LtjD))wSf*^W00F+b)Vm}b%)nyd34 z_KN+rIS*u_029z4MPNgQ(D~bdi9tq#(0ZiX@Dh3H*S)!nOO}P1TL8j17#c_bRZDN~ zJ0!~tvNJ~S1NmflE0SM&D^JHA`~Ay>SNS_Cr_7jfrxo57lK^XCk@pp%_5PQuRE=p7 zu35HULQKWo?5+;QeWt|&o&QMFI(PMK6*_eJ+YbrP>-pSS86!vvGrj(5C|R~AzlqGZ zYiGmQVLYrPcda?Q0fq_R^S0+!7)ch9|I%b5sCVxB+b^-HTXnKDtdQ?~{TB@G9$ocm z3xqKSk$x7F`Z3F?Uq6F8KQf2C3%G6fL`&6aw34vh)1lsaV!8R)1#8X`L(5G;(sDa_ z^^Z=V^D{}7=E5(a6`b`HK2ZE>TH<5DRUl=2_OQJa#zZ0eJSGqQCBv|saq&mQ>U4Y# zr{laO(_i@$+Rk;N)fqP@+TPwrH>J#n824b^L;ASsu1d{pPPfv$IUBG7!5$v5Z`Efk z?(GX0^P9G0zY;O|jJ~4)Gv583CGzTT7&Y2e-HbN<3(sc%wT1uDXO<+j5PVI~OJ3=) zAcGbQ?+OqaEJu_}aC|;m@LtG{9rfxG0CQVjIVC6W{Lt%6Wvx@@l;>?z-+b8TL(VR> zw(dCe#EfZg_<1$G5AIDQhWnNMoB&`;m3E+Q@zxC<UOO+Qj=7U!3YcPbC(_B3 z{563E@fBD`r)hy6XzKw`D{wFr)b4LSIOjTl98Cw>?J~W#J=z~#xi=i@78u9cW=7I! zppbW!pfMM?fDeQW%o}N%U+VMCf$X`v`rX4C{#H^qq5?9b|H|69|KheMqLbx_-D1V^ zO!%C*na1eIm5`sf2;>Vs3eN(ep1gh1t*^=2dU4xS%%uRx0Ch5ZC9}t_-u-`=0$vM; zoQ7~7IJ79`p*U0hWdI2FfS&H9-{5OTF~{D9f$3Y=caC00)Z2a+_nvyLoIC&PJkZ8e zk-)-s5{Ue%WF^S86yx`&M9S3p;0LK6il2Y?OQ>ES-l|jAE_Rn;$E#FAP~a z1Jr0$?27f4jdC?@puTmlm4YfyCpOVI-k;jSzK?63(z5uTEBcjYRHskpc(lM@T%jDZ zMAJ`ICXXR7p(`D3qt}rxr{f1-NY%M~jVrvekG}JPGHu1+`Uno9(pbDr-1tgux^_wj!2Xb6?Jd72pQa?%#Vb)8;sfckUz-NkmBOy^BPp6? zCf-lPr3w|r08=sik1XWdCjZpE3VOwN$Q#wfH}5eoB>7=0?ixVqA{ zbFYPrN_Hq_T-{ZAS48kz4SqFa*}76V3hawdN2y-v;%Ifl1jT&qLHXC#_exlX&=oQ5+R_{Kl(^S%J|y{J*lm0d-wJcH9c<9 zHZH{arCpSbMnp{~@+>gNs1CiTiOwJMlcO zo{|r`Wu~xMi7*V4H(bV`n+BDZ@i2xkSrkD32$*=?aH0=ySf1)z60AnIe?M&4hDCUy z`R*l>L25`Os%}@H7yZ5@cD-!9EEik6K(t&JwtIJy zOtXS4IK-0bn;=BK=n8tN*RP_i1SMEsG1JjCwoCdJz$?w{YOqhM>oO)FZT#sM&ti&B!+s2m9lO}r_17L|H_KnklbMU)f02CBjbl>Q1Z zfxc-oOPDfvNoiTWa|{y`)BCBN32XM_`hIC?sU!024T1@;g~$&n)g_5jv7pPFC}%@{ z2cHOui~BYsuaX-t#clei(M^%<-?^8acrlx}Hh*mEYaZAwUO~APB4-XHY zm#mW_1EP1%FTs%j56Sa^NM=2|84$L6KtKQ;tko1&rLC#Cg~>K;04g0*FmxG)otgcl zoN)CNdX+maHVv$t^ZTh^ZzsaIwVVwHCkPvL3M&%839!&2NPMsXC3D8R6bJ{B1kUwXeP-BR^N;W`Un)9x*=I` z{yE&`B+_IWcS#1c$!`K$?5NSG1{@*V;xD$#@4>yRsC0KT+Y~-1i!-C4qr+t)b8kJS z7jv12U{{X6uy#-2hU6^=GxVt=$I8~DAp1}EOiCB^j}AJjgoTAa0afp%1uUUY`nbAn z$N3SBNqTCkL68~vm_vw$(yrw-2I3xJz+1Zj_8s1;xVg}GfEXq9 z9z1t%YQDZYAD;`x&2B9%Em<0j4jc6W(lC(U4d{UcYA&a8h>f6&estcM`GjKPyoU~5od0ziCl~H8nH&t2Y z7PU>+W))}Fz~4KDmnr+Wav@pmaT>eHz^qn+=cUwYdmt+o3Bx@Iw0|14OEE zL{CIs-?z8un=SU|~uP^DGy(&J=z!By$=Cqz(VTCoi>kxz1Z z@?&jl%}>GZWc;$~C-WdIr8=8Eh?`dQE8NW%Ad@*eSx|7nYKJ>4mby#$e!0H&aQ*@v zJ*uJKxXa%yXCBcvAYa(U8D;8Et`Z(jNtk9fZ+#vSeOBb~!viyMwday3d~{u(b9`j;q43 zNT)!|5y0K}{_gEPu~drFeq0bZFDb4H%fcUz>HP(HLf9^eQv>3&AFOpu(l;B)Pm!34 zoHB)4a5WUlW@KdOVrR75NgW*>>69RezjEO6fSz4x60LzNmo>Gu+W1#sGd9Sz2l~ih zbVvV4CO-pl_puDG{jqccMHYitk$#KjTtk2Sk4;JSa*ehl1!b#y)s|P9Va>cYR@j*_ zpd(^t(dahYgq(UnajQiRXjfTwrXqRk@Bse`O&BFy?fzxO#QuE__oQjYrM6Wrz>6$k|=*I2Sx7vr>G5utnPURY& zlAZPz-T33w=lPL5H?Qe~A))k#!N_-Ic2WRkDA#DnqVl+ZEinRUVzRuuKe_v;Jv0nR|7(ZpN_W?@WA#QI z!rUM=1Gr0mAcz_1{I^G`0kBk`HvxPZX3##}{)bkm1kUd}iiEX>J%&}VLk5eBiY)a1 zcbq_=A*^RIjF7ebdb@gg{c{ZcYoLp?&=pIE>o+yzQG~93E-LatBC6j5z(N*i&recU zQI|E6fbSsqcmfDMXq5N1TKM111W0o8x>tV`C^PPI_~nX=i;C8(`^N$BrhD^F*?&zj zQt-TFV-sGK>z$UnOe&kgG-=~)nMR~zZgj^prv8K2`^8B7a5LDJs7>cB)7O81EHP@7 z5iIVvi=o&pN470xOBdorSrC{hx~%e4>ICZTv+TWK*=M<*^ca!=_Jln7DLG!z(hUSG z+v8*a0~*!Z50L4OvWG9hj#_WDWfuOERi!U4PkzOfR{%&Cx=@w}sUrh`$v^7Z1J0TL zqP7#s2uD}+4h+cR8{HDQLxAUQXtnj{%)BltoNq;@K?>`3FHs1|2uU?N;>8NxNJJh$ zkD|WUm$)iZR7kM0)pz9Bo{W1ce7lH*{!AFtNHs-=-^5v$ChCoz#gqAr1X~rt-4qDp zoNom4BEJS-@O=9xxqMvP>1viSv(#$^m^3J@!F|3>H;Rne!hh+#cwKfRvue3cFl9Bd zxI115UOaI;-s%f5xqHaLfVk!MNU7e>S0VxN2(v#x*LTIe?bN=BW)LvT(way-ORDo( zy;7HMr|SZ=Bo`zU3~9FvcgTU?UJ)Eqz*t}iZ8nTW3CM`FK)IkZeBtmDFZw_(08uwr zShNP%0x|s|pnMBU${YI=>H>N(d7fxlZb%w93&>eW{%-(!%DT64TpUclC}Yz%?4aOo zL+*m6BdlaU4*J0hq^zbZxj2~9v&g$m4XQ~G^`Ou& zx;k$yqOx%@0lJn?7c}iW%QGSWM&sj~4%1~#iu)yfA-gR|7Ji62dt$HMO`2nTrR`EM z*b`ZryrrUnrNis4B@mX^>ydsfNc?{mTTRxj~f*bqhxs+>JZPR6kM7HzYWck|S z9(ozv(z~$EQJyH^pHI4z-}dm!d)hscv+fohYzuYvPe`GD9~-wb6_6(Gn8H*2-FmeU zfMvCt9Zv%P9ViDdHTI};OJFmBl0@rXBB=s7b|$brG}^o}FnXd~&+%i!^Y-RW0}~YJ zq=e@DkhmLv9-prE99phXg4D=(vXZmYcE0Tbw0;iUe9^LXTs_|$#}<|Kn+jTNZh&z^ z?zeRs6Z4@6vhiZW_E+CAi7c)HGoxc9dDTc4mc+<5u2H8h|3AIQ%7NLtM{}DS*=1$O zK~NeV(0QweZ#!b{VJthh7dP-8PY+l>?d0F^1_j^^ZYka}1Py|m5uAqV$A?VEBR#C4 zOlJU_i#j>msG0^LdUdcf!Cz*tQyF68drAE@`vsof#I?0O7T5VwxYaP-c|wjnvZZG~ znJ?k+)xlO}gJBuL&rN2m8HJw_tZFc;i(w&Kohy|?R%ufgB#V$WYvM@2AII~hQ13q0 z53~}i{uQ5w$GX`ibleLxcMPv%1=>#L(=0>$zjfaAMZbT%b7K$sF+2wB|-gR5%D`;1cqD&L-DlJG6`?io^dmY_}e+ z7+seoG5p#b6bp2;EHmTQ>zlo@=7%_jj1P2enjf~$17_4x z70bg~ej+H@ulOLXN*wp;N6L+B{n1UF?T(%Nx3!3vP+T*zVdr7u;lbt|80viHuV6p9 zor#t83EPCrm2}(qHIHrv2Nya@T1ud7$ZzQZN*p~nEPZ>vvScOXI{iY zzYlSiO(gRyFv(kqglpxVm|crkY6aEkN-#-H;ac)I3e)g6d`4nI<%DYue>h<-12p8I zkbrQ66C1x&=u`XtsUL6iZfzM+hcfe!hxyR{`LeD~)S;NmN-yT=hQfi*%!N*k$gdy& znATBmM2Y!q*H7~<@J&*4QJM;FS=p#Rh+_o+z+||BRRdCtGEr&4}Sb$me0YHIwa2P3^$Pg+G_YKHTPPtA= zM$xaZ;j<6PSteZfF<^79`3M2H;+%hg0ZKsq-`wwmR+L6S=y|m*k zIs(~0*Cbv&%aiy-TA9Nr`B#zcp-+SZU1{g=(4L#t+BfVmLHgN z#w;l?eR#I%DQf2`JCryPU~^^Vj2i3P6nb>!O=2!h1GxaAqLBq-+%qyq-7rR z-j|6u$PzcZdV?IFp_8>&81M51Bb=ydwU}pCqTkKRN=m3UDdO4WPu4ZhnOXm9w}8)q)ADcQz*DmlfqM*r z0WuZVoOI_K0w1TOJEU_N{kpDeediZo$&>g!C%VQgos4S{r;^C<$7Xxp?t9xkpYV^n(ysO5m@Ca4=7+AI@%)+e@UDs$>XX?*{EG5U) zx}C5c)ZZ_DSu`ubDeO9u{M%4D_`_sy&(-f@LdU2f&ynPERdJ19QmsLGx2|;cp6oD+ zaysvoaA*8_uGyCv6s+dcbBQZm?|zVIbh~BM1~%z{=0ZzFc+!ehP^WNL8sYN){_x5X zp3C(*6##8D^P!jzEg@!vW6UMzTGnhA0b!oQl_w0N%`|Hf&+gP8x|(HhclfiJ(BGA3 z6(OzOZg|J5bK29Hy!`C+YIm@`$`7R}L)0P#5OE^o-h%`NrR%D|9M!KV){qlFj2A|+ zWij_o*qFCqUQhV_u%z8wwengnep_2x!;*Ev3X}S&v1VWQJUe4Dk5bjjyFUee#9n_q z>-3HSWifNPSezc;FSeg6?R`w?xB;=`eM}hn;PLJlY>VUF_pI^{E|&05{g>@2n4+*C zf`GP_Z?*1Oby7ChK{ek!C(Luz0d}xwHBtz$0?>6oMP}C64=`E= z1bknTh$m0yfTT?}FeA&p0V*WU($%Y(!)v`eN>1^MkV3?yfLbLTd2tl+pgIrVEaM|H z?E+wJrGu9AzMiM_SC>1nP1Vo zcO#w=@=qv>Z`vbB(yt_*9VX|3##vX3tUUWr=}oFKg|COEy0}GIR)458ogbBeV^c%N zvBO^OU*|hPuG2n^z?>pSOT@;H(K5sNqki5hjlSOA-fq(ox!WfN@v9PLF1ZWsSU;Yo z*CGFB7NC)M1FE6%`xj(i11KN=4HqZO@8F`sVgdLldQ_Cl$utR#x=jy~0Zok1zL9sULmVNGviY}D`KI9Oe`LU_5e%TbH zblh?^F?uB3Y8V5C9)7Th_nhD~sM2l=SUMTu{Y!o*LuRwr-t4zg7KurbDfx$=Pj1oo zmLZ8tyKVUgF)Py-WMd5C>Ui26U;ccvcElZZ*+6Tdw<^W`UdNv0!>x;3K?H|YWePws zc|t7sMW$*0H0S})Zvb+np35{@)&Go1I?yPn@8GSpEJ?Y(^{ueWQ!L=;wJ-PoqRMi>`o}FJDy=pHQ8_>PtC7xqZ+m*oQV$Jg!gG~L>pOWJC_0)M>)K+L?$vw} zIv~JQ11!dcDJ&{lWnpz7OFI+zSayewpQ)8~wS~aleEeR^>cG!+2mA2Gs6bK;%)r;$Qx?x<$W{e{{PYR)?rn3&-*Yb4IB==b;;sZs{&b zLFopOJaiqpOS(L?G}7JuE%~8EUF^Nr+OzJtXXc*S59af(8AR+)hl>z%AmKNzQA#ZsLz0^a@vn6k$#$9zr)# zy_Ww?&s%CWZAB4zsd{zNvHnB}0kW8!oGOHBv^6Hv>Y3Lwot^4GrUgk{-B}~ZXDmmm z-|MW4KIT8j5HlZ3NL=&#vJjfYN+sgZsVqm|sY0x^WsRPJ@+wA2ru7*5vz(im6=xx8 z0;fA>D=8!(tN?gd4L%{uP7fp;RLi`aP3Q?0ag0KGYC5XJY=b@b5o$)0znbzx#h=Ua z6W`Dzyma^GY&R`pTcT@3N17B4mu?#P6|o5J0)`Ogf^OF%4lmMhz%8Q9yj?C-EdT|d zN#uGxZo1Zb`k-TGW`@^_?_n{bTNlk0_sG7Pk<7Yf)KF1zB*i_Cv6I(!t{Vh$5)2Fs zzW6D+%E}H81WH0bH0R&qbaCM3f0uizkE6i7CFae&pR>woxXm4}i%@(9Y}1lOJXsw7AKZt=qgU5KC7 z4lz{A4M|$zql`$GoYMj}Lfk%F&kxZoUN4eY6dz4}gG2ZB_I}cx zuo>CTR)uI+e@-AKB0`n?B;t^1JFjakm~Tw_Rpmc41rwJs(TRHgnIoHkSK|z6((w#0 zPCw8qyk?L@KCXnjtXI|L<76Q^Y_va?Vasg0;D>}LPXfSufiNRLifrB6(M^Rl>`U9H*j`$b1RHqUAl;(9j;Cg(d~p zCk*>@h#46#cDL$88@yRK%)7J0*@%DaIK`o6MJXxx*4RW{_sbBxsCyRENXlL`lY!Da z|9J_a|Bs0(>d}JpC=qg(?YzM=q3;BE8A80VzMift z{kFq;(VO)MWpVSCGz_{=TUlADgz$)HF_w*Ze{+5s3jX%nKi!d~ zr9DL)oUw!cjr3jFecoo9kGiDHN|)B1+V@e`=>}5iCS<;7`&-tL@1t$^Ka_~-TA8mA zUW_!Mzt>M<5^=d{WKL3kxPK0d_^qhq&wxiwR6tDjG97qG`~TK4#RtNkBMQUNU3`V!Kq>4;SEF| z5!oAdmfG4x>FDTkp~C(tmkZ8H8Qf7kfL!CU0vOsrH$-HNlK1?@-OfGTOsQP^K!RR> z-ywjOl+;^*?dQC3_G8vWI~<|RY~Ouovi6zXqt2%=^y&fGqzup~6JFGR*qY+BVI{X9 zNsFznH+`eJGQ{$%W%l`#FFAKKYb82xowsz7_z~uoP@J7x&oU;|_-XK*AphG{F2wsY z^+)zGVUi?u;-5}79zJj8VXQru;_b{-bOYY%H|yE~hKpCrRT~enceT-L^2B`tzaqww z+Hc)8OW3-Kk!uZSV8R4^1X>Vv^)F}AG(I&hKsn-P*!X382=GZ_7t$N;=Gsqx{l6?H z&r#}0rWY0#${lBZq-SQ10QNFZ1Ik{iaJvp8hkV=l+RQOBKo>(ZgM5z##GEFs7{%G1 zzE8+f}R1U_9x& zRA8`}7A5VUAm7f*BKq4^rFzTE`XU%%? zSG@EwwP1GthP*OAt#(YG;_t>C5)jY-RI%qwBxFx8K)iaV7996VUXB{YVc0)umq;6b z{?6C%mRF?S#=~i%+e_SD@(dZW{l>!Gm}B*ge^~4)S++`;Z!}AI`)BLo(QSqiYTeIB2^K4w&-c{dCt3WY>_>b|HxVz7@=~Zrf z1D&)W^Ut4QXV>0_2z|iw!oH<&nF|2hI}QJ+0r=07G_}Vk1>4?tz^E<;#H-!!v)fEi zIbND|Q_&q5eLrMPcx$Vq_mEUmBl0tRGWJmVTvbwo>Eb?OPVatt@4Z zE)Y%EsCebjU5-!;qvXQYJXHBqOQ)pxk?hB(@H31nIU*wy*%Nd}m)yo!V{ND1tN3*} zF+xW}*FQI+{=gO^)%g`94KN2;M({$s8N+tw%PNMd(pm0wobb0q#ZZ&n^nRcXY}PW} z6`G7acxV`XyCe%04csv#)W#NSu^Oyw`5#yDIH~CDG}5xi-#vV-ZPMTd+ikroVUC6R ztujE$9sOgO{r|UKUteE){FH-6yA~jII0A0VGtq3ge`KUp4zkPqGwWG8-n-u2Aa@g6 zTxuXM>8&a`mHb2?_URM-69mD_4VP5licg7G+xb!}t|!0dXu(fkrUjSO?hj_Ir_?k^ z+u?A-KcY6+&%-JVIzfhWf7wzt1Z_&yPyx(r{U+C4CH{^0d^*nI!%M^QR-ao|7s4C* z=AJ#@=EI)5IZE&CiM$s5>3c$S?`@=E^{j zpcM+AYkTIoCX8`SW?s0QBmy|TJ?$19yNdi);Kcw#W%ZfE)9i9WJ%WXlZHvgf2eaVSbrGqi+R% z>{-r(Hrn4$h9ji)&{|RPeYV=XNf%~Q>7Nk2mVQ3=9uE#-W$)s^Hbryp87L%D5o0z8 z7z&`wl_6>e3i$lSGB4tz+o@9BH2EwMA5#MZgW#~{%(yr%V-VuFj4!)082tG2rdevg z?$5tvz}YE(LD>`9V#30*L5WWTRNC~jzm0CnRogb{|36E|wR=@5@a5XSE`Y5a-cHga zde=@N=(?u~o+e_ty*5-TLDw>XlQ6~`4Jq?Yj1!cge;_y7PPs!xl{tp zN@&Bw!z(as^PN(WrrJOPI-gCLEsbH?6Df@fN|GtT)gMJb_D4HHRQbbSznzq6c>3?Odb z0~IUL)&cRS8*~L~H~Vh!qg_3}da;E@c=&J>lsW^u_lJrgjDg-h)`ARIotiLOI!hpQ zNb2tED=*zh7FqUa76~e9@A;#8`fq4xXhbB-p4uP~T}(y>!};IPIFKAfhLs@S%);(X zZM(U^ZD3rH)8EL$yP8(3$VoeYW~hs6Bb(!sG129*XqH7~f(ZBD#DuCk_xRx@`@qOZ z8Pr8dfxq3Q{jWRLgD4_&4^f-S(a}*9x}&(kHc+m|ZNI1oscnFcRM|FJ|GzuniafpW znsydXCU=aELo`=`+CJF6W7%#dmd#>?@%t_%k?T*p;5;Kl6aT0ITlK)Vs213AoXX2v z`b``pxgJ5D6|(T}@^<@gQ{6lJMapcl@1N&gN9V%KNxvFX>dBk9Y$fAT;^?7My4J<^ zf}nTMy--&3q>p%B^FwP#*a8r?bbeAXcqbuLA|}b zt++xuj=I`TQjx^0%J5(6E?;fu8K*x8frGKOZ2Y45y|i?5EMhh8ndHs@sIqY#MWnMa zGckqV?NyIP_}%XFvd(9y>*zFc2nsgA?0+eAH=tjx7A6(e-w}PWr=#53R`9g!r4eaf%ab zF`}(^7_B)~R{Q1CPBW6UsIR-N15W?8;wJz2fq!;;PlN2kV&_H~3e4_wkiCtTPM5Ne z-wo&-(0r&kfiWTPDQ2rM%oEkW_>CY^`pJ)};(4;Bo7#K_y+&yCf3GS7_i@r6^FYtz zm#wj%xxoCzf9(5xVw@`0Je&iEpJd8pCeuA~7TKM<^WVX)zv%s$TFn~Ysd}w*&wkl1 zr~P8{$+{JVLxAnPwNlqkaw>gq5~`6-ZM-eX=&nAK^s)w(~*i=eF4fATc5D=8_V27bsmsSR6idIRj>r;ULG1XQ}% zPxBW;KHq?$Ig&OJT}`viV_rKebYf>u`=)Q%$J?KIyuy!at8?GpE7 zx~7I}fKXB9-ypufbdaY%qF8J!xgYr7TN0>5st3jOxtsfk81>q?r9*ZZd3kIe>nWRC zUr5Fp--w}grHx?X<7Xp}S?f0NraJQ88VJTCJ?KGv7#2Hsc8?E;1aDnFqlGttn-?A( z9pS0h#+Yf36cuFdSOYa>&wF18JQAGK&1u!&9LxGf&kb18(-7SZpAGf*dyv=LP6G?6 za#)c_WH-cBrlTX7hY8ynnmnzt_dx-UHDSBlanGAT1&*=9>|dH#VeODQo~;Uy>QROm zqQ*iXO|JV;{l+gvT5+to&`X!SnPUw6acFPRGtnbv2h<}Tju(f=f5}xKER2liv0>On zpJ>1#HUVxNfdQzj0D|qEB6&Ums=2`^@M#NsULX2)6`LI>)W zeB~4s6+N6>LTu;l;qNr0$S`Es5vP%Mx@>9EM0|P{(=SaA1AHXSc>FPu1xIC43@A?D z0=ki&+`Y3*h`heHQCS*bxZ}WvCOi)tRfXgEe;pqm?+FhNH~#TM5W@W$$!-U<MM9XI5;yK`1hEwikQeEJT#bXU(t@VSXxCz zMXZR=4GIPKjoM~VxQdF(bgdN}noTTWfZL3Chmsyt*IW9*$;nAk13oI1YhZTU_W0oC z3v&7=>pNESus*O04N<|lhew%({n1KF+cgDiN$EbWxoxjaaGs+IQD$X2Ewzqx01R{Z zl*&P~Fw%Y-dMjw85;Bdn%x^^SstBnawl9wlD03?t8yoIO^4tH;cFWriJZFxYo0~o4 zlGtnwv0g59f>@gJ2zAWkGE}n^XmqiTiF-9~9;>XU%f1{ekU`EH%5H&^;r;V&%C$4i z%&_Nk27h5+CN3VK6n2Lklu)j3-`V?VE8@Xc*j=}qxc*st?ROvOmN!rc9-vkXiKE|< z@^PWTxpr^6F`^M%&KAV9S((3!1Ge4kkapKf8wB$5k$XT!gZKyY?kAW1xdb--Mq0Yn z#sfc_`PRz(N`%)Kty0Cd(hk!|blVgnE4$w4xgG7q`r=CFpdJ zwQqJc=3$TelUgY)&su-cB_Xsu+S&3qLb=uW&Gj9=yk5U0J1Y8}m$4~-Yp-Am662Hy zLqsfV4!M93&^fU_9~d4sTWt2QdtIZpNK5DBtgikC@S35-Thc>{W)`!wBiXllT9W~w z8e@m53Z0`NA?d?lOjq{Z*hv}xC2goWsTFbVIh!<`DlqO-EWoyY^NfiqG>GkCc7esCOrT>0XK4pG}TUmHIIY!?CYG$~C$adh6unO=Qq9D%Ec7 zKAcuu3Mtk={i$s6T-oe+q`san=hrX9=e!e2R}sOQ?eY;-XGusU|rL>-sHx2#S} zET-?_Jbe$~q<2K##EkNyH=3%6o)9ww^9|@^PJ5hG5_R!?yuZ}PJ4MEO18{XNf{2Cu z;C=l!_l#+CM5PrXf>IbLwbs%(m4(?rZQ9JV(;Yf7fQ0JrQxaq?wfp$`FYob<;)hjY z#{EV^eQU|tBtw@>UKbe!lP5kV^K@7Ir&6~e78VwP|6@X8;?3vL%>6RGh7%=lh}&ah zW6Pbv0i6kTxNb*nCv2A8A5{y=s!dK#e$)JKw25c^*)os={j{9SN5Bc{F#0w}=8}HD zQr=!*X7AQWm5eX?4jmg+d3+j}DaQpUko4lOg)?UUX3=#%ui9;#3Pi=aS_!3L7Cdub z9t8ZpZU23}NwaUDJpwNRK|s6RXdx(p$qN{KE0#@@aRY7BcY_qR^LCnX;f?W(3KNf^ zt;lKiOXInG809S!u!@|CODj$o*v}umPq*rU?SHqOpDx-dg+fK|j*ty~uNlg23+B>S z+FAJd`3Zahdy{3!IYdRPColIn&1+Y0j>U#gRGRj=4a!OHL4iz=(s}6ZGWQzHnzJ8g zYD(RRb-7N$>G*<**{lw6qXpN{$Eo|`*E{U4LfkklGU;64!i2E&unAcWThnW5-mx+< z7440!czAf6UjEc+T4gn9qu`@Cgz0{9K@0!(jj9;R4uKtZ6DUbaD#HhD<_`@1R^I03 zg*<RcpS5xlt%myz9V-R3$~vXC0FdP>`}Bl2O4l9=sFv3 z->;XR+Z&jW^B!t{?nI>`+;v5uym!01+a^PEm;Gw24%~Ire;{?-hfGs!6&eZmX1Eg#xExelo$yyU((&%&X>8KUq%@q zZ_+vC7cS)IkRbTr-%H=6|0yUIVtiSIzTqgTgv4KGBxG`8KH z$eD#seW<-~JDOXpLHUQI4|R6>e_>x}QnD=xKE62k-}QWf&3swMC`>b4U#c9%6PZDr z*JhPkiQoW%g{DSO0_mtJqB(cKPwe4e{lf+NBZ?xn^IxA`M*t^|-?_pQj1gi0k*1-W zpmFCV#MD0hH#`Yq94PM6wADThq95YU3b(yTsQ_bNZ|}oDz7dbTiLfTM0>$9a&|gr- z`Z6y^q5@4OLKP0OnTVvlYw-e?WI>O6gY?Eq2i@wy)k(Fs$gDU{l0#<5JLZ_40;RM+ zE{kY`YyWbEFbOTW31k3(zW*jug8eJXc3uik$S*;ySX!I;gF$ucsM|E!ekC1l_;@KoPVO&yN&%{}O6syj8l|tF}dF4`M^p zkJc&Q8}?CcwZw4>uo~1hTmfX59EdpCZbspYPFfa&na6Pw`+tcdy>g>bJx=A9F`(nk+LG@GG4;PqUy|(K{FUAh*PA+^mVo(nb7N!J5N0!b49Bj;Aw_78nQp$8 zx;Qa^2PTGeA70|&|DZuk<+lDXn8>OEM~AeurJjO}7Kar8?yr?3HuEDSKj@bk`W!#; z;-n8|Z1IiofWNFU(w)3B0)@}$ zI3*FXLFkEFe5!^uNwaQX-P+$(3Y7K3izrTQZEfK`pY+4omRmIkmPXmWKyc|8B zC!^3K`UK3vldDy*kuOf$g5ZX%$5x^1l?YdV&^~$ zqNs&EjTk~xb9bIU2hoI-x2No{dVVH$%B==qG~Cs_0~{CQ!j}t^@7}z516ngruf0Km z?F9veoYsupbh@9Go?iL_Sc(E19Zr(`wQg#Ma`{#`BO9s#j!-U$WL`#+uz!$r@*jcO zCMCs+TEs#zVRJDok$Dm%V*;fgS8?%@7#9MPwr>Uv7<1r*PmrsE4Xx9GShY8ldr{YKRXX$ zt5%)fzr?d74SfsT^#|7aeb_u5`8x{^T+(L-OReCb{(YqdZIqS#>bf`MXv{5{p4*THFeIO@Vv`U|tAfO-x;0gag`BjjRpM>M~K z-8NT!K3vKxTYt7#*g}vN%C>gcD`12(z$xV{?)0as%I87(;J2ME@8My;dWIH`5Vd9^ z-A&9De~}XM-Hi3vb9^71!^0E%0GJp>u%n}MqdvpT0Um}-DXUfp2hN7j7`xbA`*u4! zj%*N>uHV}R2R}c>ElRK0^A(n|XEZ*S_bAcbxP?#%78D4z30DTP?&!1#j;1xD(Ej&w+jUt+rG?(kZl}rR zIt6>}==sRy|>e-iaI)_`#IGZ8U9V^~X|gKtPdT zXs$fkOhDg8;*ygzH<+∓5{fHAr|M(PJm23Fa#;A<)j)_4fX}9IOA79QJ_Y?t6_S2n!2)BqSzY zg!~=Nho0QzWGS5$(Z8O!9WK{AM@sdl`>n_y&mhs29kazDIxa4%B2IITEYf+8cF;hU zg|31~qk?FdcS{Aql+{0xeQ(3+IHOK!qNWmNV(wMG&GXupFcz8lY3Ik<9RuNz@rnAx4k@C#K681t{*R<*I0M(1228$UZ}hc~`KFom zV<_5t=C<*2j7e`nIPg^^6q;h>q6#kuJ%;5G-@auulXR8$STEkaJUUq_Ydx4_%yMr; z6Z_QOXUR(O{b83_5B|zxdwr#DY4P$ag&iN&GLR%>`#nBH+s=EP^S@%%qWW>@d`pK- zdU*~-B%YX%%XZCGNfi5{<^O8MHyZPFl6I@Ww>^~71Pj-jP7>7z{M1A&RJL%eR~6OA zf0KGSMG{|?N3Z^{y%yjO*-cz)HK_gftz5&0mScM}PIt6iCKk>hY{tE~Kl@h|?uqWf z=}~oBkg@vjC!Gb3xL<=OLF(NCmkya3R8eU-nVu*ga-4vvh7II|bm+V4!4 zFL_W*J^r|!+hxB5K1}H5H$fP>bjUS-r6v8+LuSkxU%}Arr(Qg;~MxX6;M5_uWr#lVN z)C$uO`I1TDB|9gXK0d{6u#P7YV4tF`;9 zqfvT8=D#*qlczoT`Bvz2LzbUG_?keC^*l8~pX1d^-yy~oa(AEGVnJn>cjw#lF1~zU zQcN3WW-z4-qiuskZT&BgP0&$&kZId79Qqiclu0&QeQrk~uMvt`O&IGaD~&XoU_Z)d zDkkK>JRn$0G{_OvVh&c!hrkTn(2W=XFin|2h(YOn6>v>tP0`2m_B!^by@8hK_dy-B zrO73wnqSs+yiYdYvD1JR$t>KMO`BJ^NZ!E}aU#eR4dl+EMbf?Rz!cw+> zqvL~F#w8*3z&xO`F^Yxdpq`wSc;029!u~PHXk#}P%EHbb^R$~71bvmB=g^D`m&2(b zuY=YY-}{lpO+Lm9C%VK9(|!9z)L)&Q5;y5CuUK_z=vho>MPdvU{XYPc2tnJLqmRW2 z$t#&r?M@9e(PKawXMw|67PYQU1y}1YV}wfg2YkMfg}w18|D=1wBboJkkDEj9PwM_v znE1J)5rqg<^(f5{N0gPIclkU;@5|gb9gSG!Jn#!n95akWWFbNV0Mg%%Y-MQ+?d!=iN}|ke5kBx>(u`wE(?tJ!?z?NaRYs``C_N=w7&% z4D4ua(BoRXa4LO7AX$YosKslyvmQIEaUwd6G%jx`|K`Pm_pNQ_x2lUQP8hsTOZ<48 zRCq3bw_rI6A)e%j+Ifl`DJ3ty8~HpjusewOefH={DM}}D;`1fGSRR#?uy#CAZzYLU z%Y^8RAGNW0dEz|8kX9R(A@lJ@U(&u0A^21pyd>4UHZ$#H=9%4xyMCLU9VEwFzmyKX z?2fwV8+cxOJa{bq4MRUvQd}t!@v){n6l2ubkbu6K*ZVy&9742fanI~<|2r-wt+jlO zedleq0SDbo=VqPnA&+<=6r~u%t8GtpsLpF$y1BqsTuCGVd0*@Z`{&Ha z&iHqSWc!Bt4aDPK4Qs&~z9)D{*|4}&NLpyKf82d${4NIF2!6bJT3klm5npuZJi@T=$G1vHrc@~WdAN=-3Xb4Qaift1atRPhp5(3Mx1l$IPnR8*++GuF)5 zlGuY{3C^8rFj!Ax)7EfZ(eg_WJgq6+{eXy(CaH4QBc+ASrl_6-B2ZXQ zu{No;RAw_B(cOK7RIsuHy`gMsx=%{s*kXq4KpMFS-31>a`x9I#M)xw_Y3cLlPn>!m zFdkbLCHPKOd*U4I=jgM{7bKpVZSsSmaaLu5JxY`d+Hhg4yi828W9LR6`k7mG%@Wva z7^(sO^iJlj=^-McHuEOm_gMyE7uo9z2JZVvSNZRyH;f(Y@SLfrtSDu}y`S z^*bvh?&n#*v}!wxbH-Zlk4v;qDK;~vvo0A6#_}RB52qj4z8#JCO=5&dJYlS@DyqYR zqiyopLA|O%aOz zXUqyEvSH8|3!=?{PQP^}?&HDBh8VhunhAo^oLRBF@C4{$;8;@x= z$ubf~*yE|x@jPfS?wJoPFWfai_YPL29dJo$Y4f5T6unAUtj?eEY>3?X{gF~fbn@OV zaqa6vrx0|~J>x0Y_iASZ@~$T^Bl9^f3#RqI_IZ}+@+>59$$^ig@350519XcYF|64B zGah?KNsS1dBsl;6`N>_Ns3#hM-V)|1Q2EEX^_~z!MfE(W+RX;nKzKJ@<3 z#o9LBXzVjrCz{zK*J?V`6GZm?jAZfl5G~zFc4N05mH0_*K2C)&SiJX2@dZE zGd+$^BFnjKW(?~NDR>`n>~3!rqMEJv42KNWH&}b;XjjBbeh;w!(=zUWj$)xO3y(s^ zDmb3+=zbiaz|#EtWuw2ZwfN{xDr;UJb3ZCs4DymL?)qc4x7BtCWfH! zhQBTznB5?l>jsQD$~t^hi4TxH9zq^0%E`Lt_uZC zUu^s1_l2vjtf!_^V2>?AB0v(1ebL3x=H`rOZzF>dUzn&aqWHUu>}{Y>)#Dm9-#?9P zW6D6AdBt|?iv_gysuDVg4;$1crY>e@=G>N6#0bOBauVHdHlZjz-R2n{SvzPPNZ~lx z*I_aTLsInpxDOR>*!|k}aSz%?%Py{CL@u5y30=SbPugI3Qt7_I{CpXUoz`|_v-vi< z?kg5F~TGNoiee0@Fo9OA?uQ!9dI>93K>)~cCla?bjNxt%wGhLelk(i9xL--3#tN#=q zVRy|@-KRQX6r|;TC}@1r4SiSMd3X^6*hF?8dZbcFMcw9{$uN-70)^17l=Hhs6&m=9 z50`uGif%a^1df_8M_n`HIH_oVX-)*0^m9U5$iL|7d0t}q@|}kAB(k4Xh>^r66h?(- z3EI9C`@HI}2Ct+R<5QIMQ-%A1TxM3rkMCzM9Jr5g5=E33mG2zfmdRbozN(nQYzyXL zK0w1`jzrT~MghLjU^AjWGv-(-hbXIWX5AkhiA5#Yd(swTJ^?jjVWaSUtCru|X1J-> zs>n<@r}p-GAaD4Z(w|F7G;TPJ_i@|hq`v@(!>?muF2#YNCi}UYHMNeqdhyx^R3Cb3 zDuu3nCDVa8d*52CB`XEWmnS}Xj$-kJho}0NE;4fZ>aEWd#$ZqW2Sxc34 zIrlF`B}B#TRp2D>U1UPElPFAQ$xjnB9&e)|%$8ySyGi1Or_CX)r|%^uwh`**aIWgI zX|g$yEG#?x5F{5E5!Kx}maB4BDT%txuSJP-gTfA-rp^DB?<{7feE*{9ZfwamFxbu` zw{ajQV^Og5EL~YrIHa25UAy_pho7ACD|CZDtcfl?Bp=!Tb395B$GJsH4n3+}b2c3N z`QFE_ND%o?OdbiQ5bJ<-N(_s=JbrB6Zz&41;+a2r-uE*=d9x{cwH$=3 zH?4q>Ne4fIa=?&j>Ua@WAk!eFOAz8*FAE^I;UCS z7UI_w2-}Z&nA{C#q(LtQ1US!$P9Prh&h|xlrcdPR53v4#x`69rXI1L(w73%qt@SxXu}^w8;dNh9 zg!c=s8Ya~UJ;o(q<=U$5>}6-0X(K42OteS_vbDsL%Iy+VMXYnxsenkVUM7=kBBElO*AhpdK zAuIO*fn{w%jV3}NtCfyT*bJ#topSdkVRstM|KpofnL>Bn&9nGT)@gxSg~|Lp{fUCl zh-J`EZt`gc)iihSziXSCk%k6dW zjW&zLBR%y9EGQFptnhTIau4wmjy1MQoUl!JmDoFD$kp z{!t-}N;nRSxYKbA@cDhreysiT6Ak28yMoam~?j(5?cY_d@jbs$Ykg~#enh5EThL5}67@-n+v(qqW{nr8Il$JDim z(<=GQ)Ioe8;Q8v9?0z~3ry{)M7NbKJQMAx$8!-L#>sQK+ICGb@-T>FAh=REI%NUUp zlthRdN#*UiV5k_an4%(2Lj!-44<`pNZ>V{u54pcV%L|K%T#RpN?~L!io?}zEVqml| z`yK5m^H>~R`^gGO(O=zYvMf=w8M$2I7t}qRBPi_q4}f^Ec{eUvL=M)Qmp8QF;=chjL49omdWCbKa z>Uf)}V1MSDx;MHQq*!0JqgIPi_DhfLD!Xkkiw?VukND5|Y=N%r#dv4smrAr;BEn`~ z;gR~Hk=c2I(MhG*Mc}l2)o}Ipu5PDK5xQ;zs(>$=m0xpCG(N1hH~(j*052OV73<=| zzVq6i;Hdq=`Fx`;cv6>#cBt;wT#b%y=XgXnuHNBDs`+Fma)D!qT;gXV3pW83)$n($ zmbRqB`^^kK#SOfqBIX$32Mz>`7EfnyuX68>A~Pkz-G5v?TZX`38pX$^E6p={l{# zw^0F9;?(cWyyt6b=u~)UYi~7=WG&uX)iPGclVHlYFNcsMb6=W29gwtg! zM_EzOq3kBpK4oifo^^71GT4?wJ~!Oqz^~!;6R^$eCPHW)KEh7jKSU}{PsVfRrL1wm z?TknJ!;!8u=mc7{-zfNE%9yI_YsFc;F%7Zcj`8d9lPLe!AMsyDgjMlHuLYrpp%;ez z7malgO;<>CuJTo3fDN|`f%$duK)lp*@tp}Pth_HW6JDc-F@#?wOp@qvESE-4*DYh6 zM_TN4EtWF94!k(May?smODi6N{x$ma^TLov<(D(p|BP~}sTP=BP&$lf+GsvxQU|RP zh-z1IIjSXv`X46b4ZzgLdStc718#&m1L!p*{vf^W+anNndsd%giT(CR0t>HE-F%85 zV}{CqeHEZT&#rAvLpD7?KiMb0@AFWuc+j&uuX};7G4&}WCBHW2{I1VQ9y~|0v{NgC z3kzRoCSRXz!&Lq|?EYMRe3L${b*j>!s#KzT2|h*b5gCMrm#)~}siLm_&aN&E{QZ#k znY|Ft+L_3J@Z6u_e*F=$aIsZe;aq{>pnO;$U-dZ!8nYi>DI9Qo&zJgDPiN2%C zDH8%uS^}aZPaXL|zr5l-p%l3Y_`F-(*=&S-eCB5@EB((-JE2~2YIQkV&+&~%N-S)W zaLD=sy1)fm1wD)!g3BfgilYO_Lrg|8;}Kan}`6yfP+eoUnvrPz8RF;0+mR%=7 zvZnzW|3)73b4Qlans>2iN2&CiQ4mU7CkjKnJaZSx#zr@VUWF$-H$EuMSgMoY!pVl* zzkXrDqBo@yJ^Xp?deh+F_;yV7zh98tJe*w68M+z(A8Yo&649#)1XAKE*M0J`dd_eW zpU3WG(U{yroR<0=xffW(Ba8xb9lG|dT^mVmLc%b$f{`KjFR$2=B6UOY@*e$LLIR$Ib%0<*gf2n1aBx`U77v>L4VO%GerTr0=Br%&}-CL+k}?H>;& zo`<^2x*1Uh=devQ5N_ov4Cc?(3L^&HDh#^IOU($-+v@Q^=c60!{BbEX?sUlY7x0G- zi9F}b(i-*3S$`Fr%dN+d)J8MCdjtPwIxdqMMdSMc8iaVxKJ6bjEowf$^vYNhr+CvnZQU9Levwj_{^yoK@5e_%lD&x~-;!jW|(3L1KPlu&;g(u}k@H%_^0AFTI_P>v_m7 z@dh%zZ`B@~d~uR-5o+Sp8iDRi`8Lp~g&^R_?jye;{lq+_arLc)AvYSlRW_g9AD8~~ z*m+wCW8ZHQ(o0W1k1R|l3)pgMemUsuM3u9gtFc^05`M2SrIP7qObjAp+Rdc&$wN?< zaq2q1_gD^K+JG&AD1EwoEkV;@`IH^D{6)ZWq5iFY(?e$X8sl-OsGeHc;rE}&Z?V_D z5M9Q`ntc76`eAp<)_uDNx`Yo}{@3`pE>#cbGT%{B%wU8Vw5Tb6?dYQ^HNOk4Y`$F- zI>_QnLCY8FZ2Z;dkU~!QNOphAQ}FY4&|S_ zL;$P^uJp*F*|s9HK~@j_fct`C`tmjz*q!-ai`!)DeTa9xJ3oouvd?av7!woIzrHMA z`T=UG!Ng+-G}S;)FSttuwD>gI%-Z=2b9m5wH9k618#PINlv754~Bw9CXliPOAR)OlG3D(sPXIiG8faF7DD9n=`wb=Se`Gm01f?oE0T-LA57avRWxxZ zGC#;ThTLMUxi-z#S~DsZ#KDr*ek7qHAYkUoNQ$c)D5}f9kz!O@r(Qfg=a0-TET5Y(tkE6OLgKRrI&YbEwDPzfwM@Qo!xHcaBp1--8-21Z9m z>)sTr5QW~zmMkKDND}sY=03JW8iLMS)hipuaW+Dtos>M#jGpZx4MZF^Vy`@Ks9X3p#AeEvzwmfxs}@zj>~(f5WNOCbQBDPP@;kJ>4;v zs0BVwm?iy+&(SOwp{K7Y`@tu{bXY{X*qXjW`&XJ-f&**lBp_qUhk zpv9@XJDsFwG~~J2r>CX=s?~VyiSW*ChGmCZ8K^EkdDq7R?L%DV!>dbCj?D15gEhSA zFQ%Y4!A&mrdMl2x@&s#4iilkRFOkB&`N!GW7cgm0(aMThv4HDSCHl(|1}<>sQZ8L7 zW&OjnfR>m0(NK%2=jZ#SM6dF~!tY+a4eAVF^i_OC4X% z2bI5(z6~rXc>{b#@9!V~c>o#VTkN(6#j>4O4GH|(@0M*4xsW_scs|u0vu_REUG6{z)$YtwUjqX+DmH7)wd3IKMDC-r zE?=t7QOPhMl!#4B8w7J;rGbRseZO`Z>Q%^>4OMesVHr2qo9$vJ@v91(3Hw+x^~xb9 zwo8`p(zyze1R>I2_x_t4x*TUOt?7 zKb|W?Ffn2Gc{~SoW06MSjF>v@Sn{I@l&OJHe)QFl@cmNoHBGq&cM^Y+Y6QRYKhUx~ z3_1tGBO^^0>Y0^ZBp}2U^W}3+x=3S`%*%Qj*yRP+umXv z>ptCe;Wc?qhh1>l-Pj;|tE~5+dm`&7f|1dVg1pDk&+k2INm3s^RJk9U+?;K91!E9| zfhsmDE9)O)3>9-369&oT@vUc>asfY^ig*D5Fy+cDJm*q3x8^&r`w~v@-@m)Yg>45u ztp+Cs0Llws;&^vY&p61aD2FmgX3%dC2cvUg5}`fE?<6a39GGe*!!Pv<9j}K9wxbvV z(Et!kM)J{QPx++m%P0^G(T8qKotH5v=7PL*2KbI z7oSen`@!(dPV*&XG+dOkg^uj85b}hAW%oi;0ESOXz9U3V_WdLNVlxzEU8=zduWTwm zusos*71`^Slv6Tal#4{+QSj|20$$cEj3Ml9z%DU>C&2$q*!U4SFOgnjWv^PPwY>_O zHCg{=`0JZd`jL*mO&?m``YhyEH|pW!B8LVTUnL)p>!5K~dgkuo%dQ0sHa8!>zMUaC z+@Oo__i68>?P1tKe}YIZ9hI(AIVbI`KZ#(d>X>mG_{gm}!IaKigu98>eTPE>f3>eMf$HZ9VS9MVq%C z=|%$RLi*xap6PS$YGDXJx&erpI#YNJqmLd2dYdyvqkDz@_u}U==jagHSwoXamNngT zyag;u)X&$BH$G-Dr`oSFSzXxB%9y+kXS98y5p=CNU#r~y&nO$#QiEwG>Zq>~>uE=~ z^UZu@N_KK$xn2~j4qg?#c>hKSOzm9Ob~_hPejdM!^t$^03*iGE{732&D5e6LNt>2+ zti~a(1zz;g_8y+Ei4XxJiIosxNWteC1|KV_?dy<*FV6qnyrAP^^K&XY49^1gIKm*Q z0zhHlSxQ*lYu@vLPkppW(NPg_l2{25j< z^xmdGMMZmUI_@+vTuuzj+@0Ao?d-AwByLz#sC?Z0cl7Es$B8Ix-r5qIQLGj%GQG7O zxc>SmS8+_Fx3=PfM$T?K=6Wqxu)XVDk&f77QQq3@j+5?-*R1jS1<+|}wmsR-NV)e_ zgcC`uga|`-c1<{#zV*l+Ao0gSrzBQ2BxcwU>K)I=*0T9IZO$)ull!>$o6sUJY)7+a zpYYmd{+dhnT|REov)gI4V4l~ed{-%5XFP=Aa`nQ?4Aj1!1KY77UL>lr}eXvC(dD|(a<~dD^LbumRB_TwJNn#~Ln2J#5+)^De zrBrL%(Sj1%$2lq) zYAc&klzuAI@dzQ#B`aNps0C(dZ6s_vvPz-)0>sz1b8$n5RAjFuEa1qA5)Pjz0YGVH zjk>DMQ$Qmmlgb+fA0Qs%T>%)=6ON1{M xAgj2A5JCi7UZ?{QLI@#*5JCtcgb>2F{|5s)g43Oa8!!L>002ovPDHLkV1jm}1nmF- literal 0 HcmV?d00001 From 0dc2ae655b54df97e89482aead5065b7e8412a88 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 16 Aug 2023 15:47:42 +0100 Subject: [PATCH 02/77] Worked through Cf stuff. --- ...x-op-amp.ipynb => appendix-A-op-amp.ipynb} | 0 artefacts/appendix-B-Rf-and-Cf.ipynb | 103 ++++++++++++++++++ .../{real-data-1.ipynb => artefacts-1.ipynb} | 91 ++++++++-------- .../resources/patch-amp-3c-with-shunt.png | Bin 5486 -> 0 bytes .../resources/patch-amp-3d-phase-diagram.png | Bin 3164 -> 0 bytes artefacts/resources/patch-amp-4-Cf.png | Bin 0 -> 6931 bytes artefacts/resources/patch-clamp-1-mystery.png | Bin 34353 -> 0 bytes .../patch-clamp-2-pipette-capacitance.png | Bin 35671 -> 0 bytes 8 files changed, 150 insertions(+), 44 deletions(-) rename artefacts/{appendix-op-amp.ipynb => appendix-A-op-amp.ipynb} (100%) create mode 100644 artefacts/appendix-B-Rf-and-Cf.ipynb rename artefacts/{real-data-1.ipynb => artefacts-1.ipynb} (68%) delete mode 100644 artefacts/resources/patch-amp-3c-with-shunt.png delete mode 100644 artefacts/resources/patch-amp-3d-phase-diagram.png create mode 100644 artefacts/resources/patch-amp-4-Cf.png delete mode 100644 artefacts/resources/patch-clamp-1-mystery.png delete mode 100644 artefacts/resources/patch-clamp-2-pipette-capacitance.png diff --git a/artefacts/appendix-op-amp.ipynb b/artefacts/appendix-A-op-amp.ipynb similarity index 100% rename from artefacts/appendix-op-amp.ipynb rename to artefacts/appendix-A-op-amp.ipynb diff --git a/artefacts/appendix-B-Rf-and-Cf.ipynb b/artefacts/appendix-B-Rf-and-Cf.ipynb new file mode 100644 index 0000000..8ccbd34 --- /dev/null +++ b/artefacts/appendix-B-Rf-and-Cf.ipynb @@ -0,0 +1,103 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "e78cc9ba", + "metadata": {}, + "source": [ + "# Appendix B: Values for $R_f$ and $C_f$" + ] + }, + { + "cell_type": "markdown", + "id": "49df59d7", + "metadata": {}, + "source": [ + "Some values for $R_f$ and $C_f$ are given below:\n", + "\n", + "| Amplifier / Source | $R_f$ | $C_f$ |\n", + "|:------------------------------------------|------------------|---------|\n", + "| HEKA EPC 10, default gain | $500\\,M\\Omega$ | |\n", + "| HEKA EPC 10, low gain | $5\\,M\\Omega$ | |\n", + "| HEKA EPC 10, high gain | $50\\,G\\Omega$ | |\n", + "| HEKA EPC 9, default gain | $495\\,M\\Omega$ | 0.16 pF |\n", + "| HEKA EPC 9, low gain | $5\\,M\\Omega$ | |\n", + "| HEKA EPC 9, high gain | $50\\,G\\Omega$ | 0.02 pF |\n", + "| Axon HS-9A, standard | $10\\,M\\Omega$ | |\n", + "| Axon HS-9A, \"large currents\" | $1\\,M\\Omega$ | |\n", + "| Axon HS-9A, \"ion-sensitive\" | $100\\,G\\Omega$ | |\n", + "| Axon CV 203BU, whole-cell, default | $500\\,M\\Omega$ | 1 pF |\n", + "| Axon CV 203BU, whole-cell, large currents | $50\\,M\\Omega$ | 1 pF |\n", + "| Example in Levis & Rae 1992 | $50\\,G\\Omega$ | 0.1 pF |\n", + "| \"Typical\" values in Sigworth 1995 | 10-100 $G\\Omega$ | 0.1 pF |\n", + "| Weerakoon et al. 2009 | $25\\,M\\Omega$ | 0.3 pF |\n", + "| Armstrong & Chow 1987 | $10\\,M\\Omega$ | 0.5 pF |" + ] + }, + { + "cell_type": "markdown", + "id": "f97d3d76", + "metadata": {}, + "source": [ + "Here \n", + "- EPC10 data is from the HEKA Patchmaster Reference Manual 2x90.2, page 76.\n", + "- EPC9 data is from [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4). Fig 2. in this paper also shows that the 50GOhm resistor is always connected, resulting in the 495MOhm for default gain instead of 500MOhm. This is presumably true for the EPC10 too, but I can't find a reference for that.\n", + "- Axon HS-9A numbers are from The Axon Guide, 5th edition, page 47.\n", + "- Axon CV 203BU numbers are from the Axon Axopatch 200B Microelectrode Amplifier Data Sheet (accessed 2023-08-16), pages 4 and 6.\n", + "- The final reference is [Armstrong & Chow 1987](https://doi.org/10.1016/S0006-3495(87)83198-3)." + ] + }, + { + "cell_type": "markdown", + "id": "ce5e9790", + "metadata": {}, + "source": [ + "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", + "\n", + "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable." + ] + }, + { + "cell_type": "markdown", + "id": "f4a81e0e", + "metadata": {}, + "source": [ + "$C_f$ is often called a [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance, where \"to shunt\" means to move, bypass, or divert." + ] + }, + { + "cell_type": "markdown", + "id": "53f4d544", + "metadata": {}, + "source": [ + "## Capacitor-feedback amplifiers\n", + "\n", + "Finally, some amplifiers (e.g. the Axon 200B in \"single channel mode\" - but not in whole-cell mode) use a \"capacitor-feedback\" system, where the resistor $R_f$ is omitted entirely.\n", + "This requires some special tricks that are not covered in these notebooks.\n", + "For more on this, see [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), [Levis & Rae 1992](https://doi.org/10.1016/0076-6879(92)07004-8), or [Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093).\n", + "All three are book chapters but in my cupboard if you need them." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/real-data-1.ipynb b/artefacts/artefacts-1.ipynb similarity index 68% rename from artefacts/real-data-1.ipynb rename to artefacts/artefacts-1.ipynb index f287f10..8735439 100644 --- a/artefacts/real-data-1.ipynb +++ b/artefacts/artefacts-1.ipynb @@ -23,13 +23,13 @@ "id": "866f0da6", "metadata": {}, "source": [ - "## The very basics\n", + "## The set up\n", "\n", - "A detailed descriptions of the patch clamp technique can be found in the chapter [Penner (1995) A Practical Guide to Patch Clamping](https://doi.org/10.1007/978-1-4419-1229-9_1), the (short) book [Molleman (2002) Patch Clamping](https://doi.org/10.1002/0470856521), or the (slightly older) article [Hamill, Marty et al., Sigworth (1981) Improved patch-clamp techniques for high-resolution current recording from cells and cell-free membrane patches](https://doi.org/10.1007/BF00656997).\n", + "Detailed descriptions of the patch clamp technique can be found in the chapter [Penner (1995) A Practical Guide to Patch Clamping](https://doi.org/10.1007/978-1-4419-1229-9_1), the (short) book [Molleman (2002) Patch Clamping](https://doi.org/10.1002/0470856521), or the (slightly older) article [Hamill, Marty et al., Sigworth (1981) Improved patch-clamp techniques for high-resolution current recording from cells and cell-free membrane patches](https://doi.org/10.1007/BF00656997).\n", "\n", "In brief, a cell is placed in a bath containing an electrode (the _bath electrode_) and an ion-rich solution that can conduct electricity.\n", - "A patch clamp _pipette_ is constructed by heating and mechanically pulling a small glass tube, then filling it with another ion-rich solution, and inserting an electrode into the open end.\n", - "The sharp end of the pipette is then placed against the cell membrane and suction, electric pulses, or chemicals are used to break the membrane inside the pipette opening, thereby establishing a connection to the inside of the cell.\n", + "A patch clamp _pipette_ is constructed by pulling on a heated glass tube to form a sharp tip, then filling it with another ion-rich solution, and inserting an electrode into the open end.\n", + "The sharp end of the pipette is then placed against the cell membrane and suction, electric pulses, or chemicals are used to break the membrane inside the pipette opening, establishing an electrical connection to the inside of the cell.\n", "A _patch clamp amplifier_ is attached to both electrodes, and can now be used to measure and manipulate the voltage between the bath and pipette electrodes.\n" ] }, @@ -38,7 +38,7 @@ "id": "5f08b890", "metadata": {}, "source": [ - "## Patch-clamp amplifier basics" + "## Patch-clamp amplifier electronics" ] }, { @@ -49,7 +49,8 @@ "Now that we have access to the inside & outside of the cell, how can we control its voltage and measure the current?\n", "\n", "The answer involves a lot of electronics, but a brief outline is given below.\n", - "It follows the exposition in [Sigworth (1995) Electronic design of the patch clamp](https://doi.org/10.1007/978-1-4419-1229-9_4), but also uses [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348)." + "It follows the exposition in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), but also uses [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", + "Note that the schematics given here are all simplifications in two ways: 1. They omit a lot of the extra circuitry you'd find in a real world application, and 2. They mostly omit non-ideal effects of components, which can come into play for precise measurements of small currents." ] }, { @@ -137,31 +138,37 @@ "_**Figure 2**: A difference amplifier buffers $V_{out}$._" ] }, + { + "cell_type": "markdown", + "id": "e4b9a1b8", + "metadata": {}, + "source": [ + "For more about op amps and difference amplifiers, see [Appendix A](./appendix-A-op-amp.ipynb)." + ] + }, { "cell_type": "markdown", "id": "4fde49b3", "metadata": {}, "source": [ - "### Diversion 3: Shunt capacitance" + "### Stray capacitance" ] }, { "cell_type": "markdown", "id": "8bcc3682", "metadata": {}, - "source": [] + "source": [ + "We now make the schematic a bit more realistic, by adding a capacitor in parallel with the resistance.\n", + "Originally, this was done to model \"stray\" or \"parasitic\" capacitance of the resistor itself (see e.g. [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4)), but the recent paper by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) describes it as a separate physical component, \"necessary to make the trans-impedance amplifier stable and to increase the bandwidth of the voltage clamp\"." + ] }, { "cell_type": "markdown", "id": "e976e38b", "metadata": {}, "source": [ - "The assumptions we made about the op amp are wrong in lots of interesting ways, and in particular when analysing _changing voltages_ instead of the static equilibrium cases we've been considering so far.\n", - "An analysis in [Sigworth 1995](https://doi.org/10.1007/978-1-4419-1229-9_4) however, suggests that a more important limitation is the \"shunt capacitance\" of the resistor $R$ in Figure 2.\n", - "To make our measurements precise enough for tiny current currents, we want an resistor with a very big $R$ (10-100GΩ, Sigworth 1995).\n", - "But in addition to a resistance, these components introduce a parallel, or [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance (in the range of 0.1 pF, Sigworth 1995).\n", - "\n", - "With this, the circuit of Figure 2 becomes:" + "Because the resistance and capacitance are both in the feedback path of the op amp, we will label the resistance and its capacitance with a small f, for \"feedback\":" ] }, { @@ -169,7 +176,7 @@ "id": "0d7e6238", "metadata": {}, "source": [ - "" + "" ] }, { @@ -177,53 +184,57 @@ "id": "3e4d7069", "metadata": {}, "source": [ - "We can redo the analysis with this capacitor in place, to see how it affects $V_out$.\n", + "We can redo the analysis with this capacitor in place, to see how it affects $V_{out}$. \n", "\n", - "Because there are now two pathways for the current $I$ to flow through we write\n", + "Because there are now two pathways for the current to flow through we start from:\n", "\n", "\\begin{align}\n", "I &= I_R + I_C \\\\\n", - " &= (V_o - V_-) / R + C \\frac{d}{dt}\\left(V_o - V_-\\right) \\\\\n", - " &= V_\\text{out} / R + C \\dot{V}_\\text{out} \\\\\n", - "V_\\text{out} &= I R - R C \\dot{V}_\\text{out}\n", + " &= (V_o - V_-) / R_f + C_f \\frac{d}{dt}\\left(V_o - V_-\\right) \\\\\n", + " &= V_\\text{out} / R_f + C_f \\dot{V}_\\text{out} \\\\\n", + "V_\\text{out} &= I R_f - R_f C_f \\dot{V}_\\text{out}\n", "\\end{align}\n", "\n", - "To allow for the idea that the current we calculate is no longer equal to $I$, we introduce a new symbol $I_\\text{obs} \\equiv V_\\text{out} / R$.\n", - "With a constant $R$, we have $\\dot{V}_\\text{out} = R \\dot{I}_\\text{out}$ and\n", + "To allow for the idea that the current we calculate is no longer equal to $I$, we introduce a new symbol $I_\\text{obs} \\equiv V_\\text{out} / R_f$.\n", + "We can differentiate and rearrange to get $\\dot{V}_\\text{out} = R \\dot{I}_\\text{obs}$, so that\n", "\n", "\\begin{align}\n", - "V_\\text{out} &= I R - R C \\dot{V}_\\text{out} \\\\\n", - "I_\\text{out} &= I - R C \\dot{I}_\\text{out} \\\\\n", - "\\dot{I}_\\text{out} &= \\frac{I - I_\\text{out}}{R C} = \\frac{I - I_\\text{out}}{\\tau}\n", + "V_\\text{out} &= I R_f - R_f C_f \\dot{V}_\\text{out}\n", + "\\end{align}\n", + "divide by $R_f$ and rearrange to find\n", + "\\begin{align}\n", + "I_\\text{obs} = I - R_f C_f \\dot{I}_\\text{obs}\n", + "\\end{align}\n", + "and\n", + "\\begin{align}\n", + "\\dot{I}_\\text{obs} &= \\frac{I - I_\\text{obs}}{R_f C_f} \\\\\n", + " &= \\frac{I - I_\\text{obs}}{\\tau_f}\n", "\\end{align}\n", "\n", - "In other words, if $I$ is larger than $I_\\text{out}$ then $I_\\text{out}$ will grow, but if $I$ is smaller then $I_\\text{out}$ will shrink, and so $I_\\text{out}$ follows $I$ with a time constant $\\tau = RC$." + "This means that $I_\\text{obs}$ will grow when it's smaller than $I$ and shrink when it's larger: in other words it will follow $I$ with a time constant $\\tau_f = R_f C_f$.\n", + "Typical values for $R_f$ and $C_f$ are given in [Appendix B](./appendix-B-Rf-and-Cf.ipynb).\n", + "For whole-cell experiments with standard gain settings, you might expect a $\\tau_f$ on the order of $80\\,{\\mu}s$ (HEKA) to $500\\,{\\mu}s$ (Axon)." ] }, { "cell_type": "markdown", - "id": "3fc41843", + "id": "d03887fd", "metadata": {}, "source": [ - "" + "## Adding the cell & pipette" ] }, { "cell_type": "markdown", - "id": "f0e14ad4", + "id": "3fc41843", "metadata": {}, "source": [ - "Section 2.2 in [Sigworth 1995](https://doi.org/10.1007/978-1-4419-1229-9_4) points out that this $\\tau$ is in the order of 1 ms, which seriously hampers the ability to voltage clamp.\n", - "Luckily, this can be compensated for with clever circuitry, as described in Sigworth's section 2.3.\n", - "\n", - "In the remainder of this notebook we will assume the shunt capacitance has been dealt with, and omit it from the schematics.\n", - "\n", - "**Add some note here about old vs new: Sigworth says Rf 10-100GOhm, Cf 0.1pF for tau in order of ms. Then Weerakoon 2009 (planar amp) says Rf 25MOhm, _deliberately added_ Cf=0.3pF for tau = 7.5 us.**" + "We'll now extend our diagram to the left, and incorporate elements representing the pipette and cell." ] }, { "cell_type": "markdown", - "id": "0c404c5f", + "id": "d2f15e4f", "metadata": {}, "source": [] }, @@ -239,14 +250,6 @@ "metadata": {}, "source": [] }, - { - "cell_type": "markdown", - "id": "d03887fd", - "metadata": {}, - "source": [ - "## Adding the pipette" - ] - }, { "cell_type": "markdown", "id": "eaf914be", diff --git a/artefacts/resources/patch-amp-3c-with-shunt.png b/artefacts/resources/patch-amp-3c-with-shunt.png deleted file mode 100644 index a67fac381fc2910ae83734c61e540bcc417cfa46..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5486 zcmZ`-2UJtf(oZ80dM_fy5I`xyUy$An5I|881e6kr6a@t7O%jR(qzD3nND)Dbl!OwR zgi8}q6qFW0Ac9J7B1I$c#rNL%-Z|en-<)&r?#`W={oT2{vokm8lBFpJ>oHak2*hEA zL0f@9UzyfF7Ukm9xWHbnWjC~*o#E$uUfscY-w=kF@LB@7LS8jU*g}U6i0SXNb zJ?-sxE6~lw|HkRtcRcggb&i2R{B$$4p>^1kwdu$(>z#uBt-ZY0#sHdI5pCTlv;wVg z95t5ivtV)*y3zc~VhoZ5udIEvR-$)(`dZC+fAY>%M|R03zm=Mmvf7ff=CO8Yk|0b# zdRT5Q;Xe9=L#8IZe^33rd+vh)caQhy-!JUwY{&n4H>f$wp0^Pd^C*y$@EM6wO^-2*7Aw zSaq5rt(nStj^|&L5%sZ4v5N{7hWNm*@lA$8DNJY*^Z~k5w4M99s}8cV<8za9!5#rM z+E|Q1XR)BsNe1XkNNyfR7bXqiF=PyhObRZ+I^+sjmdf|%9xQ4Dzkacx5-#EwAd}57 z)L;^=9}q4+g^S)>qHR6Ie_%Wu5U27yFo*FTy9jM&YTPh#v=8IhG&i8A+ue_+Pb%DI@a zp7UmBv&Rd>IHN`m+SNnCe-7c*@!5t<(q9CEkiv*T>8J_p6P70k1^+!=Yz&QsHj9gd zBwHBCEuy(S?vvafEFPmR03Fo4Wb_XsH(0_AreQ8=uK|^VE~auNf9_%ENv3;{32+Q3 z1SXgrpUG?JFNd2TZDm-|qG@`xG|9M3f2#>ejX;w9!!yOa`^Q48=XU?aG`=J(-qVKQ z!p_4i;y6sZO#}?Tv#u$3@;o|v2pm&k*IYz?c4F*ON)BcJ~xSPimW`c`LjBSE+Z~`9s&8tOy*A&j13nG zm4(o`)9!oaV1*PEttFlrn|(w$UVypb6G^T9Lrtj=wa|d}B`vt9_fYcz&IY`y?r4gg zS3-I(-9+y5`JdXnvbxwRPSx4ZcKqzuc6Gs9|5U1keqnNQvgA20x2?H8oj;pBhKVOm zj0^3b-Bh1T92aaWCw-uwXJ`7eG*V7aaDV?qQ!iw6?lpT%-7OZ=3Cm3PTSR{q|9{j3 z!eVmBc^0~)^+AxTjSwFg?rN&P%c>zb(BKopauur5@baCHHYC4JBDFx$ooAOr!XpkB zPBnVYL;Z(qmg9`%Kpin!bobTxjzsRT8gDKiMm50=9-``gUNgjuT#xO}h_Vn@czM6O zP;jLR41iBf_Jd+j2U17B-4#ndw=iilyJ{f;{c^8aW{bzN;1RqK{vz%zejAsMwtCE=6EP)E)*(`3w4=RSWrYKmukQ_oH7- zy4xcT1#8=Gj*-*G#V|Qpctyf1IAxy128fJv5DJuoPlecgk5Xix8Yne;xXXTX)`g#p z29aq?CuvO8N)d9)_wS|^NH3ZA7|%V0HMnlecSP(&te4zBt##tHa5pL?Nzy}{e zbx@6!X6=2Y+_L6p^byTVHNy7BjWWxRnaqYo>BSYgJEK>s?Hgnkl_~X6zkU>-TdaTc z=1rlZqM~|uq3w72p&t~^-jXtCi!*n-4YsT zt34hx>L@U1XnOYS*%!~x=ke}-dh!ynjeSbcFoz*a(2__-6U&Py6f?Rfc?c~38X7)_ zL;9J;;xXitJnqjWE-`bfNVfBJf-ZM*;to>wHO9}Y8*{e3i&qeE)CQXZDN=_Keq(DJlLIL zsL=kZAW|Tdyiq;DcK?O>@br@x%F4=|ZJ6Vjxh#)s3v>H>@w)asW|`?e?f&>PQ!c^r zQ?upuT|6_)lfJv=?Fc2keEGzwBVuh-FJ#p& zNAbE~o|^BmrlzLs42=8vTensc?3xYHTFPuKJqM9se-~LNx+*R7n_BempxM3X7)C(y z*6pNFW;R|24(Tp%iJU1N>yVip!`BA!TlG2c;G|>w@$GmX;9NnN@7ZD5o&!$sG1t(0 zToRUUZf=Q6a!N`Yo0rAfqk~@>d)a?VUec^TR`-hP6+>|X|bo^E?*Y~cF}KP zYl^h=vr%2fxVWSww#?#@Np0J*oX(K86mOCOv&`49=6*K>`F3*uJ+M4cMQdS%mv-K& z$heJ_z`KEje^K=Lvqa!eAH$M-hq~{U*a_{_^QUJ+AA#!1+y9Y{MSd*5DB(x><*~f7 zqH8OrW9j!IE&*u96!^HOEZ)qx3cEB`*L=8AU%3;L?ElQ^G8zW@4DVqk| zL!6=6+5)r=^7iBO171TO^IFc&RlN?@%D1#C|Ab0ZB4_ZP{Ce_kwS&z44ipS(d^- zr7B#ROpgAo{8LU&E^Paqy41+?3vRDcM6wGPQn#az9BB>gYI_{3&>XL%_JULn1H%cu zpikVvbbX7hRtovcjq;3-7Cu!{o<)`n-sAY9m9A=I8S7 z?|kY9J!HrV(_?Nf^^zYRz*`Wa4fgjAX8)v-=TT+U$9d^fQv_Z^b)w(2*41jeFK!L8 zMc}ueenAV;LUCiKCOutZdYj!O+O`_S*r&vV*LMq+cUqN2TUhsxjVnfQgiYzHvC}2* zLXOAx9o$;g5d^8X6a`a3^Xa%~Vs`dXp{w%?{L!j=R1;7{l6NUcxA{k+wLcB?9VFp8 z_KJgg9y6E*+Qgp6zQ-n4Ub|+*cwk?OY_rtKg9uC+qCkrCpK&E|q+! z(06;q-g$eLQd79F2J(YPe5LIv_E2~dU8H6?5b0vzm-n+f*znp7q#o5r!usja1W_+- zo2!XCAG%zRKrcdSgPU_Fhys;#7Zu4hP1yc9?PkZCEB5ixUXO;!qTBGzi|ey=&@EDY zPGtaK?vrXZM^bw>eL$V}V``i_9xpH7Jn9p2{;4w*`F8Ih3CP!+oR(Ql zr|@c|k)fB>!TMnF9{5TQb|Kjgv;MA|Qn~lcwV1%SP>Aiy;dpphR=2KkLEOP=z^1Dy9v;LrBWr@FjXyX+TYg5GA%SzJ+ zcc~hNS>(9LCGp`V!S+%|&jaz5h|eZEE{(2^E#jx&_wJt&2&QXrg(*KhV4FOo^i1o` zTFl@)IPMg;w&pR5hvA+-e_rhuy0bj?By29}FzeJ6FF!x0W%^+Tb$K9)eex`JHhE%X z_uK?}Do|;CZSseU=q0ejqtQdvUCJu_cwDgaG2-d&Evn>nYcH4V?e5HO7yGn21pR7Z z;jmy|75)YB_EvzM?e^H|^%YB>Z?1D#PcbzoWqu2_CaU*gND2A@R8-9eJJ*|3?bxm+ zKeZiQL|<=Dcj>ujyt1+q3bLhB^fNyPGg;jOI~e>SZsaE7oI!NAz;C7*;Ys<5w%FMg zHWC=vq83j|QCk2hs^r{7Qf-fnkMfrO4}NEkUAzO#Aw@dQft^+Zd+wV3nT=`|`MoTn zqz%sGH&$h1ZEH(c>iC&yYh_ihaV*smrKjb@Qrmx+yYb58dAiiT6e=HQai#IL^iDO% z&g%7}9Ux0*ZAIjx#*9f)v4M0Nlh1Tp6Vra(`1tsBlAgy2@4h!5s|N)!%H2;`OkA(1 z=Xt4&jf{@=#xk){+B)xcT==4{s@kaOGEi~(+2U;HyoE^hwWj2%D!G`Mm*EN5m@w*m z-_e^YtK9F;Q(UGT)Sr?_83?{Pi-YLd_t)=i^$E>~Z{T!yY3C3bUY0BgBFSNU z2|NQfxJ(?(NWhRY>(QeI?-7~bJ1fm2Zhz%%Y<}(R>=Z79*ZrdCBcwryT)Eien>y(q zKUyI(_NVeS1eIeB|4^3!x68Z_AjQd&T$xokW6H)w6NCXPfgx*N1tGiH0A5+JQlJ9) zI^)$V6Pb&Js|=U*R^iO32pu$Qnp~{HeUoR-HejXBKX+UO7=W291Pldh99lCON2r~^ zW|b)0CCG!=@?=RiR~vx9BgOjy$I7sXsX#84WXs#03IE~FfbpveQtDNST#Pl9h|c=E z-h>qFh#V~rZeXiwYo4I4?gX|io!j^gx(ziLWSpES7dz++Kh22a8Vp;nE0M1UO;%Hy z<>NTfC{acx$fvzsgJ~|V#S<85BjNvMkc@;f7-nT~^XAPrB_9^mK~b|Ch~xfUOhPFz z^57HAD-+?bPuRe$l&@X8R+54Fnt(xB{X2P$Sq*oJHZvReWY|AGEntCcfwWU1<@7-_ zsHKQ_t0<45!UJQ~V;yjMdrDa01hd^#gXd5_gtKN!J_o%S10bls58bceWI_6MJZ6@R znYP7g8|&*bG}+MU;0dzhOLTp)9d8#aR?pwhihhYEOgoHXMrqE|DbDlZGZC|Uvy4R% zjatNYGsGB)ngUN2s_{Z^8+**kSMn}s7XpWN)bkd&U-0!^lc#J9L91fZj9G`)sb4x} z+vwsU;y4wp)`AVlEmCcKx?`|L$E`;eGY*WoAAiUUnT8IA9MyvKo~^0>#$px5Z(z!} zHhH#C-0dBY;6*_f*QOPu*0b)T|^4pQnrvN-c^-*1;^|-6}Ntf0G`$Q=0r}D{Io%P z5YM)Q!C>EJ=t6tgvO`oeXbfm}yndTe!CTV|Ca$tNusLwD2lztq^3%SzC&+b?@0-@o z2K$NXL;U=wY6Iz2A@sBs@;OJJ=61VUBsaB?6@i=0L*u1;Czof+ zd-p1ur@p^9y_?QE^K622+UZtzWFxi1RF_zZ9sX2Q3KepTi`(X#d_&alPRqi^5C+D8 z9{aS*=sVT=SN)0}4-0lt)2n%r@ops&d4mWOI z`&*O!Zz1;Yx{UuQwj?e|f`e*b2Iv8yXQB>FRtY*h2&!mf%w4?0Y*24WkZ7M1k3(WX zq%zA3$YdRXfZ0v>QX(0L`%)0~4*600LlS{MN#f(L!=nlVqyU2OoXfa$k(q5|OS)1C zSI-slV>tDc_=q$aZ8Yb-%KshtwEX|y*#8A+w5L0cg^5W-IHZZ)i?(DQqN`|0=V30l z;a3YXR};h298nu>D@kU%(0lIQ*Ehf`^^J-dv7?TBh9w=iIIUlgzX9Km_ac$t49vU&D4X_3{3-}5U1;#nG$S?}zQQ+!?<5o<}h9im{GanE9FX4D^hHFm+`WN{; z!$?c9Vtx@AANw2u&dze}B}G2ZFw#=&1n>^vXj6vh%ZD<&g zX{ccsq2K^=;T6LqpkE1WF+xZoJ@ZYdH_nAOfZGaqMmiP)!-2ykY|}9ECRNXT4jOka zKx1x@SZ5_%a|LiN@@c;d+=V8ahS7skFK6e&RA3SCH{hSZN;Hu?6}T$lx=WFlWG(8E zAHyb^MhZ$L=6WPdkoanIxMvpdJ>YTRKHy|`E$T2Ib1gw z*qLzr?}YoR63&+*e#EE%iV^cCfsMfP#Fw-$0_uQUfeVwapN*EgJ^lrZPBQ16?}e}N}boPQe)YYgKk3LSrXG0Ziw<0}(>cNjRf2%8L} zAPU_X(vEz?_X0D}Vn#dgM--p&V>Ir4sRT9}M%l2fQby%bS66q!^y$+lySBp!CuQ~7 zMk0~ZqtWPh%K1#Aa}pwdTu^73}+PLdRvTo`fQZ@!Z3Q3Y+@db(rCQ{e2I8M#8Xjk zeHbmQEK0cNW#D|2s&)?YPhSVDFO|`Tk&0{+z{^mu5vb1JX|bP9w6uL1aC(aS4kI7* zXMmGX!ONzut}cthGkT3|;;JJq=3I!Nq~P99AK=?4$Hx4YmX@C#I&`RSA%+@8HZlz} z1^~lQLc@I8OI03i74S|+N5?rUSFVhr45Clw(XL_SO%rmpfl4;qo94O$z?6I&A2w{* z^?m#HJ&2Np-wnJkZwn104Vi`+nT8l!Q5lC1=JT+TBS&tYGG)qY;P=FR(!=vMCoN;%=dwjNTd^aH)f$k;%z7r&oGK2S@4Dn60lh6+F zC9z{$IW#ijM=^V>K^~I_kx#l6)lo5wl=xZ9pq_aK@=4bqfzNemkl|naE@ltUC%px2 zqJ0E7-l;`~?+A*RK}+c8qfN9|qRHVzrxqE$B1mEe<-Th|an=zO6xDWtwjkUjet{$Vuqf@ zhE4%qz={n8o=O$7$6_>wZbLrl$AA-^T4WSVrHdJ0CrW&?0A*>~jNExfz%Vfj&nG=C z_IW8*Y$$M;n0xXJnjAiXHqoxaiVXz@6Z6r$iQ=MXq21u?P>~|T=a`t&(+r%4#?%kQ zjt4rm$jFGF#e5?0BixoU_KFR8KIvBE{Rle!fZKtyT^mwL{4D0-sASs~lsm%}o=@5< zHuOA-@$mECE)(O>PWO4FF4@tWOa*T0t;YTSA94VAKk##u9n|Hf)yL4}a1DySTZ-Ga zM!xg|?n0Y?hvH^|Xac?1G5KB09)nOG=a+%6IkaOps)e`!^)ee#qDN4DmXjRWm5njT zfBseUw+tmg5i`i=I|voablFRHA)oXl;9cO)DER*X+A+QX|BOW=C>6uAsB&1SgQ|ig zW)Hvg(l4ON;7ce_$y;CD}$JN)@ zFVFGv@xVSLmgJu!z}vVTW_a96Y8!W;SE4IMqe@-}No`*z@Xa#YbQ`Mu=y4p{1?1&8 zxt@4Y)i&b!xIYGLi+#Qs_L!3(OA5F{~op#PM4=+*S9Q)}XMqTb?Ds2=z^XvOeM zv?F{zdVRVmHq?RKh?7w-{jLKWGSP>g!?Y^m8C|;*J|CA$V?hzK*Bg(-AH8uZI??dQ z<296ew-JfSWwD`+=uP%R-1gG9(=(^`CSU~dv9Y&}tsMuaV;}%qlw)8=nFT4_{8qJXt=f>`R_N!&OeRD z*f*Ee(q!_S0Qw=&C?7^6v7}=VioWYclbaFcFe(k93^Xb$d5Sr#%tT2Z4`PWOON!rz z8DS*3*w7-Z*icC@F_(@3sJvS)Y(+lkJ5XrpdaT$`(U_P^$7M*AxzY}ND|TOBtk_V| zn3&zO98Dfuuwp|+VPf_NucJkm#9~7YPAv)`CT9PTs@Tx4a34w<7);Fm!z(uQ0B{4^ zre(#3a=^swPu@XGyR%Tl*lNrtofQ+aziCFj@&@FSUW^qRN{flv|Lh=MZ0HK){=3kn zL4L)=91IeR4Mk8+ye7;i-2)SI=~zeaM{=z|KIx~BPkI$rZ0J2q%%$TM;CE$s&KoF? zbR+V5tOq_@hUfYo6LT<0E;jTqnk-hdPuj#BY})8qY^aqAE;eLh4nAID$7`tYVnZh8 z@bCx~UTnz394-=z4K<*}mWx~(R8CCH;luMuUxJ1j&rl)7hD^-iB~`JZVNNaTWlYTB z=1r8~@QN>8pT~ z34XDm9)#i_VdTxDs8{xi4LwP4GDoGw#9X=TLPdra02d>l^kq&hDiRZOW#jpzFGh(K z|AKtdfz0}EVm3h4sK%fj;d4-u^uYO~P0WVJKHy4}KKKj*nE%eiY$Wpwlryi*u^o9+ zRxuwra%3|wyPVH73gRu-1{j867=~dOhG7^+TK*3O@mBXNM@ZNJ0000W39{=Sq53M8%oG9 znrvgp5LvQJb_vhz_s{csp4aPn{`lPcy64`{`J8h;=e*DPoSS5E$B=_fhz$e+alnl9 zt$_O$Fz_r)z*T2ThaR}GB8?mZKp=Lbzk}{Hu)i4~o)0v*8))r|2n>1P{|FQk5(4q? z@eFW%fP4h;^>@$T&=LZHgkHh)^=v{5)@Q;pZ5CTLe{I&Z)=^k3xP@NadI5e_6kAZ) z=O|_L=GDcEOt|aDmvX^E_B_zbg07>E8INDI@&r6XC`#Y35hgi8lW2*Lx$xg{}4sO@16Vq=nkBYR{WQuDF80&AMTBnc^9BW{X0AKe~?CAYluJPqUGrC{Fo?Ki z^x!yK%f9*Kd>Q}fV|`NP+&j8Z-UBF7Ar|Wo3y|u#v~tFhX*D@T?B0^SS+mHQKPv5snXQT)Wk0d%gHDie|^H5 z88w{B4|Aq(;?-i@Rj0;Xb`9w5>Dn*rXJ zY%Uv%m5+0#H{5pccNSlf4}1O~2UCbiBzg$IX6d8(f<(;jK0+LqaP}C;1f{m0737RO zU(Q1LCypHU{Po>%Mb;5Mdq=&c;lh&CM>Q=0OIFU##Tf$>DGo**)%xD^9 z$R^K1D-e{z<_K9~cM(Dgbvqv(m9XQ^&ID7u3j#?n%fz~gJXO!~ni}HRMg&;hNMo2F zBvgIGdQxlHJ-PHS2UD$9AHY(SKNLu;pGkeSzBERfi#zcAv4408)`vR zP%>1*aPko0xy@UxnLZivg81u_HW#0Xg5bH z1!iOE@W1d?O$@94C7IMBzk(=S(%x{xMw?hh!d?GGmwWs0yj8Yv&RS}hC8qO9 z>^>)rxqI_h%xM|3PC^i6zAjrcQ4nIYt*1%NoYozy5)@ zCCjJt@JIQrN%PF8`-HW9^obGD_Ec2t7%EiMe|4XQ<~XY7_7G95|L3rc_0w`N_b+{) z*T{xU{+GG)j-5fzE60a>3;z#P{cmsrSV^w9!5#tOYb5WT$XUSSq=mqZt}YltJ$H^2IPnL z-MLDx<&~8tFGQ}tW5`7tN!o=51zT?E`;;Unvt5Y!<5y8xxia0d{TASzsfD6=5OS5O z;B9J34?jM)3EB&A&j~5&cd)Ru>@K(-U^PZ27Q(-UNw`~9XgK>1NUe;uq?hISDOrvr zU0HE~$ryjWwqAx+FxF6>^;0c-^Clh$WUe*VYI8 zmCbMSw~S`3&wWTNvtNRiqz?94>&f^-x3_O9VkRF#De1H2_i*mSBCTH^d2Q_N0}kdA z_fb3V?A>$DFc$W|#^8-$iDg1Pu+OJi5||S8pbagr$yx?L70z?FBoydRgaxz4gLS$a z$^#^l$~nUK)?j94FG&^k#hPtZvIqKV^^!ErJ?(ZJ!v(odNt2&moc~Z^Tgmf;nd?rW zrb5Q3?rO86pZtM7=MZQ($3}d`!bPQ9tKDGb;Cq_;mp29#6&3T;CtMppmxgg2fmGN5 z+Y@YA93$S2ssTO9QCo8rpot$1XH8or(!$0}@x zI+xbp&;D4gtZC{^5s*h2jY?+>7(?%Wd3G?RPl~|5MC>I-MwJeBKFm`_NYk!TV_4)= zKxhr{+arQluEQ!`DlnNq3(`ZfnkC-GoK@=QpOEfw`p^;o9Aw6ZdiLhd=Vw zLTOY<7qlJSvHxxqsdFL%pLPA@#dg54w#IL(D@*-%kq1z?_Q3+cD+L*k=0(Aah!gc0@4q50h|wWk7J4gh1`isuq%pzk@H7l9Gy%{j?hS>AZU_ zVkqw;7M78&B91bu%1}{WZJzJpZDEN#*)CopyBFKq+VVYm^vL1uFgg{rWB7_0nTV}% zSC}Vgglw1s@65G#&vk_Ft}+o&Ht@&hwS1S%7bL#u2Sr<2ZK|zRvWK!A=rWu#r!rqa z1$qRR46ntXff&^cyY>VuaUUM6HOrMA^{2klP;hF$yFVMFYh8Bd*d2Ob)i6qKZ%qR_zSfuM zF1IWRT|gP`Yz->p&spqhh((mV$fc_k2H526<`#`QIC39LJWXs=dv>p#1?x@v5-G@PXWuo^pO`N`&r z7Aoaql|%jV*D`be?s!HHJbb{-KeqAv92>Nf>CVOFThY%j9P0Jv@6P$;2d$72Dk|jo zA&y1{1_tU>R+#My*rfRwfT)2~QO(KLAkXpr`_9h9=iHYBHG{8+MIX%FgKD;2wY|m* zr63R~geo=RI)wGTAbv#vPpeQnW98rFYyikp4)|fp#3_Qo5b)`#2xv<0wLS;n?mkV8}>v$eHVzhw1K7QjWasGkurUgfZ}PEmKT zw$5-$$T1MLAGI0_1GL&%{!$`P+h4iuu7x`DvD7SIQ1zd4u6?PCPq=hKt4r+XN*x2g z7`!AFdUj}ht=qT+)+C>P^Qg=ojaszRC zyOxF>9e>ZEV%=E)?#Lj?I5}!V z6Tap++AaicFA?7-CnswMj;yXN^@lZuZ6hEZJ64}kMF;oRDH9m-b^d}g0yBa$2@AlI z2tY-^6vQ+QTqIENmyAZu{Y@-5qkZ-ICXpX)?5)A;#z1gx{}?KX*x%@*Px&BENi-16 z$CgO#dOn29z??Y@pL+9}FI+jV?8ee{(5s+~qqK)XOAOQQ*v?IKuq}<(KKO9AE!g`F zz9N9J_NG;7pP>TNiT+@*6D3W?KfA#Hvn;!?npfAJ4POz|{Z0kj{j?OV)>YO4RwDy@&rz!{ zQOAExesxo944sw&A0jt-=bPoJ$nLg<@(PZko4Fc5&hoOd*PdA`2!vy8n%GqK%?!oYrzGw|jiBGORLzaaw$nn*kSS{SlaQR8oYR&h@7A+g%+Wsg zC_#7=?-zP_WPNIg*!8j|FLF=ggwvpFn)Yo8R49e;DW8lk|Lz|LOhuP+gY5oOwu zX+Ar4Z^p#LB+F(+-pJQu;MHNoKvKf(^kfy$4joBcv`w+YbIuVrccSMJhQ&{8I7 z4h{}`_4-0sIOsqK$*HL09VDgrB_8FRZQT0&m4^DFFa82FqK~(Q#SZ2P$=F9~;VcB6yrBAovj1+h5 zNx@oM}9y=doV(AfC6a`c~rg{{RwUNnEA zZK&(0Ut>0-YpQPE>y8WMT+Ti)&r@a^*QVzTa3X&y<2OgHcjpS32@-HG3PboWe$LWl z`H|XME11!&-7eAgrV%kDBquL#ZF^t<&38e>qyQ(%P=jCt8QX-T{mo*>7XL*bmkLZ& zONq47UpnA0sxQQJby~d0@1A12p6=5$z39vSz7bz{oV2UDi>l}WYbSvj;V7vfx;ylC z7;+K&Pa^YpE;;*HEx69o-o6ij`}HwI5$6wP`~bKrP#eG!|DZq8`^5$8th;F7== z3xKn&J^WEk`&Ke(I6*ru-(s2XeEgES;lF8(l5aCz)=K!b)3184=!Lw$gD*k*5Di}8 zj?n{>UrWtg@v(FRj|Q{<9Zn!_OZqq|Np4BRCsrw#c?t`iw9#$|n`mTH#n+r3Sj*B} zVOeB$QseA14q-u+$LVH8S{Qg+a7U)8^yvU$L%H5kDKt%Y#%|WXGnlYa*g|Ca6}1a z=(FJ;QMiZ4%Hj=&@O+F?)bBuC*U9e%9J~!EHgY_=)F;>sQ2no&V|}?_w5eXIK9-CB z^`eM5y&;u4-9?8dsKJD|eFEgVkyzb5$<$$C-}dgOth{T7OT{_ZoDXvCkk#g72PMZf zV+^=}zJN|Edp!DFt?X_O!AYs!{HwZ3{lAFud@3@$3Nw?R7z)3H_|)L>_1f{y*9-t^ z&EP1ViHzps6rly9B=Yz$-C#gWn6K*CGHn!zSWLul0f+UQ0dY~Gu zD^BLniy_ATB6`5hisYOzfGs|=Wb{QwITq8wMM%-$%)wyH7!e12E7M5^5^X&Q1kiLl z8`2v%Hg$c1!Q2gKadK6|?Tm!Z1adfUNah+m2>Okup~JytdE{wYP)vN{1GTdRqfph6 zm()m&sMT{JprU@r{pg#>w3E#n*Y!fku9C;o1RvJf0Ei-YuKdbbYFNA`$0q%Iuq%tk z$$>$gofV3`r>oJska=fGX zWDYv_6NUGc8j{LY`C}E|lF@0bSo>WBcE87gFD2XFEyq&~%$#^kje9k#HK&C~>^;MD z(5-=*5(30j)e@<+$gAB2-R9hZeP-8LavvfpSxznn()lRY-=lW1Fl8^F?^LIAbVY1F z3%F+v?nE{E3Uk-=LncyXaz`j6|CUBIkh-x3*EI~)<2|}zx9wn!wHZFw^>*T|1Jru+ zwEPR6_h+oQH%FBPfN(8)kEk{4(|xDl-=J9;!H9LuwWFB*uXd(|_bzaL%k`I35|sVK zOJ016y@2+igV1mIENu)+2$rlT&}t(YEacu^y(OH(Q&gYmngpjK__{};w)KO_J0>uS zWYkUMN|ZdMWp)K0V;pWl;)(yTz@#I5zOk|Mss~-I&?Nix?C^nvz0E%AL)WVm zN?l4o?8M`v*Q4u*y+BPJ-6qMx+aufz@!O;O1h4xg`+?wApp81WxupWV&yUk&Js2UV zsn?yxNHn|HdIA=yQKv7aFUut-)1sKUUr5xG2ttxlu_p|$2$Aj^=L$bGrCAp{uO%y? zPD!b75ktk>doteBCyC!M*wBQSE92nBIq`6>b7QtYkJ=>8LIyyX9z z^zf^JwUui3Mem_Qk?w_unq0KbXvChb11q6L>-ksL7rs0M$&rF7{*6Hy?f?TRH9m4n z>XkcuVG1x^5X7rjS`0&8cI^G`2=rf3tkQ!zulY7lFBqBkx*L%Yq(QnAkPrlfp*y6zJCrVIqy}k*lJ1mF>F(}k=y})QTko&O zS_8vf_wKXLKKp$8+k2lIuKZaB3xga31Oj2n$x3|zfe_U}AOs?GRNx9-V2K3q2if_9 zoEkdtf&zXWCn6~cW1Y>vvxKy zaxi1JcQQ{u5g`YGXhCvP@6|jqj+Wg$62Uhe=ck_LjKM6lbYX;Xc3R%2a}?4NLBUcz zb#;1}EZwsKwzGOq1KP>p4#YRIzk{fn4zg0n>3 zZC+U*U(rgH3;PvNln(gzjk$cI(|x({(qlOuN|lgXgDfg*2)CeB%dIHm@=s(??@jem zOk&#bUoION3}%|%%Bm|N&P1p|#GPiUb86%&psws5Bvq}DHu*V*C=Eh3bx=Gxm*PNG zzIFT1ehx2d-HYOKjs8!J?O=e+Os)_aNa^7Q;sefQ(_8hwU>FJHL7*g}DC6!`GYU`Q zDp*lG($Rk9|T$w8}}Z=WCH@HsqRCjlUve8FH zcGy&&vVvo27vjk}7PWJ%GATK%D%i>R@s90b9rDt9hLDW(gk0-vmc0`-_9y>yk1svyGEx>O+N^gylJ8 z<{19TAQ-0qK7nagq1N|>MANv|gaX|MyA+ui^fmx3kdZlRAT?8ac-@f})kOf_bMzWC z5yXHL@Uf$+cW6>y(afhel`7p?=N$ZtMyCs}p1R~@XO zN9%6>6vQMqvCyseH8=Vk(uM_s+Xu zH8nrI`823lQpfvq1DF<9cX2Oy&FH`TlR%{+>y^z>OcC zBT<6fyEwXXba?`+hgI!J|;@ZDWa32lP9A8;`JgPSCiIQ~DK2!YV>_ft~d4r?~$qR8z~et}8VvVTsu-b=O7U%5+Ty*Y0us zR`?ZC*p+FtN1dZqby4mAeyc6qw>JiX^y*RiA)LU6q`}X4wNeSZv`d5I!?ySLg>N5x zw=0GHm^8B2Pvxq@D9K1-$U#*MA%;@K*MWfTlYd5P*6yo~H>LJuT6`7@Ki)E4lfgeo zry~{WEm@Yc`@A=#A(U2rAwzA{cRMltf{&=dq={OYHgq+CCVdNge$N4}%i}uDIu&FiHWJ+&;WlYJ-m7TWm=ZwUV?Lyk9}UmfUXtcHta@3Qw_q zqj~?XE1Km#A3ZjgSIuY;u&gjY?@on*AX~sCJG4DK9*tFH`=oQysQ^g>5iWHlM&uWpsC_z73)t~16K02p}H}e>R71s@W@JY41&HQ!iUq6MSC5uY#$-5G%QY0Ov9uizGoo} zq`wSvD?7f0jY^aLI%Di&>om;W{}(IPn3kyHVDIlEm~g_maT~CHwbH7hwTifC1e98) zP(r(^J6P2|t6UKpvP0q2B5b>aAO=E^Eu#mxo%lQAbgS5V-S6&F+aJ|vQ2tic?7koS zu!VsYtPR~gM!K&pQW!{c8~6qKHx7cUKH;3=dfvykANFJR{?qu$(Ynb=s!)M^*&m??{NljLtQ5oFkDic|?EFkHMtw=qk^PvVo()Un zpZVND$&?)$$<0scSXJ=SBWELeDOO9>x#@M^srWb{xKO4NqCYvWv;6)E%~ir+lL-HR;yLLhiK@d!t2$9 zo;fw{%ydHybLw%`QVnI5++sFfe;tr=ex>#uWVt=7Bx1c`6_7#V{H#Mg*(X|@COe(V z>23^h+_ev56Mqm`GnKZ>B&0z>@@qc3#(e0F_!gRcY^{bFYL*anGe8YXN zS?f-)K0rG>j=ZXyr4n@`_Kk1H4naxht+>NmalLbD2jbt<{)8$4#OJY;Yuedt&l}gm z=d-$OXcK1%f;w%63PC9E&ZJ(RdOgBptts;J6_>Z@k`OvH2p(d*D>we$ifzuCDmLF9 z1)vT-D4o!B7*O1)OMgM(1j5wZ-Pmpvq0CrZz+@=a17T2+Lhh!$lw_Jhg$>S zwl!uhG=h(^F0R$GPoRwn@{s?eH|A@DH zynBqF*J#gTP+z^7$UgWq6UzeE`GJxx@WG_rQ&c_}W$fDbppOOit0L$~IXsDF(>#N# ziPG>NYy#K_&;AfQsA>WgnuVZTYCp*2iLc3N-|Y?BDjtZs$x8J`T{AEV0+t+N1s=p=2gwR66L>8t{sB%yjS_ zB*zIcN8mT3wbf@1I5`KKx`Jf3#M<7iuM7gRWFSAwDh>U;RiYJIpTaxVO8L^=vxEZ3 zc|w*$zB6JJN(iVO#Qqz#0mJ|5!0_=MxTk|Io9ej;jCzLjoK{j87;*RDy#dP#gKuSX zF$QLxJapGf{$is?>qXoTn<+d-&4~4|5eiQUxQmhJBjwL{lbW{D;?YQ8~E2n&I zj8g&^zNG@_S#Cu>x-VEOyz-?~{1*2#Q>~Dtp;5+|9&buYC{?4Y#)E!#-p!Nb>K1qT zNignl|Ck2pPn9@TZdxWq7lOmWf;PJ;#r?F`+RsZq#O2Ew6y%P+U3)_QQO90qvpE@~ ztbDhch3l3*xZ#IaNO&zliGb^3kNYa)+*#dk^pxcDWQauCZN4LD?w$6+*OO<=3hG3N z?_MnH5`c7P$^mX}@(stm*FO8R3z$QW4+A=tMTHK~o1`xgS8}Ct@LHv?#7PKbicfX)Nq9JOE zAog{bN`d*NzxuNh9P#psw^V-Aw_X+u=r& zUF<=c3zGNGcn(2}$vA?gow?hLq2RQVsWUVB{_lRV9G(8(wArrto)(JR+gvfb5h9dN zo1)v@Ky;(p0i7H#=lO}FeQ9ykJ+zVv)iTGZ#)-4-)pcq7FD`n4_~h(;d9gvDBC7GL zK4OK&u1oB;UHEZM3hKj;LZ4hdUBR-t_aGsUAQI}P!(#XLu2d!9;%fQUml;ElV~3YL z(sE%(oT-5OQz<@26g=h_y48|_}&uH7#-UQ5u0hP;;M&xww3+^ai(7g!^!)ME9KBwj(f;*vk< z#6f%e0t0(OIsxV%SWO#0LuV5Gu1bZ9S^I`)gh`2f$`M zK0WV(x74KJ;B!;zj;wXaq)Hk-&AW@7XpF!w@5hQg;bA)@mH-{n^-l@hCZY7#{6d%K-AYd=x#jZnPl zm(z0&bHyP~^65`#yXG)j$j=Bj5EMEZALosnl$ihQ34ha$zC5;UpP~vJbk*Xy2eCR8qqJ}S~ifT zAxNUuiM-oag|JhLxXRiqnWh!NEN7-(UE*23T==j)U{QK2)(&A_54)Gz=(~I*PJK3y-$i05d`o}(9m-`u%Gs=h9=Z4pn**y~ z{FTrd@^k+T?i%kJ;bWoq4H`BY-7Nz#>Uu@xJ=ratpAzi$sW+AIzXY<#nH0(q?hwvW zpZmDu=`>Z{+UNW7SqNgGhJ#9tB#1H2sz$mHFsH%S`mT9{CKp`eW4MhX!x;UC23Pz?22&F@xL71$c|c=8EHrCiN3p zrOI)Tk(TMkQTbo3bgpausgUCeen)HXt)_PrdnO2lsEKU}lp_8N8VrgsA@GiEC7q7M z)hlyoTB`xsSrTGo^6ngQF@O^8qx|1Lju&y@3L@rJei-d;+w_WbCqsvcOS$y%H%LW~;js4T6+?ReZBNfT7W%Q;%#;^~Wk3fN z!<^^7ikt8 z?%JqM{a2h4&h|YgC@_9R*`u(IF}RmFpB2lDY}Y?9q9qsiGs`~|kEWrhQ4wZ4A9|xU zgMN$W-(+7eenI!Is+B-q_b^tV-v>#i2y6$nR*iQ+0@2P&vNhbeo;NPPJX&@kJ|fyb ztD`&oE|~S0R5DU~pBA@HgVX{UIv`BJhOOfZ~sFR_M{*hj6;7p$tcF2U(a| zpC>^3>W&#~SH{HQOT40@Px!PtQeIWp6)<_cpGTf6sdcuCc6ENSTm{dmza2jCypT~x z2yBX+I|8DLxX=b8m;BmsxALGjR@ND^~)t*Wi^ zsHi9gx(gh<`Oq|maW=JUdAz%v?CiB*;0F8sOVcPW;dfx7*pA#RZl?_nxMk9Thr+V5 z0e;EqS)>()A63!(t*Y-dI3E01EEYgiRT@jbfgEJ_AAQ}z99H64g=hg$hk%=7k= zW@YmG{pfgwP9`M@vw6ZcIZ!etLSex%DBMhuyTyR-w?->=)BIdO^S8o%WbWiR$8^oA z{OMyFuqnC&!SoH3kMo)p=wzqg5WWq|}K)lgs|r-Q}*m>b03kRPhia zM^?uED_BVp2C|fCKaY5MSS67!#Ad}j=#JxK3bxTc6jRpyaev2Ye zYBX0tc|=mm%0XC-RPmgy(2mDwyAATHjiRr7>C8HlG6-#rWP>u?AnX!<%^O-??Dg^u zf)~O=PpoK+LASF(k=xtAlC%1}p9<5inxD=f*h{9)(^79(St(1Q?kdeVuQT=pMv=i~ zN~=6T>D6LF$pof0olaDCg{u7xF;wBv^RZ(}`s+c^{mrL~SC3l-9M2n9x{qw8D}5DI z*Dudd|Gv-+Z@-bdZLRt6!ViCEU5P>LMMkvvyIYPmnhp*%s%!=A`}sF1ox@fR zW7<;;7#&ES6IbXS3(?@Z#nHvLx!NR%?ufG+94_K${tFz;fLoM}DE+JV-utk~#wn=EbJUgz?R=GbR@FiCN6 zXlU$O%w7>>#-yIGBosCPg0j^^WOU^8Jpw&C;)!LM1=AX=G%xK?^rSXBw5dudmHir-9Bi z3S6!uT&KZ}X3cL6^RX2%#m>GElbF?l3M052tsInQW6l#Py@dy+3V^$qKs}%fZMKVb za_RTHz4QjYD-&P4IAZHlG#wB?@}JdHUTuD%h%3fCI1Eb)HPCxfd1Sh+OVsqm%(#&e zddtdcv*x+7Oy`91yf;fzSqMErCkmj#6HGylS|c-YqZ}LcHHK@_o;{p~$ktq>cZf1Q z@;+w|&W7ea79wP_8$@!U7C|Cs--?8{PmcuN0<1W~L-0F?)Rxe*$Cp}3ytqwOs&8D3 zmBydfxK+MBJ^wmY%TQhKW!e3a!fag^9K6yyC4Mbf$|yIPs-enOscWUBmAux=}|-)Mej z*W@kYB|n3Lf^?*|o~3H*kudWL3+rWFaeGs{G2Eo_g zgM&RkC9}?pPoI;S)G5uZ2%d&rMA+%>DuoFhV0}{2>^(aBR~3+%d%jnz?NvpW5taYL zB|m6Fm?F*07OO%XgLjE977sz7EQ7w5+A0CCbRLkIG6E47w|pQYH^Kk&r};BWyV6xj zY%Jx}aeUrUsFi}AX*f8DkdW}|%QTNFl}zx3Y*cLzo_Z;>`P~7xdKqT5o9}nm9=r(o zoKm)!-(Ic$e)GU}l3-@$$eb6W)oQK=V5)@VWWM^}zt0fCW&F9Gy;H8=nztT<_K;{* zIwYA{aSo7*V}??xelnfr1{{3q}zWm$X2r-j|4nhLlnYkAd%@yu0SN|yj*$(li zpv7rqVZIQxiJJ?sJ^{O)Bn41=u%-s35m+Tvlhsk~2TLhObX8N4`o{`4)#-Ww_C`Ou z`~jThq4F|_=^gICXIILBg374r?E0XU0~8l^=q8uzw=#FR0Kh?lC7MlPB^_xwoZE3D zv*(|X`|#5EKfflGy{-SGDK%;bKo>>0-CQcw2#RVoj+%^{qAO*=iA95mfb;OS37t;+ z#F4Dq0(5Pl%4L57J8PGLzW7V9#qeu<)f|=B)WV|s7m2TF>5!2=yrD(@hJ6_Ex;9G< z!6U1x>5alAqa||2cSa4%jxE3q{w&s5UP{tY@O_opd;!bxa)NGhy2@w`Rp|vL703Ls zq4-o*XEUz!B5pGwo*0h zD%GmS7QbElcAWW>3+UaA;FpjqgP8$d@=1z4R*H^kGqy%8WAVf-mn*-BSKhP1&IX$% znz_B~tqiURSgHeW{>+?{HV=jB!+Ud`gbE~`db=l*wYG)urBtd<+O)E?%6cl!suj$M z9|u*bg73buRxU&^d`hO&*VMelS?iY)d!?a9UY69PQafTm3-|t8Ibu^uiXmW{ht{v) z>|n*`D_}Da&k$R0yEqflI`X;VQwg?t=P-?_5{g|fFqIF0 zx5Y;E_tF1O{4lJlUXH1%s||~X+Tw+K5++G$wiRSdOB>ORmzAR|_Bdwz8VDN!8pp+K z$(mr3^{(I%Z9w}psQ!CINJfwaL@f zrv4<~THKV!N@)UCA+^sv8g!(jsN@EChq;z9QN73chA-GvGiR}Ej=a}~1^-%8PtA2p z9t@M$lLBN7)0l*VGd2a>RWP@i0a==Q}td)pTTOX{9Bo9&x>kL~%BIN0cSm>(wrYrw- z-xr7Q-%5b3&1zU|FlVfXRarik5f=&(n*@HVod3VG08w0Dy{8|#t^UR40AK4|TOFNR zTlqmzHP_F8D+6lOX|yVpcC9;TSnXe~X&Kil=5WPMI2=8w^%((~kWGabMAI{Cwt#OT zx;HVbtYU#N=5>?LetgOos!+gc)vfsY`q|i4Kf*q$J>{eTs~|g7ZP{^*i_w3C6eCi_ zJMH+NrX?#(>U3Yq)8}=}tXblVtA5LPb`P>Vs=RW1PCFotn%64RZwrz2{EiyUV_EYl zS1nYvELQ*iJGi}kW3H|5ugfeW$VX_CCz(TkG|rsnedNptzizYF9l5^G5fQOZ{SQOV zj$egT9};$=?~G<*DQ8bfg>Gyh&=jMCMqmOx#+BfhYFnP1@zgJ0i1S&GY^xX|=f(Cd zM`!h#>->cQC98QbQi2=zuNL2EX?!Srx-s6GT2c#DE30Q3*$UMXuR0xnu#qiY8G;8? ztYj+gBHgU~(3F0y@=?!$(29rV;Js+Kn2_Xaq!zJ?|8)<7pu== zE^j@=1Vx;v%H_GD#$q{RNv^)q`jA*Em+R|izu1cjr?gW$3W3zAVp!ztVy(3XI-$*e zn(P$dv8mw0u4FZa)UY39PA7X)#UnXtea6G12d%rSGL%MZ2KNYOGkHImV~TdF?8y3U zl~%g5^cL*|>JDbXAQ#~VOg7jYk>ht|?H{V_0^x_$O=+#L(?qC4bY(Zo$;bgVvKb}&OP_41 z)~n7K`-bEPHR~M6;?8FH(_%m7{F{2a4-X_hCrv!}i~5&dKuB1kjIxqen+HleQTf$k zRIeuR^=-<1_)J95YAXCV$jU&6o^rdy-TZQJlgDF!wc_GV#{ic(*2Zc1p9(I$=B00L zqqie9IQLIgQ}6#`5V!Dpdi`f_`1$GGU2il^P+v2&sFt-urb(y$;MSLHJx<=*nX3nrWqGeW{Q~ zY;%$fG7j~9OYLzeRv>nTc)IJ~e+|Fq3C;343pwHF>V=^gOz#5cB5TZSjNP_m2{w_s&ug{tBhX(oDF-Zl+#YFq&TK0HFNn0r+vG=E6GK)0kcf_1iPb6=2#Md zCg$75AE$8s6zWjdlAD#r>K(_2Nq&3M5v@iSBK`~)o>f1QQ1xTC&9;5_ahrNQkU-s- z%GM3d!0hSRN?zvl(5%DUe(2dQS~)E)I>;|RWznA=?(Ivw>cboA8+)LYJ4=9$DscX| zdAE94?eQ@Gvu3|RSSG`Bj`-B`{vxt^C0RyyyRczx_l zG`y{C(0AP7J05|Z*{rDc)Ehn%mO1j02GN_j&BmPgJ)cidD5R46U8Q2Z{X{Otq&-=8KiAK{bqEz~Dr{=JY2~?;%XD#^!<@anG)eB_A(nnXK zN{ea8ug@Lp8+hON>A@hZG~Tt+p;6G|0=U-f*#7YLX7jY);zwsO$@Rk4W2J8_Birlf zidA^X#RSy4Ux?;+Jp=e_^IRC10srNd8{G;?R$*Y7fvwdCDOpTG@!++lay?-MC0CK& zDU!ok;!9xX|45GOH7ca~vc2T!8@rR=r@vm!FJ^vy@eUlHhv9uZ>zH5?opv8A{q|!! zivU7s?+8WZ*B+Wi&r@Br_5OEbSxkr7Q z7OaCT-*=fA`RSd#J#MaFbZ7xTsMivw%r|NogXQX5Z!$!8`|eVblDtjc*ZF-bP6ln8 z^{nhde6{b_koM>?Xmig-(>~lzxDnU@fi6N z0ROJn6BfTI(4E7z;{A-|W#D?l2;;YC*>B2^*w(~?YE9OhYnNdpmE>#8lqMNiTP;If zb^N&&i#_ySfDUI%cQegbmp5wj4@<;~Q?ugKb&RIxoF%g!Ui_9RvN~a2yD!rR4;?(= zG)G?_O*k&$ToRwCf1z%c0kbtPgppv$_}zJ)*hf?F%Z=Im{A!lDV$5T4l>`�nMAo1pm z`nP3fWVe=Hq%D&U_KSrFSZq}{lzdsJzTN&rDUvubSq2j0NiOdyA{=2DaQ&Qu-djJcP2!Mo%0G*4jnMh7S9jh^E!q47SN!)7zqv z=0lHK;2G`%s=g5DGl2!4n-LVL$S`u2KlgCuIBV$TN1?9;C|^%O_PSr#NgX02`^7|s z{zFbG-JYE5*JENn)vh_*GE4umA6QC) zUDY}ryD`xjVqSlujH?g#^gkUpsR&+uYB&qLaJUjuI$W{x!0VUAj5;3A|HA;_E2%0E zr1e=)x+KzKx{WCFX3;uw)=kjC{${Qj3*bZ-qgyaC7ghN8zRBN~lECi#c-5Ssr+xC_ zyvdku8&A8=cEEt9IYwFg_?dhG2t$oGoh78+eX1?@-PZp;6r=g(1#t=Pc%cwSi?UwB z0}>#Wdq0*%<&R=Fm+bB3V(I?}whF&ePzbu)j?-)|Tdg#a#T3U9l7N5cT-+jbG5diD8~vO*rlf_uR`I&uVsN>@JdtO;UcGFxo@A> z0tjvj=j}%LD22C!^eKHN0*_YKVXkm0@48(e1X{FeOkZm;;fRGJ#jHYFVHIi0)Nc2$ z{_z)|%XLZ&KNcxUBN%qso~MU9`SC>2U@h9UP(X82Gq2^i=_Q2Mq%M0jI%mpkbwtm> za(L4Jd+rMMgE-$m|9uq#+gm#C{Es}+zZLiXvvKXaaHJSY?42i&vjDCt|NaT5DT25x zo#)=S2DYdr42!(Q-5d+tzM!k=@B&AxAL)$R_N`RGY7i#t+%MWkd z^y=w5eMwCYQc)Gj&$?4$3xr;p#E;^aP$`dP;iKxu_^WZ*ue31!m`To_#J^7KHsvp!sFNIbA<*X$je_8c^wu+F+FA+M-SCrEGArrWpO4YxlX+e;5bbpErc zil#BR&*fyvlj=k|P2R$Lce5GwnR~(E(Ar7M*o6G!%Q zb+|(pz<^Z0hhuO0690cS39V8IizjY!O{6H4l|F;DHUToK=JJO_+xlfU<2Qs}m~%`( zeFHe{az^maG~#4Wv7}taa0%M8?ysLy5UkZ)+jc$2VkreLS_hf*W=D`l&qPiOT3T9u z)>7Lr)Gs-Gngo`np!|@1;Y~q}1@D66RFR5v0$D7eCR;UL$^EY7<-T-&_7Uc$JpJtqCapj| z>pC{r-+zlB&~R~%_xd9x@-Q~)x2O33#we@qhWdHE5TFuQxg`}~)dD8h3~2XAZ&(Md z)0qDGClu#2vGh4mdV70&RYllRJ`_WDyUA`ffvc&)+I zRQ{lf-vm2pg{@3aQyO5rbk}p|2e&GKIS?)oHYWY$G7))&>x187YN^+-OdF+AML|;z za1{`NebVk5)1LZ-wMIl6>A|hC_@9XK!z?kLy?4%xQ5OIvg{y0yaHYbjL2U$lh5^jlF<>|9SjHP5*<6ryNkS>zzVO z$KVwQ$sl0{3`l&g5^~dXSG2U;FTPzoJ&I|sUlSWRXcvmvjy)qN*#uuR;@xrc^SgKV+TzfNwRi(Kxf9m!0dAE7E1?1%Eq=fg z+X39q^e8y%V;&uBp5h@Q#3)IKgWK^r}tG?EHv!YsH1NCX( z0Fg`->A3Y)f7~j4E`0DFc1iHIm0&1{f&0*f_fpiTy0fnS&U*EkP%$XfH;vC$h`eFSbveiAPslqZB_%k? zk9;Ue@Sk-J^)l_+Hekg>d3bp2m8XTJOii`4=8?$A$nrse;!MQ{1ax1vJXe(a9J8GD z_~X;ly<5UWP@+ahM)ZCFqgt`~+z-!)Mu~IVC`1cbXKnyJo4QN}^@1*zY!Xha2AcNK z>EEUV)bV1cVDcFqZ@@*be)eN1#k%+%zbqK1ho?2I#lPh6$5Sz# zFS8Ij4{XGCa{wE}`wHcUz(jqH5pT;db<)$*by(;?W=XS=;r+|Es{R_g+uMpo0$C|3 z{LbBBcq9Tbm+YJvzq?sb7;mUx#!wT$%ftXLODaPf?J_WT#XLioyQgl1KR-kUR!eQ! zf^Esrk-x@}a&7#Og5^TX)UHRO#R`fKkUDK9B^|=a|7$x9i$dk0GQc6+zYKX}5X#S|-LvNh%r9ziJQ$6lT3` zx(97aDM>+52<6=z0}foc#m6yvvuwa_q{Q=l4_0!c+>HjnDud5yt6zy=Iy8*1_#iJj zrbo>+ILrsDWv9t5T7yV9hNmL!4-4I8;Br7~fWe(F*W>C2icHB$_5us_(8{~x<|?4M zUJi|bqDpPGmmjLTYY_x!vd)mbS<3SJS1|>eH3dRkkF_650^2v3&|A-CYOInDn;2$w zok!+@Xq}n^HAh1XoCSP&#B~|YnBxo9^Zd7fSz&dcuJyCQkqqdq?z=G9;_r>*19sv# zvkq=U=VM#NT((*o*talTbY$bjd7${3TJ{^5a10_p;@bdk;6xqI+_S;sJ3gd=Ks|@r6&xO^=_I?kSLDBUiLyYiB3xM^syV@UG{BoezMz zMk8vquYW^-|8`&xfU2w6iq|!{IXOFX01t7r>5UKz#^g>@X0g$6WiOW1uPhjECIE+N z-IpBue9I59fxS|!a|sYOU*qtk;&7SsLta+|F;JZ~)!#ZNJ^mJ;e}f>=2IV7+(*R^D z$$wmZw=FQLSboS?>>|s}&HWM4l8)PQIF$ms2f z4b(MHeI6(alJYcGpWdts@&N^HX+9zgD=Ylp zl<@spoPf!I?FJBY92}=33n$HtYPG^=mUeb0 zk^oLSqV_~Ov7kgL6MlT$!QA!VP|FDMg&Mwo2( zWsCJ`%jM@q{y#uO43F0QX?xD+fREd?Dty{+>LO$|m^haq=*%7yd(R6rUAKX!s}sgy zGerj@x4EjZVf|8IQ3{XO_~DcQ7KeCRpQ2sf=GMGbPpi}MCwOXD>fNRdbMQ+3oP zEyna1Z%S{OgO?@2)c{gEo~urtmrV1AYXpcht@H(HvbyDkwO~=Q(V3{ZlAoEc$&6i& zjtmWXx88`q1vaKXaLAF0#DHV7r!Xjf-M_=Jdy6U&bFywPM=zUzq=F6-RqNQ@-jP~I%J=)UYayYc+=;C?(`Z4T39Wdvvk zW{1BMzJT%V*}9%I{cBuw*7AmbKzJFTUw&8@T>*Cz8GB$LeK}ei#{!UG-}nR2@C}8K zi$xKDoIGl^NnsiLO(!|@ZmW3Wl~!oFVeMmv++l}JI3)*35}Hz|0QdM1f4{O8BYfgP z)}FopLU>~`0x-l_B4`XGsY(^5h%#dYTLn{CDRPzED)c+9$T$bV=Dh4m*ugUB}$E z)8NNZ=*}w61a0%KSw8xrLQtIoEVzZJ0Um-BC%feZv;ec*<@EJe5(f5Wfu(4#eX#tdjJs$RqjCir6~fu*I-zTs9*MD-@mBz%W<>U1YmKSz@D#A_}C86 zzTsY=kvY&%{?HJX@uF09S_~?5S+{6sos@TC^1lS#5cRKVq&t0oH2;c+=TI^mQ&<=X z2kvOG4Dq$7zlivh-(0)#~`oki07 zi&9hsxla|rXTUm51<8ypRB$Ga8(!p(!D06cCnX}u#8lpP^*JM%6)MKA(^G=G;r)r- z!De;i8aS}y%8`6R-C5gj5M3%-x{|;)x$;JrSDeJRFZ=@^HrNcF`--6oshsP%o|ijR zzn}*KqXxROz79GIx0f}i)au*Ng@|zgLyeyv9+CE)mgX}Ywln4S6wSq!>UYD_h10L_ zO4OXgPBsL;6cQgte*8qe-+by8&DU7IC<}%ljdpMpd4?Z0jnVUUu?eAhVEaQlRRbDp zm)HOGcg3HsCTCau;lA!5yvAkM0FZufVO`Vi5_uO(&TFjLhFR14+(FrXhg_FsS-S zI)BB$tbza2$kBW?jBpiw)>UTO?cb_@F%I=)`HNrTMbFO<6T-V=dX~i81Wu0#xd4zK zNAK#7`)qupkd1SuZtE`KezGL$ce@^1AqIcEB&MT-9_(yyGniz|0j6;}<_u2~CDM%D z+TMPGguNt;`(h}Y&S(3zo8R$s$XKAsIc7u~;?zAKuU@ko+ul;+<%>{iFN^T7qOgYY zL&g%J7GR=Y{eULDuFJb7m2+}*RUg1gh+-{IH;ao*#Sb(Axq&FR>3q9WZlR)HSGR7b ztuV3EAClPNci+^#hJXah(ZCjF9mQ4PS5@5MWd&eQW>Du^33P$z7A!Ri@N)I|CVOlJ zG;9<*#AlU7s^_ymI4Qufu03%Y|Hn!w6luvHUVq^3s(&59hxF ztYp3)cP~u4*FI+@)Rn$3HB$JqZ89p>K>vPZK`mRZOeOc@(@RQbIvP+j(rHt<_viQ~ z1C%So71R@^7wP=&hcn0FH^+;s?ptv(5n&!3(Ez3rcsmdLhnowf%$q`W;yg%S1gM3F9Zqkj_@TSu+Xy& zdsfO@BlUZ@=B_WHZz50@!4=tRc*P+gmM|2qBo^8U6vX1);rnL+xtBjcmJ){TV+p(Q zKj*!V|DfppoUfj-%ckKBG`!pyS38IF6%`f9=+xQVtyYhTE#l+JfKO{}9~2dw%t(9B z&6)O~t!>{yolGw(_+1KpL#%?IZCh-0olKPcqD>Qp-cMPReq_0XTG4nfe8tOI0v8~GFWR4LR@48k#X3d6Ak&T(n$>SmZ} zsY`(E5m(q{f4}_08KXoeWMNZs_FHbeffT8h z?gE7qSJvlhT;@Uia+oI_Do}%ngL}kuOW8@TL4vRdrAr;#UCc^Ob~CE}I?@&!8EKuE zm`Dh43sll4@M$*NKwA;Ei&cBs7{;Za>P(+`Lqc$G4u(=T)){P%SNnHWAn7U>Tp}7 z3W?Qb7*^Wr)yK!j|0)>cjQv|3taR-mA}#`8(=m?f?QY;=fNG$p#3U4hkbt0C8~pIj zcELpncCxIOY=#o>R_%H-Nd6kIejo5E_EbLf92B9z;dgQ)H&EET6lnTaCNKnpEXM|K z592;>G2!8O#3b1RL^)0TrY-2#`kD(~WL?j$^O{0m9L@Vp@Ei(0!b^Oa-DN(m+Eb(3 z25=X>davKd7|KRq#gY9`wYPV7nObiatWzip&g>C25L7x4*Yf^XGbt%{+lf56Y;V{J zd&&?Ih+TDB=|4}bRG12HGx>1wqpM=EO`&c3=0)*q@}@5&L08CPl%j9GZs>ob^h66o zKJhSdIFidn>$&mtb+FNJ)TIhw%W=7x!4xMkyjq*jN~PpY8UDib&#>3cCM{695) zWmJ`2*EQXZbO}h83P^`^hjh1ecZZ-LC9QM|hX(2H6cFh{N_TgCoBMlS27egiaK+v$ z=9+7+eU1c6bonY)D0?hB5JlmQg~zKPaFUXeiuiHRG%jngu}mQY@bK6e8dGBqZ8sm4 zHF~)*x_NUYZdu-zAInQD!TIB@y1kS%xP3t*`C#stnQCaX!a?w{{SEJB^gp%M09iLu zNaw4O0)|8r0q(z6$tG$nmFuAgm+&cEzy$t* zh)ME6!x z*&96uK)!MzGcqo^hMTXpNy1H0375eR0_`6Wg5!a()XQ(4I*F3HLAhe2Yy8mZIZP@o0iV=( zm=^}NPCWVBw_`dd_DVh$mXZSiBphx4)mT`n-}turt9S)c6RzFAdnS!ZbO^vSbD}Nb ztQC6o?5#c*r(S}TB2EE-Z=wIOKJ+?jP0Y!0$_OS|g=%F~c5o4G327m^TT-*==jC>1 zm1WzThQ+cx_0T|wh>2NZrXhmSLyKqeeD5+<4~n4W**XJ@UioK>LE=$XtT1Zu77R*4 z%ZBwKNYA|PqpLDHnyJ*uX9>#ta1LLzL9dM|A5)>f)^J-XwNYvB3u*(*S9~#Q0&m{D z@wwQYGAbx2Ffi)Pc|y8{;|yj9(kc!S4g`*7fQo_SvO8TSf3(!PXjn0W-2-bRay~AO zn?&*VHO86@>02d(s~Gw6y9y39_S1C%BY5th$+E}qh%n661uGNDcb7OV55tMRqRNOk zzZk2Av{=wZLklnKmnnHXtDhY^(jE$}cU)f5k`Pv%`bHISopZy{esB8o=g+`j4vS3? zKl3_dz?C2$!U<=i8Fq!o#298nwBVI8_}#bv{)`pi;^H#WXr3xkHEXhm)-nqe0=rzL z*@mxImig0F@%d0aFjKEir%S8zmFzn`-jrA>W>^?!9eh-e?rmpi{^So&1(|@A%Lt~} z#dp+nsH;yw5-_;MM#Oo}Z8fk1V%Aj~M^d&9S>N97p!iL8kAh8W1Y|e!Ox=MA&1rtP zjIOTkS$=+g1E8|Q0M%Yr^4g8Evn}KZMho5uhjmz`*woatuVj}NCN3`S{BRM9PfEJ0 zHC3bGK6<>`y{GP>0CEv?9b3bj)_jftq#tlD?Q6edNw^yxCc55N^!M92B?feusy4Bl z(hRK;nzPIci9Qx&m%NxKTKZG{<@QvfvCa699<2%{*F2>B!&Dg^hwAi;sN!VEMoCoP zA4^cM&FCa^OKAwX?@sDKn>~+GDPy-F+uPea$W#UnVwLqP;s~||z3@MhC|(H(`M+>N z?kG2C{x0NOC&Iwc&qgBx>u(QbKS(kGk7*Y3a8Q5vTaR?wbL#xDb=k0lmI3{mL*v{< zP3L>hP!U(1{S{K1%GAL4__)ZJz)?#dx9zlFF)*Sh!Z?Uu*`>pZNC)1ESaxDo$dI&# z0U#xf@DvsXMn=pp_vaq>_wDM#?*StT-I}w^DvSU82PDEiYCao0mNUlj=c|t)jlxcE zuCH?eKs;Z50IgrH_q#cr)6g$_&{*Nm=KO|`SWwXQK0@Xt4b4Ba5XV<|xVZ0^+x!<- zS62fx4)!m6EP+8kz5Gl3oMK@Uv`LbN>JFCA&d$bg;g#jZ?pt!2b1_aQ!q8dTeGg$3s(fTP?T(HQ8hvnYDCeFonZtY0){IL=>eXQ^@x_ zr)5ly@0EkR!~}SCUm@_nftnwIA!4ir#iR;p(pSBOEQI6I9umf-z?Og#H?7I@XlY=> z#ez4+RkqpVAX!6oRvVnpvf>{3fdJh@5mi#ca@`z3-Ct<@OGh&t>}n4+ zH2U!dgs7+w6x-Y=}w8v!a!fzcu3aj@g^zdbJlq_k?X1skNx=70%-XW~ir73_A@=fb(FErXE}Tdii~WCBS8QaA)!=-VQcApt*wA4 zOUiJP74$M9i3G&6Zr-LJJwv>9&nSrz2POS|Ww7gFMf;=ARWh3%Tt}sl?|G(l`4&klnG*g`L5|94%V=j)bc`s@}@ zfmgPKjR+Ra-UQTFI`EP8uLDewTUW4**~}=-pFlz281yB0rYK+J4!qKDhK4UCMHioD z_6oEr3^hUONi=rhUamWs9SXMumQ20~!YH}Nn9$Y2GK~x6u~EOXAUYBIIlDm<7kW5C z+sMFxmy(7-68XDGVSC$`t2iLV6%lyzD;EzZO?+S`IXCsRq z&SzY1xLGE;CW8m9%Tk$QfYGhBsRfXGEb0ZW#H%+VB2O+^WA#<>W=%mnL3%-8^J=%$ z`+As|m<|lsXW&9mX<$HB8%g6)uD6?`p{YjQ2DsuV33m*vGNb7d8W)BrhzyeJ{J4Wg zH6In%LF)Bb-`dK92rW2V#RJ~6hK27VOalQi7RF2M&pDbF3gl#Dcg+w(q-*q8f~7{A z$)Y%j4Xs9o8Pucye=Fhtyb{iJ_JJ$Bqlg_bV18#hQ`rBu9Bdm57k*q1Gi3Tvu(KYa z8QwJrDd~;1g2D(7#K-o;%%MK*aIsk)&?qpZa1nL(o@G&9&(Y^kFTl*I)YQ}_F>AbA z;R95_oy)m_-3o!6h3phL;0l5mKG+t6=J@V5Bz^J9i{FCT-WCd^`1og($95-Xh=T+` zrzEAZSG3Ctty3=f-*vm6uWJVSLW3P79r2*;cnm<z9#EG$A>Wc%w4}h* z5y70(wR69-cBS!q6PH@#ZA++!>Hoi3wKZg{CE2` zwhfDt8$SbZPHSg|Rosf!#2&~OynVzR|ETvGFxVSjF z@)2I#9=Hv?y6HeNLP80Ca2g6Be%Uv08}?+2-)32X807t{U#J3%!PCL$(BL^jFZaLp z0pH>Mya<19nPoP&Z{nhOMNygNUE!>x2q&PoBeo2?M-6dO(J3 z)xVJrNJzzhAgJ~&==<~MdeGMCfSCQi}&Y!NQ}~ zbv2?pF~2nd;cd91^^Ve${0o?%t+AP64idy|oUA*LC5W&f{_Kq58$H335A8_!;>h#_ zSTNOt*}4ObDbJu14GjU0{UQo}H%7yR-xZKpNonc!mo&Qbf)*=COR(jL!m#DE*S8Lh zMUbS-OkpJncJ@_rFlS|QbGiZJ4e`+t6B7&L87BjF{3(~IwepPAxOY`==-GJHwM?Ox z_%UlyIJnk-3!|SV)s)@!rbTX&!WB-u1ZMyM^^vydfj6XYus&rmX6*bOzflc9X=Q0? z>0F1-U8b5w!0iqL=~a7ftveYMh=uHOA#b;K4REOpg&O7Ox ztUL4K-erw&j<5CYv?eP*Viai_KmyKnp!OMLv0Bk*eBs3aM!oYufjL@6 z2YNC&?S@}*fqG|Am7LP8{K0M1Lq8i{u@48 zTC;YZ(;*Y)&dY-u^D;v=8p(*qsp? zo9=7uF^0hIJ%3(6s&dyF=(1(OVfI9;oF0|A&*oK?Hu>H&s8A|~)NLgdu#rW)_ zt!2#wzda%TMi0y}Oa;<2TsHB ziz5zhBMS|UsfV1LTmo9(UBpU8bvtUZDY4(musjg~fjjo=#$RVuRaI`glf}oQQ!KPn zQ4K9ERWC}M@O`_Hf(Hx>47RWv$^ksH^ljLG&0P!L5~~Ab#W%xs2lbNq?cwI-diA5J zoR&&287!A6GkO~J%Z>j2{VT7?ils{0In84mxb+zTZbp;yxfCxS ziNIgG1Agid)Efxz9G5EG63M(wLAXlLe1;Nmt9AJMEyBoNs9xoQ3D;r9j; z-ow>kNCqE<=Iwo)G-a_?CNF#anJ^Aam$S>-l~9F}>H$RZfJi}KH}k&=2Om^8{b4_| z0&d6l_xE{M((dp5W&oK3oML+4GXsJ(Fg$$sMo6et4YBKhUOU4d?)Y`*=5LF~WO$iqF?GqJCpa{0xCtj&Q3=*B@^NPTV`eexX}jQJ_9dd1LigHaiV)XAiZCO zaF@&3(JwZ-Zgm+gnE)uhp;IJoGV#hk`GR!3Xj|f8!7H1x63}T+XQw0fAhfiA(BiCL zWe)}UwhZDE3)!u<7zPa$DyQ@Z8`sZpj#`zvzAz1Oz{gpFA^-)QDEnMapvg<`mjpcA zraN_^!Wee2kI~fYHW$K>!Pal2$VVy_y%0o79D}l_wk`K@0Shq;e6|pIR8-UoxD+_P z63sH2H*ZuyyIZcwP5a}=%`P-`Tdk0^*)Q-!xPJSDI@7YC)R%(n>d|Eg+^i?y;(`++ z0ODiXTM)v>e?XxkD!r5oDzL<~K}kpMJ+gX6Y{+J4p``uX(7Le?Q-h)p0|PQYffz{B z%gakPb&ncgwkss^kJ`2fISfa>60qRJk<{5| z8BK>3WO6bNRi$%@>hm)2|Km5(kcPLel1(rxNR*E2rMn__ibt|~_4rMF`D(2mo>k-_ zM3>Km`e(y~xr5u0wv7)4rM{egd)?OyaPY7H?|LVjQ(VJ%6JiTvP-Zn)f?B3y1~UJS zm33riskK?7-eEDGy)4S+KnsQnW-q7>rp_a`Jaz&d{&f$x|=_lr<#7Ur@0_YRS%Dgz_5Kd~GQh+Iz`^NbX4%8VJwug;%N9hny4y{|ylQ zi90~Ardd(q7Ut>f?qNCv5uJ;cHt*Lki*A`esgtnEb1BC;{FWDleQ}_I!~STl9YZ(t9TV(-&@-*0@+P@5qVFiefHnA!SsHK{G1sr`CWF;n@NUE>w= z*iAfr^j^ctutwDxy$Jfc6$!p9@VVW-SDK$yJL(S78M6FN(Qv&doXK^n%~3%&6dyw8 zz`<--)+!hn8;?@gF^KdL*N@d}z|_nvkV7W(>m{qVAf=x$6-=^)kUwbIeNMC(RpPWf zd`}GDgyu$I)9TN-hM+Tf@v}aXGWu&TJ&ZGA!2K5K3k!Ui2(sB{U`PNQB&zk9;DIb* zT!YxGQ)vu)$K}Mnj+7~*tekZYb!?wvk}h2ic0iD)P(Wm78eRc-;im`1$WR16qM;VOvcu$CiRzL#~yvWS8lvff1bUKuW<-A(;^F3+a{T7NC~ z4RE$GkV|+EP~pXZxbZ;@-V#P4KTt+yfCFT`pUJe7%prps{Kq08?iE-%pv?2_;0#;V zOP2hC)%nvNa(ms4Euz0Ufn`B&gGUdR5$^d-K7cOM2u_?s$1S+*Tia+}WXB=g)jai7E|!Jf$RX;>hfvF>KwW zEgc(QTyh}#JKFnGHq!8;TBd)boh#<4b_rd|A0HlZndJogqgmYWpm&P0#Ir) zbrjx0k8rwi2q$upCnG$VIlW2<*GEGD+)(K71{^Wqd-#w^c437XzN-tx%0gn8t(_nA z82Wo1m3Jrup>c+hCd37t5lJSApX{MVK-_3ZQ*E)<7d?_Glm@s2aw~8s>{iV_ak*w{aTQ-pmn$~|wMqzv(dAQASE8}_+_xIz4Ez(e-W ziqN}`er)R^kEf>ZO$aODWOD3?Tn`HCmc9iyP9UyjR^6*G~L z%x9e_ESXmslBy-25F+3uI znx6jiw{P%=d{4|kpRgN4A@FGMS*0~d7U4=a1Nq*g5*A`p@bhP^yrN?3H4r#RN=yV_ z*4Yy!$BTeF#U?vojQt+8-qy4awcu9C7q&9{)|6cvgcC~28%paHEVG_Pg(ZnC0zz4b_R50Syv-KQ+D+xkPhLjV;QUCe#Cvq5Pmutl~#53&< zb~(xI&v!a98?S-L#x~oMteG-BqU0Yx_^wJS+W5@?CIiwlkn)e5z9%r>>A7dA*!r^! z&fxdvVmg_2YLSiO2ZuwV0%6jE+Ep|eQ(@!Flan@G?l{Z$7JT|w4Hb4Grh*cj%=vP! z?lu*@Nmi~L;5i8Yc%nY)J#vndT7@0I-)|Y^L8+@@Wp8Ulw8f>*(MP!z3Mb=5*EV8V zCR@C}JDK}(=StdFHS`?VBhcQ3Lm~6%9S0sjWo!n+p3#IHl) zC0+yZ_o^dA{6OgO;4R$pC|$M)gk`;dPuaSc`YwOa@dce`r5Z(v?;Rq33f!yyUJ;lUMo3EkXx3>v(DQ{7Qa;)7jL=(W^>Oc0I)b0F33dzmSg6;NlyAeyYUb}RcVj|1J2CqTQb zf$}}xbAR^F`rT3c6PA^9WxjY=JhMg;cA!4l-b?4N_?FZ^OT=x_5-3zJ2Yo9gP_DE? zef2||4MKfcyA}wk%U4ons*jr>n_F!`%sK&I^xMVjmZ=3+zO8xAW>6V>1_ZGN!aP{E zMh6E#&f#GLDb0wUs*tEa&l#*n^(n>Mvxog5^kdKRtajJ?b)>I8iuGdF1x~fnVO5b& zGDsgIc@rMD=a~lyi&fNiVXAe};tlHab_xs_v?&OF$J+lh_;BCA;q7PWve1#G!u|mz z`5$u61b1(RYw0~3FC}?t>*Gt(&#K@kqPJ1Z>U{_$84z|kdHH4#=RV$3E3`i&|B9eD zJd0j?Y#eRJE<{02v5}leIO&TO9Z2!W};h9u?P{F?F5}ZVL zUxPU4sFQ>?vUM#`b75i!`+Or)sWacIq7%qc)bTdIqj-_}(&tMT1zUiA^T+*MktMfP zpQF^j%Cnktd#WZiqKoU4;x@N<{YOh%=C;N)#sb6P75n1=ItR&?VywO9??EU5b=nr7 z`iDW~^e*vT7Uc8(Z1RP(VSwRZJ%`rdH;%C%w?-+Vd=3=fIowCSu1LYR@gfPh`(3JJ zINxM2Q)5}kuH#i39;%Z(HSe5G+PmfZ1f9xJYTy=4w7u{0X7oL9L%V9Q((jf}aN=-h zVTuEYhSqlG5mt0qv&?!n+azp@^}L_T7KIm9$!-xT2%P;`y&TxRcDZs^TQR;s&Au9% zN}aC4iGcVxI%v6gDEMxFl#bzgwvwRh3>NNkR?=1kKc&URU}h89?zIjf;fQKOs_3J8 zM^V?>pykyStYx;Ju1*zzt!~ejN}KH!QUFoDet2A>d!-#VHD3|+^=oSF5jojAt7r;n z4L$YwiBD_G-6*nzl+@{}^wHVHx%K{hEq)L{$e`MN$4>0QB7W$XU*DlvY}DfXfX>lU znHEB(VwO@WK*8V?{Z*e{E~D!z46z9RU!m-89MYNN55sz=ZTZzhpvO7_&AZuN!`7~M zI56B&+F1yb{wyMOwB*l1zBTz*xvyH^ZULvoBrzjHam;lLC|l|C=_`tk@52y^$aZra zioV2LbkC(`jO}Ld-6thQ=7m!GwDS8L%=QNQF~e@1?2X#B7UsSYTJ_G_IyhO1+(u_w zL<;??>k7}FtKv^N`$-<3`Mwjhern9F+FDK2X%SJUyAf>F2$HP9ce=oJr~#mZ`k~U& za^jyzT9%54xn_csqZ{Ux$e>a{fbg3t``I@CBL?N)Y4s}`Ov`aUs1{;qj|J`FSx4(f1@x{ZaaP7iXI!_Ra}m9MHBcX6TrANkA^<}E+=<^m%RJqg19tNbAQQZ z0NodyY?OnTB`xIz`nQ|4Vqws0rzogy!g$_oDl5Uth}0W#wlCMhOl|p}{cO?TT|RXX zCta64#4ft{>iC$iO4rMUk+=UGxuxSiz0x+?0J7g!#*)Gri^ge~97_4)DfFKj5{xvJ zc5{wB7rZq+jR|Tqm4eH|m+A5K_F)aIsWTWkk`gF!)EcgwzI)SU>Hed|c1zViIzO?m z&RH5Q?ET4F<89&I#C&|1b&?3>=u_TY$ZQ>=IFez|47-Pv&c^*drI_p8vwC1UuGmwu z<*Us-E1opK=UJWD^u-X`i^x;u~9oUi-&m)J?9sp8(3D{)XC$C7EP4sE_c(cnk>>+?0w(0Wl!5mrHEhGAN>vDZJ^0EPfTO=1bSt@eA|Liv3lTzq zEn55R%W*iEI#qI+%JAw{Xc#)--_4%DTOMR}>^(g{a1K>LMg?ZDXb@G~T|`mQ_J@jx zwODS!>tp|P_f5V9=R1Rj#ixb$dQ~ob6)mTMBl2?QGvyhR#cC-_t#>X@t=K>q_qTPk z_-hX{2VM02RA8dlDD+Z7P}N>uaLcGUbHQQ|#{7n2+JeyDg+Zixx`~29scmKcuP*(O z0DA#+h5oCnY(&D>n#B~Zjf8lmvco^LTr4Vgg%iU4!IV!0J(w-;lSt_@&wYhY{6*S& z9M+f?*N}^884`I%Hx#bul$cIXur~hes|n^J?OTLbAL3m${zjt zV6XW4A;7Qg5?KKPqg!X!+wi0~dvu-NSVQ7*v0-j$iA%PZXPcs?)9OP2)XDD>7?h1C zLahk`phw2l?Rq1b*2{@r<(qWy@`|M{YJcZ$4!=k)^RRn3_}<0*uCEul`Qk}b*0|sI zS5J{eSgEW-ma!tKg;W+^PNDQPyePtoX-#z1eXfE&V3lu6M}jnwcYNpvdg6EO;@w4* zMR`=-7mRPJTu}7C4z$bhGiWQrAS;w68#%p~Iix&-?(%*Lwr14HT}YjHNMZP}_-WLZ z$I`SQrI|7EIolQTwvV9lKA!1_>X25{oR_}Gl+3vKs#IrO!4oR(B1Vd|lEAH3rvQWX zU7M~Sll*J9PKS`i6T(IcIgb+_Y$oi`1b(gVe-#Zp9i6uAOnEeD_YRAQb4f&JOQAzK`TD4c{ zmPe|@S_)#%yyVEY7_DSbP`KI5PiK3Vy!)erR+$XTvJcWZ*SB_ZuVV3u{2wrbi^#&Cc8^}dzZZa! zr|_y2pICPvbcVMKxrEKwV)S(XF0xVoZ}0vfJ#tq&*)|kK$a&z!@a?a~7FoxKB{NZ? z6k11SH?bcKyx5@9x^-P`Sf?a`lsxK3m#V0=h(*Xx|pt9%ZY{!yrp2O$_gL5(9=ub*6`0 zZn6lsgQ}5|5CDxA3H!n4Ttpmx8XtvC(yajU*q_4X?%<+-uh$XXp{#u?VIqEFwistS zYe6j4I9Hcp4!vxQSxbla+u&6yf(SRznmHc?nHj)-J7R1;eQaz5jn8f#qp?EkghGA3 z{SWjC_x~!(8=bNemjf$JPwC{-uh?L=(%rE@%Xs!^@7Lc^7~@sXGZxEEy75xGbb}vO zN=gNzWUKCf@^oI+MlSUw5-l73sxz&nkHK#?xTz8iE!u z8W>if=hO=rheOlxG}~Um>BQ(ESl8*gx zb$tIGBk@fKrMyK0!&v)_GN=}{F~izwZC0zDXjDibP7ws(^j>UXN<48i_Gi1cke&)v z!mRcO4U?3Ke{M+kvm`7clSf8xVI68_T0}mF?a0l8w4o^=wrNiZ@O4?-wAZL780?-C z5r5epS*L_onxGcf-_=%oFLI(+-WD^&W9(nmBps`Qyr&VeA-Uv~=X>!>F!`A_gj9jK zKX$*=D5hWge(8~opgDzc3WQ4j^`uUPy@s-VKUaJHgJPZ5%Q;Z3p)!dJ?m*u=M!pl@#y%)dD!OZ$8@2l(qFRv6v>%2V&k!YaFt_o0)0L zALO`+FO2#ps6*+$Gwmi+J@FAy5cOvD6fs4gu__61=F}kqtRzrj` z)B7r~7PILL94;p#2b^k^6a**rqI^ZD2$^n|#B#v^m2DRZBbnNvVp8#p4mJ2!vgkUBaxdCYIo`@oD@n7vgYjJ+m&c~(K+g_0NcvR2(f%6 zaRwJx7kyO@Sq_=1-zQIg9;&%7_yJ-2bT%PYnb%P|9TCCT?n&kKiE*rv_3CWU`Q(fo zH7f1|pOaVV*?wbikDe;a%l@^X%QW;${zT%IZ+!=7p9mu*lUZ)9tm84~al&x3KyE{{%+4y9zdqhb zuw)botI4W8UrnOrh)wtG=F4)Kz(#6K>XUb9vWEm+*5dM3!>f6V!SdOj!I~=}s^&_0 z8@UAzvU_bJIN!w(O!Q@5uHgtjclTII26H}MMoCAah8nTmgR7Cg_Aw;if^A=1kK*c{ z6t0_*KqcBn9>D+&BINSRdK8-RLpL8(EJnZJfxIwNy@IvNpvdMS0$Jx^nQ(8!vF@>JV%M&(6n zsq^y=*X5@Schc+9QMAGR$A8?+WMaa7ecrxCEVzxg6tJb}(ERZ6{t?2$jZZ={*!$_z z6T8Um(H9g1xqwCm4e!I7pRnP3(-{f#2*X6_s>k$e3ypWRiU=#6Uk=wwU6q9Pefycy z`qx&c^&GaQp+~E^B~OUsuU9;TYucyQ&X7$~+>^rmim~q6t3=-$FeYsw>?cw_j4=vk zdRzusecMvGsdP6rjbYToRl2W}#^wnM*C?##nLHYS=jYgalg)yFADP&2Eeq_xkG`h{?jy03;uUJq9<{et83{5UkqL*3$>-uM2AmlJNHSU~+rLoF>8&EH=Sr_XC^gXc2>t-U$>kw+@|;|^5w>Dx7;4t%vRKP=eA6)2 zaGT09Fj%$_J=ZH_Eg}gf3choCzSF{LP6Tbt1NVU8X}0^ly0q`SJMuuuiGjKLHEk4419(Im}vz4)9&hq zU|%{n3L=F&vUUE@Hy{xyD8@uS!RA-cmZuG0Hap?a$g7g1F0cjod}&P3{5s&zsFtvX zq$CGEWSIEZ;GdxC#Q3bY+DBM3IBgO7{@y@bP1nC1L7#nE9Ix?ymf~!vC3TFodjbpQ zK1`A9xV#_V=YUnwfebVxI-Kxm?r!mY{V!)VUIiFJUu>ub6|Pcq#qw^~#k7JoGkk2H z@iOg^DGcO{rWQwv|MA27ICx{c(u9`Yk1y%=Vvp0T&rM0VS9*zTq7)@xYIhj>e-UU7N}f+J|qIPk7tB)eJqF;e~@eH5wdi_U)*Iu1Z8;CEz^yC?6V* z=fAbI98pZ6qqkpeZn}##yj2%!-){~un#gxf?*EEb#Eq6NJ+~RnK6@RlOD$4jcEP^m z+Wc5IZ6>>g-$s>%PvT@5&hI-lR878D=hwbh(?twByc22)-!qzaPOlk&k$jeHHb`rC z*7stvx664DzQ}fe$u|8y+d@{0K=@S$CXgnK*>U>bD+SHoBskKqoY#@xEU30J)Wuzu zK6j#Fi#D#XoQ$1BzdMgV(Z=Ey#(-9jD`3x0-Z&-Ei5+d$qKfo1YtWILU0OY7JEg

xP`h|+n zU)jNd-FJVt zK}DqksS3);wmcU{#`D9!6jiJr12|KB4_iOQ3O@$j9r=bMe-RnocMnV;Qo(6!eVk0* z(zIDQ^~NAZ<$`-hwLXsq94nBJSjkCQ<-(7%lehf2H3+G~4!F*XG&`Yv`NzF0yo}6n zl~07~io|oln}s2B_A{!HLXJ*Nyk5ITa(cSmyZhQ;97hzOFlJJlI^W-C?drlhQ^aSR ztn$j}1@A`C(>-r6@0%=mVBp}(1uJ^G#H3h1}wimq%j*gJxcn$3BVKZxc@Clbg@ytoUA#&(3b;PQNQcX zpKkl(5xz&wpX}QMu!D-+?u`fM@vaug6C^bAnqW45(zd?n=6$iLnr))4cJ$P6sjA^W zkH=dfX&@%gh@`99et*j;{u%2Ph>B1Cw}_UR-W~=0yoLq=8#pf2*z-o;fjBDQX2TMU z@>>)9&HR>%Yksg)Yp*!ucxNBN{!_u&iKIXAlJOWTTe-8M9f7%BqV<0wkg3U8$v{?V z-eR5KI7+rJR4ts}zMUX(a}ESz#-ZjTKhgF`Bz2wAHQBS|XjIgb2|%BGG6cuh$ou$! zBaLtfC=|7|iFbJ|*rggPfHG@f6!hBpW7K{|iOb8EF2R>`TwkDfbQ2ilnW*#p*WeYW zV%Mc2_|_o{!)B9Q)*_|@rXJ6J&(x6koxqeg2M|}Zog!)JJLt}8e1?TbdJPJpPev%L zdUa6%)YaH-DHR4jaI@*vl^(MVfdhn2Km+6lRV8K~-jC-^!Q?|2g|Cz-GU`^_I7#Jk z?EGcTX+6W9EO6M8^v5vrC~pZ1=SKyRQd>Sew=0MAj2~9hoje&@ zN#KkPPLF+U@XZ5aetv>r7(?2Q?X$`L7??ATve{hA(&R?=L=Zg~?|#zKme8)X*)Z&{ zuD*1FQq&(mbkQ{|_8*F{2)I-a{vM;{vBe&}JRnF@7&iKB15TJJHEPd%ay)u8%PT+P zjS&&?Y|ZPhfF}`i4l*90vFnQnw)$t4Tg;!#n9#)cyU=vu@q0#lNJyS3y=!6h8&1jB z?>WuhX}wEQPuDTMu5!nhUNA=~RDhz$3Fu{u9F<=^1YGtfg&$mHA1q!#9gi+#*bf%B z`dgV4?+&-_ZUUBUr%PACSrcmoDTXvJhIWqDa|j11M$(et4AcH4h+Y{l7p)Er?Fg;* z{3?<^RUagX`(wBNi130WkDR9o<}FXC;%JWFZzl@j1yMb{y`UNByzb4A5C_YP`3Ie9gOdA4k6bs4d$)^d%M%zN+TW z)40-WcG4B5ef#7mN5nB?U2bIW_jbz*$V04bZOuRzJTWP$3aE%y6%r6KN&kIk`m}xa zuF@67Rf#^~-mf#B=`Yb(gknQ}zheCiH&wAv850`DMj9K^2!&W+oXLN;N8&^tD4um; zFH}sEve@t}D)YZVuUK~b>*?*b=woC`%2alsREXm0eJJ@o@a$a0^+#~j8@r{JADf#W zAtfad{cGwPnBH-Wu%+PkovbqlG-_)c40;@G|D0FwR{l0^(u$0jKy*4(S6cPW@c8iQ zG-=+OeGdt<8fahpk~x3PWx+Y@Wq(%!v*7gLLg{mCviy(fW1LJVkc@yPNGCW6PF_cc zBq=E=;0xV{Uy0fg2vNOCqxxv)M}%ffG$6(Wz4^{78WGE)*~{#A=q9)6_hXz-ybMOo>%=Sl zS$zVOPMW3Koo)UQ9oB!yW#E3%+(@jnZtO)b#*wM~I>6}DDgV|`5(^ki&1q>#2QvRR zW_?km{$!-2r?*RC#+3$ye?P8-E?0$Pe8yvmTiJnia^XdmmHKjf>$AJJhyE_m-Tgx6 zj@ZnFebX;hIp~vr7SEPh7h-e02$EoOY@>u!2>7?4H?t1t`37{F?=S0x9M_t<$E-h; zr#vRx!oVN~$V$9dx7dmsp&4C$I9httcb_^8FknZngNyF?ZLV`tHNDAMr3<|}{wvfW zNmF_*MRE==1ffKC`p(%9X;|kESogsPDS7BHL|dPg~b~d^&2} zg<#*g-{5S+)xj#mYk>-FZz$OD+e3Oufj>4!pj1TCx=cipOo#Ri<(t0ojL|KzPYAzv ztlfDf>R9hIR{A^WSFSo-UK*^7-+PEJ_oIxri(Tw6R4bdV9Ca+g_0dU+J^y!Tl=UxB z&-q@s`EFqsB?tewrC3s?*7H3D{YSR;Zjw^V;2#~d9cLX^)V4J5lKm1COugS_n8&lm zwWvIM&Ga!Yp}wtbzJaN5Vl9*A4mO!A1+UB?$>A?DCZmBUyKB4Ql)Zs{mRnS(^aa~% zd)gY=2lJS-7ZWBc5jE!|W5r!*G~T&Aa<7cLT5~=r$!>af4w|QG%T8{E_h2(^o%?lQ zm3|c(_ZKB`;yHe!lF$vM+Vze4h&+%udBf}^xoq2icVP{88Dp;U_L8Xz5A{2h{+qk) z=~hMQ;IsMo){*q=9tbg=l6>ie!}j0z7|w(GNa<1^m#1n(rQe4P=fP_kwo`W7taNlO zR`}Z!tLY=!jZ9q9{HTbKSz-j2dkOzk>9lszqrLovb?%i&yLV?FLxvs6{_D47vug-1 zi{UzOXljz28fIDok?*wY{W; ziue3HZdfy_B)-8jVzyNhZD=MyQ@q%qzd7Ym z`NN_EtD??a%Po?6qmhB>UCsuq@h4Bcvxf%3!>Qz5(s}~zovF(JR~M4+cdhdhQY_B_ z%VM8JyJjU}^aWAiARg*Y#jO}w{dmcTp#gb*c7xW;NI9B+oX;U<`!}AcyQLO$Ju=@*J}Oh^EAC60IJzQB3naiCEmV0Onzpau z#*OU^e2jysDSx07wX6_V^G(Jae_NPOK3r8kLho(nwYcRZkx%vfMjrj~XUlL)2)u4B zY58gxbSq!=%%tJ^b%TE4dl(+`k>l=2KRdI|o*+s-e#~$)Td$j->dB5f>yyx*1aDKX zjZyh6%jK+BG;Pmb>%@{W@>Kt36E{e?S?&I3?eNjJe9>CYeA|DHE>>Z7Z?qaF%VGv$x-k8%nwyhMmTK!u4a*u123)w&@|3ismst86f) z=PYUN)^wU`E7$HnHWsLd7p;Hb$@+nJNByW=#9rU^ER$zh;hG{c9A+HdEz`%Pu!kAe zEBPf3sDf}|9^ur}eR72~X~2)SOJTx{lyrj*(j^St2uR0Bw+aj)h;)Za3?(fRgGeg_O81Z> z-3-ll`Tf6lt#_@LwSYC3bMHBK=d+)^iPq6lCM97c0f9iIsw&VIAP}Ab2n43RMFd=d zgqJA*zX;$@RrPNHUqQECB7o1gJyeY0Akbaan{P0mFpn8RzH(Q7?-``5nKUUnc~ zUtfMlH)pu5wTB(QyO%@OwhSW(#0FA@D(d@XZ_fJq>DwK*@9i`RQ$HXAz>+n|E8IMTCmQ%=usk`paOTr1L7Hd_vC{F+mORoA zYp)M@q(QcErH_Z3<1KG963NmgnobFL{P?>$9uj^hMv&qVgt1dcA|Gly;sqevRTC9T z^yf`&k5f1ey3-joQ#}1GSw>uD_hZ#+2dy^@%}7KDDMmd*hi2*Z-Wu81#g+bn{FxdK z=K~RZc6U79QzFKD6eRiu+ll)nAZ4rJZ5y2;RP_AU=FvX`eFqT6IiZt5d}P-Ax|6|B z9FHG=SKpBK}+BZj!LK z1CF(+dfk|YZ>zKc`j|55+%e@vHbAd8aC07^u)?K-w#{S-+o2zvM{7Bv`Lw+Z{?Ze6 z3bwzs9#=obmSrlr@$)9+nQ`g(6cx~gjIo9_$C&ZENYH%LJ=A}VhhEe%a>0TxCV>GcPnEK6~QkTC!BjCdBr>A$F5hdynS4zDAAiK z8CmmUuR8KiR4%{a=Wa{-j8-0Rh{Jauw53gpP~38JI$g8=b%*XB7g{Cm4(GddjaHZS z?#|zxI%fH3ZYnm8`cHXUZ7=s5KH4DMNOSlK_a6L-khBZUJkL?2ChBr8OQQG<7|2+# z+*r9HDZD^w=6PF2x{RlC$oY-!69%JTP>$xS^`Tma4rG zj#MKCTMePYIK6_Tr-PjgeeAkZ_$52qkLP@MXMWtC_F^9|8)B#<+vO`H*V>ZXJGhXG z7IrJSPx5k})1!cdnEm3BoVLcA(VHLLQ%V1N0WX23J8VATOy zw+N3dK)#}F0o=oZ@x1B&>iuflByq>LSz}55s3f~Cigcw3qC7rr=hv2-+`)+Y1){cQwGi9%)nL*1MRmC2A#$1hOj z$w@(@_eJPcQdE|m6#4Zi33DXt&)-E=W@dOHv5t%$b@}oLwe?|HuAod*=we)_!XqQx z^AF&BK7z55C&Em#0habvzc=4_+LOt3rp@R>`IRK^B4YMS1=fp>(obH5pxT6!s`MYo z(oMbVO$Ai&`Tf^8FJE{kDgG1+Yb#}!r5PO_@{Rfm*&G!0_6tG0!mhrEZsYX|XPr?)zgx->!4 zN{G z_!Y#+fv&394#I_X{ZZNm{r(i^D~u%eq2Yp1C3)%v)nqB2m&XHYS^^SA<@8ESlzun zX}tTe<%Ld$==ul8vBnF=n7|-cm^clRy;lc%mUb%cByjrb&buE}@6k%1t71ZkOzxi; z{w-gw&MmIO-w2P0$=w>R3zd3h~hK1_*s6809)ct2NOp+$K~Yr?@Db zzweRj2%Z;4Q|zj+4e6{?*Oe|_MdgvvtU zcjssIGJj&B-)iDegy*7JKwM3?c@k*1dYmEpDyC#6W|t218e4dz^XfdOB>V2`tSQ?? zmoUXzqDxsrM0`%osgm-riHrpA7D}#7;Jf%2p z$;beyBoK5qz;qEOu#ggy`zc(Z%+Wm0jC4rcS*N9AzA`h(tM_$x)$eKXuqQrFgL^;0 zM1#yS?#>c3q+h;7zTqqOVK~39kXN2ul#j!X45zk31o9oCYdFL=Iqi-a8|8M4<{u7d zIKKUdIFrmG#}9e*dP@5yO3eFP3alliTzqTq4KqZE?iwrLi-!??f)dNYS3d9TpUM6e z=RJKK5pupC%uW1LVGM< z9v`3PZ;^MNAmmyh*#1ikJ91ED`dcBZpr<;=U*mVF>yG24vk!j{?4*gnhn%1fDFYE=!t_q|JL%C=R#_qy%0&{5w-3c*`}`KLGbaO;^ikna2mg|2=PNU zuDz~V{$Z{P%gtAZ4TIDEk8nw0&RInAcuOZFn|1uI6MmsD6Djr>w@@%yp!LPu=U;8BB2)HwG71@$ZaKU<9Y52lMia(!qhpOmi|McQ6KFsw z->ZM_v4<^>WTuJmuAtg_TK3F)s@{DxycSrA4mLaaQu11XhFlCVnKk;2r5>e5UE&iZ zOiRlpn($U6UKP!pyvlOKEl#cY466Td0%!V+?TjHHz?{2`2&5asv|Yz>$25?{8Xvpg z-gnsxF7^psrw+R^z9f%%8f@jcCsz=#Q1xm04aPaPV@u6S^G`07;%SAEDL?0}m~!5~ zcY=~hm8SIBX?WAuvQlIyRsv|X2%O$l5>0|I$`_CvS<6@%Ka+^BkNhk~V!O0$^Cu+3 zAZ0u)8p^HMAJ;5wZt&OMdjq+<>I>s-A2t*d7egoo?jI@2fGG}NQ9Ka8iUQ!ryfpf+ zltQ+`!9@{1`{Y1scHKp{oN=E+(69X@#0$%0*IS4f;-U3l>_vQ%j;DR?^(As^N`HX7 zkf5}ZF=T(8*b#yKBInw&qQuzgPV%NOfi`F(cC48@=HFx2yLk0pF+tZ4kJ9V-xp|v9 z2eT(L0ANR7dne+~P^_tcZ$F3vIzi+p2E5p%Kl`~7oB*EtFD zORQ1hmknHVo3Nf6>`?KYA!XC#fziq9@jdmkm!#j#KZFvsU~*TUvE1KttkJ7gV^4XJ z`yW+Gg7;nx<_IUl2sfUygqbrYat^xAhcA|gX+FH-<7+~;Okfpi zz(EP@K8@chURLXZy_}c{9uK%{XXLzaSJq$hxy()PxIvfct%Ao>)eCLLeW){&*WI`G z?ZcqxUQ&04H)FGr${UNpc%BOlC!D|e^#y5LIgfSL?uGKVw7iL`d%?Eoc#+Gb{Q;+c zcs5r{)DTp3u18pJ%oCu<5NYlYO)U(l?Cu)i^@@fiel~s^p!})ko&qcq?`ZF6!qHIc zca`WTt0S)mEcrkj(qggr#hn)K&y-I5+Zok9JL0mmPp-&MtRv7uidUlcwxi%Am&yFQ z8BC6t6XVQf6||6k{#z~N#RtoqOs_u@sI9ceo*3UAdeFQA#U<^S6IM6ly%(|Cg zn)sbJ+(ogf7o~oHoa7#WHWiBq5wE{W8hUb%JD#b{1xK?H*?jj$Kyi4bI5;hv?$dH?;LOulz0sGo4}P*G`nY~l%pd-Acz zaAo$1W}Y#3u#z|A&%b`at@t|E8@k_`8M$STMY#P<|)gDfyRCx4(Lq0){9`_3gU@h-y$ zgk%b>FT;LYB)DnztNGtOJbo_Sz`r3a?BDeKh=VrROh5koQ#zOB6Ms_xgnmDT)Iu-G zKiZR-#Hh#)b(3f-6;40z>F)cz1(aBUVHh*TdEhZ~xBf&z_Dhf~x?1jQAwBj$9b_+{ z3Dg-}Bhu8Pk`S|sex2m`AWL-4oNGrjMcF|#^iTxj`2kL;`P4h&X-}!_!wdFnBjg;{ zBip6*$XJ@bvJ>so{)-M*mNUZMS0dkt*f4vPy{`lq`CpV&BFRg*l-!aMVnW{a)+efz zmjs&NZfl>n`7-h(lwk$%yQA1Ge%ZFU2+`Eh^I$A>MC5N%iUSn1bMt6qD-7{l&c0`xOU-DH_)7y&G~$v6qx`ibt&-nz=mz zA2jq+R~j|9#6sAR_!P7GL&m#|_`aj05&VqPcAGw7jX z9_^8UxWE&v*hnh-xiA!6=#|EUB0)mVEG_G{MskIi5GwqOzi=BCS;~4jT*pF9WOv;ExTG(j zsOPi0A&Uppl(Gq$f_gBQq4zxj^+ylA_co3%#O(i~88l!H+SdT-P^*sQp-$6u0qHmd z=4`c29V0zA7)p@{{aq8vqNy52pqdnF7V+*HiL8gz6c|9opwFhug7;2yGp}Y(^ol~S zM=Da7qe|ueE1PZA@{x0;k*KJBEx2&lAOA}~_@<}>Fh3Mtv$F@y%wLu_lUhHW3)LTW zJA2Y>cLQ7K^?K`edRQxwzC0%X);JhxB!bt;sRIoyUKUAx?d%6g6>jKgAjN1-h&&Hl z|FfjFbp2wgjwhoxWCO5sZZRs)kADb1aR_Y+Yj9;~j1X$ZxQ;};c^nNh2@hzKJ37*PPu#%pt@6biQCRB#_8h|f zQ~Y@cmAk)`G{DIi$7#^37i>bBsl(uzvEFr4*b5OB2{8D+5TQ7^^nE7r0yVVyvp7h8 zZ=~b$*~f$6s?>2eWXVZf>H2>{*JE2Jyn^(YQ2vAq2Z%J5={ttN(i{ z7LY=?3iL0L2LJNc_*d7Ip9@n{%1 zkBp!#5`4psbZ>I3{YySAl&PZNuTez!t zb}LGD8l(0%N>8Uca!uK1FC>2h1+m)MY3Z<*Z+?p_?f-AjTJ_1qe=4w90zZhC`hH@c zG(dpaBA@(kzrUjuRdbMC@ehQ-@hlQ$fDINQYg5L{GN#>{D}3G(k)B~&FXkl0QFZ5J zta2A43Tz+Jar@TT>`~Pbt7lPUvNALz-snKd9!Z?@7owNQa`yz|;}Icsa^9oC%*%-9 zOX9Z{0znrbRzn||qJR(b+cwC|y1Kf-FnKU9oq$CU)ZX5{&v}4mDLbnY*&3b)T$?GZ zJI}aGf^WZo1%umhmp%*(4DN6E&WN)^mSY1BxYi~4IXK- zT8DDr&V3p88pKO%@NA>V`ADUCb0cz$=)yxnroaqe1aJzcM_JX#$ZYui;lF?F!K%ni zziHRT*$d2Da{C=ORv2?SZiV;L^YVuSxL-!Wd8A2d6CPJ1 zi=Z#Fkq^N~8>3~uk{_DN+R#m(g6HK;p%jUpv~*vDia07V8}gNwp7BcG)$4lq$=br= z!p$zq`Z&>?q`W8_ekW|FKtBzi7=ZwxDoh*Q+?t3T0D~FPNi~95B9YVwZEYixjb+X6 zp|At$s%YEpxN3iUz&DDrjcj1=l|KE6hETyqDLm{{gR|juAZ0KDL0fSjSg_JdRp#U} zQlg6j34m!bQ+Nt>{+vC<&Md~n3;@nr8VwwNHE5odI3J0X!p8Hoxb}W{;0^uEWu>%n z6EO(CHsh5^4j!!Hm4!VElKsU#(%Uc+|F0Xks8L*j*BbmD_& zkt3=qDiS{rS{{9+FClmFL0=j;hBMkaaR5?Y&4yEA)>_i=N!$-)+}Xkq8lGs^ihmPv zlZNP~w}AXdB-1i+Qf)q4Q&}71Rq{1Dc?RC=Bd5C1)w1=hwjIlSq&%oJ<=!`;qVAeb zr|aF%-AuwkC%by!NHXStM?)-ZjPIxH6lzhsO3T!+OG(s&s`yZUjFNM8_Tt5w0$QRgEWuy34j09tT#l^)X@JK(m?SBL3r<15K zIUyjpXRGn$E3mT=i$vZ;%^hEaiU0@}T;suool*Sr>TnmhRXd8DH6)0Q zLShzoNt%mobxOOVY3=W=j`h#={kC(i3%itIXJ4wl*g`iEV3;s^Y%Kp$ar2GYa8_xo z42A?IYYa88b8yhUFB@=J^z{5V77D3~Rbd}I2mt3I+gAMN%&^&(FEr3i`8(&nez`cx zuS2-YW(22+B6bG9ZAaipW(UK0cvif>9VZHh!Ds}LWbW7L6dzx0*< zJgzvpR}%8q941elc;%lq^Im9`eDX{CWoMCQRxVf!xxRpI%0&h|`3mTgJL3GbZNBHA zB_RjC2H7XXKwlDl{Vh3O`NT#XmH_83)yk2QkL`_Z$A|2H#`@Sf{$#yg z=&kjHwtR$4J~GX9s*altvK96mLZvgRr}TNWP$?D)+rg7s-AT^OoSFm<1*=a&1c5F} zkiK0#J*b^*=j3^9SYS-A3S|?+#A8U5(F?n{N$OOiEiRUz-5)NL{zM9}o@LpNhrc8n z+df|*16r~E4wBe-1QeoNy6%KA#+v<%iJR7!MK|3;N`d_hp_vj`GMYquC)PB{{3y!|bO#A28wnGkhAra#Fr$Ow| ztm8Z-`}5ztBZq>!wpYv*c0X{J==SjTYudJ%oW#Vv+q;>_hxeveekHOihmd~A$Y9_r zlZX=%eLHHjrTpZ{6FD_?^}uwaoL|SlV_K@q+S+zdMkn=HfA{mYDu@@6U_%hQC`?g= z(VX@c!4t54y;UpVIz0+%Ha0fxlH*gj%Ou%BX&7v9aD&QnQ^%4vu$6(0;#N@FJOxR_ zSSb&Lif0Tr+aZt*Z-Z~&!7R4Q*A~hQI)6fztb1T*wj#v3%GMVYg0W_o7aSj2qO$JW z{+vY4`ct=$Zd>RNgHPL+KubjeKo*q&;Jj#JT3u}heS0h}A%agV5ArF|bDAUP$U4C22OEA( zytdO5Q!R;|lQ*5~1LcjU7w-imSC>ECp(<06=;#e0|LU~16Uy2kdoB@jG5=z&-=x>m zp`oF(U!PIE+^Ix?ZHO}c!?l#0T$}pbmrTMG`qWZ9{d!)EJD`Qkj@way)0OeG?TqvP ztfmV8v&^acGm=#LITUR$+T(-5viRRdAbLM^c6QPoYyc^exODv~MjBH-%Tkbej`@-> z+2$|p%{a0UB@p^@&G47R{>i-*W`j~DpTh#E*Qb$E%i{d4v>n45r?n~(xzCiP!`mzE z&lstrp~iwsnqD>VSFd-$DfHE)Yd{7pfG)Q!{EWIj84#a;>@r-WDGg)JX}st-NMX(@ zeft zkePE0uG5Xv4X)>PJLeBD&nZip-#_>Lp5zgFuv+W>Ab05eI5$o^tJ8V--fo(1-_6-M zW=8{y8;(%jm28c26||aUvJ_!DW*=hD%{#j;H=k?iHEmY5Gpa!?c4geJJbRcMpFvk+ zd$kulzQtBd+CrB@C{InGMbg+YCf^y)EZM>4dEBKOq(%{@&G)l9S7$U8c@~cN4GzJd zlEfOUdhaQ{e7kc#3ECK|(6e#Yp{*P+dG?rrARn-59!TCvo25tTw50e`=ou1;#|}IV z|AdF}eQq8Q2DA!n2j~)&?7Tx@Kc@1=_m85~U-@_FnhhNUIgM8gG{fg@r;GktUd|j& z+k5$LnmuW>Jts2|u+)^mC4{;_J1A2_>f>0i8U z8r(T$8Dcx2?VNFp)3BGjU_xDd^(t=bPVTe^%u$77-`DE3AiWGX<9q&r=A#+QN+xEnhk~2#k66{~GnF1I7KGe))YVbc;osVI*QE_wI9Ca5hH!ClonM{rSem+^L=_af_S1!Iy~0wv&Soq&>j%*Ztv@8iY16+q z!?m@wcUqdaM*rN;aQ}qNe*NS2JEsVVl@9qmbT-Z}@VNQHza6c810T|xS~8cPTKK%*=sa* zWwyMe^y%$=&tX*Mm!q|wdv$yPJI>v6(z$buxR-OCh9ou?$44aFew$T+C)-(>03Ky@ zS?*1orz{f?Dnhhm{A!GCOkfmUPrInznAcB;)-(-)z6Dy%A^q1cCXh zn8CS|H`g;)1R)~*G43IYGrZ`B{BrR)i<7Vfi_>>!@7Lkp&*!LKB9~ucYc4iu7;kx_ks@Bi(kqmcl|57?n5}}Nw$nyD;;MEA1kek zl|0*#=C}TJ#+U6exA!G}c*NzV{{J1a{wupex;MpWzOF;Tk2e&{>x*lZVcxBI(xmJas1< zBFmPe6|J60AVWF$$mL|Qw8Sg0fjU37jC9_W3p%yE!l5Br5{<5 z|KKycOlE&U2TPw-H(W;0ygm>z*L>Ys`8C@<=U?tSOX(Gd;9WCIg*#lGK7N+_t-d!T zaYpcG@yyTgC^3GROZRduV_BvH;jW1w{Y;g+fH-W<$L7wT5R=L9&B3{BhOE~vgX-!WbNhEwbk!bVV2wi?j zSMfj^NL_rTPXfDuXpnw`a`5b7K1@aSaI`ByWyPk%v4g3&>r&FV94BBQ!q-6oiLP{q z5($zC@`K7%K^>8z_hUI!PvfQbdUK}M#SnatGv&`y2JiR*rk3{JS)B5=@@;}p?ZQl^ z05;OB&7h0b3R~5wzg4MQdrc{0`&DW96mfT+{LtjD))wSf*^W00F+b)Vm}b%)nyd34 z_KN+rIS*u_029z4MPNgQ(D~bdi9tq#(0ZiX@Dh3H*S)!nOO}P1TL8j17#c_bRZDN~ zJ0!~tvNJ~S1NmflE0SM&D^JHA`~Ay>SNS_Cr_7jfrxo57lK^XCk@pp%_5PQuRE=p7 zu35HULQKWo?5+;QeWt|&o&QMFI(PMK6*_eJ+YbrP>-pSS86!vvGrj(5C|R~AzlqGZ zYiGmQVLYrPcda?Q0fq_R^S0+!7)ch9|I%b5sCVxB+b^-HTXnKDtdQ?~{TB@G9$ocm z3xqKSk$x7F`Z3F?Uq6F8KQf2C3%G6fL`&6aw34vh)1lsaV!8R)1#8X`L(5G;(sDa_ z^^Z=V^D{}7=E5(a6`b`HK2ZE>TH<5DRUl=2_OQJa#zZ0eJSGqQCBv|saq&mQ>U4Y# zr{laO(_i@$+Rk;N)fqP@+TPwrH>J#n824b^L;ASsu1d{pPPfv$IUBG7!5$v5Z`Efk z?(GX0^P9G0zY;O|jJ~4)Gv583CGzTT7&Y2e-HbN<3(sc%wT1uDXO<+j5PVI~OJ3=) zAcGbQ?+OqaEJu_}aC|;m@LtG{9rfxG0CQVjIVC6W{Lt%6Wvx@@l;>?z-+b8TL(VR> zw(dCe#EfZg_<1$G5AIDQhWnNMoB&`;m3E+Q@zxC<UOO+Qj=7U!3YcPbC(_B3 z{563E@fBD`r)hy6XzKw`D{wFr)b4LSIOjTl98Cw>?J~W#J=z~#xi=i@78u9cW=7I! zppbW!pfMM?fDeQW%o}N%U+VMCf$X`v`rX4C{#H^qq5?9b|H|69|KheMqLbx_-D1V^ zO!%C*na1eIm5`sf2;>Vs3eN(ep1gh1t*^=2dU4xS%%uRx0Ch5ZC9}t_-u-`=0$vM; zoQ7~7IJ79`p*U0hWdI2FfS&H9-{5OTF~{D9f$3Y=caC00)Z2a+_nvyLoIC&PJkZ8e zk-)-s5{Ue%WF^S86yx`&M9S3p;0LK6il2Y?OQ>ES-l|jAE_Rn;$E#FAP~a z1Jr0$?27f4jdC?@puTmlm4YfyCpOVI-k;jSzK?63(z5uTEBcjYRHskpc(lM@T%jDZ zMAJ`ICXXR7p(`D3qt}rxr{f1-NY%M~jVrvekG}JPGHu1+`Uno9(pbDr-1tgux^_wj!2Xb6?Jd72pQa?%#Vb)8;sfckUz-NkmBOy^BPp6? zCf-lPr3w|r08=sik1XWdCjZpE3VOwN$Q#wfH}5eoB>7=0?ixVqA{ zbFYPrN_Hq_T-{ZAS48kz4SqFa*}76V3hawdN2y-v;%Ifl1jT&qLHXC#_exlX&=oQ5+R_{Kl(^S%J|y{J*lm0d-wJcH9c<9 zHZH{arCpSbMnp{~@+>gNs1CiTiOwJMlcO zo{|r`Wu~xMi7*V4H(bV`n+BDZ@i2xkSrkD32$*=?aH0=ySf1)z60AnIe?M&4hDCUy z`R*l>L25`Os%}@H7yZ5@cD-!9EEik6K(t&JwtIJy zOtXS4IK-0bn;=BK=n8tN*RP_i1SMEsG1JjCwoCdJz$?w{YOqhM>oO)FZT#sM&ti&B!+s2m9lO}r_17L|H_KnklbMU)f02CBjbl>Q1Z zfxc-oOPDfvNoiTWa|{y`)BCBN32XM_`hIC?sU!024T1@;g~$&n)g_5jv7pPFC}%@{ z2cHOui~BYsuaX-t#clei(M^%<-?^8acrlx}Hh*mEYaZAwUO~APB4-XHY zm#mW_1EP1%FTs%j56Sa^NM=2|84$L6KtKQ;tko1&rLC#Cg~>K;04g0*FmxG)otgcl zoN)CNdX+maHVv$t^ZTh^ZzsaIwVVwHCkPvL3M&%839!&2NPMsXC3D8R6bJ{B1kUwXeP-BR^N;W`Un)9x*=I` z{yE&`B+_IWcS#1c$!`K$?5NSG1{@*V;xD$#@4>yRsC0KT+Y~-1i!-C4qr+t)b8kJS z7jv12U{{X6uy#-2hU6^=GxVt=$I8~DAp1}EOiCB^j}AJjgoTAa0afp%1uUUY`nbAn z$N3SBNqTCkL68~vm_vw$(yrw-2I3xJz+1Zj_8s1;xVg}GfEXq9 z9z1t%YQDZYAD;`x&2B9%Em<0j4jc6W(lC(U4d{UcYA&a8h>f6&estcM`GjKPyoU~5od0ziCl~H8nH&t2Y z7PU>+W))}Fz~4KDmnr+Wav@pmaT>eHz^qn+=cUwYdmt+o3Bx@Iw0|14OEE zL{CIs-?z8un=SU|~uP^DGy(&J=z!By$=Cqz(VTCoi>kxz1Z z@?&jl%}>GZWc;$~C-WdIr8=8Eh?`dQE8NW%Ad@*eSx|7nYKJ>4mby#$e!0H&aQ*@v zJ*uJKxXa%yXCBcvAYa(U8D;8Et`Z(jNtk9fZ+#vSeOBb~!viyMwday3d~{u(b9`j;q43 zNT)!|5y0K}{_gEPu~drFeq0bZFDb4H%fcUz>HP(HLf9^eQv>3&AFOpu(l;B)Pm!34 zoHB)4a5WUlW@KdOVrR75NgW*>>69RezjEO6fSz4x60LzNmo>Gu+W1#sGd9Sz2l~ih zbVvV4CO-pl_puDG{jqccMHYitk$#KjTtk2Sk4;JSa*ehl1!b#y)s|P9Va>cYR@j*_ zpd(^t(dahYgq(UnajQiRXjfTwrXqRk@Bse`O&BFy?fzxO#QuE__oQjYrM6Wrz>6$k|=*I2Sx7vr>G5utnPURY& zlAZPz-T33w=lPL5H?Qe~A))k#!N_-Ic2WRkDA#DnqVl+ZEinRUVzRuuKe_v;Jv0nR|7(ZpN_W?@WA#QI z!rUM=1Gr0mAcz_1{I^G`0kBk`HvxPZX3##}{)bkm1kUd}iiEX>J%&}VLk5eBiY)a1 zcbq_=A*^RIjF7ebdb@gg{c{ZcYoLp?&=pIE>o+yzQG~93E-LatBC6j5z(N*i&recU zQI|E6fbSsqcmfDMXq5N1TKM111W0o8x>tV`C^PPI_~nX=i;C8(`^N$BrhD^F*?&zj zQt-TFV-sGK>z$UnOe&kgG-=~)nMR~zZgj^prv8K2`^8B7a5LDJs7>cB)7O81EHP@7 z5iIVvi=o&pN470xOBdorSrC{hx~%e4>ICZTv+TWK*=M<*^ca!=_Jln7DLG!z(hUSG z+v8*a0~*!Z50L4OvWG9hj#_WDWfuOERi!U4PkzOfR{%&Cx=@w}sUrh`$v^7Z1J0TL zqP7#s2uD}+4h+cR8{HDQLxAUQXtnj{%)BltoNq;@K?>`3FHs1|2uU?N;>8NxNJJh$ zkD|WUm$)iZR7kM0)pz9Bo{W1ce7lH*{!AFtNHs-=-^5v$ChCoz#gqAr1X~rt-4qDp zoNom4BEJS-@O=9xxqMvP>1viSv(#$^m^3J@!F|3>H;Rne!hh+#cwKfRvue3cFl9Bd zxI115UOaI;-s%f5xqHaLfVk!MNU7e>S0VxN2(v#x*LTIe?bN=BW)LvT(way-ORDo( zy;7HMr|SZ=Bo`zU3~9FvcgTU?UJ)Eqz*t}iZ8nTW3CM`FK)IkZeBtmDFZw_(08uwr zShNP%0x|s|pnMBU${YI=>H>N(d7fxlZb%w93&>eW{%-(!%DT64TpUclC}Yz%?4aOo zL+*m6BdlaU4*J0hq^zbZxj2~9v&g$m4XQ~G^`Ou& zx;k$yqOx%@0lJn?7c}iW%QGSWM&sj~4%1~#iu)yfA-gR|7Ji62dt$HMO`2nTrR`EM z*b`ZryrrUnrNis4B@mX^>ydsfNc?{mTTRxj~f*bqhxs+>JZPR6kM7HzYWck|S z9(ozv(z~$EQJyH^pHI4z-}dm!d)hscv+fohYzuYvPe`GD9~-wb6_6(Gn8H*2-FmeU zfMvCt9Zv%P9ViDdHTI};OJFmBl0@rXBB=s7b|$brG}^o}FnXd~&+%i!^Y-RW0}~YJ zq=e@DkhmLv9-prE99phXg4D=(vXZmYcE0Tbw0;iUe9^LXTs_|$#}<|Kn+jTNZh&z^ z?zeRs6Z4@6vhiZW_E+CAi7c)HGoxc9dDTc4mc+<5u2H8h|3AIQ%7NLtM{}DS*=1$O zK~NeV(0QweZ#!b{VJthh7dP-8PY+l>?d0F^1_j^^ZYka}1Py|m5uAqV$A?VEBR#C4 zOlJU_i#j>msG0^LdUdcf!Cz*tQyF68drAE@`vsof#I?0O7T5VwxYaP-c|wjnvZZG~ znJ?k+)xlO}gJBuL&rN2m8HJw_tZFc;i(w&Kohy|?R%ufgB#V$WYvM@2AII~hQ13q0 z53~}i{uQ5w$GX`ibleLxcMPv%1=>#L(=0>$zjfaAMZbT%b7K$sF+2wB|-gR5%D`;1cqD&L-DlJG6`?io^dmY_}e+ z7+seoG5p#b6bp2;EHmTQ>zlo@=7%_jj1P2enjf~$17_4x z70bg~ej+H@ulOLXN*wp;N6L+B{n1UF?T(%Nx3!3vP+T*zVdr7u;lbt|80viHuV6p9 zor#t83EPCrm2}(qHIHrv2Nya@T1ud7$ZzQZN*p~nEPZ>vvScOXI{iY zzYlSiO(gRyFv(kqglpxVm|crkY6aEkN-#-H;ac)I3e)g6d`4nI<%DYue>h<-12p8I zkbrQ66C1x&=u`XtsUL6iZfzM+hcfe!hxyR{`LeD~)S;NmN-yT=hQfi*%!N*k$gdy& znATBmM2Y!q*H7~<@J&*4QJM;FS=p#Rh+_o+z+||BRRdCtGEr&4}Sb$me0YHIwa2P3^$Pg+G_YKHTPPtA= zM$xaZ;j<6PSteZfF<^79`3M2H;+%hg0ZKsq-`wwmR+L6S=y|m*k zIs(~0*Cbv&%aiy-TA9Nr`B#zcp-+SZU1{g=(4L#t+BfVmLHgN z#w;l?eR#I%DQf2`JCryPU~^^Vj2i3P6nb>!O=2!h1GxaAqLBq-+%qyq-7rR z-j|6u$PzcZdV?IFp_8>&81M51Bb=ydwU}pCqTkKRN=m3UDdO4WPu4ZhnOXm9w}8)q)ADcQz*DmlfqM*r z0WuZVoOI_K0w1TOJEU_N{kpDeediZo$&>g!C%VQgos4S{r;^C<$7Xxp?t9xkpYV^n(ysO5m@Ca4=7+AI@%)+e@UDs$>XX?*{EG5U) zx}C5c)ZZ_DSu`ubDeO9u{M%4D_`_sy&(-f@LdU2f&ynPERdJ19QmsLGx2|;cp6oD+ zaysvoaA*8_uGyCv6s+dcbBQZm?|zVIbh~BM1~%z{=0ZzFc+!ehP^WNL8sYN){_x5X zp3C(*6##8D^P!jzEg@!vW6UMzTGnhA0b!oQl_w0N%`|Hf&+gP8x|(HhclfiJ(BGA3 z6(OzOZg|J5bK29Hy!`C+YIm@`$`7R}L)0P#5OE^o-h%`NrR%D|9M!KV){qlFj2A|+ zWij_o*qFCqUQhV_u%z8wwengnep_2x!;*Ev3X}S&v1VWQJUe4Dk5bjjyFUee#9n_q z>-3HSWifNPSezc;FSeg6?R`w?xB;=`eM}hn;PLJlY>VUF_pI^{E|&05{g>@2n4+*C zf`GP_Z?*1Oby7ChK{ek!C(Luz0d}xwHBtz$0?>6oMP}C64=`E= z1bknTh$m0yfTT?}FeA&p0V*WU($%Y(!)v`eN>1^MkV3?yfLbLTd2tl+pgIrVEaM|H z?E+wJrGu9AzMiM_SC>1nP1Vo zcO#w=@=qv>Z`vbB(yt_*9VX|3##vX3tUUWr=}oFKg|COEy0}GIR)458ogbBeV^c%N zvBO^OU*|hPuG2n^z?>pSOT@;H(K5sNqki5hjlSOA-fq(ox!WfN@v9PLF1ZWsSU;Yo z*CGFB7NC)M1FE6%`xj(i11KN=4HqZO@8F`sVgdLldQ_Cl$utR#x=jy~0Zok1zL9sULmVNGviY}D`KI9Oe`LU_5e%TbH zblh?^F?uB3Y8V5C9)7Th_nhD~sM2l=SUMTu{Y!o*LuRwr-t4zg7KurbDfx$=Pj1oo zmLZ8tyKVUgF)Py-WMd5C>Ui26U;ccvcElZZ*+6Tdw<^W`UdNv0!>x;3K?H|YWePws zc|t7sMW$*0H0S})Zvb+np35{@)&Go1I?yPn@8GSpEJ?Y(^{ueWQ!L=;wJ-PoqRMi>`o}FJDy=pHQ8_>PtC7xqZ+m*oQV$Jg!gG~L>pOWJC_0)M>)K+L?$vw} zIv~JQ11!dcDJ&{lWnpz7OFI+zSayewpQ)8~wS~aleEeR^>cG!+2mA2Gs6bK;%)r;$Qx?x<$W{e{{PYR)?rn3&-*Yb4IB==b;;sZs{&b zLFopOJaiqpOS(L?G}7JuE%~8EUF^Nr+OzJtXXc*S59af(8AR+)hl>z%AmKNzQA#ZsLz0^a@vn6k$#$9zr)# zy_Ww?&s%CWZAB4zsd{zNvHnB}0kW8!oGOHBv^6Hv>Y3Lwot^4GrUgk{-B}~ZXDmmm z-|MW4KIT8j5HlZ3NL=&#vJjfYN+sgZsVqm|sY0x^WsRPJ@+wA2ru7*5vz(im6=xx8 z0;fA>D=8!(tN?gd4L%{uP7fp;RLi`aP3Q?0ag0KGYC5XJY=b@b5o$)0znbzx#h=Ua z6W`Dzyma^GY&R`pTcT@3N17B4mu?#P6|o5J0)`Ogf^OF%4lmMhz%8Q9yj?C-EdT|d zN#uGxZo1Zb`k-TGW`@^_?_n{bTNlk0_sG7Pk<7Yf)KF1zB*i_Cv6I(!t{Vh$5)2Fs zzW6D+%E}H81WH0bH0R&qbaCM3f0uizkE6i7CFae&pR>woxXm4}i%@(9Y}1lOJXsw7AKZt=qgU5KC7 z4lz{A4M|$zql`$GoYMj}Lfk%F&kxZoUN4eY6dz4}gG2ZB_I}cx zuo>CTR)uI+e@-AKB0`n?B;t^1JFjakm~Tw_Rpmc41rwJs(TRHgnIoHkSK|z6((w#0 zPCw8qyk?L@KCXnjtXI|L<76Q^Y_va?Vasg0;D>}LPXfSufiNRLifrB6(M^Rl>`U9H*j`$b1RHqUAl;(9j;Cg(d~p zCk*>@h#46#cDL$88@yRK%)7J0*@%DaIK`o6MJXxx*4RW{_sbBxsCyRENXlL`lY!Da z|9J_a|Bs0(>d}JpC=qg(?YzM=q3;BE8A80VzMift z{kFq;(VO)MWpVSCGz_{=TUlADgz$)HF_w*Ze{+5s3jX%nKi!d~ zr9DL)oUw!cjr3jFecoo9kGiDHN|)B1+V@e`=>}5iCS<;7`&-tL@1t$^Ka_~-TA8mA zUW_!Mzt>M<5^=d{WKL3kxPK0d_^qhq&wxiwR6tDjG97qG`~TK4#RtNkBMQUNU3`V!Kq>4;SEF| z5!oAdmfG4x>FDTkp~C(tmkZ8H8Qf7kfL!CU0vOsrH$-HNlK1?@-OfGTOsQP^K!RR> z-ywjOl+;^*?dQC3_G8vWI~<|RY~Ouovi6zXqt2%=^y&fGqzup~6JFGR*qY+BVI{X9 zNsFznH+`eJGQ{$%W%l`#FFAKKYb82xowsz7_z~uoP@J7x&oU;|_-XK*AphG{F2wsY z^+)zGVUi?u;-5}79zJj8VXQru;_b{-bOYY%H|yE~hKpCrRT~enceT-L^2B`tzaqww z+Hc)8OW3-Kk!uZSV8R4^1X>Vv^)F}AG(I&hKsn-P*!X382=GZ_7t$N;=Gsqx{l6?H z&r#}0rWY0#${lBZq-SQ10QNFZ1Ik{iaJvp8hkV=l+RQOBKo>(ZgM5z##GEFs7{%G1 zzE8+f}R1U_9x& zRA8`}7A5VUAm7f*BKq4^rFzTE`XU%%? zSG@EwwP1GthP*OAt#(YG;_t>C5)jY-RI%qwBxFx8K)iaV7996VUXB{YVc0)umq;6b z{?6C%mRF?S#=~i%+e_SD@(dZW{l>!Gm}B*ge^~4)S++`;Z!}AI`)BLo(QSqiYTeIB2^K4w&-c{dCt3WY>_>b|HxVz7@=~Zrf z1D&)W^Ut4QXV>0_2z|iw!oH<&nF|2hI}QJ+0r=07G_}Vk1>4?tz^E<;#H-!!v)fEi zIbND|Q_&q5eLrMPcx$Vq_mEUmBl0tRGWJmVTvbwo>Eb?OPVatt@4Z zE)Y%EsCebjU5-!;qvXQYJXHBqOQ)pxk?hB(@H31nIU*wy*%Nd}m)yo!V{ND1tN3*} zF+xW}*FQI+{=gO^)%g`94KN2;M({$s8N+tw%PNMd(pm0wobb0q#ZZ&n^nRcXY}PW} z6`G7acxV`XyCe%04csv#)W#NSu^Oyw`5#yDIH~CDG}5xi-#vV-ZPMTd+ikroVUC6R ztujE$9sOgO{r|UKUteE){FH-6yA~jII0A0VGtq3ge`KUp4zkPqGwWG8-n-u2Aa@g6 zTxuXM>8&a`mHb2?_URM-69mD_4VP5licg7G+xb!}t|!0dXu(fkrUjSO?hj_Ir_?k^ z+u?A-KcY6+&%-JVIzfhWf7wzt1Z_&yPyx(r{U+C4CH{^0d^*nI!%M^QR-ao|7s4C* z=AJ#@=EI)5IZE&CiM$s5>3c$S?`@=E^{j zpcM+AYkTIoCX8`SW?s0QBmy|TJ?$19yNdi);Kcw#W%ZfE)9i9WJ%WXlZHvgf2eaVSbrGqi+R% z>{-r(Hrn4$h9ji)&{|RPeYV=XNf%~Q>7Nk2mVQ3=9uE#-W$)s^Hbryp87L%D5o0z8 z7z&`wl_6>e3i$lSGB4tz+o@9BH2EwMA5#MZgW#~{%(yr%V-VuFj4!)082tG2rdevg z?$5tvz}YE(LD>`9V#30*L5WWTRNC~jzm0CnRogb{|36E|wR=@5@a5XSE`Y5a-cHga zde=@N=(?u~o+e_ty*5-TLDw>XlQ6~`4Jq?Yj1!cge;_y7PPs!xl{tp zN@&Bw!z(as^PN(WrrJOPI-gCLEsbH?6Df@fN|GtT)gMJb_D4HHRQbbSznzq6c>3?Odb z0~IUL)&cRS8*~L~H~Vh!qg_3}da;E@c=&J>lsW^u_lJrgjDg-h)`ARIotiLOI!hpQ zNb2tED=*zh7FqUa76~e9@A;#8`fq4xXhbB-p4uP~T}(y>!};IPIFKAfhLs@S%);(X zZM(U^ZD3rH)8EL$yP8(3$VoeYW~hs6Bb(!sG129*XqH7~f(ZBD#DuCk_xRx@`@qOZ z8Pr8dfxq3Q{jWRLgD4_&4^f-S(a}*9x}&(kHc+m|ZNI1oscnFcRM|FJ|GzuniafpW znsydXCU=aELo`=`+CJF6W7%#dmd#>?@%t_%k?T*p;5;Kl6aT0ITlK)Vs213AoXX2v z`b``pxgJ5D6|(T}@^<@gQ{6lJMapcl@1N&gN9V%KNxvFX>dBk9Y$fAT;^?7My4J<^ zf}nTMy--&3q>p%B^FwP#*a8r?bbeAXcqbuLA|}b zt++xuj=I`TQjx^0%J5(6E?;fu8K*x8frGKOZ2Y45y|i?5EMhh8ndHs@sIqY#MWnMa zGckqV?NyIP_}%XFvd(9y>*zFc2nsgA?0+eAH=tjx7A6(e-w}PWr=#53R`9g!r4eaf%ab zF`}(^7_B)~R{Q1CPBW6UsIR-N15W?8;wJz2fq!;;PlN2kV&_H~3e4_wkiCtTPM5Ne z-wo&-(0r&kfiWTPDQ2rM%oEkW_>CY^`pJ)};(4;Bo7#K_y+&yCf3GS7_i@r6^FYtz zm#wj%xxoCzf9(5xVw@`0Je&iEpJd8pCeuA~7TKM<^WVX)zv%s$TFn~Ysd}w*&wkl1 zr~P8{$+{JVLxAnPwNlqkaw>gq5~`6-ZM-eX=&nAK^s)w(~*i=eF4fATc5D=8_V27bsmsSR6idIRj>r;ULG1XQ}% zPxBW;KHq?$Ig&OJT}`viV_rKebYf>u`=)Q%$J?KIyuy!at8?GpE7 zx~7I}fKXB9-ypufbdaY%qF8J!xgYr7TN0>5st3jOxtsfk81>q?r9*ZZd3kIe>nWRC zUr5Fp--w}grHx?X<7Xp}S?f0NraJQ88VJTCJ?KGv7#2Hsc8?E;1aDnFqlGttn-?A( z9pS0h#+Yf36cuFdSOYa>&wF18JQAGK&1u!&9LxGf&kb18(-7SZpAGf*dyv=LP6G?6 za#)c_WH-cBrlTX7hY8ynnmnzt_dx-UHDSBlanGAT1&*=9>|dH#VeODQo~;Uy>QROm zqQ*iXO|JV;{l+gvT5+to&`X!SnPUw6acFPRGtnbv2h<}Tju(f=f5}xKER2liv0>On zpJ>1#HUVxNfdQzj0D|qEB6&Ums=2`^@M#NsULX2)6`LI>)W zeB~4s6+N6>LTu;l;qNr0$S`Es5vP%Mx@>9EM0|P{(=SaA1AHXSc>FPu1xIC43@A?D z0=ki&+`Y3*h`heHQCS*bxZ}WvCOi)tRfXgEe;pqm?+FhNH~#TM5W@W$$!-U<MM9XI5;yK`1hEwikQeEJT#bXU(t@VSXxCz zMXZR=4GIPKjoM~VxQdF(bgdN}noTTWfZL3Chmsyt*IW9*$;nAk13oI1YhZTU_W0oC z3v&7=>pNESus*O04N<|lhew%({n1KF+cgDiN$EbWxoxjaaGs+IQD$X2Ewzqx01R{Z zl*&P~Fw%Y-dMjw85;Bdn%x^^SstBnawl9wlD03?t8yoIO^4tH;cFWriJZFxYo0~o4 zlGtnwv0g59f>@gJ2zAWkGE}n^XmqiTiF-9~9;>XU%f1{ekU`EH%5H&^;r;V&%C$4i z%&_Nk27h5+CN3VK6n2Lklu)j3-`V?VE8@Xc*j=}qxc*st?ROvOmN!rc9-vkXiKE|< z@^PWTxpr^6F`^M%&KAV9S((3!1Ge4kkapKf8wB$5k$XT!gZKyY?kAW1xdb--Mq0Yn z#sfc_`PRz(N`%)Kty0Cd(hk!|blVgnE4$w4xgG7q`r=CFpdJ zwQqJc=3$TelUgY)&su-cB_Xsu+S&3qLb=uW&Gj9=yk5U0J1Y8}m$4~-Yp-Am662Hy zLqsfV4!M93&^fU_9~d4sTWt2QdtIZpNK5DBtgikC@S35-Thc>{W)`!wBiXllT9W~w z8e@m53Z0`NA?d?lOjq{Z*hv}xC2goWsTFbVIh!<`DlqO-EWoyY^NfiqG>GkCc7esCOrT>0XK4pG}TUmHIIY!?CYG$~C$adh6unO=Qq9D%Ec7 zKAcuu3Mtk={i$s6T-oe+q`san=hrX9=e!e2R}sOQ?eY;-XGusU|rL>-sHx2#S} zET-?_Jbe$~q<2K##EkNyH=3%6o)9ww^9|@^PJ5hG5_R!?yuZ}PJ4MEO18{XNf{2Cu z;C=l!_l#+CM5PrXf>IbLwbs%(m4(?rZQ9JV(;Yf7fQ0JrQxaq?wfp$`FYob<;)hjY z#{EV^eQU|tBtw@>UKbe!lP5kV^K@7Ir&6~e78VwP|6@X8;?3vL%>6RGh7%=lh}&ah zW6Pbv0i6kTxNb*nCv2A8A5{y=s!dK#e$)JKw25c^*)os={j{9SN5Bc{F#0w}=8}HD zQr=!*X7AQWm5eX?4jmg+d3+j}DaQpUko4lOg)?UUX3=#%ui9;#3Pi=aS_!3L7Cdub z9t8ZpZU23}NwaUDJpwNRK|s6RXdx(p$qN{KE0#@@aRY7BcY_qR^LCnX;f?W(3KNf^ zt;lKiOXInG809S!u!@|CODj$o*v}umPq*rU?SHqOpDx-dg+fK|j*ty~uNlg23+B>S z+FAJd`3Zahdy{3!IYdRPColIn&1+Y0j>U#gRGRj=4a!OHL4iz=(s}6ZGWQzHnzJ8g zYD(RRb-7N$>G*<**{lw6qXpN{$Eo|`*E{U4LfkklGU;64!i2E&unAcWThnW5-mx+< z7440!czAf6UjEc+T4gn9qu`@Cgz0{9K@0!(jj9;R4uKtZ6DUbaD#HhD<_`@1R^I03 zg*<RcpS5xlt%myz9V-R3$~vXC0FdP>`}Bl2O4l9=sFv3 z->;XR+Z&jW^B!t{?nI>`+;v5uym!01+a^PEm;Gw24%~Ire;{?-hfGs!6&eZmX1Eg#xExelo$yyU((&%&X>8KUq%@q zZ_+vC7cS)IkRbTr-%H=6|0yUIVtiSIzTqgTgv4KGBxG`8KH z$eD#seW<-~JDOXpLHUQI4|R6>e_>x}QnD=xKE62k-}QWf&3swMC`>b4U#c9%6PZDr z*JhPkiQoW%g{DSO0_mtJqB(cKPwe4e{lf+NBZ?xn^IxA`M*t^|-?_pQj1gi0k*1-W zpmFCV#MD0hH#`Yq94PM6wADThq95YU3b(yTsQ_bNZ|}oDz7dbTiLfTM0>$9a&|gr- z`Z6y^q5@4OLKP0OnTVvlYw-e?WI>O6gY?Eq2i@wy)k(Fs$gDU{l0#<5JLZ_40;RM+ zE{kY`YyWbEFbOTW31k3(zW*jug8eJXc3uik$S*;ySX!I;gF$ucsM|E!ekC1l_;@KoPVO&yN&%{}O6syj8l|tF}dF4`M^p zkJc&Q8}?CcwZw4>uo~1hTmfX59EdpCZbspYPFfa&na6Pw`+tcdy>g>bJx=A9F`(nk+LG@GG4;PqUy|(K{FUAh*PA+^mVo(nb7N!J5N0!b49Bj;Aw_78nQp$8 zx;Qa^2PTGeA70|&|DZuk<+lDXn8>OEM~AeurJjO}7Kar8?yr?3HuEDSKj@bk`W!#; z;-n8|Z1IiofWNFU(w)3B0)@}$ zI3*FXLFkEFe5!^uNwaQX-P+$(3Y7K3izrTQZEfK`pY+4omRmIkmPXmWKyc|8B zC!^3K`UK3vldDy*kuOf$g5ZX%$5x^1l?YdV&^~$ zqNs&EjTk~xb9bIU2hoI-x2No{dVVH$%B==qG~Cs_0~{CQ!j}t^@7}z516ngruf0Km z?F9veoYsupbh@9Go?iL_Sc(E19Zr(`wQg#Ma`{#`BO9s#j!-U$WL`#+uz!$r@*jcO zCMCs+TEs#zVRJDok$Dm%V*;fgS8?%@7#9MPwr>Uv7<1r*PmrsE4Xx9GShY8ldr{YKRXX$ zt5%)fzr?d74SfsT^#|7aeb_u5`8x{^T+(L-OReCb{(YqdZIqS#>bf`MXv{5{p4*THFeIO@Vv`U|tAfO-x;0gag`BjjRpM>M~K z-8NT!K3vKxTYt7#*g}vN%C>gcD`12(z$xV{?)0as%I87(;J2ME@8My;dWIH`5Vd9^ z-A&9De~}XM-Hi3vb9^71!^0E%0GJp>u%n}MqdvpT0Um}-DXUfp2hN7j7`xbA`*u4! zj%*N>uHV}R2R}c>ElRK0^A(n|XEZ*S_bAcbxP?#%78D4z30DTP?&!1#j;1xD(Ej&w+jUt+rG?(kZl}rR zIt6>}==sRy|>e-iaI)_`#IGZ8U9V^~X|gKtPdT zXs$fkOhDg8;*ygzH<+∓5{fHAr|M(PJm23Fa#;A<)j)_4fX}9IOA79QJ_Y?t6_S2n!2)BqSzY zg!~=Nho0QzWGS5$(Z8O!9WK{AM@sdl`>n_y&mhs29kazDIxa4%B2IITEYf+8cF;hU zg|31~qk?FdcS{Aql+{0xeQ(3+IHOK!qNWmNV(wMG&GXupFcz8lY3Ik<9RuNz@rnAx4k@C#K681t{*R<*I0M(1228$UZ}hc~`KFom zV<_5t=C<*2j7e`nIPg^^6q;h>q6#kuJ%;5G-@auulXR8$STEkaJUUq_Ydx4_%yMr; z6Z_QOXUR(O{b83_5B|zxdwr#DY4P$ag&iN&GLR%>`#nBH+s=EP^S@%%qWW>@d`pK- zdU*~-B%YX%%XZCGNfi5{<^O8MHyZPFl6I@Ww>^~71Pj-jP7>7z{M1A&RJL%eR~6OA zf0KGSMG{|?N3Z^{y%yjO*-cz)HK_gftz5&0mScM}PIt6iCKk>hY{tE~Kl@h|?uqWf z=}~oBkg@vjC!Gb3xL<=OLF(NCmkya3R8eU-nVu*ga-4vvh7II|bm+V4!4 zFL_W*J^r|!+hxB5K1}H5H$fP>bjUS-r6v8+LuSkxU%}Arr(Qg;~MxX6;M5_uWr#lVN z)C$uO`I1TDB|9gXK0d{6u#P7YV4tF`;9 zqfvT8=D#*qlczoT`Bvz2LzbUG_?keC^*l8~pX1d^-yy~oa(AEGVnJn>cjw#lF1~zU zQcN3WW-z4-qiuskZT&BgP0&$&kZId79Qqiclu0&QeQrk~uMvt`O&IGaD~&XoU_Z)d zDkkK>JRn$0G{_OvVh&c!hrkTn(2W=XFin|2h(YOn6>v>tP0`2m_B!^by@8hK_dy-B zrO73wnqSs+yiYdYvD1JR$t>KMO`BJ^NZ!E}aU#eR4dl+EMbf?Rz!cw+> zqvL~F#w8*3z&xO`F^Yxdpq`wSc;029!u~PHXk#}P%EHbb^R$~71bvmB=g^D`m&2(b zuY=YY-}{lpO+Lm9C%VK9(|!9z)L)&Q5;y5CuUK_z=vho>MPdvU{XYPc2tnJLqmRW2 z$t#&r?M@9e(PKawXMw|67PYQU1y}1YV}wfg2YkMfg}w18|D=1wBboJkkDEj9PwM_v znE1J)5rqg<^(f5{N0gPIclkU;@5|gb9gSG!Jn#!n95akWWFbNV0Mg%%Y-MQ+?d!=iN}|ke5kBx>(u`wE(?tJ!?z?NaRYs``C_N=w7&% z4D4ua(BoRXa4LO7AX$YosKslyvmQIEaUwd6G%jx`|K`Pm_pNQ_x2lUQP8hsTOZ<48 zRCq3bw_rI6A)e%j+Ifl`DJ3ty8~HpjusewOefH={DM}}D;`1fGSRR#?uy#CAZzYLU z%Y^8RAGNW0dEz|8kX9R(A@lJ@U(&u0A^21pyd>4UHZ$#H=9%4xyMCLU9VEwFzmyKX z?2fwV8+cxOJa{bq4MRUvQd}t!@v){n6l2ubkbu6K*ZVy&9742fanI~<|2r-wt+jlO zedleq0SDbo=VqPnA&+<=6r~u%t8GtpsLpF$y1BqsTuCGVd0*@Z`{&Ha z&iHqSWc!Bt4aDPK4Qs&~z9)D{*|4}&NLpyKf82d${4NIF2!6bJT3klm5npuZJi@T=$G1vHrc@~WdAN=-3Xb4Qaift1atRPhp5(3Mx1l$IPnR8*++GuF)5 zlGuY{3C^8rFj!Ax)7EfZ(eg_WJgq6+{eXy(CaH4QBc+ASrl_6-B2ZXQ zu{No;RAw_B(cOK7RIsuHy`gMsx=%{s*kXq4KpMFS-31>a`x9I#M)xw_Y3cLlPn>!m zFdkbLCHPKOd*U4I=jgM{7bKpVZSsSmaaLu5JxY`d+Hhg4yi828W9LR6`k7mG%@Wva z7^(sO^iJlj=^-McHuEOm_gMyE7uo9z2JZVvSNZRyH;f(Y@SLfrtSDu}y`S z^*bvh?&n#*v}!wxbH-Zlk4v;qDK;~vvo0A6#_}RB52qj4z8#JCO=5&dJYlS@DyqYR zqiyopLA|O%aOz zXUqyEvSH8|3!=?{PQP^}?&HDBh8VhunhAo^oLRBF@C4{$;8;@x= z$ubf~*yE|x@jPfS?wJoPFWfai_YPL29dJo$Y4f5T6unAUtj?eEY>3?X{gF~fbn@OV zaqa6vrx0|~J>x0Y_iASZ@~$T^Bl9^f3#RqI_IZ}+@+>59$$^ig@350519XcYF|64B zGah?KNsS1dBsl;6`N>_Ns3#hM-V)|1Q2EEX^_~z!MfE(W+RX;nKzKJ@<3 z#o9LBXzVjrCz{zK*J?V`6GZm?jAZfl5G~zFc4N05mH0_*K2C)&SiJX2@dZE zGd+$^BFnjKW(?~NDR>`n>~3!rqMEJv42KNWH&}b;XjjBbeh;w!(=zUWj$)xO3y(s^ zDmb3+=zbiaz|#EtWuw2ZwfN{xDr;UJb3ZCs4DymL?)qc4x7BtCWfH! zhQBTznB5?l>jsQD$~t^hi4TxH9zq^0%E`Lt_uZC zUu^s1_l2vjtf!_^V2>?AB0v(1ebL3x=H`rOZzF>dUzn&aqWHUu>}{Y>)#Dm9-#?9P zW6D6AdBt|?iv_gysuDVg4;$1crY>e@=G>N6#0bOBauVHdHlZjz-R2n{SvzPPNZ~lx z*I_aTLsInpxDOR>*!|k}aSz%?%Py{CL@u5y30=SbPugI3Qt7_I{CpXUoz`|_v-vi< z?kg5F~TGNoiee0@Fo9OA?uQ!9dI>93K>)~cCla?bjNxt%wGhLelk(i9xL--3#tN#=q zVRy|@-KRQX6r|;TC}@1r4SiSMd3X^6*hF?8dZbcFMcw9{$uN-70)^17l=Hhs6&m=9 z50`uGif%a^1df_8M_n`HIH_oVX-)*0^m9U5$iL|7d0t}q@|}kAB(k4Xh>^r66h?(- z3EI9C`@HI}2Ct+R<5QIMQ-%A1TxM3rkMCzM9Jr5g5=E33mG2zfmdRbozN(nQYzyXL zK0w1`jzrT~MghLjU^AjWGv-(-hbXIWX5AkhiA5#Yd(swTJ^?jjVWaSUtCru|X1J-> zs>n<@r}p-GAaD4Z(w|F7G;TPJ_i@|hq`v@(!>?muF2#YNCi}UYHMNeqdhyx^R3Cb3 zDuu3nCDVa8d*52CB`XEWmnS}Xj$-kJho}0NE;4fZ>aEWd#$ZqW2Sxc34 zIrlF`B}B#TRp2D>U1UPElPFAQ$xjnB9&e)|%$8ySyGi1Or_CX)r|%^uwh`**aIWgI zX|g$yEG#?x5F{5E5!Kx}maB4BDT%txuSJP-gTfA-rp^DB?<{7feE*{9ZfwamFxbu` zw{ajQV^Og5EL~YrIHa25UAy_pho7ACD|CZDtcfl?Bp=!Tb395B$GJsH4n3+}b2c3N z`QFE_ND%o?OdbiQ5bJ<-N(_s=JbrB6Zz&41;+a2r-uE*=d9x{cwH$=3 zH?4q>Ne4fIa=?&j>Ua@WAk!eFOAz8*FAE^I;UCS z7UI_w2-}Z&nA{C#q(LtQ1US!$P9Prh&h|xlrcdPR53v4#x`69rXI1L(w73%qt@SxXu}^w8;dNh9 zg!c=s8Ya~UJ;o(q<=U$5>}6-0X(K42OteS_vbDsL%Iy+VMXYnxsenkVUM7=kBBElO*AhpdK zAuIO*fn{w%jV3}NtCfyT*bJ#topSdkVRstM|KpofnL>Bn&9nGT)@gxSg~|Lp{fUCl zh-J`EZt`gc)iihSziXSCk%k6dW zjW&zLBR%y9EGQFptnhTIau4wmjy1MQoUl!JmDoFD$kp z{!t-}N;nRSxYKbA@cDhreysiT6Ak28yMoam~?j(5?cY_d@jbs$Ykg~#enh5EThL5}67@-n+v(qqW{nr8Il$JDim z(<=GQ)Ioe8;Q8v9?0z~3ry{)M7NbKJQMAx$8!-L#>sQK+ICGb@-T>FAh=REI%NUUp zlthRdN#*UiV5k_an4%(2Lj!-44<`pNZ>V{u54pcV%L|K%T#RpN?~L!io?}zEVqml| z`yK5m^H>~R`^gGO(O=zYvMf=w8M$2I7t}qRBPi_q4}f^Ec{eUvL=M)Qmp8QF;=chjL49omdWCbKa z>Uf)}V1MSDx;MHQq*!0JqgIPi_DhfLD!Xkkiw?VukND5|Y=N%r#dv4smrAr;BEn`~ z;gR~Hk=c2I(MhG*Mc}l2)o}Ipu5PDK5xQ;zs(>$=m0xpCG(N1hH~(j*052OV73<=| zzVq6i;Hdq=`Fx`;cv6>#cBt;wT#b%y=XgXnuHNBDs`+Fma)D!qT;gXV3pW83)$n($ zmbRqB`^^kK#SOfqBIX$32Mz>`7EfnyuX68>A~Pkz-G5v?TZX`38pX$^E6p={l{# zw^0F9;?(cWyyt6b=u~)UYi~7=WG&uX)iPGclVHlYFNcsMb6=W29gwtg! zM_EzOq3kBpK4oifo^^71GT4?wJ~!Oqz^~!;6R^$eCPHW)KEh7jKSU}{PsVfRrL1wm z?TknJ!;!8u=mc7{-zfNE%9yI_YsFc;F%7Zcj`8d9lPLe!AMsyDgjMlHuLYrpp%;ez z7malgO;<>CuJTo3fDN|`f%$duK)lp*@tp}Pth_HW6JDc-F@#?wOp@qvESE-4*DYh6 zM_TN4EtWF94!k(May?smODi6N{x$ma^TLov<(D(p|BP~}sTP=BP&$lf+GsvxQU|RP zh-z1IIjSXv`X46b4ZzgLdStc718#&m1L!p*{vf^W+anNndsd%giT(CR0t>HE-F%85 zV}{CqeHEZT&#rAvLpD7?KiMb0@AFWuc+j&uuX};7G4&}WCBHW2{I1VQ9y~|0v{NgC z3kzRoCSRXz!&Lq|?EYMRe3L${b*j>!s#KzT2|h*b5gCMrm#)~}siLm_&aN&E{QZ#k znY|Ft+L_3J@Z6u_e*F=$aIsZe;aq{>pnO;$U-dZ!8nYi>DI9Qo&zJgDPiN2%C zDH8%uS^}aZPaXL|zr5l-p%l3Y_`F-(*=&S-eCB5@EB((-JE2~2YIQkV&+&~%N-S)W zaLD=sy1)fm1wD)!g3BfgilYO_Lrg|8;}Kan}`6yfP+eoUnvrPz8RF;0+mR%=7 zvZnzW|3)73b4Qlans>2iN2&CiQ4mU7CkjKnJaZSx#zr@VUWF$-H$EuMSgMoY!pVl* zzkXrDqBo@yJ^Xp?deh+F_;yV7zh98tJe*w68M+z(A8Yo&649#)1XAKE*M0J`dd_eW zpU3WG(U{yroR<0=xffW(Ba8xb9lG|dT^mVmLc%b$f{`KjFR$2=B6UOY@*e$LLIR$Ib%0<*gf2n1aBx`U77v>L4VO%GerTr0=Br%&}-CL+k}?H>;& zo`<^2x*1Uh=devQ5N_ov4Cc?(3L^&HDh#^IOU($-+v@Q^=c60!{BbEX?sUlY7x0G- zi9F}b(i-*3S$`Fr%dN+d)J8MCdjtPwIxdqMMdSMc8iaVxKJ6bjEowf$^vYNhr+CvnZQU9Levwj_{^yoK@5e_%lD&x~-;!jW|(3L1KPlu&;g(u}k@H%_^0AFTI_P>v_m7 z@dh%zZ`B@~d~uR-5o+Sp8iDRi`8Lp~g&^R_?jye;{lq+_arLc)AvYSlRW_g9AD8~~ z*m+wCW8ZHQ(o0W1k1R|l3)pgMemUsuM3u9gtFc^05`M2SrIP7qObjAp+Rdc&$wN?< zaq2q1_gD^K+JG&AD1EwoEkV;@`IH^D{6)ZWq5iFY(?e$X8sl-OsGeHc;rE}&Z?V_D z5M9Q`ntc76`eAp<)_uDNx`Yo}{@3`pE>#cbGT%{B%wU8Vw5Tb6?dYQ^HNOk4Y`$F- zI>_QnLCY8FZ2Z;dkU~!QNOphAQ}FY4&|S_ zL;$P^uJp*F*|s9HK~@j_fct`C`tmjz*q!-ai`!)DeTa9xJ3oouvd?av7!woIzrHMA z`T=UG!Ng+-G}S;)FSttuwD>gI%-Z=2b9m5wH9k618#PINlv754~Bw9CXliPOAR)OlG3D(sPXIiG8faF7DD9n=`wb=Se`Gm01f?oE0T-LA57avRWxxZ zGC#;ThTLMUxi-z#S~DsZ#KDr*ek7qHAYkUoNQ$c)D5}f9kz!O@r(Qfg=a0-TET5Y(tkE6OLgKRrI&YbEwDPzfwM@Qo!xHcaBp1--8-21Z9m z>)sTr5QW~zmMkKDND}sY=03JW8iLMS)hipuaW+Dtos>M#jGpZx4MZF^Vy`@Ks9X3p#AeEvzwmfxs}@zj>~(f5WNOCbQBDPP@;kJ>4;v zs0BVwm?iy+&(SOwp{K7Y`@tu{bXY{X*qXjW`&XJ-f&**lBp_qUhk zpv9@XJDsFwG~~J2r>CX=s?~VyiSW*ChGmCZ8K^EkdDq7R?L%DV!>dbCj?D15gEhSA zFQ%Y4!A&mrdMl2x@&s#4iilkRFOkB&`N!GW7cgm0(aMThv4HDSCHl(|1}<>sQZ8L7 zW&OjnfR>m0(NK%2=jZ#SM6dF~!tY+a4eAVF^i_OC4X% z2bI5(z6~rXc>{b#@9!V~c>o#VTkN(6#j>4O4GH|(@0M*4xsW_scs|u0vu_REUG6{z)$YtwUjqX+DmH7)wd3IKMDC-r zE?=t7QOPhMl!#4B8w7J;rGbRseZO`Z>Q%^>4OMesVHr2qo9$vJ@v91(3Hw+x^~xb9 zwo8`p(zyze1R>I2_x_t4x*TUOt?7 zKb|W?Ffn2Gc{~SoW06MSjF>v@Sn{I@l&OJHe)QFl@cmNoHBGq&cM^Y+Y6QRYKhUx~ z3_1tGBO^^0>Y0^ZBp}2U^W}3+x=3S`%*%Qj*yRP+umXv z>ptCe;Wc?qhh1>l-Pj;|tE~5+dm`&7f|1dVg1pDk&+k2INm3s^RJk9U+?;K91!E9| zfhsmDE9)O)3>9-369&oT@vUc>asfY^ig*D5Fy+cDJm*q3x8^&r`w~v@-@m)Yg>45u ztp+Cs0Llws;&^vY&p61aD2FmgX3%dC2cvUg5}`fE?<6a39GGe*!!Pv<9j}K9wxbvV z(Et!kM)J{QPx++m%P0^G(T8qKotH5v=7PL*2KbI z7oSen`@!(dPV*&XG+dOkg^uj85b}hAW%oi;0ESOXz9U3V_WdLNVlxzEU8=zduWTwm zusos*71`^Slv6Tal#4{+QSj|20$$cEj3Ml9z%DU>C&2$q*!U4SFOgnjWv^PPwY>_O zHCg{=`0JZd`jL*mO&?m``YhyEH|pW!B8LVTUnL)p>!5K~dgkuo%dQ0sHa8!>zMUaC z+@Oo__i68>?P1tKe}YIZ9hI(AIVbI`KZ#(d>X>mG_{gm}!IaKigu98>eTPE>f3>eMf$HZ9VS9MVq%C z=|%$RLi*xap6PS$YGDXJx&erpI#YNJqmLd2dYdyvqkDz@_u}U==jagHSwoXamNngT zyag;u)X&$BH$G-Dr`oSFSzXxB%9y+kXS98y5p=CNU#r~y&nO$#QiEwG>Zq>~>uE=~ z^UZu@N_KK$xn2~j4qg?#c>hKSOzm9Ob~_hPejdM!^t$^03*iGE{732&D5e6LNt>2+ zti~a(1zz;g_8y+Ei4XxJiIosxNWteC1|KV_?dy<*FV6qnyrAP^^K&XY49^1gIKm*Q z0zhHlSxQ*lYu@vLPkppW(NPg_l2{25j< z^xmdGMMZmUI_@+vTuuzj+@0Ao?d-AwByLz#sC?Z0cl7Es$B8Ix-r5qIQLGj%GQG7O zxc>SmS8+_Fx3=PfM$T?K=6Wqxu)XVDk&f77QQq3@j+5?-*R1jS1<+|}wmsR-NV)e_ zgcC`uga|`-c1<{#zV*l+Ao0gSrzBQ2BxcwU>K)I=*0T9IZO$)ull!>$o6sUJY)7+a zpYYmd{+dhnT|REov)gI4V4l~ed{-%5XFP=Aa`nQ?4Aj1!1KY77UL>lr}eXvC(dD|(a<~dD^LbumRB_TwJNn#~Ln2J#5+)^De zrBrL%(Sj1%$2lq) zYAc&klzuAI@dzQ#B`aNps0C(dZ6s_vvPz-)0>sz1b8$n5RAjFuEa1qA5)Pjz0YGVH zjk>DMQ$Qmmlgb+fA0Qs%T>%)=6ON1{M xAgj2A5JCi7UZ?{QLI@#*5JCtcgb>2F{|5s)g43Oa8!!L>002ovPDHLkV1jm}1nmF- From 42485904a628836744884116dc34f3c4d906c48a Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 16 Aug 2023 16:23:18 +0100 Subject: [PATCH 03/77] Nicer appendix B --- artefacts/appendix-B-Rf-and-Cf.ipynb | 46 ++++++++++++------------- artefacts/artefacts-1.ipynb | 20 +++++++---- artefacts/resources/patch-amp-5-Cp.png | Bin 0 -> 7206 bytes 3 files changed, 36 insertions(+), 30 deletions(-) create mode 100644 artefacts/resources/patch-amp-5-Cp.png diff --git a/artefacts/appendix-B-Rf-and-Cf.ipynb b/artefacts/appendix-B-Rf-and-Cf.ipynb index 8ccbd34..7664336 100644 --- a/artefacts/appendix-B-Rf-and-Cf.ipynb +++ b/artefacts/appendix-B-Rf-and-Cf.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "markdown", - "id": "e78cc9ba", + "id": "de2b8190", "metadata": {}, "source": [ "# Appendix B: Values for $R_f$ and $C_f$" @@ -10,33 +10,33 @@ }, { "cell_type": "markdown", - "id": "49df59d7", + "id": "6fac59d1", "metadata": {}, "source": [ "Some values for $R_f$ and $C_f$ are given below:\n", "\n", - "| Amplifier / Source | $R_f$ | $C_f$ |\n", - "|:------------------------------------------|------------------|---------|\n", - "| HEKA EPC 10, default gain | $500\\,M\\Omega$ | |\n", - "| HEKA EPC 10, low gain | $5\\,M\\Omega$ | |\n", - "| HEKA EPC 10, high gain | $50\\,G\\Omega$ | |\n", - "| HEKA EPC 9, default gain | $495\\,M\\Omega$ | 0.16 pF |\n", - "| HEKA EPC 9, low gain | $5\\,M\\Omega$ | |\n", - "| HEKA EPC 9, high gain | $50\\,G\\Omega$ | 0.02 pF |\n", - "| Axon HS-9A, standard | $10\\,M\\Omega$ | |\n", - "| Axon HS-9A, \"large currents\" | $1\\,M\\Omega$ | |\n", - "| Axon HS-9A, \"ion-sensitive\" | $100\\,G\\Omega$ | |\n", - "| Axon CV 203BU, whole-cell, default | $500\\,M\\Omega$ | 1 pF |\n", - "| Axon CV 203BU, whole-cell, large currents | $50\\,M\\Omega$ | 1 pF |\n", - "| Example in Levis & Rae 1992 | $50\\,G\\Omega$ | 0.1 pF |\n", - "| \"Typical\" values in Sigworth 1995 | 10-100 $G\\Omega$ | 0.1 pF |\n", - "| Weerakoon et al. 2009 | $25\\,M\\Omega$ | 0.3 pF |\n", - "| Armstrong & Chow 1987 | $10\\,M\\Omega$ | 0.5 pF |" + "| Amplifier / Source | $R_f$ | $C_f$ | $R_f C_f$ |\n", + "|:------------------------------------------|------------------|---------|-------------|\n", + "| HEKA EPC 10, default gain | 500 M$\\Omega$ | | |\n", + "| HEKA EPC 10, low gain | 5 M$\\Omega$ | | |\n", + "| HEKA EPC 10, high gain | 50 G$\\Omega$ | | |\n", + "| HEKA EPC 9, default gain | 495 M$\\Omega$ | 0.16 pF | 79.2 $\\mu$s |\n", + "| HEKA EPC 9, low gain | 5 M$\\Omega$ | | |\n", + "| HEKA EPC 9, high gain | 50 G$\\Omega$ | 0.02 pF | 1000 $\\mu$s |\n", + "| Axon HS-9A, standard | 10 M$\\Omega$ | | |\n", + "| Axon HS-9A, \"large currents\" | 1 M$\\Omega$ | | |\n", + "| Axon HS-9A, \"ion-sensitive\" | 100 G$\\Omega$ | | |\n", + "| Axon CV 203BU, whole-cell, default | 500 M$\\Omega$ | 1 pF | 500 $\\mu$s |\n", + "| Axon CV 203BU, whole-cell, large currents | 50 M$\\Omega$ | 1 pF | 50 $\\mu$s |\n", + "| Example in Levis & Rae 1992 | 50 G$\\Omega$ | 0.1 pF | 5000 $\\mu$s |\n", + "| \"Typical\" values in Sigworth 1995 | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", + "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", + "| Armstrong & Chow 1987 | 10 M$\\Omega$ | 0.5 pF | 1 $\\mu$s |" ] }, { "cell_type": "markdown", - "id": "f97d3d76", + "id": "755482ea", "metadata": {}, "source": [ "Here \n", @@ -49,7 +49,7 @@ }, { "cell_type": "markdown", - "id": "ce5e9790", + "id": "51f7d658", "metadata": {}, "source": [ "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", @@ -59,7 +59,7 @@ }, { "cell_type": "markdown", - "id": "f4a81e0e", + "id": "faf9d84b", "metadata": {}, "source": [ "$C_f$ is often called a [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance, where \"to shunt\" means to move, bypass, or divert." @@ -67,7 +67,7 @@ }, { "cell_type": "markdown", - "id": "53f4d544", + "id": "43b9e059", "metadata": {}, "source": [ "## Capacitor-feedback amplifiers\n", diff --git a/artefacts/artefacts-1.ipynb b/artefacts/artefacts-1.ipynb index 8735439..9093477 100644 --- a/artefacts/artefacts-1.ipynb +++ b/artefacts/artefacts-1.ipynb @@ -135,12 +135,12 @@ "source": [ "\n", "\n", - "_**Figure 2**: A difference amplifier buffers $V_{out}$._" + "_**Figure 3**: A difference amplifier buffers $V_{out}$._" ] }, { "cell_type": "markdown", - "id": "e4b9a1b8", + "id": "5596920f", "metadata": {}, "source": [ "For more about op amps and difference amplifiers, see [Appendix A](./appendix-A-op-amp.ipynb)." @@ -176,7 +176,9 @@ "id": "0d7e6238", "metadata": {}, "source": [ - "" + "\n", + "\n", + "_**Figure 4**: A stray capacitance exists in parallel with the feedback resistor._" ] }, { @@ -221,7 +223,7 @@ "id": "d03887fd", "metadata": {}, "source": [ - "## Adding the cell & pipette" + "## The pipette, and more parasitic capacitance" ] }, { @@ -229,14 +231,18 @@ "id": "3fc41843", "metadata": {}, "source": [ - "We'll now extend our diagram to the left, and incorporate elements representing the pipette and cell." + "We'll now extend our diagram to the left, and incorporate the first elements representing the patch clamp pipette." ] }, { "cell_type": "markdown", - "id": "d2f15e4f", + "id": "af0023d7", "metadata": {}, - "source": [] + "source": [ + "\n", + "\n", + "_**Figure 5**: The pipette, or lumped parasitic capacitance._" + ] }, { "cell_type": "markdown", diff --git a/artefacts/resources/patch-amp-5-Cp.png b/artefacts/resources/patch-amp-5-Cp.png new file mode 100644 index 0000000000000000000000000000000000000000..2f54660153269f22e069fa8b2b48c033383e0d9b GIT binary patch literal 7206 zcma)hcUV)~vvvYV69hp(s)dpRN+_XMkJJzWr9)_fgkB`{j$)x}XbFUXNUv%H0tn=w zfIuL0q=VE*mn!w<{J!u0cc1&*Ke97>?`N->wPwxCJMW5rXr#x=%*PA>09c{=+9m)1 z5JZ)UjP%s2u2&J6`p4v_Z;b>1SoHt>0WSi+6Q~b)19YqcOnngn!H@l20Kvh*V0Rx+ zr1N7x7qG9tYtE(`9{|9Qhid|8P)W@aqUIJBR4 z>--JWVMj+D-l(vd#Non&E%*0MLUCc}GIP7LKIz>)c*UrVDCBFy0cx0)K&QlcB=>=X zz)F}Q)phdb-0>ZG$}rcRRVWzqicwRKGq#B6ILAW-I6jCNXz*X@>I(dJ$T%{14>Eae z11{#yx*b!vl{22R^J1mjT4EDmYpu$O&dfjqr17AbY1w$_U@Z!lvG+phS-?`)9njcS z20-Gj{FCDxkqw3 zQSL$=P;x+m;88T|@|Mys!$QFBWpC}3h8Uv#m^?yl~>EY@kMK?J0Y^!&- z7Z)cgq_&y;>~VD`v2YlF%9@v&vhoT?S6Uhp{PuqnEk*xwM$rRab?hBPX1jCt!w21q zn8i|?&IZhudeclpRWA`TKMX!8leY}Ke4TO1w!rF>Ij{+kN2Bm<`@H&|nsP?#3q;V9 zvRN~e=r+ckh(V$ngx|jH4d6|H$o7M>*)cBM;s4E&PUVJ!2^KZR%I`x5*FIE#o+tEm zq@{WCK;V}IrnM&dvWo96o+Y`(;|Z6?ym^WP!6cDs5Qy8IOXNgXP6dI=kz8Ugz*!u zD3M7PL{RyB(@)lsj2y!9s3B13&7L>}eq%#5L)v=pE!xSWN(!*$y7k7)1;HxQ&ROD3 zytu@2Br7eX)JqcTQ(0D<M*(@p_`o9iTf!$e5g7($CjrtO%MFXgTmD zEd$`hAnb}#a~sO+IcAzaG9s^#m1u`*@j@hu=F$zbw`fYC$FWEE1DC}5TGHV0;0=)t z!=qa*^2cB53k5|5#l+nODtW^e%bdr!O)=Xm zs$(8c#Y)PEk5I=nuC#3E@$S-%72?->f_~2nkI_zET@JloX&7%>doi`|ADuAPeAh2W z&=bCqW<+giYT}Riay@H*pwci^z)c2^Sl@nv@Tn9c2suAm06;D{QJaOu2@ zsG}p4$Jag3yk;W05L6DQjl@0kPxASX8+nlQ}_r0)~^ zD)ZoxaN^p=wyL&4k=M3O=b{I?%Sj|z z*ihfiJMNhAq8CXuoDGcn>-jcWwofgMdP5nHZha6^68jYZW`ybi6ghRveck8%#(;6OL1kSO!@24EdBdbSgGQhdR-Ls@;5>=GH@CD1J01KBR~CdTEULOiN}fURp9_bWp1bO~*6S$h7PI^G6X^86 zDW$u~J?*mcgZL>umR=n-X^94!r}b+}%Xm;+!f3$ADmc71CM}EgTSWwX}U&ZLsY+$J`b+#IEac@d{LI!ONzWyZ=WuuFyXH&nuUoW7=!6 zI(#t|z3b;HZcp8} zbkc~aX{)mO_^c}p)G64Zr{MM1bU9?eUukP;y-Dg9TcqwFJWkx`&n8{t?cGJQe+DT5 zVe@S-hDS!)1q}+&;~`M>7(3E>GGU?242P9KV+8fkfL!gWhP!vN-FlG@YSOyY$5ur~ zK|xEmm;`_JDUZDdfmUp9hx+^fr$YZPV!30>CIKdEY?QI#15J|%-FTX1`|n`XjU@lj zlDeCo71p#1rXt7Hl+6j}XD35=S8^0;8G5XPTWL_{xom92<+DBa&t1DlIr*S5*5JoaD;TL`F-?-)?j$AKz$b}DtG1dEVeTS z6qCr*Q!PY0B}EBWr=2Tpy!CGWqe-;p9X8NB~%1Sx7r4^F}9{DEEnB=t^#IWp#BQfI62N;04Fw z*i^z+*V_RyES2EAQ{@a7w`iNf-&=vMY`z)3ti*lPsH2IoZXtJ)zacl?loc4M?P&kU zhTd#>dz}W9cvxNm$W`laHc|*Yh4tY?AC~>%Yg z)4Q*Jh=fZNtFmW0RqVeMB6Qsck*a{a^7W)#<*um?>kSR;&O+y*UA<4P<)doppF%zN z2vf3-K@I{&rbnrHomf_P=XJq>w+kgQb+lZa^(8;j*J^r(2X?IdA$)naqNXLg3SO^plKu6H zYGwQG=;)|yz-s^SB_3O15-;Qzwerb4au^ogNFFiIC%_a9!mSl=Zq_J5;qRo@eq%Hu z8#h``Hd*6TKc>axCjOCs^sGlEpUqESruE8f<$9-bZ96Y9f$+RkuC})JuJtDob2vPQ zmuEj1sHb8IwgG%Yx(E} zHBK|ksL@GX5Z#i}0uN8m(4BT3Q>|B5Htv@eaOr0m- zynkBvrKKer-l`~dyM0JYd^b@2m?(^5 zuEkj)w+!v<1QWR>4Oj(LJ~N~LqVU!fsC3l4wOglZE-Nd8=0>0YAz_vA)#c^Cl%R)z zn!0(plB>mFmq|hNUCPI2m-zR(>LI2&hB!406Q>x<%$LyJAygE-tqWq3SwO3VIv>&d z)cGi{V3CB2^Aqo(V#CN-d`w+Z#Maoib77`k#mnxU#eA~n!vlIZa`_;LB%!FI`FNDB zKA#JM%%hajC%GAGk?zJ`?3-L~!qVoI96TGT7+&?8D-Js8eWuK(vkTyxo|P}Xx~4`kUnAG( zh7*FVZozCQCg+pJ>=j!dM8jG{M$(~9U=j$#leoV&2Jx9vGE$nS8?Ch774u8NBt!7a zLTsajrB=Lb0)QmIF=pJDnHL%UN3i*T+MH#74%)C$@CBa=P-rY*$>?!^NYgCIg8OI0 zi=XCZlNnB!nYVIzbSJtOLLkaCB4v`Q{~B0!3{?}cbjLPi{zcLa&6b-h@YX1GPLNSy zOAF3SVqZ+U@gcO#_DHaK^iKP9BSx;JJ%>EZJuzDl4<~^CAjr60w2U*KMU_nkjabPL z1vU}RWVv~oV!xJtTREAUi^{U4d%{_JHRp(+jm&pg4|h>6D@pnGiqd5+b!E)>6sZ$+ z03t2-jSM?Bv5`nw%HpQ>lC`9YQbfA{6wUVSAk*qUFq@|e(O=NEr&i)GbU)?s>GyB z^>}~na6skfzmA-&k3OY4M3U9BhKy8`sVO+>b4~Qcc`t6LqUc~(;#ZnMHkP!WLN!nO zTn~c51ioZoOYaWP45UnPkBp4KgC-~o%60Pc@_BC5U8YKftkPd!vU={=&eWSJ&G2x2 zQ|_ar-qXTuEMIr_-;fSJ@S^&D_+iphScXKnt(l>rp-xI-0z`S5;q*c+=i*^jJS)F~ zG}YrNn&%-@kK<8SQho;|Z?s;VtL^n`#S7Sh-HoAI*=}oM?jf(ZuO>AO_0%8uUicCi*&!&BsMIxAd4=w{$4%zFohUdc0Tr-?7R7bBMBO!^t(7%3 z#_GSn3#&fJRWa|$lw4DB>(5tj3feec6SF^n&)tN+LTsq=hmc6;11Z<9^>*%ONZaj3 zOquw*l$SpK`m(4`bxW-`Pcyop5Gm42Gg`Nhu0_rEMKaDE5ucr@Reia#l zj4_Rw#f&oyDA(LnV_G>OuEcg0oPU%8PRA$@cp3wjY4A+Blzkj_O3%N%D8bgZ%+_m) z@D?W3oK6L%!tRm}T@k^-i&#WmDJ%=Dm~>sn>Aszvoq2228Cn^+T<4k>dGK{(vOaH? zv{nbprpE%Ybl5i|5m)>YFwJzQ=7aHZ66NAOF&l`3Mi7W0q}I%c*;;aGqRxA=2*v#X zBkN)o7#KJ!39nNd?eE_fhJ?R=k!1wr1}2i$8!FGjX~%2qx;ocBxfnZm4!_;%Dr@up z@gerndtDjtiO&ye<-~^A=1@h~M@%#jL7;aRC6YDPVidW#eQdD{aXzj``RegkF<{

$kV^N$IRsYXRn4R}^GLyt4W&&artOA!2xFed|CGQXnizrnA%sbo=Y+mPv3zn0|x;DO+LMjDc7(7L?n{&sg) z1KqYqnM@59n~1T5{Rv%Kw0-c~40d5d88K0)!5Z9cG;EZaeGP}603%83zC|fL8KYQb z&t3FATe;hfrAm8mAPv`u|m3^0aH zkV*DE>q!=TYV?ExYBOknQ-*>uUu-=1sd`8x9FTi3WO^x@7AJ#$A(^XXa_FW)lqa%7 zrR4UnvG8ctWKMLZnX38Ry6cj?A#mS(gikZUJ`XdZPIr`6Sp9;D%+*abOlk3r*5LmB zK6*SUKt=sKX){5!+J7!9aEHph8V$}P4!#tUX&3;}ZcA&%Wc(JuF;nEi(>6lgM&%|M zOL&S)pXf+4Wg&Sr7dA1jfi;awvo}eVc_L*D+jfr8b5|ibnaG4<3y~?n7dW=mj$3|g zH4^q>zDry~k+vS%h}s6Unch^3q#@*eu%*<*u89_r*6Xcn&eW4t`*>(wgBFz^R|kr) ziWyUz2$RR5{t4u-L_}Md;udZna$5bK9K+gouLUppCLzrvgn z;w@oRKqW4QK%j~_xT9LtD%^uL>_aX?;2A5mH)v+x?uq$jVj`=4>@=Q!v5^pJh4a+p zFlsUSbWI#G`{fr30z`7}QnJPDXYUQ28CQQ_Cg+jo{&@}w{#i`jjN}j}34tXwVX|mU zA%Dz2aXq!Z)Rb&3r-7U*qEbfRIbK-}6lj#R(wdo@SGl{n9o1o`d5~7QDGsBeZ!mTu z6n#gB{0`FSH^Hbb5^d&>XjH(M)_U^eJ9(^oaw8HO1eTz8aHav|TG79?Eu_*8oi7U%l8nGp*+Qa|~~ zhVtg8j|NDHXOoXM#8T$>lKH8wcDy$#A2`T-<7mu`&4fr{&> zb;WkK13k`h#6?A^oc}?j9LC z@=DX+8x%!#Kvj6rj4W^D^aceS725`tW_#7M3N=tZsL%HXIiSJ%zxZnfK@ulI82zp#azo%gh#sb0A=jI z68BXUTyf3`5`GoUERfDg4koR8Lvom=V5_gCJgJz{c5;K^6#slBgzJdxmTltDb~||9byfp#+qHrj@piwmxVs;DjceMl%&xCp<^z*cpV| z0ze&-e@-R$OPL?+o}Yf|YgkqnFN^IDwbNNW63RsKj#%`>HW0m_#_TF1c??&whH;6Gnz6Jahe(b~!Oz z4N&p0xYHm=xYnQ$Q3!EhG8}Y$n_6%3R!+s|5{X7}BcK`DE418KIUXN`23?{8$$$Q7)dZG_?A5m>m){z<pC@Rd$G<(J zTegiqnrd7O%WE^7iKOxrPph9$kCmuQkfo8!J(C+YE#G Date: Wed, 16 Aug 2023 18:06:17 +0100 Subject: [PATCH 04/77] Adding in Cp --- artefacts/appendix-B-Rf-and-Cf.ipynb | 14 +- artefacts/artefacts-1.ipynb | 123 ++++++++---------- .../resources/patch-amp-1-too-simple.png | Bin 4390 -> 4754 bytes .../resources/patch-amp-2-with-op-amp.png | Bin 5126 -> 5961 bytes artefacts/resources/patch-amp-3-diff-amp.png | Bin 5514 -> 5592 bytes artefacts/resources/patch-amp-4-Cf.png | Bin 6931 -> 7040 bytes artefacts/resources/patch-amp-5-Cp.png | Bin 7206 -> 7511 bytes 7 files changed, 58 insertions(+), 79 deletions(-) diff --git a/artefacts/appendix-B-Rf-and-Cf.ipynb b/artefacts/appendix-B-Rf-and-Cf.ipynb index 7664336..2722c46 100644 --- a/artefacts/appendix-B-Rf-and-Cf.ipynb +++ b/artefacts/appendix-B-Rf-and-Cf.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "markdown", - "id": "de2b8190", + "id": "44ff9bab", "metadata": {}, "source": [ "# Appendix B: Values for $R_f$ and $C_f$" @@ -10,7 +10,7 @@ }, { "cell_type": "markdown", - "id": "6fac59d1", + "id": "5c18a21f", "metadata": {}, "source": [ "Some values for $R_f$ and $C_f$ are given below:\n", @@ -31,12 +31,12 @@ "| Example in Levis & Rae 1992 | 50 G$\\Omega$ | 0.1 pF | 5000 $\\mu$s |\n", "| \"Typical\" values in Sigworth 1995 | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", - "| Armstrong & Chow 1987 | 10 M$\\Omega$ | 0.5 pF | 1 $\\mu$s |" + "| Armstrong & Chow 1987 | 10 M$\\Omega$ | 0.5 pF | 5 $\\mu$s |" ] }, { "cell_type": "markdown", - "id": "755482ea", + "id": "1ee50dbe", "metadata": {}, "source": [ "Here \n", @@ -49,7 +49,7 @@ }, { "cell_type": "markdown", - "id": "51f7d658", + "id": "179ca0ac", "metadata": {}, "source": [ "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", @@ -59,7 +59,7 @@ }, { "cell_type": "markdown", - "id": "faf9d84b", + "id": "484d7437", "metadata": {}, "source": [ "$C_f$ is often called a [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance, where \"to shunt\" means to move, bypass, or divert." @@ -67,7 +67,7 @@ }, { "cell_type": "markdown", - "id": "43b9e059", + "id": "08b69861", "metadata": {}, "source": [ "## Capacitor-feedback amplifiers\n", diff --git a/artefacts/artefacts-1.ipynb b/artefacts/artefacts-1.ipynb index 9093477..5ee7abc 100644 --- a/artefacts/artefacts-1.ipynb +++ b/artefacts/artefacts-1.ipynb @@ -33,36 +33,24 @@ "A _patch clamp amplifier_ is attached to both electrodes, and can now be used to measure and manipulate the voltage between the bath and pipette electrodes.\n" ] }, - { - "cell_type": "markdown", - "id": "5f08b890", - "metadata": {}, - "source": [ - "## Patch-clamp amplifier electronics" - ] - }, { "cell_type": "markdown", "id": "b8e573b6", "metadata": {}, "source": [ + "## Patch-clamp amplifier electronics\n", + "\n", "Now that we have access to the inside & outside of the cell, how can we control its voltage and measure the current?\n", "\n", "The answer involves a lot of electronics, but a brief outline is given below.\n", "It follows the exposition in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), but also uses [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", - "Note that the schematics given here are all simplifications in two ways: 1. They omit a lot of the extra circuitry you'd find in a real world application, and 2. They mostly omit non-ideal effects of components, which can come into play for precise measurements of small currents." - ] - }, - { - "cell_type": "markdown", - "id": "2ef02fec", - "metadata": {}, - "source": [ + "Note that the schematics given here are all simplifications in two ways: 1. They omit a lot of the extra circuitry you'd find in a real world application, and 2. They mostly omit non-ideal effects of components, which can come into play for precise measurements of small currents.\n", + "\n", "The image below (adapted from Sigworth 1995) shows a very simple schematic to measure small currents.\n", "A battery $V_c$ is attached to a pipette, and a resistor with a known resistance $R$ is introduced.\n", - "To obtain $I$, we measure the voltage drop $V_\\text{out} = IR$ across the resistor, and use\n", + "To obtain the current flowing into the patch clamp assembly, $I_\\text{in}$, we measure the voltage drop $V_\\text{out} = I_\\text{in} R$ across the resistor and use\n", "\n", - "$$ I = V_\\text{out} / R $$" + "$$ I_\\text{in} = V_\\text{out} / R $$" ] }, { @@ -80,9 +68,8 @@ "id": "80896b76", "metadata": {}, "source": [ - "By choosing a very large $R$ we get a measurable $V_\\text{out}$ for even very small $I$.\n", - "\n", - "However, it also creates a big difference between $V_c$, which we control, and the voltage over the patch clamp assembly (pipette, cell, bath etc.).\n", + "By choosing a very large $R$ we get a measurable $V_\\text{out}$ for even very small $I_\\text{in}$.\n", + "However, it also creates a big difference between $V_c$, which we control, and the voltage over the patch clamp assembly.\n", "\n", "A clever trick with an [op amp](https://en.wikipedia.org/wiki/Operational_amplifier) can get us around this:" ] @@ -104,17 +91,17 @@ "source": [ "To analyse this circuit, we use two properties of **an idealised** op-amp:\n", "\n", - "1. Connected in a negative feedback loop like above, the op amp instantaneously adjusts its output $V_o$ until the voltages at its input terminals ($+$ and $-$) are the same.\n", + "1. Connected in a negative feedback loop like above, the op amp instantaneously adjusts its output $V_o$ until the voltages at its input terminals ($V_+$ and $V_-$) are the same.\n", "2. No current flows into (or out of) the input terminals.\n", "\n", - "From the first property we get $V_- = V_c$, and the second property gives us $I = I_R$.\n", + "From the first property we get $V_- = V_c$ and the second property gives us $I_\\text{in} = I_R$.\n", "The voltage-drop across the resistor is then\n", "\n", - "$$ V_o - V_- = V_o - V_c = I_R R = I R $$\n", + "$$ V_o - V_- = V_o - V_c = I_R R = I_\\text{in} R $$\n", "\n", "And so if we can measure $V_\\text{out} \\equiv V_o - V_c$ we can use the known value of $R$ to calculate\n", "\n", - "$$I = V_\\text{out} / R$$" + "$$I_\\text{in} = V_\\text{out} / R$$" ] }, { @@ -140,37 +127,23 @@ }, { "cell_type": "markdown", - "id": "5596920f", + "id": "5597d996", "metadata": {}, "source": [ "For more about op amps and difference amplifiers, see [Appendix A](./appendix-A-op-amp.ipynb)." ] }, - { - "cell_type": "markdown", - "id": "4fde49b3", - "metadata": {}, - "source": [ - "### Stray capacitance" - ] - }, { "cell_type": "markdown", "id": "8bcc3682", "metadata": {}, "source": [ + "### Stray capacitance\n", + "\n", "We now make the schematic a bit more realistic, by adding a capacitor in parallel with the resistance.\n", "Originally, this was done to model \"stray\" or \"parasitic\" capacitance of the resistor itself (see e.g. [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4)), but the recent paper by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) describes it as a separate physical component, \"necessary to make the trans-impedance amplifier stable and to increase the bandwidth of the voltage clamp\"." ] }, - { - "cell_type": "markdown", - "id": "e976e38b", - "metadata": {}, - "source": [ - "Because the resistance and capacitance are both in the feedback path of the op amp, we will label the resistance and its capacitance with a small f, for \"feedback\":" - ] - }, { "cell_type": "markdown", "id": "0d7e6238", @@ -186,34 +159,39 @@ "id": "3e4d7069", "metadata": {}, "source": [ - "We can redo the analysis with this capacitor in place, to see how it affects $V_{out}$. \n", + "Because the resistance and capacitance are both in the feedback path of the op amp, we will label the resistance and its capacitance with a small f, for \"feedback\". We can redo the analysis with $C_f$ in place, to see how it affects $V_{out}$. \n", "\n", "Because there are now two pathways for the current to flow through we start from:\n", "\n", "\\begin{align}\n", - "I &= I_R + I_C \\\\\n", - " &= (V_o - V_-) / R_f + C_f \\frac{d}{dt}\\left(V_o - V_-\\right) \\\\\n", - " &= V_\\text{out} / R_f + C_f \\dot{V}_\\text{out} \\\\\n", - "V_\\text{out} &= I R_f - R_f C_f \\dot{V}_\\text{out}\n", + "I_\\text{in} &= I_R + I_C \\\\\n", + " &= (V_o - V_-) / R_f + C_f \\frac{d}{dt}\\left(V_o - V_-\\right) \\\\\n", + " &= V_\\text{out} / R_f + C_f \\dot{V}_\\text{out} \\\\\n", + "V_\\text{out} &= I_\\text{in} R_f - R_f C_f \\dot{V}_\\text{out}\n", + "\\end{align}\n", + "\n", + "To allow for the idea that the current we calculate is no longer equal to $I_\\text{in}$, we introduce a new symbol\n", + "\n", + "\\begin{align}\n", + "I_\\text{obs} \\equiv V_\\text{out} / R_f\n", "\\end{align}\n", "\n", - "To allow for the idea that the current we calculate is no longer equal to $I$, we introduce a new symbol $I_\\text{obs} \\equiv V_\\text{out} / R_f$.\n", - "We can differentiate and rearrange to get $\\dot{V}_\\text{out} = R \\dot{I}_\\text{obs}$, so that\n", + "We can rearrange and differentiate to get $V_\\text{out} = R_f I_\\text{obs}$ and $\\dot{V}_\\text{out} = R_f \\dot{I}_\\text{obs}$, so that\n", "\n", "\\begin{align}\n", - "V_\\text{out} &= I R_f - R_f C_f \\dot{V}_\\text{out}\n", + "V_\\text{out} &= I_\\text{in} R_f - R_f C_f \\dot{V}_\\text{out}\n", "\\end{align}\n", "divide by $R_f$ and rearrange to find\n", "\\begin{align}\n", - "I_\\text{obs} = I - R_f C_f \\dot{I}_\\text{obs}\n", + "I_\\text{obs} = I_\\text{in} - R_f C_f \\dot{I}_\\text{obs}\n", "\\end{align}\n", "and\n", "\\begin{align}\n", - "\\dot{I}_\\text{obs} &= \\frac{I - I_\\text{obs}}{R_f C_f} \\\\\n", - " &= \\frac{I - I_\\text{obs}}{\\tau_f}\n", + "\\dot{I}_\\text{obs} &= \\frac{I_\\text{in} - I_\\text{obs}}{R_f C_f}\n", + " = \\frac{I_\\text{in} - I_\\text{obs}}{\\tau_f}\n", "\\end{align}\n", "\n", - "This means that $I_\\text{obs}$ will grow when it's smaller than $I$ and shrink when it's larger: in other words it will follow $I$ with a time constant $\\tau_f = R_f C_f$.\n", + "This means that $I_\\text{obs}$ will grow when it's smaller than $I_\\text{in}$ and shrink when it's larger: in other words $I_\\text{obs}$ will follow $I_\\text{in}$ with a time constant $\\tau_f = R_f C_f$.\n", "Typical values for $R_f$ and $C_f$ are given in [Appendix B](./appendix-B-Rf-and-Cf.ipynb).\n", "For whole-cell experiments with standard gain settings, you might expect a $\\tau_f$ on the order of $80\\,{\\mu}s$ (HEKA) to $500\\,{\\mu}s$ (Axon)." ] @@ -223,20 +201,19 @@ "id": "d03887fd", "metadata": {}, "source": [ - "## The pipette, and more parasitic capacitance" - ] - }, - { - "cell_type": "markdown", - "id": "3fc41843", - "metadata": {}, - "source": [ - "We'll now extend our diagram to the left, and incorporate the first elements representing the patch clamp pipette." + "### The pipette: more stray capacitance\n", + "\n", + "We'll now extend our diagram to the left, and incorporate some elements representing the patch clamp pipette.\n", + "\n", + "First, we add in another capacitor $C_p$, representing the \"pipette capacitance\".\n", + "This is most easily thought of as representing charge build-up on (and discharge from) the pipette wall, particularly in the submerged part (see [Levis & Rae 1998](https://doi.org/10.1016/S0076-6879(98)93017-8)).\n", + "However, these are not the only capacitative effects in a patch clamp set up, so that it is better to think of $C_p$ as a lumped, _parasitic_ capacitance.\n", + "This interpretation also allows us to analyse planar and high-throughput patch experiments that don't use a pipette." ] }, { "cell_type": "markdown", - "id": "af0023d7", + "id": "68779d4f", "metadata": {}, "source": [ "\n", @@ -244,24 +221,26 @@ "_**Figure 5**: The pipette, or lumped parasitic capacitance._" ] }, - { - "cell_type": "markdown", - "id": "8886cfe7", - "metadata": {}, - "source": [] - }, { "cell_type": "markdown", "id": "b253b88a", "metadata": {}, - "source": [] + "source": [ + "In the diagram above, we have added in $C_p$, and renamed the voltage $V_-$ to $V_p$ (as we will use $V_p$ and $C_p$ together in the equations).\n", + "\n", + "Using the temporary symbol $I$ for the current flowing out on the left, we can then write\n", + "\\begin{align}\n", + "I_{in} = I + C_p \\dot{V}_p\n", + "\\end{align}\n" + ] }, { "cell_type": "markdown", "id": "eaf914be", "metadata": {}, "source": [ - "$V_p$, $C_p$, $R_s$" + "The dependence of $C_p$ on how much of the pipette is submerged means that it's value will vary from experiment to experiment, as it will depend on the exact shape of the (hand-made) pipette and the location of the cell in the bath (assuming the bath is not perfectly horizontal).\n", + "$C_p$ can also change during an experiment, for example if the level in the bath changes (due to evaporation or continuous perfusion) or when water slowly creeps up the sides of the pipette ([Thompson et al. 2001](https://doi.org/10.1016/S0006-3495(01)75752-9))." ] }, { diff --git a/artefacts/resources/patch-amp-1-too-simple.png b/artefacts/resources/patch-amp-1-too-simple.png index 67b9b5505c4d0b603b6ac4e252e93aa97907044b..f2a88da83d6ccdf0db04e0ddf95c8f98a58c913d 100644 GIT binary patch delta 4739 zcmV-}5`68ZB9bK`iBL{Q4GJ0x0000DNk~Le0003Q0000<2nGNE014?*RFNS(e-a-_ zL_t(|ob8=?cvRK-$3O4f2@)2;$d)Jy{162J5wHSP3MwK}M5#Lp*2E-ety`@NRzPtB zsVE|eB>n`%Dk8E71r;A7ihJCRg<{xT3W#M7B+T1C?u~>nlZDLOGt2!v&peqq=iIs9 z$usl4=f3Bh_lT(;2KlH+(x{fOmrY3j{)seb*O1A zrVx=&frdaaunjl@=mMkwXQ^tTL3y=8L}qfvS3`W4I>(p8$6o)>SLizIx9DhF7W@2|Sx91_PeSL?t4UEF!H;>xc%NALAh~g2?WTFsNeM40*uUIA`jYXusDNQbN3-B@UFW@8W z+ly>f%{8j(@X6!AEz<}fzq~?3CIjPvG~hK6>09|=5jj^xo^wNJr0`583K5ZhBJ!&9 zyii2)fW^Q%5xK^wxXAN2RZRgJVfW^a1Ku+$sZJ~ejuw$ifVRMVe*h6l1+D-FtLj8- zBT5c({4v0pE@_Pjp2E!CN@~<{9S{6g--~a5t?g(Ho(1R)zpa`U;!|-LfL6F91g0wT2-@D^<1uL>yJ!1w3k6M_qAx zV$D=_L9lx7b}7P$NFQvYxT~hesOpERdb9I z%k6VlM<5V*f2Xvxbg*e1bwxzF0Ii*uYbpklqX7|VjlIyfpuEg8naJ=D>|xhyvA+<4 zJ)z94S*xZN-zDwezyGM|)2Gv*K?6e)4rT7#xhz?-q`7GwVd5qr-FZF%xWk~9UqKjzSf3#`!4PBzBsE8#?mY9~2uy`gD zK~(j7;Cv_e+rGN?(T0c|1?(xGm6}M1NORy96Stl5f`}vo$9REX7f{uesyfrOj+!DO z?friLyOw2bwJd9g-|v4$M55>ZCxRp;CG|;4N?K)E)+Wocwp*4p#+icPQG0p=t38wP zI0zB3e|$dQ%RnEe$PfI{@Ap4oP?jr*NOM(PpsFW0Mad#E*0QW?jS7o#yxXN}5RuD) z{-$-fNQ$a<4U(2Ms|LN9W)adO~lia&1+6MxGV4q=}i2NoJx@y3Qg#MkL?xrt|20gfHy?sNmUJ*2uMU4VlU4h01S)QH6_6i5lciqb>?GK zEYB@1Exoy#W4mML12G2p z8}^lJtm`79mtTNgwLNIjqD4`)te~hUXazdU|@)#rjk=STd}t$N-{o%it;4EBnFT zp)%T7#(|g?5XUAXBB>&>G`T^e2Dgr$a@YNLjoe(RJ`FqXYAc|xs(xxjXcVKW=hw3R z7ex0kc5P_Gfy7}^gR@t9G@2g(e@6`+UB%2cLK)Y2eV2li&S{ zVHN66#STi90zEy0366;5JMpYU*M z)AwZAi@iKUdr#1M-(Fy-M8;;|?5C~)D(f9!i0H1t5G zN>#O<&wF@=h`azyRMjg&8H|(FZl*J5CXrbSY_6_RJR%~iMdbIUb;JUyxT4vbXq+grl;JFjL&?gPFD9-k*GzS5vS91-z}$PK_Z*qQUsaYcCa zG9I|hvW87uH)P0=Q+z((=_Ms4 zvzIMfR_kHjSU^=1*<_pGesjDXsrJB zGqSJjjnA^koAWUpI(7f`5R}R96fs&vl0{@J_U7(gfjhB-!66OCIp=w*np>}4z352+ z7iL7{DQE3WB61_p!L*2YAm(qEM5L97Z)yGHo?&L zkuQKdRP~>RrTj>YZ|LU-=+Lpt?|>18wbY70Ai#s;?qbJ|ZTw|S4n2Dx2p`l>Zpf^K zU(>MB!SljYm1PTO@#Mr?*|F`Hq^qvUB8HYxUT2a3Ci4XF1~AUF z5Cc4fJpf=7e^p%yEH$m8F2w9Snek)pV9BC+Tz%bL481h#kow97^WCa1nK&+suReQ^ zlRKZngt?39-t%;~n5{r?_NGD{D?0;FQja8f5u?$V7uXZE8}f1}0#JU=s^X-_;#zjH4pNMWed7;(-R?5v3EO$&*2Trru_ z(o)`7`YLl?n9193zRvF5wwYL#MM|@jUmxvGI-Zh}5=!=z@bjk4d|UJ-^Iv`efTW}( z`kc{^e^XC8ovDva;H1ty8U4@rX#=i%H|MSy%io&KWqE2OoWs*2lGR<0Hol zMZou_MVLTUPd2R~UWlm4s46f0Vo~H>kqo$C0R0B`=akdB(f*|NRJWt) z4;y~qvyVQd;I#r4%~`;Tg5@-Cc`T1S^(y5e1U-ws00LTDIbeoEcnl zMNEa9qJX>N%&RGQ^EKMEZ_D4Gnal;34JElj za;@Gct#uk{tJe)=KhB#)AXp6 ze{g-QMB`}^H}IQ76t2RKMQUU+&(F+f_KfK)ULJA{)It38;}2Xsq>3 zyb*sGVjwNkTC(W*>hi|$7#Lle9i=C z0Ot19Hq91bZ?&yFs-;FIvv+R^J9gBpf7e{PZy(orno7*R3Oe&m& z{dQ^AvrqH!hwt&wQx8YPV9N0)?dPPfFtGcXeEan$^y<@>$8(J8^aP$m$!{5r4h?jJV-ad_EtYyLR&oCj9)91YL{PW>k~48Tcr6tFu}A4L#zgNm=+Nagw)wwW_W%s4pB?K$}1yaNmzV{@4civs3;$@RKoBaYWdI zCnM8Gv2^hQW6{$<4p3DmnN=7+_yP9p+3nxF zDYR+t+_9bgWo1;=rkqZlyV9fQ>Euqz<*Y&H&@?4-DR7rBeuJXVzv23uZfE=U|GK)A zZ`aPm8+gde%i9VJf6vIsIMuSOJm9#gQ>Sh)t?O_YHEPr^z&2H#DI#}Hn>KCtv}w~! z%Zf{6$dDmhfupKww07-Uii?Zs-@m_0vSI_vvdToH)x3H0em1Se1X)>GgL89p7n{~` zIAmpIbpf{J=H_~HVIqtS9z3|SWm$cyYE)QQ*l*LOP5sV0fA74pHGN}M6%mPeW-+(U z6ATggeE$6TADB3|hexa+HOa2%Yr7etRkJd^Qoo9V#WB2sa&*$57^7b7BVMdaqNDD#L2p2>K) zimHAKe2Cq9K}6aDJ%QPW`IbGLhewnmKx^QJYT9*o9(mQYD^%4v;SlB#zlcaWaJ{Pb z5Rq}fiK=?JQ`a7t1hfU#0=KB@8WEWUysWA#owZY6e*>pt8&(TolBzyx;Ny5ygCA%} z^;ZHP@MR6!C1gHWk6QC0Fj+)80#^aq73y9E?p4(}B611viin&7{2x$pRI072?iG>y zfuWwkL@$1)`#Qk15Rb$`RdDg){}&&#(PZ5VlZ9^s;Ls)zc(9kqOq z$$)1v9<@SM-xHA(U}uHmbwGU)>87fmiO6Z#-^pU^Tk($Aw^M^E9J2?AeBvUH!=^@S zmpnY&LPYulQ?Yk&JQ_PdTp%JnuwyxUfC8YeS*mswku>ZCQy-}6wWf4?gr-W95s}{k z!S#nAVIC1fM4G7Twjkw}swV2zxiLv~CKD>x%y3nGK4PjoJUrrt{{dc&{pT}` Rj`RQk002ovPDHLkV1hNQCky}p delta 4372 zcmV+v5$o=fC8i=FiBL{Q4GJ0x0000DNk~Le0003V0000_2nGNE06lD~Fp(iVe-N`t zL_t(|obBCtloM6H!0~TYg@y(NdI4!bT3e8%*+hg9o*ODCDB^+$2r6P4aAm;3b!hOR zD2$?j3bLqA#SNE1#szWf_Z&xLr&&jyAj%?OBU>-2-1*}sbazN6y(Cph>VD42@pM%s z^@}9G+`4t|?}DNzilQirq9}@@e<(^^X$LF=GJ@<&2UY;BER84z=ynI7gp~rg><+?W zrvh1J9-V#vO|mnnYTArUEQ5mV8v@h;wpkjHqJ&Wk5Wt0Y2V$|?029~?{OEfe295x3 z8{?|3NywH>pcTM<7RRC}VL3VR{1mWF2Oa_nfi$ZFs-e>X5Aw3huN_bXe>AcsBAcm8 zEhS!ffj5ELKnt5=sj8oWFM*rNY#R=I0Q_c4L^e~2M~N4yz@L$qUt4UBr8?#V!vGEW zy&K}&=BFY1vC^#%O;O5o@}-su9P~Z@Kwhfk0q5EnS4|`#TQ}zey^*aT!?&+7@ICMk zU^OtYg4cKu=n&m7t)W^xe@d*{3tZrPOhkTZrvNMM45|i-fj5A`l(u$E-@XTdPk_Ea z4`gx)b_Z`PkRA0%6~}XOi|40+ZR3$GpZ1nV6FHrbEuH;9W|{4IRPNt+ALaXr;+AUh zDDmQHU@hso1f13+<*P`>!2R^pwT!E&zT&{NE53`mKLYSsG9@uVoEH$K2{!Z92 zy8;Oz8QE852JTRaC%Oue1L4Yc6q?9!99Es*7Io{^y)rvH`$Hoqoy)C|@5TR!1q~?w|F^=d$l>yPY>q`yY^M^BwUz^avO9>XaJgK) z48!Sb! zDrx~&Q(DR(;hCm6LDRIrR&iHd*C%?t-jL&wgb=aZGP(>|`=&xdh{-`V4+O?wU-7O` zgHMN87DdIv4jlATiKlW>fp>tO#O7_ws1QQb7b0i_e{C#bhV%;|>PIE0$ash#w|L9) zdc6%R*fkaVT6@VM_RX*?ii%bG&YF`GPcP&M%h_R$YY8kte%RXLpm|d+m+NUE#Mpq% z9@8{C1OE>7TwT{!0p~<5xSHujn7TfOVJrcz3fNp=nr3t0XtV+gV_%4Uu`qwF0hJse z>(tKWe>TWLd=r3Bzs#2*E5q#o{tE{s9RQEV6Sz8pM+h;FFi9s7n5KCza&-&8qreF4 zOF9X~i7lQq;P1%yel@ZdN-%dITSE(xWeXCzGqnPTOw;V4>$+PAafL7GIAsl}?WSpV z(sg~V5Tct9;u2t&-9g2%XDRc;?X<*`gly?#e*xDa3o`{WkRw~iA=f+#cPWA{RM^W=;kBvw(c$uX-DcVu}|x ze-XN4^CU@0Nh>Hnq(7D(Rhcr;7ugpW3(8JGXbj9P^N0n3QzoVZU4tDMixbPD;YQ%i z)0$?k+xzQ|uAJOo(-S$ea;@)JRl1_QbYx=wz6#IjNa&7xJFTYjox}~1<5PZ(UO1Ju zkJz4c>A$PhmH1r^Bw~7MnpV^zt4+x#f8P~&zR4H22J9b!TuOEhsybjr+LL&7jw|aC zWGU8I5bG5P16w?fb*83i`x>OAm%RJ=4w08HL|(q|=4}vnB3pa8Knbzal#W%G61-2$ z2^s(yb_d}T>xrixa;cuP?GD6F^)*e~P%EjnIcL##{-h)F@`df8v{b@z)^F?+I)`Uax*b=;GB{zkdBv1`Zq;Y939z z%RpXkIZ-n5aw`@jUW=!8?blKu*7FM=*FqX@BVQkKf|n5xwYf@l`y# z@CzEIHUdC{NqHN^RNr9@ks~a>0h$6iz(v5OicZ$2PoETB*AEsI6~#((e>@uMBQH6f zXdL#{txi~pcByq8Fs0ME7kI}_d;tI<1Pf;8GI_#KZW}X^36tkht5(1wje-ed#!r6- zSdYA2xfnT?XD)G6KPQUL00!9|giYoG!|e_t(InEy<#RPnf2~=Iv&0j#J~aHvW%ji3 zEP3}Orq2A3^DjENANjYvf4?w&QcmHwUoX7@x$;0C;4@1jkA0u|9!}H|d8s|q?m!Yr zxy0jg)k@lZNc?0|EYqz4#AKtzTM$YQUgT^u6{rvRbt-_Z-vo z@ZKA3<$Qj3!N+e>aKDyNO8yfX9KD zTdu|e>BtseENBB9u{(%JD3^F-bxSgBiKLp2968Le8?WZjp#q*=u$<;CT9(`2JoDtP ziEW!!GcDK6rVT%EUiTi_Q#0n!rd|6}k#n`G#9yG#&|QhtdJMFR;A@#X!P{834q7+| z?G7MPZ2z(p6&A8>f9odp?%B=31N%96U_S@;|AxQvU3#O&q&I3zR?D+#)2=+yIhSL#OBe|0)`K9^pXU&-}14rTtF znSA!~5^leHGQF?9IplNy@2e%um~t0;e%;CCS6s!D&%8iJ)8>(QJ5G>|EO+|4-GSKP zRb&N9C4wwVJYKJt58hqGtQk+TDStfxZ924N@W`RGKc_tz%`!+$PbK}dMx-@L!&6d% z5K!=Y0sD6Ee`VXIZRD@nK;GwhJpJTko_Xpqgb-YM|9=+KxBqokz3oJ?1m}MFGLb_Gg4J$Ps>zbX^Y5*jxjSb#YgVnGd1iAaOnH#& zZ@z(MEt-XWZiAEtWM*Y@Ue9hPwr$DZ!mz%>NlQPSe}^A`1>e#u<#@ebK3Y7F=cnIK zQQz(k;1xC0YV7V>>Buse`MQl-RGc>FkzK5!rR-TyGn znzyLbbMn4k&TWGSAn=m=%w%pIa~rjiYBmYHb#`mM+VmAWw;jdnJ$bQ!AGfY!T5b;c zYriAAe?w=cKR1`oUCyh~IUQ~@Fw5>BZ1Rflp+r!XdR2={ih1qjxg6MExn+emtXs|S zL06O7D1}c}F5`~-+%--*es$|6)2358t+J1C?C1diiVBajV0JEd+;|?_x31&iNmKds z>mO9oskvgDTccBd9@2!xQILBB}t`80vsA zW5&eoIE65=-v@kTRV+>swZzk)VG0EYf2~ab2lvaVEGemJmY&xEE<~<3b`rPS-NEg4 zuPZ4jxz^&CBE~e$jc&Jl+`xeYjUc<1A!{@#5frt=b5^U?tY5YM#GETDtX;7hfL5(T z6%16W;caALv=c^;9-ZQLyI)6sF*^t$;~|8z3hq=U%VZd%vb> z8A1phK-cw$+-~>nb_Q7k8ORc+t#n;~H76%$tzj5LpMU=OJ-`J(FDkChtyDwQ63>7e z2J`r&2U$34J~Li^E?TEKVa@lee_69)4dWlojrzG9=wFms!r1HeeywTRP)*b70x1AO zh%Ly%_;E+mv<}F`BY;97#InYX8}Ik+o`rKqZAVSi5>Jziru4sV5bwY77GwT$7iYJN z?ovBXJ~EX$$;k{KF)FI(QQ)PQURncm%gM>P8ORkvoFRl*Ja68-SM83ie>&W5_pN|O z2=R4NQqri|vu7W$JElZeF7bFgp5$kqsCaF9|DyM=FMNUJO~S8n)~sn0x^?Tu%-k8A z)w*TaV$Pg7uZwnq+U|( z+C|asc`Pn2PBILmP}4MTlus2*QJp$ij z`uv@aT+2&IP%7~#cBl{R2CfLQuQBi=5Z${Gimg=QQS5L4ISpZGe~^7ckcG#7urwki zrl~$2B~tnVM}fe3QY-NdR8$l{m3Wj$(UG&>%PtR+4HP05(W{O#kplsh7^g0^6uWql zOUIPG+`0u>@o#_7XN0$$lUq zz+hzZ@yh`EBWpf?e}MeVH3d2WAN!8!;oF`LGz9Jd{st69KYS%pwjwX3BJog^%2*EE z1N1@O&GL^m?FjsYTuAUyU>lGITtaEm0hj@dLSFvw2W}_ykEap?0$V(nqW;koYb^jq zBNNL4N`JIQ1J6)e3#Sn<68OG?*I5Z1Ll&ejiB_;mWCSLjf1hoMLUFS9kjbSTvX$eP zMfq~8n~;}Sfr&@;Q^uiCv(w)OE~~<8ZAUJHq9|2y9C`b^4zR1t_T9+4RDLaiUy-eu zvK`2ol$K=`l$n31#I8_@XD0AY6<#ZzXD2K6S`=j4Ead0!FtXG524o4=!$1e%e&6;E zl=k`T0sb2pY7hJo-H?@4fu5)&NG|<0DuQ( zVr0b}_n8g<4;!=J`cyu{960<pg!rCBH!TT)N&F06_RV%;<`BXx`d%SoW!f+OA*g@7>wJH%=Ix{021t< ztdeGQ63-bROefT`_n(AaQB%seCu<`M~o~NA_e3AhAq8M;RE$O#_o%tz$4xqnmz)BU{7^`i}aeOAI}RN&X66M}L5JYy0--J6NPZpn7I0q+c zkJYtw_1D6Ew7wIQ@GYan!>pMFYWB6jCK?WBI(VsK3&BT;9_f`QB+!1nmc#smX z7-Tqa+6zeqhqYYNAdErzWGq;t#9c2bp8usuzDQdENP7)xFmK0Wn z*s`^Bn?1!=vU$cN$*c*YE-7hK$ME2|LPazMv128eKO?^U5Rnu(r^~XQL;f8*IGiE9 zPOX%YhtM2mC5Z_ZkvME?uKnz}qcU)Vf{bLS7b}b%kdDU1z=_2e9pQT;2!ujXm3S7Q zt(kHa^W`KDM(FK3WTgrLuIfEjYe?y_r|Zm0yNFfk-?$Q#^81UFck`QnCjB{n;94?d zg4v=tx!H@e$qm`J0)54usH{>R;MZALeKC~MfEz;!rS#*^sT?H7k}=((BP>lf%imZ# zR@TG$bua1Y?(?+_DVTIj<+G?Id;V#UI_}UxB>Ny1Le^&Xt?FG%v4m%4Y=Erb( zdn+zn7!I=SHSZ%PO`E-zDSp0GX6Ij5-zXM^ zW__V>Zh_byutSPsZY9X31#t*=5hvR!aET3-tL)~U56H*-j&Wz=#bwq+qf%aMMb2u? zf;E?C>5twPz3WX6g5-KN8EAyQrA57+yr3)YOwh)uF9V3jN-8=(;iXP@eHg zlG8xqI&TYLmH%iqNmeW!CEY}6RTw%u6-l%tt)@vWs*1g1f0RQmITs?jk%q0^j(?wY zMGP15kC*P)OpNX`-<43I~$X?3Eb6P`xT5=~etgl^eqW7^SSBh|^+0Yj@;N?IVA*`vG#n{;loOIo&^AY=o-3 zV3W8ot8w=NcN5N7?UKJ;nx-?Km^l5lZgQ}}UNL{<=}UZhC;m96A(5=NIgQ(z6mNSX z%Ad6*%ZyZC18u)a$~*xL=h{;mq9!|^p9=v41_dmDk$@le_A|dA!~ry&R$N+~x9I9R%)h`Q#iP z=Q%2`2I~SDu+uLRs#*KWVmi#r1Y^=ktD5ID{?XFaTQ~`AmdF1wO)eCTnU2v-NEyr5 zBqYuE_tsuZO4K9qI9YCWePzvdK`aSr)jxjmfn$iiD0=TfW6@7CXxXXi6Q@_OYdELk zIhucgVQyALaqiB0vay8DeCXM5m16Xqxl)4;-p&+~ETxm8sEwhRmyGCs!Nn_BC~C{* zn}2J)ev;=~;WPY{=jz4btES+4qM|`V#^51>`(VS!owsdmul5;BSsiu)wgowk)E~Od zc+RXcxKtB8EDbyDyi7G6@+iT5p@grCqt1AdUg_sD#k+8E3ilKx95o53n0cAC>)(dV z?lx>zYF2$~k9?pAYenypNS0|3>v9x>v?>BspfzX7h_ki8f<}7dG59_Q`dxen&YvqL zmdK9r&G_Ik71r2c_D8a*a4n`ehJ+4+_^aLk+gquof6(3tFR=3foXA5*Yd(^)G0l-c z3HW$le~o;#w}8oka+&6X7Dj}dG#JOOsDc?Y{1C=Ty6IoE5~C;O>O@l)*`aMV*E_mN z{Wzofi!*F-z}%D5_q13~n+3IBwsn#67xm{DYCWN!K>v9xG?c9K8|bV;D9`yN;UH)u zU?H3Rz+PS8_~l~jZY9?XGke%b%kJ>_9g?Su_7Lr>+r3svLC1`2QE7$m{7$=Jx0QH^ ziB*bR)q{qsZ}Y}YH=Q?sa(*NU92%}*dsdRG$-_gQ>&4Yr4T z&Ayku_Waqr?`I>0B&k6RSuxnFNFJ@g0h#99qD?h2Vgr^iYM0g@K`pXX&^~o!S-RTy zY)wC>Dftf*?{2lrfE*A6(k6oreJF)rDi<6LkQR2X6eI`N)ZCA>*M8 zD?$!6AuXuJl%U@%(OGIzbfY{kUggb28t;@mtsY~#t&pB)9}f>Q!0?mN}6ijQ)b3e(PF zq6RMJM@2Xw`_=V+eG*)mtoO5>#eW?&3tK_h#0mz908eQR zcSmjeibhr=eTYdd$=qUEDl^pwssT$2y-XuSCn6aAgyu(@v%5@ltHaX3ABkudhIo0j zG`++98veI~%>kqJxRiTX_4_VQg)$GE~EWOR&ujcwrJ_E@@Uk;dLgwQCoT zY~bFHct)?n`wH3$Q_&s!wlLeM-ZfF{xRK3Wy;FA>wN1?5=ymk*k!Zvf z3L|T}@}ilP)M6A&pThQ}%OPhXcZc3yPo*0R{(ggU69U(8PwkHPsR6Nazw2?l;=L_ljPrp_2V?UC7O_hW&dqqNkRz6n68A^V+mGxb>$!7JrRGE4Hm#TA!HOT z)L0BMc=2tLBn)&15J(j_y5<4dfrJ|Qj2bIX8e8i7}NJ#hX>nlo0{&fw=hy- zE-|rDnQ+C5woAa3bT1Y}o#`;nD0<3LAo#s{*XtjvoeM_BT6|h#Bnp>gj5Cyw3RyE# zyS$TL^~w^`>V|+ay!~3yZOPQ#%))rIpJ@}XmXMaFLOT@ANC+uk0?eoqEIVq%$2S@} zJ|lJ>exENj($q?Z=+L7FNQ<$-+b4WMWoRKH*@?RL92?N5SnvOl6mN8fQTf@0~VZCsx~n?rZeGEMGgCu zEP&9zDu+n{;CEa%L#W$h&P!hn`D&Y*bS~{v(@TbFTNFfy5B8K6Vn=O5W22q8-oN^! zY8fz_il|f3du3t`s2pM)R~j756b|?ma z^fRo5r|*5l4z_SIA*64xuV@(bn@&S&KkJ{8gW zzWqq&2^&vu(0WN+H#g-0#?+(c3A!}^fHjrG;vJGMak+AC46 z{TJL2{qj}AO{Z;yw)R26Y!o)-54BJ4)mS#a`=1^O+(_>*J2NMg6Wc{6S*Rpr-LN##k zeER3sdx+b7-^^BCM0%89E!?MFznlLFa9NUC)RZ`#pX)5@y(CElz-+LLMM{sENpbjk zb(aLKOENk_-QUB|u=9%3ti@O+tMxyhdzF88WY?@ve@x6}Mpacp9}+xA&)=@Nqf4od z*bf}0sr6+l_E99Jo78=F7WAgWA1qFlAS-X)Hs-zXptIz1j(5jXj_0D9zOA9Vbg%ZZ zh!G1-x6v{l=X{Kawxn)|_oLA=8xNNV=9xANI#r9D@23*QTrO;wa5ynYym?K|=bpL9sFm=)4ZEtlq zIG1eJADLEoNRULWQzCKr!&Sndm5t43r|`Afp|s^4D0iM$ za^Qu{JBm&%**Q69!l`N3WoQ{+TkRjE$09`+-u^UZn#>H%(<7;u4j!`jS7O(eSm_fv zs7;WFUZ_gs@16c;?_YDDpPy0hm5AEASH#Tuh>#|5y@IgvCWtm#4sLz4eOLLC&xG0l z6SSyzQJAo#-R#f-Z^jhRsCm#M;OXVnxJwC#6EN*~ z$)k;Uw%LgwokNJSKN!4DW&Ob1wX(93t?qTZI6PI2;66Xlm!ZjAxcGMuGjeaW?ox86 zTU&{at1apE!^1^prRC+WizIBJRq6hla09`A;{`dtSW@+Fg&m=$xt>Y;<(Vwrg*IHo zL`QK@Fav${q*~>SjEwEeEH}|CtDu$@RwpfpJ@qnpmJQZRST!YJgNR_>p(t>#_^rj4xP*D}1i4Q`5ku*fVNvTvIltrtLL{AR_`KwnYsjCLSash*& zX7^Ygtq#)Bo#i~7f;wcnr+*bW8}glAlh6Cjozr1>Oh#Xt76wDXR$jWR8`{+qfhQaw zOt7kJQpWL9RJOFe@D=7-{P<1GPWp$+!n-hem?0$6&T5yx9HVw;_zI>eZd?|_o33`l zYKec+VfZY}Iqie-GoOE}}4Bz}w2+sz2F?v4%$ zH8_Oua8>7QwC!So%%9=TmDU$dL&PQTm%vsOJZxbz+|}uj$eY6h7&vFOAY@I-Lk?CF zH_ma-F;XU(yV@19ChyTzF|)5JvnE}dRjB;o=>3<4^E4^GVo)cQJ;+F$Fd`X?RWb%Dr@Al~W$;tT%uQ}?GkelodT?6}6g!>+hBM}fNI(HE9XpCvc- z<*CseLzfrOKMqe){AM&IihYLBXj3#&$*SwV^;H?M3JFSi2#!cE34fpa1OcL$npH|mIFg# ztxtLxvZ%;0Xfr}480ZAt(InJE9C_5T$!^Jd(d;fje{KKBTkP~aul0#FqZGtWTcID2 z4|bRJ!o9VChiyyk@*e6Ne9Hc|@ClzZmZzc?^h|4!YjZfH_C%lRK3f=CcdWtaR3j1} z@PAUE|H+DK%e}@RHh^fa&*yi5!azlwj%SPI(&|L+PNeKOlFAEiVq9;8PAJ!yHO=k^~NF@@12`{^)!-qbMmY%=Dt%%TGc6I4#~A_|L!4RBdFjIG>WoO9?gF_%LPEMyhJ+u{lt z=(jn)FoUGk?z-NtMlDE9H(H69(jVG3$UQI6hZ@6~H57(ys>?)*Ysn54KoA;j-W!lU)OW)bIx;L*SVi_KA&?x_nlyU@tgp!3@-ox5WrzE zmjD1Dh|_-qQ%_Y!7JK&aA&Z4ml03e!y!RB#XkXs&g#pF6X1UCiA!5g8mgOGu z7D-$+rA_ze{TS1*D#FpnkKlb92HVf=^#3^NB*^3JmO4C)ci;+802+^Kye%Ma7zvA* z914fNiQM1pqIPKjONR)f0Oh-Tcd>G4`CR{j6?_k#6&Z@jl07@b7V6hNh@rWacB9c3XeKM#=T^b37J2bE+)IB3tOW)kcTAE@2W66!o3jDF~fdd*wN$sBAAH_iOkcqu;^L?xiug?%6 zTwzIFr)$GoDdcaAphEmYvH+NIj^7)wzv#0gx2f7A8m{@aFai61A=&Xlwcd;gruB}X zj`SR06j(3fYk*pqh9FE*3?w#1dyuTpemfxiT;KW;sMETS12r~8-tsH=7 z60&i-!v}$wm{5%#sSsh{zDLxDXR{*} z&2d+3(o2P~u40}2Ye7|4ASaf&!+Jp4Twghw^2a$hV`8mZpk3o$1L?t8jvI+=O?J@L zEM_syZSwSve0qd@PCo7QlIDDgiZ1;w`;is7)Vnc2yQT8k=%SmP$gSvP8M)NVk)7Ma zo`6iWuhA19W*?8=0aw3^r1qAN7BSrpJ*ZEYmYO6q>YvE@i}N;x!TjN`OS&>3qKW zUwy<3Tow#)vDr|&ds$!3AGiJ=YXjIsPn01 zXUV?$v5#wv?-7t-nfbz$srsJ=7kjP*Fobm#={6$i#NV@8ZJHD>G7=R3-p|3?>2_&f zDcVofMoA)RO$r@la5i30K<#eUc*Hcq>Gp4BSbKsimBLGT$4XFt66KwyanEEvFLE)# zgIZo*zWMu8bgCgX>-+#o0NvVUEjxwAC?!36fA>{$S5CJ(iE{8!1vtdNn^m%(Oq-3% zMOs6nxb47upk!>6c$*R8l*I~t`6hiY&|YWK{qA*;eng&C>n}5d1on|$38a!r%uVlT zPeSET(l4BX|1n~w>#N+kAyp6f^Y(6fz(&e$bWu9%Mb8!2jmuG7b5Bpn)(VVxy#~vY zad_o$o)ZstwXIW>ALYNNzarKI%Vv4Y&>~QkpvBh8P!>=NQrRA-Srf_*NYln%fV8s@ z1_GijPMqXnMN3`W5j8DAT8Q=OCHBcz?43Fnxj#l;$e%JEVI?9g&Q4nR>gX?K+7+4{4a)hh(dI_U=U6J`j2M{R>Z(l_x>3yYksA)^AC8{ zg+G~=tlv)iwjOFVg38vm5`1~nC;G-_Bz|k(QfJbHKzO1Zwr;}`0pdjrWX=PGfD<1q zhIB@_FEGumoj!irx&lgu?c=Xr%|7XM^JlG2iVS(}?wyoSJT8NK{?dZUpW{KD%8wpE z+Ayf}voQa^kF9xbqOb`+KR>c1Nca1C9X{;9(3%l`KR@UYkla5wco{%KrFW$L{rmTg zriO;RkYVMuQcMrmz`%grl`Bw$`NbC%6%Ar@50oX67DSUiEDQ{Ztz%dohh_OT3K1Sfwscm$c($)6e#D_6cZDp?(O-k`Vwm9X)ZInAhzRpueaV2 zndB6%K)Gq3_8?EQ;@(ou%8k5+49=*dr{637+jS->TFTnH=b^x)lf@hTMAHobvg^2GKGrf@(f;~C4E9-#8 zP&qGnxuDW#j<3!4;fgck4LcoJr7D@6yS6*FRn+lXN1dP_7^gdXYaL}a#k^#nlqs!d z&kcB|h6TjY&d#p2<*l2 zZa<>-bI{X|tkrRpv{iz_y~M;sJk6O>4(>FxfT(9#BmM!0{rvg!u-O2`T}L@aZ}~Em zi;JuMg<;x-5=@%;@~rdP>_B>k6`H^?&{75=#l*#JY;B8YO^#)WP^{`nP@aM2bklZ~ zA`JTt1q@ZW41H-GssOY_!Avv-poi87e%nw5QyUcQagCwD&xf|07YZ$T zu*%lOtNp$0M&p^UuDzq~?(UAEC5||a-cSHT1>N^|w-N@LD@>xx54_VcE{%P?mP}G^ z)B=$CVOcd6D&*Pq^kf~$CMYN<=kwG+GmGw~$T{vUJ_)Vbk3mm$UFj?(XM0sQ@u3PG z)tF4jaKFM0+-s)>DSiKI-;0(j>uG6QE7L~0o?qD6H!DwWe?HQ54bDMRGxdAdLqBY* z`1;w{2R|2#q)y!qkq?ZWGB^OF2pFE|JY@lquB6e@B(F#Hz9=hmPCd0QHEYnt%hqlg z3DW`18R32j7l3AdI_MovZZ?mmN`s7HAy&;q6szJ#YJkVq0P_yf-Ge$gTqd}Ge1-+0 z!HqE6{#1_)vMXEnG}wa1b-ur|QdJ!+$VGhSY-Gb$V{a>c-UfuZ?vy(|gjHdwvuuxf z%SVX;kA0U9S%aVEyAN$KwXPTY{60QExHzp`9Tq%tNqRzyJwX}gS(tX-@gYQBd4@^z z_%YBt{Pw1zTib(9u>XAw>a}p?th2%O|xcdtrm|G`c+_a z@aNF)6<&)0F}l1^Hu)mus3p-ExxK=P3i8Y+dRF|1Udhd9+0T*SVh6&y@Zr;=0!&f= zsPi} z%ERo84T|eAfUEZ)ZJn@#-v;(JcNf zK)cJt7pDdqU%h{;`5~$@kS5yk$$>!BT(YFDAAD%cYUJ+~^g8KMuDd2IIXE|tMDs84%?C_y?{XRNoQHiaOI0}UH_@2;_wTK?WZ83mehU(8 zezsOiI^>5ZNSUcNZ+*yL$uidi>5Oy1NHRo$w;6xEE%}XnYe9A7d7he6LQ=o9!T2P5 zxr4!bn|Uo7*)N!Xe74(=$@XXR-}{|b!HEFuAq1br(RUBCvf}8x*j0l-+4Vy!`+Hj~ z=uzz$62MLGPIt{lYw-qMq^VfGt!?X$P*kQ;R_mtWO=ylGqBpn(&FGMs(^S68&t3 z6Ki5uIPg}Lq$d1XbBSe+)KOOmlC)~`Y4Bv&;6mGnhcpSt$MLZ~+N z1cz-jNvCiMl}c?J9v(Js8Ix4-k@$t_5i%-%r7O6O9-_NJ=LRcA*6JG@<;kh1_aFO@ zWfOxA_V<4G7PtFf8Sm*~K2*2;Vb7F!CED@2%KnVnmjq{(z}p&^t3AKU#0kg_7Ln#z zzf|8JzFK`h!|LMjl{e?98U=EiK4#xkz9ay&q(^%bz9Sy2b%1n2W~|Y>OCKEh=4NLN zChv-ty?9YrR_1*rvMGA+s$*d}faelRwAstV>-~DemCW9T$S`hxDcW4bZXmlLR`AB) zc=mMuoxE?~F4+S`qy&)MWrc+l4j@%7JT`H3bUaM#{X$UVx!>L51c$U$fQsI}eJiM= zD3RoNj;1?@z5fD*josUr$QReVY>mgWTnY8OQm3PhLqkJlX&i@@fjns%F?t={-P5&G zDK~rfM}xaN#~aDp+uPyS$>o4fsdCu23-)Apusy`5 z%5Q|>XwT$ez>W)RZflmt-HqPS;#}~{l^O+fx(&xn&mN*K_8N5Y^j^s9+?+n3v`E9G zimVYM7W9%l!{HU8Us8c!E^aERYzLgP5mz8!6AJhww6Arf9XeaY!O>AR#ar*{g+Bf& z6ya<>)t~YOadwBf(Y1)=GSM?lK3n=sT7{ary?i#)Lc^8U|q6uyWI8WfU1_frO3@(C~ zUOFp&CJj+xJg9cK8C-8K?#db|3F*}(ZU0braMFUM{l_zKj8 z^PWITLG88>Y0u7uhOVV}>uvKD9Fj;P1+pp7amkPiRDyPU%I}6s?kwUhQa5OWsE)$r zaCX8YL)8kcJY%4=vdY~ENZF{a09hE;=7A|*v@J*@mpo|Q!i-Ec^ziW5fgobtE6@3C zOCS@!^07X@F-EF^ZdcP&%s~)utem>wTvfODdy&zFWu1#xo-f{^6w}gkU-*yc zb)(-8`Rydv9k~dJUSL?BiOTTP6+6rkt0 zok?1Fl&5DeOQI|Fin8jG8E6*tIpF>yM#C5*YGXRwfiiu(w&^;1Kd+`Deq`{w-^j?A zr@ocL>$@kQMx$GD9l;|gJp?FXWPNo$r*X0B)L49tUn+6FINad}dUkRMNupMN+_0f| zAwN!u;BIIvmG9i2yVvl0WXj{}Wk~9?V~GXFU$CZ~2W|xzT)6fp)h< zX-Ua)f{CL3^mHrb3;U2_b3n_P$mcUoTP(m-nKGVQ_sc6Y;do@T3tsiI0}(Ls%t$TW zH_b2ip5GAvLoUQ!?1@j$6HPFNxc?XV9s8n*w_UHag_`TnYt(jKw5SrzeWFH%@8kY% zaqWg1FMgO%{gLGR8s_Pe;R%_1OJ-=IP}JKlwdx&t%tl1iSM&K<47?LA`E7aXKopc< zr=%c*7K3wm#RG-PB5+a0v15vdhK{9M^L)rb$Bp%>5hANIJy3;3XTfkAPGRZ482E3E z>A!}zHomm%vljYBzR4N@45Ia$Hqc8rjTjO5oxSXSOB}JVo1V0(eMK`ym0V+6U8$^o zH5J>&`+?tVj7~^2bT#UE9EZm!DP$L7-Suy+^)Tn`+3SLho+LvMr(n~GcbQRKlHva1 z=P~5${4$k(-~EA(oJ{y}KzB`*Z)rZ|{=ed$bpZ8fQ9POPUA%=;!vo;VE@CRrILG`C DTDEys diff --git a/artefacts/resources/patch-amp-3-diff-amp.png b/artefacts/resources/patch-amp-3-diff-amp.png index 8365e2303b4c061f4ca935249b1e2096980ee346..68927a3f8a6bac36be186660e7d531050eb7ed9a 100644 GIT binary patch delta 5548 zcmZu#cTf|`yG;naD2TLXaj(@6vqy-uvUtym>pb|LmOao3p#$cV^E%R~T1Fcg1=kOs?BT6s#6T zci4Kh^}KznH)Ul6Y`bV_+hODJN}|og)=<`G`Q441sUmr?+V&T(eO{U$wh%Pl&dF!D z_I`O`5h|_7@*x%HbcyLxLaMN+PYFJlzNRFlZ8N_QfA|woDdsaxP|9a0T>iqPlgaW@62Ca%MEo-#fF4H2QFcLUoo}jb7!xu zFfr9<_G3Z%VtUR|RjDq}`uER>GP+v|)MKe!RX#0e>m`~A;s`)tf+eA1m1HdsT|zqm z$1Dc|LH?IHH5;@U0gM6+92L z<$FM1?0{e7jfMGsBX`z!oiR8^%$_N1(%MK21CWO90@H0l1w1tQ5*{Q?1~L!A51ITa zW+`ApAroh+(@Jr@+f&A2Lz!8ctPTN~eG=V2?p{!A^+$>a8lwyx0=hjX=vy90sFgz( z5=#rOmR%HWuTJSig#%CS(!ynf2;?FF0m}7{z@E{fH`yg1NaC>{Nbg+8s7HU!MSMwVPJzy zlQOz(pUKQzJOA^Bx|pH$9R`x21`~rHl>Cn5SPkYR+Zx3Rn@cGbaukSlgGC4{w+Ig>aU-T;_b{ z(IwOR^t03>jn9Vn{VbSa{i0kXy0(#IKzZAfjk&9O(p7ks@7&{b{xOI}_@_MkNKeEn z)J5rXaY-&09x?n!8kaKsrzs9wT{5oH5YFLZWgykaPXWplDtO0)q9pDSvmX<$s5d+r z@NaqEidnNgChO72!cQy|CrlI&AX)pLJCd1P!W1JxSdntFf36;a!% z?U-iu>jM4HF9j6w?y$PDb}pRsFWj0o$_c$x@^$-?k=v!XwPb5s=U+7J%3I6ZgGwd_ zD6p(m7}tRTOrbBrpQ3wN2Ckp1l0>nDJMq1|E@6s^=J?dxeVv*YdvaK2Q*OISNp4El zoO#sns=9iuo4guCfCfTHbZLSxAotwiUk2RA6{yOw2MrOM-}8YWRvG_~$OJygK?2m0 zpz$7&_gJ)@_RkwpQ2G ze0flTcR0)#@H4ykTM&d;#r1GV177M{PdtU#U`zoKP_ zwT6cgy5greUxzMtrD#s~K4#(iG1*cReNRpm)vKx!Ja2Aa33f` zw**YZ9<8nw7McV>dXmqe9H?GXcg^X@VzPfog#bACT;KOXH$tezNZ7TnPr2H9)uNVW zPWM_;rhlW)vP`w6TYmW4sx&`hDL+47{VY#w5F|JK#`;u^+gsN&LLOc51%Ur7XAq(O z#(n9Hpaba*46sF#nH&30Rh1VN2c!{UPovl0gtushu1^;hpRC+#f3sNEBQf`wOUXQN zytah3RkHOnZCZ)i`8a(?d&3PA7GC}-^gE@>Uu63(CwA!OE5)$0$kUUf^}U2Q`_s{B zM2)B=M5gS69`W$x>>UJRZeS&YX*G003bSa&#mhKP_3`zs!a68A)KU+3f8F7`paLGJ zFt7i5$e5DKt;5#Mm^mBh!j+`qDWcu^>b}+k9!si-Ng+_b%*~wnnHH&8zGm8eKYEb? zRzK!&XPHCSn&rx5A>NxRK;X5Q$n*ISk9w6Xq4)Y(II_%I*<6&^=u*Y7V=yyV5HsoP zgAxi#^H*iDqdNO*-`bUH4M$c9dsENtV|6*WnTtRHtU2b|YL1x|Y~Wy)^w~nFx6xTm zTv=|Y>a(#nL)hSA@EnBH)Sii{JbIKna}Y#@-C?#aME3yn4}X2cQV-|upjFczn&I<8 zT7}y|X}L9`YFY?pNuqNz?lVM;R*uQhOJl=hhu92-qTy1r2A{7LUp_n&AnHZ$#hqQE z4fG^Y<#SmXH#1z_@)uz0ujQ@rj*o;m%7Wmeyr#Inpl?PZu~Ga^v|8L zi&-xuuSqrseDgW$Efu5UkT%I(>d=+_2E7Xo7hR47gUqXaPkLh`zP@IPJY1%TsNKIE zHH+XS>`(pX(IQ@iF{5*yLAr+YkiZ7SWon5LafI z8@w}YMyY9A6dhUKQ5F*wO;u@d6V5Ltx?njjvfW@FWMw5fLNXB^(4_FaoK;~4ABE<< z(`B5%UH91(~)Vd>32OAX~eTOR2dH<~Ukwk~8BF@7quCvgMPcSQ zy7uM#?~LTpwe>d!C41h42pmJQI0ia4gu5&t{v%vVQtx#n**X%VmkJxpGh7Jv{BRW^ zqs%I|fVKtUvzb#^qv=2KL1(?=eTJDt8?4GKO+wMJ-ZM=^+2wWCgSn4o|5m#=gLEzU zH-E8AIPT`reGpIZmUspB>EGC+##t-0zeO+g997Z%A#k6U?X+sM`>bhty1{N8+w*6d z6Zx~8l23lPJmS?N5-`(Qs8HSdczKi}RvTX%HkQof=Nppe2ntFeo8jop>$>of-&X1! z{uw);>#ZfIybLna`nOg70aC#0zEs_<-hY>KxRGUQJf)ze*P!*vIHb7Z|e>uFCfR2C*&T~+0u9Dhr-#sZv7{7Ry$$H8l9#}?zvzcg7+NzKNqD`aC5JdN|LJi5 z^x4~YKC=gNX-hAYuu7kzs)xDwBy`8$1ZDKi7J{?!;rbHeGUeEukoWk1*?mbu@R5f{ z))IoM@WJjgB@X}CMTosA)YH`-8D^T25pEMOeD~f9xgdS?`A=YcSbJL-kIFU6Lq7(k zs$P1TukC}RUte5@|D*;rAD?NUtjx)&z@xQiSoUkB$ECQ1xP)#wJWzE( zr_uN+FDYvGXVXcX0CIe+Eo5n5Cv2sa=|}e5VIQ>ut^jv-P ztV@y0OQTf3#IHIam;Zn_&qVY7-<6dxSVM}K<^&ZF3J?A9dG_?;{toL;Tq$g%ld0oI zV~hn+y}3(Dwpo*iqRqh86(Z!7&D;SzGoN(@OB&&UX4W8)f9 zU}xK_n4>+w&e}K9Sp|e&fMICfgb5P;XuvPRcq9-Pf?Fz`-^LMz%dbkx?8=0?Nc=EL zedg7lqZ%;Py3?=x7A5W7NleiUq{-{hR!k8sSSA>ULK%v)!^Y6wr9Eu5Vz7|Zd~0`k z^l3su!X9-xF5f%^12o9k5r4slo_$}VR+P1)%79UwsVA6bX^UT;nEp~MKpMRt-NcN&r|}N%1CUc4k+3v_(}>Kgi!?0p zZlU(WsIRo(oAD&c6LBQ}Mk%IQwSHaEop+Xv;ox3E4x^egxI`jvF!2I3nASnR&ZiYy zT$Jk;mDWs(mn=*9??PDMmri3jm5AA-0L7h2_s%vF@MEei7fa)&W`Ee z?{QRpP4gn}%c(t5uNOWr-@z^l>$HC%yYxoZdvtRSYRx6@a4B~%>VmLDX>Y~rA0(No zfl8VU;tv)A-|3xdX>YX!7LpNER<29~(MX;PhKXsA4;y?yHefW+r1T4K;vnT2^P16M zX5HN$f<>7I2uvAWzJ{2bTd@#UencSZW&UG#Q?)^-UdGM%KKl^_0$=0S9Yt`R~(oYyb(4O0xq=5`Ql@?1Pq zDLZ<~j+%?#&CoPB=ddQxwr~v6>Y=S6Ciw5&k$=b4!VHT}t<`Yc-Z21TKM^`b9afD3 zAeg-*vVTy$&5A?o`LpMmkY!WEtk%W_dbzoj^0AcA1uifMyWfj6Jr_Caj34E2J=pel zq^7i*g~=yyD?gH7iznS4Gs^j*@5E$!ZE!!g@lN?_u!W@>JW4_Z909uEhq0M(dNQ_l z$rmE&&@)3B;Vf;sb`PKZ$Sxr1- zEk49%%qTSv%TjRJd+Ec_-xV=op#f>;+V&%`I2YM9f?DUL9PPUFZZ7Q9%eGcznEdr# zK9Dm$6E*?|e8aKrhc=L1hj}Wt{KiyN=hnr(1*uMc|MUy<8RkMJTywb#1J?Q7>k%p1cru^Gh?Z za$qGA*IPNw@(4UG8G#y69Y$HP3$(N_4TRg0JTOSzo&a8;+_m zKps7s+D5%Y^RxU|eutyK6!6zFWjJDL5xf7dK*wgY$K+4XvODmk9p|`VNAT;!xe|iz zRg8v#Riq5?j6~MJYAfCYH^0APWwA~v!Q2?~!u>!R;E+hH~xF0!W9NU^+*xH&| z3+r+oo&n*q$m@A@o?&&lbHeVDisb^e+z!|CLShwFBo4$kD$h^4Zl2+_h!{+ZdT06$ zlo5bv!t7l_-#CsLUOwR{#kT7QqWKt4aioEj3WBo>OGNUDAP=mauT|{8K1+=`PjUG# z{qchpB}52*j)Th_^-Wh2aTy^1)sylrC$~ezB?@&+MjjH7xjR|p9w<+?n$d_9f(x3G zT)isookJd#Ik`R}KtL+&ghL_X^-d@ zj#)cj%D5l!HKsbw2SbADiJ$z51|S6R6Bip^oC0+7R3GkAs2$1Mh4{dHIxC_E1O{c& z{8Gs3RGF@MtSI};@6EH{4~NUknQ@Yj_0;R{rA!zrXGH$ z*J9=UTgDl`o|Zl#+kRx7`-a0Vwl;g5$&o4Kr)xgeaNOPhzCwc-ZvnXJk+iqkXQVY` zW@azr=G)}8Kj&-vFYZ~qk6-!Fw-0r(NOU|pFIqd8ir!6&#*<#c%Z+h24Ml(0xX1pH zUgl;58GSuEw4cb;@^SfL?IiP%{O}129E6qOcDfWNnNNRdpXJtMuKoN~rPnFwz!xqg zjL^eli!giE^L1&@HTD87E;K>^O;kb{b9A|gRL${?- zPLNa~cr{1`y%JyvVvvQJG!y_qY^>~ zr#$Zh!wK? z_RUOLSI{2)z4|YwygToUlphpqmH6n^&oz2g^hvMFOvK6*3mG)$(TUylJrADWwBsb! zI)>>d?bsb7LCDFEm1F-yeEJ#L%F``zT^SSRU%vXFz~eS_*GN{eYhTiFq!@(iMcQrr Y@y*qN-`%(y1~?lCQ>00?k^AHS0s|AORsaA1 delta 5469 zcmZ{Ic{CJm)W0#dEG7GrM3(F{L}M9AF@%z_4kj^S?CVIHnIhB|8Ck|MQcBj+FvdP8 z#EhcQ*w^eKTNrxh_xtlb=Y7t3{<+V+=ehTO&gY(c?@zU-YR^3|;!yJ|4(R-~@z^GZ z$oj6$uhFNXUznO|cKvx0ZAJSrCv*!yc=v`Iq<0yKI9PTLRalJtv#4_GKXt8A3y#v7 zoBUh&(^>3Z7N!~^fcb$hW?S~YFT+CDWBO!U^$cP`z}T-IAQWdwdCBRb_rg^C46r%x$-U5O|TKPg@N}M#*ld zAwm*%TRAB=20S>2YycA#H_vDyOK*5X_beOUbT`!Fkq#K}TQh|njwfv8sx`fbxM=M6 z+)tq#A^ag02{R!&);{vUEi6efvd{BEDR+pfHYoia;$!jT^|X7qRyGVri)V5{9^>Sc z?Nq)}c_*OtA}L$#bmB8lSK)mR1b2v4#{4}1iXIR%f0Z2}r~O#a^AsYB5Z6Z|J7_e+C!!e5Mh(iCiYK z8V4fNdx(d}D^F`)yJuSY$Xg)Um7&X==avK!f^u!b_mC)@HLetIVn03pb*32-wg4c} zD*&bgDtJfWmZI_br5xU_UslgY%rB8Lsk{hGK+WPLHU_!7_vz8dAV1=?wzd5s@9#a$ zDITbWFt`N?v|Q#LJDHC}cJN?)AG)?t;K_K)IX&um(UIXBQ)BOB(3;cPx+)Oh(7e_M zZK(yd_>PedRZ+_yN`-u5yy_qlfs5;X1}= z%4^Dy$_DCHl7>Y--@er@n;o3XX!2#8Gvgks?%x7WX&^j7v1-+b@w2sM^49)ZOJPvq zS>6k_{aTYoQ{3^M-_)_i;fjGtXS0>1yv=9zQsTge+7xjp)g5G!KOzSygr8 zJK4VDfVez*g=&V&fNA@kogL&Zrq0(z|D|}*NRtn8T|vSNmOMiLjx(Y1QHPJ1VLPZ$ zd28^CZ!0k()9?%N-zE8G1u9?4Aa@Hze}2_`1%ZnzRj(ueLO|u+=s>4}k<1NZ2anTc z#22?AVF?Lq^TxVL_QMOm&LM96J3b;2ZoKsei+RMZ)i%f&Mj2&CoY8c_XxMs#Vs8$x zPhD)k+`R{Etr)txLecPOq6AT~0BBci(GmNEqFB<-}-e)P5A5{Q9=|adc(& zNO@rxEkGs2J>#<)*6&38EZn8oBppCom8NRH1W=?5+Gv-Qr86<%n|{K{{0L;4uux2L zf~0xAb&9hsq*hT{KS&|ti-Jt2?I>CCvi~5A&u&gkO2^B5KyKB{en5^AA0w|ndDj${ zs;o~M&PZ)j>=mvj&;mG%>PG9!z9Yen`PLvi?*)6RFr!{%!#qlp(kOEj5GoPQ;{yRS0WB`WC!r3BHtVOYHV>cnOAR zExjn74O^N`h9m2jnR$~;C8q+}jpfyuU&(N}*Vj}09ST;Kmxs`in5fWA^QxE3<*`=G zzX{eSI-BOUSf_j9`UIB&9f$vl*Qm1CVJr0E6)H7yWk?4>W&1@Vbht;4*N*B(tWOja zGUt>VfBUE3V18ZC zR*zy?0#6zs>M+4SW7nJaDE;tAqOPA%-FVcfGgLN1cY=0SUB`A&F6 z9X0vH)IS^_9S#GzXq@*Qrox-~^WA>Mtvdbw+CL;aalJ~O6`B`4SC60+xS#{=5`FB0 zSF-*yS$A<$i`+AJpSL z<2cY=JnNqzjuXb-Q;dT>jNWyQk$0+QC8@-&@FA5QT4yy9uI0KD!!N;OBrwLKo*v=* zQSMEma+pAOc^_U^F5^o@)^_Poz-@aA=xuBq_5?mPRe5BF768;{rwB@LRBVvLjhK8D zr#UfYTODs47ZyM40;c?5p4aAg)?A{XUr%HbeCPpOA-Wq1MQh9)r-dOu)IvIHVzK|V zORYZ)IrAx*Ml_B!b9Wyv#XmHaSR8-@akp%;BH5}kB4PsCTJB{}fGXAj1^8Op{iza1W}~r$^O@$|(5dHM z?{_Sw6J=e8Un&#PkFGrC@)6gB^W1S>XhFXHWrFR%nZbguTa&*(ItrW^U#RX3T)2z9 z*R(cv@%Y-{^T1KEj!%!slan(3(w73zKnWD`UM^!rgON&TW`o!d-B(XV~n8zLBMTy=q?ouW?gw(X_w0zb`i3{lrlRM%cc z4za<{FI_=gDgvjaVi;L6x*z}S zrU`e=D29$2X3OAHFBD)>V4(^}SF1Kok-d_eB3B&qjAA|x4i5UT3grZclETrxFmpl} zI@fe0%c-s$p>D#(pXAqg)i7f5LuvH-#Hg*obbMujVf4egyIrYj*okW%5J~4jZx^R_ zA7Y2AsPzD3j`yFb8ot{jA$tF}kj>nMC(h*{%(q&W`g?dt8>fI_)T%a!`&RE~vSg*=x0z_5zL5?|uwmTytKr6}Z5n{OZQ05R&!Hpjb``2j@CTL+;*^4Kn-NWf z9bM96w*xlYv8EVMn$aK2*KsTccY!09w_g!N8%2U1Zm)-!u|vF(@69(0IB9ZBfPt9v zg;E)9p}zqWmy`g9!1rwH>?^{-5E!LE1SMS$b^9wff_6jePrB>Xq<3N1F=-DsO8_!% zjr6Fs5%=Nx@CBLMCAPIOTfZ28H)o|4^wN7ZhxJvqZ-;L4(m9n;+*#iu-W#=(=DdgZHqvH$rYv$rs6m8fqxl;a~Ur0f*-_9b*%#b`Nm~kXfsZC^IiQ}?R}9zr+&sT0-|gOHYx6`dZ$caWPG_k zm_rzML~QECr+!#JJ9Bsc*| z1chdQ`diO<(E>C#6ko~~9T|$`S3<_^+M_?Hr@ftf~?anh#2Q&$w6>C$YN<`Q{C8SKDZJmC`lX z-^>0VG~g#a(%o&6%QooLrFc~)ft*jh%#zT%%iBw4V3hPSTW{#vYn7W0-bVoZZdnAp z>f0pkMR_t2QH4=3$hWGH^P5RS^N0 zKA^wt1f;oA`m?PGO0d6rh$N@!8P@B05*DPm*0ccv|1dFht+T+VW^OA?YYInON_l2k zx~ua#OrLU#(5O z`u0vqA+5q=pdh|uWvm(Z75H156iEO1>5J()dvA%w-l?$pVLv;qBv#0#(IbnC6+`b1 z%u{I`#LOQV+A$+b@hbLg&$rur8E)}@*R~cAs!`v`aXd~rls~;9ZgPH}X6%JmD9XcM z9!iXd>7{ogcOMnc$LGBZ#Be(1F+gm$V9mh4mp;gDBo*I%g}NpOryQO9ww>yKn^xfx z7%&XR^V-H{I3>gimTidAje5dtewfQ!1Sy~K2v&Aj%;&?Ehi8jg-6!5mr~~FO3Kiqz z?qlrQ$2up|h>aX*(Ns?j#XoQ}cJ}qzr;*to?uK2UuNKC<-xqH`swVzNJaz8Bgnyt7 z!8>ykE!6RczlwZ}(%s=f%43q#JByP?xc`cS35>{olr2X72GzvbUKt_z;ZX>EznY4k zs-tSDor$GynMZ}@B9V1-M9TxCkdop^K9*p4KHRTCD}}VU+jXn&Df5Grdfe2$0n~TqU9UIVqb)4dGbVy zGC8JAKJN)Wq4g!5#VC%+Qoh~UsXKAo&<3a^n5q!IH!;FZNea0_ufr|iVo&UewD6;a zD27t|b>h>myUA21tTe9BO6v4ziA|EMYh&0bhCPB_cw&%t$@;$h!M}Aj1sjeb(R$wH zJ!)J`cGa;&PIg@jXhRP)dSQvRDL~)}mFYEHIp!1!SNl2>4RYhFc(JY1F8e5#N&1{F z@W?f#w2gdo3<@#`@%XWoQrvH92z|pmCZ~BFT-!eW3T%XTc%WFA=eL|+vNy}Cl`R6U ztV$sNQTQlw%P+tjKRLW{Es8x=Efnu5$8h`BH2uMDzr&l(8&0!o0;*u2jKP>cFICZ1ZQs~<7Z0}CjN)3e6$kN z)M`*1{n*#!z0eI^61QtLCi~@L+Gp^~+aqsBDod}TK%-U@H70$v-x{ff7bZ?SlKji$ z4HtOJOP`Lx@tVNSnkkJudVqKROlZ}ZJ)xW07GK>+-$B;1hgJza@Xiibc|P>?f!anR zd+$BqFyO1M3$g6%jp5xIOlhAcbQJehj37H&pF5v8)b|F<;+D!(@+zDcEpM33PVD}_ zMbC5Pa`6xQVug#u!_RH--g%lmez}jve0sqNG!W7M$=Wo{xCt2tsvG>UkZs^(Y=1kw z0M$F-kRJUs2r__|gphL_8HD+#UG-tCO`mX3);Jz|;D2ljtP0#>k=*`VrD%*Y^z{X! y)03XG)x*qGmZD{xys*+VYpU-pd6gOB(f7V=&Nd1w20Xedy74z)mjm%rL}_+ zv1@O?yx)J{`SUsFKF@RR`?;^{dajeD5Ucpc0mgF+tgU4cUa&nM@s{nV{pRmUnLG0w z3Hvj2+c(N5{+fYDdBxlSiK{X;A@M)xr0BdtA7 z2-qDU4B)_UNpi|kPaV$RHi}Vr%$+Ogl~hjdVE`~9yHC ztM~3PpC(Tr%U+(F5``Q}%~KjiV@NFlJ7CY1j3EY!4Uxt?*FUEkXH^nV0t#rghwmj9 zXl%wM!C9c$hE9T>fN{pBx1wk+Z=LF1KMMXjEE#x>Ay+1`Mk?wnv$atx&10sRMH>+j zLY<-q$pvE27NZF^$SY)4>{m@|h};wUQ+DrP&P9U}uFxAnPu2jw0QNoEJtH}KzFqdr-Ae{7DD7Os!-Ncu|oeu(zG;(D}(r@*!>#TCybY} z@nfMj6H_dAAgPFZgC~jlFQ`r*3j06!fl*kMEMf!i;x9lB$7c_++R1pbn8_(cegCQl zT3C@FUYK-~t5RyT|jpW1DjYY_o32IABGC*sq!?tTq#W;l>!K z%yUtK1K7%cT9BXx-u{$6#@;$*@y9N%*j@6C!m=%HFyH|%7iB6*52xFc+S7={H zPyuAOqZC2>y^{rLd-&k+gGOX1oN@G9&kPHAK8`-t(sW=s*{%f`dW)1FGtslWRR(vw zm6L|fM?1w{z(@cgZ|>_(sK9%;+}_u=xo(cXP_Mt+t!0*km~`{Jd2Y%M93aymD$Og$O`g$)5f;PAd8_Zpz?t%L;hWk^&sb#V@$3%LN{fS_!cy08^y%Lqq>Qvf@WhBB*C9)(@r z|0-`@tNgDj>vcPal8|n(=^@L*!uEh;) z_0YKb?KUzc!Mg8lPd$xSQpNm7L1c-n3bat)7RV~o%3rEM`#=iQnsBOH%S zQj>3Z942uQEAO@Y6$maAQKM0%KO|*Lma0@3#;D7qMokBnl1;>8kyWuyq`C(YfllHC z?}*nQrZCvyFZiZpq(6CYx^g;IwH&I)6h^)DF-y70k%U2b{kJJcOARS>Rq&NFp%0*G z?HD1#rxKc~oZY>KK|C@tGBOtR&$&-?iotNWKMhmpv#19J{++Ik&?m+C@B}0vL2(sm z0!8ntz-zg-hrt)ytwH-kV!C|a9KCmIEmyY_;{csFSF$6#vr zo}qxmS*DrW#-H#E#1_p`o&Z!cQzB&C=%%3-3<)7Ls-yH@k9euv7&KY?35 zxHk;j8t`B<(6Ra8r|`G(2l#MN#05IT?Pe*TgG_+go}o~@LLfj@1|<^LF?Uy_&7IfX z$>V*u@aV%e}sImX@E6Y9pMVi z$+y`iRY;KsU6A)tqPHn%RR=NZKCW2=3eNV@lI9RHb>mq)KRTAthUvF_&G_Tg@tNH2Y=+gX*=-E~zqmIalIq8K+vJZZovwjrKtCJ)<4@6ATNTc* z|8Or>tTC&UnlWpTi~$xdq?m~`2Q+6WGvej`YWsEcq4xt+-SKqEVu1&T*@qvI#gQSQ z3H_|?2mg~}lt;sk&A&i=;M$F-z6vQN4h zr5zp0vd;alSs;NznNOD{r*(!{+Y^R|hr^eX#LBCykuC%2Ww3~lP}6;Fzmo5tbWwiu z9sPStU(6?`#|j-5B81$vY;5kJ+{cV9-8I5OBk)NDIu#pHgp!<6Yy86^uWw(qwZs~c zFGUIg;ww$X@E!nRFlt~jx3N)<*tl7ag-&!;D5ja6z|!}u2*`f37v#wm`%;tG$dKwC zn?GjOEs3{yVA+m^W68=uP7}()!8FVmeV?my%BYV^%qOpoo%zm#KUpSbHa0^`i2@4- z6C;j=4nVeGp+qQ&Fo3Vfi$+ZRMlsnnec@;O!T5(qtl=S{51ue2bupg`KwNES6DWRs z#HIs2a;z_Ot5{lE>Q`Z7cD5QzajerAIC^*bWUqr47T(4s=FFq^;(nD?jXndH%s(MJ zU4~|h~WN=WM~v6&~sLP{22d|NxiMLSfz7nB2H{c zk^x>sx<)=5yE(e$WLuwMixWOBlx(kHq*V6(T_2ZaqK=5%?asN_>8$&Ht0S23xze(V zJ9=!^AJ#i6|I6Mbg;Uy!SfngN(UDOf9r|VO+u&Vt#r$c3gChj+BH42|_YS%>?nb11 zoJ4Pf2Dt-*c|b$bwp^lSBJ(#{;?Lqp+fJ8L2s6#zpLl}T18|=4=93XKO`db%D{0+f zJ9B4^$jG=^%od8{+r|txNHUqygULsvd@vDK)+{ZUTOFw~E}2+-!-rPz`3-5`U(5HO zi6te6$AWDs4~HgCz!#3Y4#k&FyhqFNJ!Qdff@S~6EV(G~enX_vzy*epb&!VX zpFchp!d4S|#lpg3@9>Ao4`OQp(LUgJ3C9$6vc#!aZdu?tMUH&>dS=K?$Zz!bV7PFc zDLBVXaRUSFIbA;7P*qk9<1fk>ORsY7$k?K(JXrpka)c&ll5rb`y_L?N)(6wamS~zs zo)l0O6n(hz1D8SX{eB{p`MYkwwo=(C=4{n4SLVYAaR({tP8dSqi0C)lT+3M2b{Y{D z+Q;d~AAQaV2u|wdHh=sMam|q7$wA3hH z!FGZYem#$=Y~+LKR_jCycRuCV9~VT5!-tTT78buhB=Xye5kNQ~?!BR`ViPl9ON@eD zHM$-3;KFmm%*?FOW$SkX^`)PQ+R@vi_3thwTm~Wm+Q8+cm1X>(4zjfLm4S5kPf?@1 z{<7j2TVJSq{xloN+e?HBjad+0uRS-lJy6BDPp7uFQ^6Q{^+G~Iz#DT9GWDvn?n@2l zCMSpmX68N!677C*q>nAu=w)nEK^PvRtW@ovLEoWiDWV6*?xUU&aW?z2Z-a56vZ<+Q zUpyuKT}jDJGF9;kp=bzgtA@!Rspa$lGFapWOQle-duZ-x#g)8 z$gXITNgQ%ic_51hITnHrw1JxP^75%%vO;2(ZCtmw@4w#I*wF6YxNI(8kpL78QbLJX zc(^mKVqNORdT|vYoLV1Cq9q)HOk7M`Ab4rN5=Ycm~e! z#l2yxThpkD%1Y;Nsbh&V>g(^n4NS~-MSy-eM&1ir!w3>gs>N;y{qt8>O{olvy~%s!3`y;@W3ZCL1zbhpm|ySbI{ zJ@3EWQ1h8!P%9DG5pl3)1GBN2=AZEhIS%@xI@{<*pW_gEhnRwA1L=H3D7B}@CZzbK z?mU!p9b}%Wv9rFJJNsO|GR$f6M^%5Gvk2sFypbI21o9qw)-&=x2#+Q9s7%<7eMji_ z3#odguEyx6KO4Zxwr0gtQ_WeyrbBPqiE13aHFD3E_i+XYR23${CI1+LSk;9 zDqyFiGKk17##Jcf0zAgl*7m#{na{(Wg27mk*O4I}JBw3adq6vFdG&sZ5zg!fm%i4X zEBG(?e0rQFhla5v^(*7H%6nlJ2I|02dFaLQw!-t}{-0yZM(<(f%j)A~QQb(S>j{zj z%~hU#{`b%G0lwC%=!;{!IBA8wYwx&L8uxJ8*>phLy_rf`jPQ*hK4{2V$lA(kCR3N+ zMogUcl6#%`C@do|NjcNJva+(;uGNolQpnVtedDD35$4btDli{`FN{3t_5KCqk%6Fh z82OYhOX7T$Z>v(rINHu0p$+b(YN2INEnxx=%3A#9heLij3=o;nSST}m7V`};4JWc? z+_NvQ8eSZ2^tbjL-Gh$i$(v4afrH{sZ0kF-h~Fq^UTQc!JKhr=>DPO897@D`4X24d zt6f;b6VR+6K{g(tlk;kHwJEA-_fy;=S&&*L%9YqS_L1AoLrm(Yn&>81xidA;?41yM zKPRHv`RG1Y1Yn`XY{|Tr?n@|N+px8@t<-j295Lim4n|`rn#kqU7S+hZA5Pq&c*$R+ zCBscevc%k%!;GQ57JwdWz_DUVFTy3FU}HvTLmW5mF8D@q|4VHY@DFbM#YpzO(6iN? zGHmYx3GnrKB*%=|-NY%PKxkBtKL2?eQ**?&N9lcbQ?er>B2uijKPY?=_3d|q%L#FB zJPQ$?r48rf(vlGx*`1h*&VT?>2Q-ZBPSlK~JxrIX+tCKGFsdH1E_&Wg_I7p{6k9Mv z%xBIVF*TKRiyqKoZN8k@Uqxz>Z6^zn?b|K0)wQ)dtT<|@!szE}4_Z)_xVSh%HFWED zB*#5lq+|CBPa>8S-?M21*jQVK841rKkkoN?Rc-I}3u2jIIz*arg<9KT@JI=(D(kw^t}fN~z}4Y@kqOXaBT%Fm&qZxj zRj0{>cZTZzATo4&rm%}hqdA)!8!>)R9>#jYE-gGD3(@^%YN7D`=h7|{nK%-PcdBl^ zT}Rq}r33ttsgXPFVwMTJCZbsyjbvP#!`oGk>oE^6a!jU{CD5SO8lrk`c}Mk{Rza~sx}vWGG7ofWi#|n(s~DwtFi%iHe7~H?Imv|PZ5QixU{s1IpM&(ch{4pDp&*L>W4AMu1{ft^;4LZoz{kww2cj~Z)rU4bv>~2 z$_Z=&)6d9CBk{vzd%7;oSJ$OWr@CWLaJ{MaBa@SpCD;Vtv;EcPD8~e~q)qX4fDFnP zUIYk_LB%Mx1wx7t-HUId!7N&^$sV*h--x& zTg|lG61nh;FVnT(kv|>(Oibw=jhHTCFKWXb>BbVA#@qv*KVeHEdTn~=^Z}GwLcMQ0 zV0Q^D@tG20qyx7xUW>31%*N+YPNKxReH0prLX2W`FMS<6JqhfZ7l#TUm;W?;z?WM? zGcz+5?AMkvW25qqOaX!GTDVz?6+a^r@7_F!>L)xYphisTq>fw$H41-~I9u>ohL@0` zmh|c18;`$C%w>4w^%-7-uv$-7m!VwiY{kIYX$B=PBXcK%Wt24wQ2H2m%b=`@$AiOL zqdpagBY;u?zYFwaRnXBo10fr-VS!azN&PcXVqk8K96JE`b$_fFgj(@1W(duOd8OT= zc$5re*kvE1uJ!zL9cZfR%CDHO;Je&)fJJdSMSUMj2zu|qa_4>Ahmaj=4!weL9pz4<$_L4!(%@LqYob`17pFpuM5cWCQKJD3Yh%1jEE8HpaM+lUG6&i7vW z;D6SEk*P?h+-cvXNG!Q1Nf3aKOw}ZyY)K8r2)VB{Akcev%1{EXb&7guc28yk!+3i7 z$(tWsN7}1^y3zOU_ z+S?}E2?=mc;_kMfXEyRVdm&Oyk@!&7g!nrC0 zq4+pHcY_&jY~|CYE}>5Q3koHrEovr;t2J6YsRBw7vvmt1R= zymv1r-&;5Ug*=Eerzr}R1lu`{;7!nzuVAH)WzbQ>?wgetloBr%|py*UrK7(sPY7?V$0XUv>!kn_9m~wZAOyRmj-LcrgZwe{={pj+ zH1?wph{F6?jq-w#!l$BgTGr@=(eRsed&*^d_0w%Jyz@WV_(3>UXr241lSlw(eV5~f zA4u}tn6!2~*-c2XzgRc)`D)@+)(2|V|9q_f?EkD{YFZd`J}?h1K}+T7jp3vb<(v(P zJvov^-Hhr(u&Y``REf%hh{k=Z_FV3XVv)7Ba5m2@^d4EZ$UK1`lH=e|pZx|z+OVZ6 zcGXsjXc{$cvF81~W(s0U42oDvoFe`*u$SC-gj12_K%XA9AeuuZSn+fZw}?-wFKmLE jq(AW<1+hG^X1>;tdzSJj`F$@N3Go8!7;D!)gv9?3$!L)N delta 6799 zcmYLNcOcaN|3A*o2pJg}8KKTNqs#_zMn*>J4xy9maW;pNP`ESCtlS3~hm34T2{}%e zb&ed7k#X4)e%JS(-}|rk`!$~L=kxh`J|Blv$+p_vXtioAvx-mncTSf2^cv|Vi3 z{JmMzT1R2G;uU#$>m~4YQEWkFA5_-l?Q2O%7Th({i@87%2R`s6VYg9e#^aZ*d_m9Q zDss2|NU!6EUQ6RHJ)XxmJQhJwW52T8!9N8ul6I#J_CS~OR!b8rrtI2^iT5MxR%aSR z^~Q$25ifi{Ms})!p(iG-fCC1akqZw^*FOd0^Fm$4VU*9Bbp&OS$EnMr%g)Jin^6|O zjgBxnpReS*m0Tc!jsW;E{2+LT+>d0h6$=|7Y@}S$by#SZULvx&=+GWVlwdRfKpV*A zl}dEB=^qG_YOA!J2m&D9pM>|yo;%x5*u!@Gl~O?h%ZD9Ya0lT=!^JYJ2OkwyeqHyY z>QbAdm=@=|l>$$yfN~JiTt~I&1g!OSc^3^D1I>Xdame#kK&htx5G-+t6=dm`8GOlK z%Rdh@#wYh46E58*xSd=e4{x#~*}9Zs`Qv)8hq`doo}np?(S80j|kKGl?Z z5lVHWg20pup8mM!=dkFFH9&w^XWT@o3UkT4M<>^IIXPs--wP7Fyok2%ys0Aw$w8oT8`n) z?->S-`V(o0wcgXe?=c%T6lYP_3fWa=dk*~a0d!rKmdK`0 zc?2;wbC0cqH>u1@D6V)?gNE7{Il+|NnlKxgj+{+mFnMVy;?LAXVx=yZ$%i2yur9 zpd&oooC9Q6HqljI-@`~i^q04(M1c?9b_>7poAnJfSKC;wszJF~Zv!vJZQeegsTA{F zN~S9$myA5}tPwJc;1fP;cO7)$uh_Ml=m0sdDZ;Lm2-7*k3so z8wd%K?YOvd#+qd{IYr|Bl7mIF*qOgrf>42q2)Pxeesh@BG z(8&m8pXQKUxkQztUZN&`O;}Dw$p;z|)-0$INU9*jnX!pqmw8u<8h6PpsJD;*+D-VL zB#l!g!-eH#M7$COnWhGEh@$I;JOP#ujp(yCi(Qqf`PzJMz+Rfj>@-B`bR8hXj2j+N z@Gt;6b;!#Kq?u>#4w)fT8D%76$X<9a$CNMECKQhfFPdpvkT_hPF#Qe=RtB63B9Lcs z2WuAY5SXkUK=;fJkURNu1@{+%zG}S%J)Dv)6=JbUan6j!+m3?iJ0BjEaN^F+ zgiw46f=LkT#JY(*4X^T=8sgYSBv8vldzc_1QhmgJQfu5jx#XIIsn)CyVk^oY3MST1 zCcXZVaAY1|ij?Ew4L*My7*T?CVuG1V5Io&+y=r@FYW2nh@B5uk2LzB1A=3!aWhO%Q zjvFLd8+Qrv2aQEs=W%JpI-5={g}8@d_n7>RN``8fPF&$$+x*o!>64*fIVD)5#IAz8 z6@k9;%hqF3kP5(0hO%cCg|VAJEqK_GIn@izeaEX!%{!F&tt|;=&5CmKU`TX-e2T*I zKd39dd7-!DZB|zw7#Vv}tsxC4vVZ*nQ@f?VYH&7|0sk9c)x@+KSdvLC3Mh!iCG8D2 zY_v&aB-{&Jbh*C|%Uk7$@c+RAHZzS#r_v$>yAQn6a>?8Ph&(V9;4HaZdUOj+8Be|k z70k>dr@FL!^J(lpH;uJ>@p>X;!TTK!_j9=C zQ*nu7ut-t=m3=lEbo7S1E4ZPK7Wjx}^W=iTZ6!%?c=! z%RGs^fuO*m{_35PTRheG@mgu$0rYy7siGtw3SfP%byBnp=1(%;@U+K%g4Z^0r{hXx94N$HX#+C2&dV zVDH@<@`2#(?VBo?Nmno>eYX5Q&VyK_`}-5Wt%F0*!Cc}#YUjO!N6s1M!u~fHya^<+ zOr!_$#oiz!0ffFGJJW(`&~uT~Pd-H2L}E`HvNLm3&WGdF~YIC})fstTsaflnxBJhXBJl zwo)rrF6!O7?M5pHKhiwDzBQ_-sFq#Ara}%lo?^@5nDO>hZSYZ!=9-%j zP3mYkYuYA}5jI}qOoYf6e$v~Y{@AhqowCL<(~3m6jaAqUbuO)cnEkn0S<}>;BBX>e z8I{WzFaZ}IiY^`Obj?$P%h9e-k!*_U0JJvn-4Q_|*YOtkC^voY z`*>@*ONuLY7xxCbW&;Oy9y2=zm-`vZ9NJ!<(Y5Zz586#id&q&nWeo1%G)rIt1 zcXD=2!#1WBRwt)ctRXz6t0D-=06X<0B&Q49Y^X}IOmj#OVxFg-G&ME#>Gh4Lrm+fW zr}R;I`uYki7Rw~;Y-97@p44mI$8T(u)h|B4E?T0Xa^F916Y*8oM#Y<$5VmmuKfk%%XIldc4r=oU@U$gorVrx8<=Ly=O8|L&mOTFE5 z9TB^$ECiG-?6GC7z(vai>92-}7;BqN&9zF-FpdKQrc>5b)(fa$&ybSgwfHk2lbT_- zo}eY(!-KVE#nPkx)Ysa|NT>FD`?E-cyJdHdJ-`nXJf&*SJ@(uzx*PXRtzlMnC9{fI z)KrG=X!o9(-O?ba?PU_bd`RT}Oz4tn9<#Y-{eHdpt!cWIZw#fo%dJbo7Es1JTZ76u z3_@pRmNo=8-o86y(FbsQ&+DcQ_S!lnCkQ#2z+kZX=c2wYe|A>fkTP7EJAQEcjP*?ToNMiWx&0Ssx|0yi1ULXdqWkT^SUwCR>{2pwZ~{ zAD>_8P(vfP2IQ+9YMdf_?p4HH4CJ*G6EZLcN$>9NLg^Tx8NTJNf4GpL4b~YXl;$~_ zDX9YBd!QSkV?5uGc}qZpA$WVWhI{|n)zwu~o2B6-L)U8Tob_kh%ettPPgRcf%iqc@ z1H0pyx$v+7_rTc3A9EbwN|rm4%eP{lW4N^HE#IH>%}1<|5-KVb1wl{~BO@a%Dm%n( z1!&fMOvkE$RB@fjR)p91{sU)c;tSr3!a5gxk)xTMEDKbU<%<%Cl$DMVGmgC*K8BPf~M&b^m zHe<+ex?MZVUrU5)`zyCSbWvwMm0IKrYdksU)|a~Ylt(|Ty2N3w6dL^1=oPWht3&%+ z-Nr?r4*B%EXJz(i^uhzbj@{VJ_3s^RjfMzBBVv83@doKa{87&%>(i?DEe$*RfnGz! z2D5bFBLigO6sfi4U0$|k#=pq2^ujVr6X_kP;165vJ^20O+GhLq9tg>S<_9XmFkQ^g1O)+rMh@-@MNGeR@MGYJdyf5PcT(w#v} z)5b;7tNxPF=(&F^gEQIJs&5ke*~Zx#vTjPx(e0l@C6W6ZeT*p|l_-fu;`!JT*KYtwQOC`)&2|yBch9 zPIk7w^vdVb&(h%fF?{9qQq(=gID=gBuqG?tE+j%k6O@hOS7P#f`wma4Nc*>+l}6FNohej1vSlXKdV)sJ_Ug{ z^Lcp#?_Zx9Dsio>$(!8MIN>ztmZo=G8Wlz%e9kAM%YOvM(W$(ij*OqQx(+Si#3DTi z=^kV|Hk4A^#?PPhNs5kiGZZU(`_DcfX2v~{Bd>2PL9{1D^aP*4DYAN|D)q~x+7@&Z zaXVU_ChAf|cQzqUBgG5XB6oOlw0S1C$Xu?=3$F7vb#T4XEC;l+F_Uj79fuKT*^zHP zJ9dA@%*-syWGxc&uDx9h%s;~3zZ11@P7Q!e@N-6lX?H)q1bB9f$=O6j{-7(Lj6|Ag zr%{*Z255R(fcn_VxDuJ;*?yk3t(Nqsw|))fYf&EMz_PVZBY?ufyZe_L{kBVe)Mlzmoi~SO45vLPUFWsH_(@j1PDmaitf_|zt=tGTO z-^ANmS6CA$^!tLpdsP<^OIUv+vn*d(@R+c7s`5tWrEo+A6 z;^K19sxO2@01ia_xmEOi5V9&?<5AAprmZhtYilj~;V*zA`}kY9n!J(Z9>fH!Z;f0J zk>L{^T2o9pEU@i;vb#EFYi}>-fP-Sv8~O>I58<2cZnJc``=$}=bBPi~)9>zpJDJ1nV;>9*Wa zQqZnM|4u*41^Ya0gd&~H{Tyjn(izt8J&O-~e6D0=Weo@@*~`f2xxpPgDmgq-FnWb= z4*T#($R9m_xf_TRaJStqMMeW4&qv_rrs-SBt?*hR7sersUA~VWHhSI@x#%)P}}{*EAba@#5gwt#>U6hV*Va1Y%LD* zqXiS~!rVp!8nc<*QVsInbX+LsarT9HowCw+Hoa!(xASN60dwSf51!B&gpfy3I6QFi zOO_6j?PqFht#C%OUb}SL+eY}1h@z6xUAqG#aJ~y1nL@u?h8cwz$=f9y?Qa%CTLKq- zT`DlqEhTcQ|HkP-qw!M0K)=PC{QeoX>)Ad{$D6V2-;C5cbke@+9;%`TsFws}hM{B; z40jmqG2|l7UqsgNTyplYW=Ng21Ja?74*lz64$gK{Ews1@OT?uFCzM&7Q2)rRy4dNm zC&%A3lvmsH=g&u5YOgqVMhO+$J+z#^&7sXs@UFzlvlY#HPjlh;a{b_&jqc89!x87k zyCEgPEmjT=eRdA8M>XwR$)w=~y|{dQ5!6e+g7jp&5&Gc{ zz69+{GG`>{*i59T@DePjM?NHvz73=5#xi?29ExrW-8?zhJVw~q zWAbf@w_F%8r^-PDm_C+qUR=J;z19(NT!RmxYQgvHrsk3uTS1N(RhPiFfFB0WPw zd@^giuRN47%ClG3RO(c6$g;s`ZxNwAAbWgOBG^{UfR{Gx>>Ou#51| z4W8ew9`Ah1prft@45dGj(R`d-DxFWp`G=h&ci#1?k{7lKwhpTaWAI0S_j6L_rM8>N z%>fseiXw3x2lFX0paP&#MnAxUvpPtk*2+dJ{-G7Jsi`63#b7agO)8xLMVrZljcp|o z+0HhpAPM7>9;`|0ijzO|W%Vlf>&gcNP=91O;S8GazJ zGM!{3-PQw#(;IPTLwkeArmjseS-R7^m^{@mdlQi}!CcN8GPy<%5r6PBbOf+0k35Z} zA&~Kj4>ivcOu{rqUQwg8qgT&`0*d-U4`Ocmr=4tGzjh;(>?U(OP4H!(4Faj~<|?h6 zrH04raBVVv0J^bhpBxy~+1sEvdx{0nAq*viwb(R+)^XI12u%rmL~Lj}6D#tXM5qw$ zI6dbgJ~BYqdj>RVe+&=(3EpU?1tHyY!0;xvu(JnpvDg%uV^h+=Lmj5Va6w+eu8uXy zPh`{B27@g&jj$&DLJOLToW=dR;`tn#gkF`Y&Q!N0U4m4~R-=O*557C0;btPrp4C_Z zH15kGk2KHUk*UQgn|Nb$hE%EC*O3?fMY|vFF8I#?nSRtC8$XYp=H_ISLjojt%|rHd z;&Cmo*Z}+urjpOlJ1S4-z;nM)ct6=8*(+RSebh1G-_i z?O=_)88+ATZsMII`0nOu`ByyuuUIJ`t|}`!*0txz#@x^~!V9^&n-`LoB#gm~{ zWRi1wcKATr!FC_@vFmjTr7k5XcH;5To6&XnUa*e7L6c13?Gaw4`0desg7<@x{a|1# zy}dfOxup(%Ac(~2upf*NG_~qZkc+Eo6_zUJFg|HqE1PvFfn75+k5gp(ml`Fmv0@c5*=)WSnQid<*tmM0HSz7m2U!^`MP&6y3g@4dcZkc29 zgTUr&QRVf5LDsJ01t{mIEip$Dh6x4~tz_9?%GP=^>jO8MRlp;lb><7D2iyH196i)p zglUE$AeIbeMk(a~-dw*K-L=u^mh>4q6zg7a)#0Ia#=!Rs9N7six-Y)Dz4YTF$cz+B z32qF^^9C8oY6_5BQZL^T2v>#}06^ZoauOKwGPL&xl-_$qvC9qWzY*9xl{5~xnj`eT z&iZYL8Y6}BtVc@009Y7f;1(96a$L%7DYfM z2nGQI2mw@j?^Yy@~=7FthI8L#!rL6 zFTn~<&--|>DNd08bcqzo`X7rH%SPiyK{;S(0+AF$x2Qo?OtPI={0`A2~%>LL>^nNNho7cxzj3oL1%YAjYXp8yUl>D3Ze@@q)y^t;Q?|KY#`IXN4 zQte~s;fLU!uC1LG^_tj7`0Pm-ZoCxlV*Pk^_4+5^ET57{A((BY)&}7p?FykGN|4Vu zNCfj;kgQKse2z>Z$lVw1hj34!m*PgDMN57;U5E(3H`DM;)amCBlVy={T>sCF3qb`) z{@(v`%6QI!thd)`jCTx>4WOHzOi*v_Bldgs_+r8L< zYD2{m+Aul^F;ZgU0oRC2unk^k$`mf!WYfhj1hBImoVknVSwMDtF0}_y|DHUYOI;?F zkw&ID$yp61(uQ6LMRJwjL)Dz`9=Kgf`9p#V>rdLmBb`%D6TEm(9~lED z4TwHd0IkU0HniRq^j1D2a0I95!Z%^BnZzN-ePoY^%lv;uaELCEQdx~8D@?{ArVw|x z%*jHVNmDRNwf>c`zv7zRA2zsyQkNZDFqjA~1dT#E`z~%CZ|q~wUpV~8lP~L;)J9gW z9l{mLTtkPLRXzl#=TQx&Q>w16K)NkQ855v}cuJ`cd zT0StIfTACTmh(0wk&0MyG7ZS1+0+3=t~o}l^LB3ddY-uFlgU$Umf83=uE&-BW9-;( zFETF7hurHeGlKzPhOsS4uDD8Giq8{s5XVz_;am_AWqH`3HsUBIg!a?;Be&k*NPBy? zfJ)_A-2+^`@DGbuJC6QUo~(ZHOtWq(%c@|r-H-CHahXN%)4PkeY!DHqde6)*-#s-4 z*@AZeIc$T`6=)t_s%uuhX-c)L{;g;&U%J?Ss=QJ#sJ>eaD{HVVZ3r&|{RN|B#{Fzw zO!D!fWxX(Xx}K*@TJz}7OLLPgG3{R$Bm58nGimR$%EW*W;3S14l(32ofJZ^q78P>F zR)7a=n?^}sI`XKg`}*aCBIqR+v0X{knxheEBY)edNvzt~woUysd;j5t>Q%L>~Q8dlsueJhN3@SUJg{4h)Wi(Db%o{&Me6n)otTAIx`rP0_J|@MXW{yuX^1?((D5^G7ti@P zZPlZH$2>viPE`man&m|B*TVzc-Bc+qTV?%}t7u7OE_Ki_gl1Ok z)>7?|u{LY^%*f?$Uxb9BA*Ik|vH!Gg8h=lTqx?gr_?o`J0(|N_<>h$caO5-QK-@bw z3S$>KUS;eyLL--I$+7CLEw#-1lMT=`FJZ6T2g zMFs}V%!*3FPrP;5M_RbJnWily#_*w*em-F@!c)IprpSdcUo|x6iL+2)-FrzW^LtN4Rokx(0)tqYf&&T8YHLiM#T*bHO8DqaCvyG`KrF2nLxj&tAG7JCu>DC$VYa7p4 z5;u=8w7J`P(qynkVx?e>JZkpRW+Nn}g}?L(d#GRye!wTE=|rkdy`3kf1&I!MmQZM| zYwL48fpze_U%U(xx}lKX&v=SASR(K=>LGR@`AG74LY3U}p}o=4kJFZM*(Q@v)!GKk z>Si>1pjfD^H&(C#`S)R{iot6p!5aumpX9QWx+b|9@_H|xfIAdx|2p*_+q1-ytHI)L z6ko|=mBh<(sm~Dg8CN9ZTD-2d+=Xd_A-U8}!-l!vhAJWf--^7Q3{+gXwqzc6Zz$Dt z;OW{EucrC=pX5MfOe+s^sksG99rxFI)MLc+(y%Ve6m?!RdW32A9)ln}z1-fz_$J1_egq?OqLgZI_3l-QHf!|!kOE%DJZHGN;90Ma$WQz?zUp+x;G3d?jpQfKX7 zI{$C1`k(v=aKFMSUjMrfFPCU9ry z(9jTSaX3i9tAgNZ`K9pHmnLU`lo=aV+YdK(#zM-v)5KB;lI7PHg5dfLttkb-oRclf z^&%RRXcZOzuh({0ezt&Y588|bG;A@cQsr%gN?2dcmJ?h>iX%;jcn3M1B=gqm{k`w6 zu9iGe)y%pqw{v@-3&t}8zAS8%J5#4WZxY{5;1kyTsQ|>&@V*WNiBTifk#5tXyl?~} zBEqQ_QhlXmwOwbXCw&&cn;Rb=@wht@-S!ED9*iY=g~#bySbr}*oiQqLe6vp7pQ_ih zzba*QeNa1qe)Gc-F=+ajlWp&3Ay-xK`*mcR-i~u+|H1ZR5O5&r&vxbCCov&CbiBwq zfH!fD%{^i%3UaQvJFMt416sDd0uRpRNE!1s_Iyx%h?nM#V^yEYgSm6)|2^6StR}ZP z;WgXni~OAPh~XweguFDPD@R2#MKbL$oca?r`MU6EW9HqUjqkS?rubc^N!-@!#+f^x zvmY@yOC{@I2$oKX{d&7@&B8|oPKm@Px?uG{v6@qJ_%UyyOB6L6;_^W) zVK7-NkT*Z>VBUkH`Gv)0*-(YzAZW`nCz?rBRd#0)Q6DRzq5|(=l*erq6~m7 z-dmm9HX=FFPSUu&9uN}ctkRbAPP=myBEM?6MNQrR*N82zUhes*xC%s~F7!!AfoKUKrL@c)}ZC*K=@J@+V zn`49Vunv&LC#ex|2P}i;5E-GqspkkfEBs~=(aj0|zb_evc*YVF2WKjL6fQZ$XAyKa=_OuQrO-=fAvMkYy zez2{hM;o}r6|_buD~i)j5m1=$;3%~#&y-44tvxUIXvjg(7Q^VpdRJ4sdCT+L_zcY5 z*bE}78S2%Wm`tdAZB14QJX*(o z!;Pab`Vao1%Uh}NVHf|pGuINUEf21bhr#a480I)%RdddA;3yozAunr-M`_;OuOaxl ziqC&_aB|xGZf~m;z2T>gnp?X8ufE(&UFE3H2PWMV(}^GhM>84n%JeVIM6s+ff4E5l zc`_fLo+s%(aI1cw$h zN^{t}w2PIphM{f+R>d<#^j;q+RNOygk7ie&dm3;fJY3Db)N1NYkGSuzPZ^#QHQt?- zxL{`D8!_gka1H<$FPN3t6bLH&vDlZ{)YS!yI6@N>c3ETVMSP3y4(~E;=#8w~D1xMjn8a6oDR{gi= z)qp$1xSr0w!0R3m`cc~s^Ko-+?Awa>oxrnbcQDWKh74D^h=zUS32zv`!;aXAa(Dmf zZEYO~x~kFQia`nVXw$mUG99H~!a~;6Iy8Q00?_U=^!>YH@VMr|&T@dPW0f;|zAs|( z%_*z4TZkEqIQB5%XWx>{esTkhGUWB$4xQU@QX@^qP@pn=ad>^ctmqHDwHDF$B64*C z@8DT2>Z_rif^YLj43d}V=zN7D;9z+sK=kEx ztxa_7J9EMM9!vdFdaJ8Tb?H8dNDhJp?^~p+J6Y!+1va2_N1x7!yOzP;+dJc=-=UKio?yAx zl%9{6Aje&RH!Mr{p%CEc9qMePQ1@e@&J1($&wyLjSC2(b#zx-#K&|yx3H+g0eUN9w zTVj^_BL44jIlDsZfCqZLE1Af|mjmisYTD|6C5;c-<>A%p4>J?x5Ia6d&ZqC%gU0%2 zs|=>FeC;)DfJ1N1eM^j^AE-qUQ$;lH{0tv?=^8b{b3Ll)rj1+H3I@vQb+9#`r|1pw z?S8CPRa#nlW|c#lu0;|_7!~cc3}gD87re7XZMut$+6YKMsWk#&_x*fXKJJXJhNdUw zTUd|dMYt`dHlgLt#q=(rrva_NHp+l=+UTyE9QJx1zva{%F%IGE_|=z*Qqzn(iW;pC z8moMIH^XA#LV2X#*r9h})^GsAxW1X<4=D z%h#&i{+Ps9hnlCTx5eyEv{*k=j~qe%fRf(3*e7y=J=AL(@^}&{$WEyhB|)dSKV*C- z;3o^&^6q&norh4B!9;o%V1(t?>So>=Z?JNJfd+TTOHfR5zmF7iau8VAOazAr^A4(#$n(zjD zo`n-s|F_CQn|Y4;h-1%I#Z`7*syG!-*B_m|K;!XJttlfE0kZYYT17S5Z@VFmOqPFx z;JhF^-zyQfH}&GyU};#lkUwY&{uF?i0N2Ig*PgG-%gd{cW}-i+w3cx*mBjua-+qBi zgC0N)7}LNA%YzG{kO9uUSX5dFSOGG~!f~!+gJCZDOJ)1U)kkmm`<9j~Dk`2abBbyP z0}N0OXwJ=FnYO_N8X*(IL1Xo)6Q9HCaMC=@euZGPj_>A`4|+3Q*k6&-&xNb{?LM47 zw;BUMna((P?w|YhBe|}w&LCs!0C;U3lNAn?bd8`<)w25f9VV5S>V!+H%%{L1V*>si zi%eDIC^~pbUjqF`c1fNpv&AsS7Ch6P>J~x0F&;fz0JuY=PwMNNi1cqC#a$5fy3HdE z7Xk+DXqBX*7sr3i`BsD?K+RB#+w26Hnn|<9kvTw)Pkgw~?$Q`4i9Iwo*s7>j&FcJ- zjMxcG8!}*&wzvz@6pwm1z!4#~W)Ei)wYqs)^7^D1r4yix_b=_r>%zmsb4=p5-ILDm z^3?S$X~D}NJ>YnTKhATq4HlFPtmDi+HaWBoKLlT3T$=qy{AU7FGFum9t$$zHR(o(? z=paIH`zKld^f({(@$9LR{>JW@dyV&$YsYl=vkFoD&wyAcHK)S+@oo{Ht6@OZl=#6f z{Z&E#sfjx?{KY^mpvQ4M!wK`%0rL;Sq4(Cv{))2o3%$R9LDoMdOH27q7*?ryui~%d z?Aaf~{BySuDk&0pS?THn;XnLd%CXFQm$t5<>jM%b_%%eGtUR{W3S~%sc`Qp%^jYLf zf;;Qy^>*p}O0}yEl1>Lew9K5nt&t!@VgQO=Sjl+#Pot<)YeGd_T-Oxf4!Ah*I6Eri zots@zEA!&U8Tak&`N5vbcIh>ST(@2DEc|xnn_$7vBK(m0^j_}^m^*zNRQU9re_SU@ zE~{i=@wbELOw?J6J9^N-Gbc`JnNlXOaKE^LPc!{}Z;0%Q4wNsVV{UQF!vmc9^*+7P z5UX=4W76|RA8xFjq{Wb|R1ReWJ0$il6$`8hhuS*caQ4jFV_ge-4~e{`Q?T%@$C1os zi}@TOvG#!QlQr|x_|rGb8d&(tRmic%0BfV9?_nnvUak@gLidn|1upt9V*t&YNr_Kz ziroj~-+S_sI~rs09bx)iypLaE%EBQHgH)oN=aoW$Q+VCEz0G|3uv}XkDh}CrG zM9zNwz1GQ9?b?oxPG0*<0k+&=$PEQ@^QtBRa$pKU1XBjnW6x(k(oEYM|7u;Ri@$bZ zTWQLp2yi9;LXhlJJl&0gBt0i#P>VIPTIoO%8a?E68W_pN%bl@OnY-L?W}MRE6w3&7 z3z~ROI-m=W*vPK`w=}#Dt3fJdyklRZKXxeMDGkmKKuGv6?Q#@GiLMCe?62L410UNsx=oI1F88Em=W5Q5_}6@hwMsyj!)zwHpgAEKS_}NjKE+ zVyY1ukpi;pl*x1;_1|KL|799ixRrpHQ?9jC*;!@ zg3wq4c!nG(=^gcslXT-7bb~M9lCt#hsMXxVqpPSUI)kFFgoLWiKPI4j7rS|D_hSdQ ze0qA)*M@8b&UXjx_#xB36Of}$vbt%t1}_@{1wr?d)%`?Uuwp$a7j-W79P+^vjM*wGMPKPsFnv(D-giPoCnw7liIp!FvwuHoGty zh-RSN9VQBT7xZU;C+oXHa_w2gT*Xx@mrYBr*=Y@Tin?)D9s6@Ip$JbeVVphUjuMW# zOtB<+lOQxg6&@n8s6rFKO+fGRt;pz8?(JQ^M@Com5;9TxsYM*}t^yxAn~<*D#uA$n z@5C%FgUxSLRY;Bp99|6QptE#Tu9^-CU3*5IzB$J8O-aYffm_W>)clpS0Jn6lHk-56 zD~1+Cei<|rP_{g66a0J0c%Uj`ZTxl-EXsAHcU$v(4B+h;!gJE^KHXL^Zx(vC)&1yq zGNg?x8w_ISWuxzBjSdDP2(bg2%3ztzo8hCSHYT29sQ$O9B5TMT7#}#@cc7jb<3pIt zrCMtZ>o}bPbdD;xEicL{ToMvSbUxDhUtOh_Ly*KfRR$S~H)kJ-j%D+!o|$DMix`dD znD%o|cq80r(z=?^{}>JELH&Ohnf}ks6a2(`aBab;=LtU}pxR&w)tX6(zQ8E`={rPc zv?BIPz0jwTaZcF{l=y6N_y2BmfyeyM#SoJo(!Y|AE4kM-olXE8mxo!g)-6SXr$dQ1 zk9dCo%`kv~wBXIzis$dve@5(-0UaVYBOt5Y7BXA0oNfRELWk$rfSW3#F)6;j2{c7P Na8nx-qOsS#{{icclg9u6 literal 7206 zcma)hcUV)~vvvYV69hp(s)dpRN+_XMkJJzWr9)_fgkB`{j$)x}XbFUXNUv%H0tn=w zfIuL0q=VE*mn!w<{J!u0cc1&*Ke97>?`N->wPwxCJMW5rXr#x=%*PA>09c{=+9m)1 z5JZ)UjP%s2u2&J6`p4v_Z;b>1SoHt>0WSi+6Q~b)19YqcOnngn!H@l20Kvh*V0Rx+ zr1N7x7qG9tYtE(`9{|9Qhid|8P)W@aqUIJBR4 z>--JWVMj+D-l(vd#Non&E%*0MLUCc}GIP7LKIz>)c*UrVDCBFy0cx0)K&QlcB=>=X zz)F}Q)phdb-0>ZG$}rcRRVWzqicwRKGq#B6ILAW-I6jCNXz*X@>I(dJ$T%{14>Eae z11{#yx*b!vl{22R^J1mjT4EDmYpu$O&dfjqr17AbY1w$_U@Z!lvG+phS-?`)9njcS z20-Gj{FCDxkqw3 zQSL$=P;x+m;88T|@|Mys!$QFBWpC}3h8Uv#m^?yl~>EY@kMK?J0Y^!&- z7Z)cgq_&y;>~VD`v2YlF%9@v&vhoT?S6Uhp{PuqnEk*xwM$rRab?hBPX1jCt!w21q zn8i|?&IZhudeclpRWA`TKMX!8leY}Ke4TO1w!rF>Ij{+kN2Bm<`@H&|nsP?#3q;V9 zvRN~e=r+ckh(V$ngx|jH4d6|H$o7M>*)cBM;s4E&PUVJ!2^KZR%I`x5*FIE#o+tEm zq@{WCK;V}IrnM&dvWo96o+Y`(;|Z6?ym^WP!6cDs5Qy8IOXNgXP6dI=kz8Ugz*!u zD3M7PL{RyB(@)lsj2y!9s3B13&7L>}eq%#5L)v=pE!xSWN(!*$y7k7)1;HxQ&ROD3 zytu@2Br7eX)JqcTQ(0D<M*(@p_`o9iTf!$e5g7($CjrtO%MFXgTmD zEd$`hAnb}#a~sO+IcAzaG9s^#m1u`*@j@hu=F$zbw`fYC$FWEE1DC}5TGHV0;0=)t z!=qa*^2cB53k5|5#l+nODtW^e%bdr!O)=Xm zs$(8c#Y)PEk5I=nuC#3E@$S-%72?->f_~2nkI_zET@JloX&7%>doi`|ADuAPeAh2W z&=bCqW<+giYT}Riay@H*pwci^z)c2^Sl@nv@Tn9c2suAm06;D{QJaOu2@ zsG}p4$Jag3yk;W05L6DQjl@0kPxASX8+nlQ}_r0)~^ zD)ZoxaN^p=wyL&4k=M3O=b{I?%Sj|z z*ihfiJMNhAq8CXuoDGcn>-jcWwofgMdP5nHZha6^68jYZW`ybi6ghRveck8%#(;6OL1kSO!@24EdBdbSgGQhdR-Ls@;5>=GH@CD1J01KBR~CdTEULOiN}fURp9_bWp1bO~*6S$h7PI^G6X^86 zDW$u~J?*mcgZL>umR=n-X^94!r}b+}%Xm;+!f3$ADmc71CM}EgTSWwX}U&ZLsY+$J`b+#IEac@d{LI!ONzWyZ=WuuFyXH&nuUoW7=!6 zI(#t|z3b;HZcp8} zbkc~aX{)mO_^c}p)G64Zr{MM1bU9?eUukP;y-Dg9TcqwFJWkx`&n8{t?cGJQe+DT5 zVe@S-hDS!)1q}+&;~`M>7(3E>GGU?242P9KV+8fkfL!gWhP!vN-FlG@YSOyY$5ur~ zK|xEmm;`_JDUZDdfmUp9hx+^fr$YZPV!30>CIKdEY?QI#15J|%-FTX1`|n`XjU@lj zlDeCo71p#1rXt7Hl+6j}XD35=S8^0;8G5XPTWL_{xom92<+DBa&t1DlIr*S5*5JoaD;TL`F-?-)?j$AKz$b}DtG1dEVeTS z6qCr*Q!PY0B}EBWr=2Tpy!CGWqe-;p9X8NB~%1Sx7r4^F}9{DEEnB=t^#IWp#BQfI62N;04Fw z*i^z+*V_RyES2EAQ{@a7w`iNf-&=vMY`z)3ti*lPsH2IoZXtJ)zacl?loc4M?P&kU zhTd#>dz}W9cvxNm$W`laHc|*Yh4tY?AC~>%Yg z)4Q*Jh=fZNtFmW0RqVeMB6Qsck*a{a^7W)#<*um?>kSR;&O+y*UA<4P<)doppF%zN z2vf3-K@I{&rbnrHomf_P=XJq>w+kgQb+lZa^(8;j*J^r(2X?IdA$)naqNXLg3SO^plKu6H zYGwQG=;)|yz-s^SB_3O15-;Qzwerb4au^ogNFFiIC%_a9!mSl=Zq_J5;qRo@eq%Hu z8#h``Hd*6TKc>axCjOCs^sGlEpUqESruE8f<$9-bZ96Y9f$+RkuC})JuJtDob2vPQ zmuEj1sHb8IwgG%Yx(E} zHBK|ksL@GX5Z#i}0uN8m(4BT3Q>|B5Htv@eaOr0m- zynkBvrKKer-l`~dyM0JYd^b@2m?(^5 zuEkj)w+!v<1QWR>4Oj(LJ~N~LqVU!fsC3l4wOglZE-Nd8=0>0YAz_vA)#c^Cl%R)z zn!0(plB>mFmq|hNUCPI2m-zR(>LI2&hB!406Q>x<%$LyJAygE-tqWq3SwO3VIv>&d z)cGi{V3CB2^Aqo(V#CN-d`w+Z#Maoib77`k#mnxU#eA~n!vlIZa`_;LB%!FI`FNDB zKA#JM%%hajC%GAGk?zJ`?3-L~!qVoI96TGT7+&?8D-Js8eWuK(vkTyxo|P}Xx~4`kUnAG( zh7*FVZozCQCg+pJ>=j!dM8jG{M$(~9U=j$#leoV&2Jx9vGE$nS8?Ch774u8NBt!7a zLTsajrB=Lb0)QmIF=pJDnHL%UN3i*T+MH#74%)C$@CBa=P-rY*$>?!^NYgCIg8OI0 zi=XCZlNnB!nYVIzbSJtOLLkaCB4v`Q{~B0!3{?}cbjLPi{zcLa&6b-h@YX1GPLNSy zOAF3SVqZ+U@gcO#_DHaK^iKP9BSx;JJ%>EZJuzDl4<~^CAjr60w2U*KMU_nkjabPL z1vU}RWVv~oV!xJtTREAUi^{U4d%{_JHRp(+jm&pg4|h>6D@pnGiqd5+b!E)>6sZ$+ z03t2-jSM?Bv5`nw%HpQ>lC`9YQbfA{6wUVSAk*qUFq@|e(O=NEr&i)GbU)?s>GyB z^>}~na6skfzmA-&k3OY4M3U9BhKy8`sVO+>b4~Qcc`t6LqUc~(;#ZnMHkP!WLN!nO zTn~c51ioZoOYaWP45UnPkBp4KgC-~o%60Pc@_BC5U8YKftkPd!vU={=&eWSJ&G2x2 zQ|_ar-qXTuEMIr_-;fSJ@S^&D_+iphScXKnt(l>rp-xI-0z`S5;q*c+=i*^jJS)F~ zG}YrNn&%-@kK<8SQho;|Z?s;VtL^n`#S7Sh-HoAI*=}oM?jf(ZuO>AO_0%8uUicCi*&!&BsMIxAd4=w{$4%zFohUdc0Tr-?7R7bBMBO!^t(7%3 z#_GSn3#&fJRWa|$lw4DB>(5tj3feec6SF^n&)tN+LTsq=hmc6;11Z<9^>*%ONZaj3 zOquw*l$SpK`m(4`bxW-`Pcyop5Gm42Gg`Nhu0_rEMKaDE5ucr@Reia#l zj4_Rw#f&oyDA(LnV_G>OuEcg0oPU%8PRA$@cp3wjY4A+Blzkj_O3%N%D8bgZ%+_m) z@D?W3oK6L%!tRm}T@k^-i&#WmDJ%=Dm~>sn>Aszvoq2228Cn^+T<4k>dGK{(vOaH? zv{nbprpE%Ybl5i|5m)>YFwJzQ=7aHZ66NAOF&l`3Mi7W0q}I%c*;;aGqRxA=2*v#X zBkN)o7#KJ!39nNd?eE_fhJ?R=k!1wr1}2i$8!FGjX~%2qx;ocBxfnZm4!_;%Dr@up z@gerndtDjtiO&ye<-~^A=1@h~M@%#jL7;aRC6YDPVidW#eQdD{aXzj``RegkF<{

$kV^N$IRsYXRn4R}^GLyt4W&&artOA!2xFed|CGQXnizrnA%sbo=Y+mPv3zn0|x;DO+LMjDc7(7L?n{&sg) z1KqYqnM@59n~1T5{Rv%Kw0-c~40d5d88K0)!5Z9cG;EZaeGP}603%83zC|fL8KYQb z&t3FATe;hfrAm8mAPv`u|m3^0aH zkV*DE>q!=TYV?ExYBOknQ-*>uUu-=1sd`8x9FTi3WO^x@7AJ#$A(^XXa_FW)lqa%7 zrR4UnvG8ctWKMLZnX38Ry6cj?A#mS(gikZUJ`XdZPIr`6Sp9;D%+*abOlk3r*5LmB zK6*SUKt=sKX){5!+J7!9aEHph8V$}P4!#tUX&3;}ZcA&%Wc(JuF;nEi(>6lgM&%|M zOL&S)pXf+4Wg&Sr7dA1jfi;awvo}eVc_L*D+jfr8b5|ibnaG4<3y~?n7dW=mj$3|g zH4^q>zDry~k+vS%h}s6Unch^3q#@*eu%*<*u89_r*6Xcn&eW4t`*>(wgBFz^R|kr) ziWyUz2$RR5{t4u-L_}Md;udZna$5bK9K+gouLUppCLzrvgn z;w@oRKqW4QK%j~_xT9LtD%^uL>_aX?;2A5mH)v+x?uq$jVj`=4>@=Q!v5^pJh4a+p zFlsUSbWI#G`{fr30z`7}QnJPDXYUQ28CQQ_Cg+jo{&@}w{#i`jjN}j}34tXwVX|mU zA%Dz2aXq!Z)Rb&3r-7U*qEbfRIbK-}6lj#R(wdo@SGl{n9o1o`d5~7QDGsBeZ!mTu z6n#gB{0`FSH^Hbb5^d&>XjH(M)_U^eJ9(^oaw8HO1eTz8aHav|TG79?Eu_*8oi7U%l8nGp*+Qa|~~ zhVtg8j|NDHXOoXM#8T$>lKH8wcDy$#A2`T-<7mu`&4fr{&> zb;WkK13k`h#6?A^oc}?j9LC z@=DX+8x%!#Kvj6rj4W^D^aceS725`tW_#7M3N=tZsL%HXIiSJ%zxZnfK@ulI82zp#azo%gh#sb0A=jI z68BXUTyf3`5`GoUERfDg4koR8Lvom=V5_gCJgJz{c5;K^6#slBgzJdxmTltDb~||9byfp#+qHrj@piwmxVs;DjceMl%&xCp<^z*cpV| z0ze&-e@-R$OPL?+o}Yf|YgkqnFN^IDwbNNW63RsKj#%`>HW0m_#_TF1c??&whH;6Gnz6Jahe(b~!Oz z4N&p0xYHm=xYnQ$Q3!EhG8}Y$n_6%3R!+s|5{X7}BcK`DE418KIUXN`23?{8$$$Q7)dZG_?A5m>m){z<pC@Rd$G<(J zTegiqnrd7O%WE^7iKOxrPph9$kCmuQkfo8!J(C+YE#G Date: Fri, 18 Aug 2023 16:06:01 +0100 Subject: [PATCH 05/77] Getting sidetracked in the laplace domain --- .../appendix-C-laplace-and-filters.ipynb | 313 ++++++++++++++++++ artefacts/artefacts-1.ipynb | 38 ++- 2 files changed, 340 insertions(+), 11 deletions(-) create mode 100644 artefacts/appendix-C-laplace-and-filters.ipynb diff --git a/artefacts/appendix-C-laplace-and-filters.ipynb b/artefacts/appendix-C-laplace-and-filters.ipynb new file mode 100644 index 0000000..2354779 --- /dev/null +++ b/artefacts/appendix-C-laplace-and-filters.ipynb @@ -0,0 +1,313 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "44ff9bab", + "metadata": {}, + "source": [ + "# Appendix C: Laplace transforms & filters" + ] + }, + { + "cell_type": "markdown", + "id": "4f53e6ab", + "metadata": {}, + "source": [ + "We now do a very quick refresher on laplace transforms, with the goal of analysing \"filtering\" properties of (part of) the circuit." + ] + }, + { + "cell_type": "markdown", + "id": "7b888401", + "metadata": {}, + "source": [ + "## The impulse response\n", + "\n", + "This part of the text follows the outline given in \"Handleiding bij de cursus Systeemanalyse\" by Harm A. van Essen, 2002, and glosses over some tricky maths." + ] + }, + { + "cell_type": "markdown", + "id": "838b3cc5", + "metadata": {}, + "source": [ + "Let $\\delta(t)$ be the [unit impulse](https://en.wikipedia.org/wiki/Dirac_delta_function) or Dirac delta, which is commonly thought of as\n", + "\n", + "\\begin{align}\n", + "\\delta(t - t_0) = \\begin{cases}\n", + "\\infty, &t = t_0\\\\\n", + "0, &t \\neq t_0\\end{cases}\n", + "\\end{align}\n", + "\n", + "but has a more complicated \"proper\" definition as a [generalised function](https://en.wikipedia.org/wiki/Generalized_function) with properties:\n", + "\n", + "1. $$\\int_a^b \\delta(t)dt = 1 \\quad\\text{if } 0 \\in [a, b] $$\n", + "2. $$\\int_a^b \\delta(t)dt = 0 \\quad\\text{if } 0 \\notin [a, b]$$\n", + "3. $$\\int_{-\\infty}^{\\infty} \\delta(t - t_0)g(t)\\,dt = g(t_0)$$\n", + "\n", + "The response to a system driven with $\\delta$ is called the [impulse response](https://en.wikipedia.org/wiki/Impulse_response), and will be denoted here as $h(t)$." + ] + }, + { + "cell_type": "markdown", + "id": "a93c6512", + "metadata": {}, + "source": [ + "The impulse response for lots of functions can be found in tables, but can also be worked out by hand. For example, given a system defined by \n", + "\n", + "$$ \\dot{y}(t) + ay(t) = u(t) $$\n", + "\n", + "where $y$ is the state, $u$ is the input and $a$ is a non-zero constant, we can fill in $u(t)=\\delta(t)$ and $y(t)=h(t)$ (by h's definition) so that \n", + "\n", + "$$ \\dot{h}(t) + ah(t) = \\delta(t) $$" + ] + }, + { + "cell_type": "markdown", + "id": "e8cc9daf", + "metadata": {}, + "source": [ + "Away from $t = 0$, we have $\\delta(t) = 0$ and so we can separate $\\frac{dh}{dt} = -ah$ as usual to find $h(t) = h_0 e^{-at}$.\n", + "The situation near the discontinuity is harder to analyse, but the accepted solution is to let $h$ be zero just before it, $h(0^-) = 0$, and 1 immediately after it $h(0^+) = 1$.\n", + "With this, the full impulse response becomes\n", + "\n", + "\\begin{align}\n", + "h(t) = \\begin{cases}\n", + " e^{-at}, &t>0, \\\\\n", + " 0, &t<0 \\end{cases}\n", + "\\end{align}\n", + " " + ] + }, + { + "cell_type": "markdown", + "id": "8ea0133d", + "metadata": {}, + "source": [ + "### The superposition principle\n", + "\n", + "Next, we introduce the **superposition principle**.\n", + "This holds if, when input $u_1(t)$ has response $y_1(t)$ and input $u_2(t)$ has response $y_2(t)$, any **linear combination** of the input signals $u(t) = \\alpha_1 u_1(t) + \\alpha_2 u_2(t)$ results in the same linear combination of responses $y(t) = \\alpha_1 y_1(t) + \\alpha_2 y_2(t)$.\n", + "\n", + "The class of systems satisfying this priniciple are called **linear systems**.\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "70df420e", + "metadata": {}, + "source": [ + "### Decomposing arbitrary inputs into impulse responses\n", + "\n", + "Where the superposition principle holds, we can analyse the response to any input signal $u(t)$ by decomposing into inputs with known responses.\n", + "If we're willing to do some maths, this can even be an infinite number of sub-inputs, for example sine waves or, in this case, the unit impulse.\n", + "\n", + "Again, let $\\delta(t - \\tau)$ be a unit impulse input, and $h(t - \\tau)$ the impulse response, then we can write $u(t)$ as a linear combination with an infinite number of terms $u(\\tau)\\delta(t - \\tau)\\,d\\tau$ (where $\\tau$ is a constant):\n", + "\\begin{align}\n", + "u(t) = \\int_{-\\infty}^\\infty u(\\tau)\\delta(t - \\tau)\\,d\\tau\n", + "\\end{align}\n", + "writing the same linear combination for the known step responses $h(t - \\tau)$ we find:\n", + "\\begin{align}\n", + "y(t) = \\int_{-\\infty}^\\infty u(\\tau)h(t - \\tau)\\,d\\tau\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "8b7a584d", + "metadata": {}, + "source": [ + "These horrible forms are known as \"convolution integrals\", sometimes denoted with a $*$:\n", + "\\begin{align}\n", + "(f * g)(t) = \\int_{-\\infty}^\\infty f(\\tau)g(t - \\tau)\\,d\\tau\n", + "\\end{align}\n", + "where the left hand side notation is meant to convey that this is an operation on _functions_: we are not convolving the functions themselves, not their evaluations on some specific value of $t$.\n", + "Convolution is not restricted to time-varying functions: $t$ represents any free variable.\n", + "For people who love properties of things we can add that $(f * g)(t) = (g * t)(t)$." + ] + }, + { + "cell_type": "markdown", + "id": "94c6806b", + "metadata": {}, + "source": [ + "In summary:\n", + "\n", + "1. The impulse function is a slightly dubious \"generalised function\" for which $\\int_a^b\\delta(t)dt=1$ if and only if $0\\in[a, b]$.\n", + "2. A system's response to being driven with a unit impulse is called its impulse response, and can be derived or looked up in tables.\n", + "3. If the system obeys the superposition principle, we can write its response to an arbitrary input signal as a convolution integral of the input and the impulse response." + ] + }, + { + "cell_type": "markdown", + "id": "afb9e45b", + "metadata": {}, + "source": [ + "## The Laplace transform\n", + "\n", + "The Laplace transform is a one-to-one mapping between function spaces: it can be applied to a function \"in the time domain\" to yield another \"in the Laplace domain\".\n", + "A typical use case is to apply the Laplace transformation, manipulate the function in ways that would have been harder in the time domain, and then transform back.\n", + "\n", + "For a function $f(t)$ on $t \\geq 0$, its Laplace transformation $F(s)$ is defined as\n", + "\n", + "\\begin{equation}\n", + "F(s) = \\int_0^\\infty f(t) e^{-st} dt\n", + "\\end{equation}\n", + "\n", + "where $s$ is the new free variable, and is a complex number (so we're mapping 1-d functions onto 2-d functions!).\n", + "\n", + "We can denote this transfer as $\\mathcal{L}\\{f(t)\\} = F(s)$" + ] + }, + { + "cell_type": "markdown", + "id": "cb3c1376", + "metadata": {}, + "source": [ + "### The inverse transform?\n", + "\n", + "Being a nice one-to-one mapping, the Laplace transformation should have an inverse.\n", + "You can find it on [wikipedia](https://en.wikipedia.org/wiki/Inverse_Laplace_transform), but it's more common to rely on tables when converting back to the time domain, and even more common to end the analysis without ever converting back!" + ] + }, + { + "cell_type": "markdown", + "id": "f3b17d83", + "metadata": {}, + "source": [ + "### Some things are easier in the Laplace domain\n", + "\n", + "The Laplace transform has some very nice properties.\n", + "For starters, linear combinations of functions stay the same:\n", + "\n", + "\\begin{align}\n", + "& \\int_0^\\infty \\left[a f(t) + b g(t)\\right] e^{-st} dt \\\\\n", + "&= a \\int_0^\\infty f(t) e^{-st} dt + b \\int_0^\\infty g(t) e^{-st} dt \\\\\n", + "&= aF(s) + bG(s)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "52110cc8", + "metadata": {}, + "source": [ + "#### Time-derivatives\n", + "\n", + "More surprisingly, time-derivatives become multiplications by powers of $s$.\n", + "\n", + "Starting from\n", + "\\begin{align}\n", + "\\int_0^\\infty \\dot{f}(t) e^{-st} dt\n", + "\\end{align}\n", + "\n", + "we use integration by parts $\\int U dV = UV - \\int V dU$ with $U=e^{-st}$ and $dV=\\dot{f}(t)dt$ to find\n", + "\n", + "\\begin{align}\n", + "\\int_0^\\infty \\dot{f}(t) e^{-st} dt\n", + "&= \\left[f(t)e^{-st}\\right]_0^\\infty + \\int_0^\\infty f(t)se^{-st} dt \\\\\n", + "&= 0 - f(0)e^0 + s \\int_0^\\infty f(t)e^{-st} dt \\\\\n", + "&= s F(s) - f(0)\n", + "\\end{align}\n", + "\n", + "or\n", + "\n", + "\\begin{align}\n", + "\\mathcal{L}\\{\\dot{f}(t)\\} = s F(s) - f(0)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "c28d993e", + "metadata": {}, + "source": [ + "Similarly, for second order time-derivatives we get $\\mathcal{L}\\{\\ddot{f(t)}\\} = s^2F(s) - sf(0) - \\dot(f)(0)$." + ] + }, + { + "cell_type": "markdown", + "id": "be7e36ca", + "metadata": {}, + "source": [ + "#### Convolution\n", + "\n", + "Most importantly, ugly convolution becomes multiplication.\n", + "\n", + "To prove this, you start by writing out\n", + "\\begin{align}\n", + "\\mathcal{L}\\{f*g\\} = \\int_0^\\infty \\left(\\int_0^t f(\\tau) g(t-\\tau) \\,d\\tau \\right)e^{-st}dt\n", + "\\end{align}\n", + "and then, if you're _really_ good at integrals, you do several tricks and end up with\n", + "\\begin{align}\n", + "=F(s)G(s)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "86fd9ad8", + "metadata": {}, + "source": [ + "As a result, for a system with input $u$ and impulse response $h(t)$, we can replace\n", + "\\begin{align}\n", + "y(t) = \\int_{-\\infty}^\\infty u(\\tau)h(t - \\tau)\\,d\\tau\n", + "\\end{align}\n", + "with\n", + "\\begin{align}\n", + "Y(s) = H(s) U(s)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "e29635d8", + "metadata": {}, + "source": [ + "There's " + ] + }, + { + "cell_type": "markdown", + "id": "e7dee655", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "83131796", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "60109d61", + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/artefacts-1.ipynb b/artefacts/artefacts-1.ipynb index 5ee7abc..476bd19 100644 --- a/artefacts/artefacts-1.ipynb +++ b/artefacts/artefacts-1.ipynb @@ -201,14 +201,17 @@ "id": "d03887fd", "metadata": {}, "source": [ - "### The pipette: more stray capacitance\n", + "### More capacitance!\n", "\n", - "We'll now extend our diagram to the left, and incorporate some elements representing the patch clamp pipette.\n", + "We now extend our diagram with another capacitor, $C_p$, to represent the \"pipette capacitance\".\n", "\n", - "First, we add in another capacitor $C_p$, representing the \"pipette capacitance\".\n", - "This is most easily thought of as representing charge build-up on (and discharge from) the pipette wall, particularly in the submerged part (see [Levis & Rae 1998](https://doi.org/10.1016/S0076-6879(98)93017-8)).\n", - "However, these are not the only capacitative effects in a patch clamp set up, so that it is better to think of $C_p$ as a lumped, _parasitic_ capacitance.\n", - "This interpretation also allows us to analyse planar and high-throughput patch experiments that don't use a pipette." + "In manual patch clamp, the submerged part of the pipette will act as a capacitor (for a detailed description, see [Levis & Rae 1998](https://doi.org/10.1016/S0076-6879(98)93017-8)).\n", + "The value of $C_p$ depends on the tip shape and wall thickness of the (home made) pipette, and on how much of it is immersed.\n", + "As a result, $C_p$ will vary between experiments.\n", + "During an experiment, changes in the water level due to evaporation and/or perfusion as well as adhesion effects (water slowly creeping up the side of the pipette) can cause further variation (see e.g. [Thompson et al. 2001](https://doi.org/10.1016/S0006-3495(01)75752-9)).\n", + "\n", + "However, there are other capacitative effects in the set up, and a considerable $C_p$ exists in pipette-free patch clamp methods such as planar patch.\n", + "So it is better to think of $C_p$ as a lumped, _parasitic_ capacitance." ] }, { @@ -226,11 +229,15 @@ "id": "b253b88a", "metadata": {}, "source": [ - "In the diagram above, we have added in $C_p$, and renamed the voltage $V_-$ to $V_p$ (as we will use $V_p$ and $C_p$ together in the equations).\n", + "In the diagram above, we have added in $C_p$, and renamed the voltage $V_-$ to $V_p$, as we will use $V_p$ and $C_p$ together in the equations.\n", "\n", "Using the temporary symbol $I$ for the current flowing out on the left, we can then write\n", "\\begin{align}\n", "I_{in} = I + C_p \\dot{V}_p\n", + "\\end{align}\n", + "and\n", + "\\begin{align}\n", + "\\dot{I}_\\text{obs} = \\frac{I + C_p \\dot{V}_p - I_\\text{obs}}{\\tau_f}\n", "\\end{align}\n" ] }, @@ -239,21 +246,30 @@ "id": "eaf914be", "metadata": {}, "source": [ - "The dependence of $C_p$ on how much of the pipette is submerged means that it's value will vary from experiment to experiment, as it will depend on the exact shape of the (hand-made) pipette and the location of the cell in the bath (assuming the bath is not perfectly horizontal).\n", - "$C_p$ can also change during an experiment, for example if the level in the bath changes (due to evaporation or continuous perfusion) or when water slowly creeps up the sides of the pipette ([Thompson et al. 2001](https://doi.org/10.1016/S0006-3495(01)75752-9))." + "Using the ideal op-amp assumptions, we have $V_p = V_c$ and $\\dot{V}_p = \\dot{V}_c$, so the new term is entirely dependent on our input signal for $V_c$.\n", + "For a step protocol (a very common choice in voltage clamping), $\\dot{V}_p$ is either 0 during the steps or infinity at the discontinuities, which suggests that our idealised assumptions are reaching the limits of their usefulness." ] }, { "cell_type": "markdown", "id": "b764b93c", "metadata": {}, - "source": [] + "source": [ + "### A less idealised op amp" + ] }, { "cell_type": "markdown", "id": "98c8cbe6", "metadata": {}, - "source": [] + "source": [ + "We now revisit the ideal op amp assumptions:\n", + "\n", + "1. We will keep the assumption that no current flows into the terminals\n", + "2. But we give the op amp a finite speed: for it's output voltage $V_o$ we will write $\\dot{V}_o = \\left(V_+ - V_-\\right) / \\tau_\\text{amp}$\n", + "\n", + "An estimate for $\\tau_\\text{amp}$ from Weerakoon et al. is 0.05 $\\mu$s, while Sigworth 1995a gives an example value of 0.1 $\\mu$s." + ] }, { "cell_type": "markdown", From 96ce72d98334b23e2b5aed4f75fcd7db5cea1073 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 18 Aug 2023 16:06:45 +0100 Subject: [PATCH 06/77] Getting sidetracked in the laplace domain --- .../appendix-C-laplace-and-filters.ipynb | 42 +++++++++---------- 1 file changed, 21 insertions(+), 21 deletions(-) diff --git a/artefacts/appendix-C-laplace-and-filters.ipynb b/artefacts/appendix-C-laplace-and-filters.ipynb index 2354779..7fb4f08 100644 --- a/artefacts/appendix-C-laplace-and-filters.ipynb +++ b/artefacts/appendix-C-laplace-and-filters.ipynb @@ -10,7 +10,7 @@ }, { "cell_type": "markdown", - "id": "4f53e6ab", + "id": "15d86196", "metadata": {}, "source": [ "We now do a very quick refresher on laplace transforms, with the goal of analysing \"filtering\" properties of (part of) the circuit." @@ -18,7 +18,7 @@ }, { "cell_type": "markdown", - "id": "7b888401", + "id": "2b01a012", "metadata": {}, "source": [ "## The impulse response\n", @@ -28,7 +28,7 @@ }, { "cell_type": "markdown", - "id": "838b3cc5", + "id": "c93b0550", "metadata": {}, "source": [ "Let $\\delta(t)$ be the [unit impulse](https://en.wikipedia.org/wiki/Dirac_delta_function) or Dirac delta, which is commonly thought of as\n", @@ -50,7 +50,7 @@ }, { "cell_type": "markdown", - "id": "a93c6512", + "id": "99ecb1cd", "metadata": {}, "source": [ "The impulse response for lots of functions can be found in tables, but can also be worked out by hand. For example, given a system defined by \n", @@ -64,7 +64,7 @@ }, { "cell_type": "markdown", - "id": "e8cc9daf", + "id": "2a273061", "metadata": {}, "source": [ "Away from $t = 0$, we have $\\delta(t) = 0$ and so we can separate $\\frac{dh}{dt} = -ah$ as usual to find $h(t) = h_0 e^{-at}$.\n", @@ -81,7 +81,7 @@ }, { "cell_type": "markdown", - "id": "8ea0133d", + "id": "724142d8", "metadata": {}, "source": [ "### The superposition principle\n", @@ -95,7 +95,7 @@ }, { "cell_type": "markdown", - "id": "70df420e", + "id": "4d580eaf", "metadata": {}, "source": [ "### Decomposing arbitrary inputs into impulse responses\n", @@ -115,7 +115,7 @@ }, { "cell_type": "markdown", - "id": "8b7a584d", + "id": "3fc400c8", "metadata": {}, "source": [ "These horrible forms are known as \"convolution integrals\", sometimes denoted with a $*$:\n", @@ -129,7 +129,7 @@ }, { "cell_type": "markdown", - "id": "94c6806b", + "id": "4f089054", "metadata": {}, "source": [ "In summary:\n", @@ -141,7 +141,7 @@ }, { "cell_type": "markdown", - "id": "afb9e45b", + "id": "5529fcd3", "metadata": {}, "source": [ "## The Laplace transform\n", @@ -162,7 +162,7 @@ }, { "cell_type": "markdown", - "id": "cb3c1376", + "id": "ad3c668d", "metadata": {}, "source": [ "### The inverse transform?\n", @@ -173,7 +173,7 @@ }, { "cell_type": "markdown", - "id": "f3b17d83", + "id": "462946d2", "metadata": {}, "source": [ "### Some things are easier in the Laplace domain\n", @@ -190,7 +190,7 @@ }, { "cell_type": "markdown", - "id": "52110cc8", + "id": "36fe69b2", "metadata": {}, "source": [ "#### Time-derivatives\n", @@ -220,7 +220,7 @@ }, { "cell_type": "markdown", - "id": "c28d993e", + "id": "094c3bdb", "metadata": {}, "source": [ "Similarly, for second order time-derivatives we get $\\mathcal{L}\\{\\ddot{f(t)}\\} = s^2F(s) - sf(0) - \\dot(f)(0)$." @@ -228,7 +228,7 @@ }, { "cell_type": "markdown", - "id": "be7e36ca", + "id": "3d698bc5", "metadata": {}, "source": [ "#### Convolution\n", @@ -247,7 +247,7 @@ }, { "cell_type": "markdown", - "id": "86fd9ad8", + "id": "5bae9ee8", "metadata": {}, "source": [ "As a result, for a system with input $u$ and impulse response $h(t)$, we can replace\n", @@ -262,28 +262,28 @@ }, { "cell_type": "markdown", - "id": "e29635d8", + "id": "347d2b70", "metadata": {}, "source": [ - "There's " + "There's a [list of properties](https://en.wikipedia.org/wiki/Laplace_transform#Properties_and_theorems) on wikipedia." ] }, { "cell_type": "markdown", - "id": "e7dee655", + "id": "d79bc3fa", "metadata": {}, "source": [] }, { "cell_type": "markdown", - "id": "83131796", + "id": "8105055c", "metadata": {}, "source": [] }, { "cell_type": "code", "execution_count": null, - "id": "60109d61", + "id": "1efad05f", "metadata": {}, "outputs": [], "source": [] From d130e099b8b7aeb16e8e1b012720172a1f246f3f Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 22 Aug 2023 10:33:14 +0100 Subject: [PATCH 07/77] Still in the laplace domain --- .../appendix-C-laplace-and-filters.ipynb | 357 +++++++++++++++++- 1 file changed, 339 insertions(+), 18 deletions(-) diff --git a/artefacts/appendix-C-laplace-and-filters.ipynb b/artefacts/appendix-C-laplace-and-filters.ipynb index 7fb4f08..9251c4d 100644 --- a/artefacts/appendix-C-laplace-and-filters.ipynb +++ b/artefacts/appendix-C-laplace-and-filters.ipynb @@ -13,7 +13,7 @@ "id": "15d86196", "metadata": {}, "source": [ - "We now do a very quick refresher on laplace transforms, with the goal of analysing \"filtering\" properties of (part of) the circuit." + "This notebook provides a brief summary of laplace transforms, and their use in analysing a system's response to an input signal $u(t)$." ] }, { @@ -179,7 +179,7 @@ "### Some things are easier in the Laplace domain\n", "\n", "The Laplace transform has some very nice properties.\n", - "For starters, linear combinations of functions stay the same:\n", + "For starters, **linear combinations** stay the same:\n", "\n", "\\begin{align}\n", "& \\int_0^\\infty \\left[a f(t) + b g(t)\\right] e^{-st} dt \\\\\n", @@ -231,7 +231,7 @@ "id": "3d698bc5", "metadata": {}, "source": [ - "#### Convolution\n", + "#### Convolution and impulse response\n", "\n", "Most importantly, ugly convolution becomes multiplication.\n", "\n", @@ -242,7 +242,36 @@ "and then, if you're _really_ good at integrals, you do several tricks and end up with\n", "\\begin{align}\n", "=F(s)G(s)\n", - "\\end{align}" + "\\end{align}\n", + "\n", + "As a result, for a system with input $u$ and impulse response $h(t)$, we can replace\n", + "\\begin{align}\n", + "y(t) = \\int_{-\\infty}^\\infty u(\\tau)h(t - \\tau)\\,d\\tau\n", + "\\end{align}\n", + "with\n", + "\\begin{align}\n", + "Y(s) = H(s) U(s)\n", + "\\end{align}\n", + "\n", + "where $H(s) = Y(s)/U(s)$ is called the system's **transfer function**." + ] + }, + { + "cell_type": "markdown", + "id": "0377e8d8", + "metadata": {}, + "source": [ + "#### More properties\n", + "\n", + "There's a [list on wikipedia](https://en.wikipedia.org/wiki/Laplace_transform#Properties_and_theorems)." + ] + }, + { + "cell_type": "markdown", + "id": "d79bc3fa", + "metadata": {}, + "source": [ + "### Some transformed functions" ] }, { @@ -250,42 +279,334 @@ "id": "5bae9ee8", "metadata": {}, "source": [ - "As a result, for a system with input $u$ and impulse response $h(t)$, we can replace\n", + "Exponentials:\n", + "$$\\mathcal{L}\\{e^{-at}\\} = \\frac{1}{s + a}$$\n", + "\n", + "Sine & cosine:\n", + "$$\\mathcal{L}\\{\\sin(\\omega t)\\} = \\frac{\\omega}{s^2 + \\omega^2}$$\n", + "\n", + "$$\\mathcal{L}\\{\\cos(\\omega t)\\} = \\frac{s}{s^2 + \\omega^2}$$\n", + "\n", + "The impulse function:\n", + "$$\\mathcal{L}\\{\\delta(t)\\} = 1$$\n", + "\n", + "The step function, defined as \n", + "\\begin{equation}\n", + "\\theta(t - t_0) = \\begin{cases}1, &t > t_0\\\\0, &t < t_0\\end{cases}\n", + "\\end{equation}\n", + "has Laplace transform\n", + "\\begin{equation}\n", + "\\mathcal{L}\\{\\alpha \\theta(t)\\} = \\frac{\\alpha}{s}\n", + "\\end{equation}\n", + "(we have left the value at 0 undefined, but there are [other choices](https://en.wikipedia.org/wiki/Heaviside_step_function))." + ] + }, + { + "cell_type": "markdown", + "id": "347d2b70", + "metadata": {}, + "source": [ + "### Poles and zeros\n", + "\n", + "The form\n", + "\n", "\\begin{align}\n", - "y(t) = \\int_{-\\infty}^\\infty u(\\tau)h(t - \\tau)\\,d\\tau\n", + "F(s) = \\frac{a_ms^m + a_{m-1}s^{m-1} + ...}{b_ns^n + b_{n-1}s^{n-1} + ...} = k\\frac{\\prod_{i=1}^m(s - z_i)}{\\prod_{i=1}^n(s - p_i)}\n", "\\end{align}\n", - "with\n", + "\n", + "is commonly analysed in terms of **poles** $p_i$ and **zeroes** $z_i$.\n", + "\n", + "A few common cases are discussed below." + ] + }, + { + "cell_type": "markdown", + "id": "37fd5134", + "metadata": {}, + "source": [ + "#### Real poles result in exponential terms\n", + "\n", + "The form \n", + "\\begin{equation}\n", + "F(s) = \\frac{C_1}{s - p_1} + \\frac{C_2}{s - p_2} + ... + \\frac{C_n}{s - p_n}\n", + "\\end{equation}\n", + "where $p_i$ are all real numbers has inverse transform \n", + "$$f(t) = C_1e^{p_1t} + C_2e^{p_2t} + ... + C_ne^{p_nt}$$\n", + "\n", + "Terms like $\\frac{C_i}{(s - p_i)^2}$ become $C_ite^{p_it}$, while $\\frac{C_i}{(s - p_i)^3}$ becomes $C_it^2e^{p_it}$ etc." + ] + }, + { + "cell_type": "markdown", + "id": "94652d8b", + "metadata": {}, + "source": [ + "#### Imaginary poles give oscillations\n", + "\n", + "The form\n", + "\\begin{equation}\n", + "F(s) = \\frac{C_1 + C_2s}{(s - i\\omega)(s + i\\omega)} = \\frac{C_1 + C_2s}{s^2 +\\omega^2}\n", + "\\end{equation}\n", + "has inverse\n", + "\\begin{equation}\n", + "f(t) = \\left( \\frac{1}{\\omega} C_1\\sin(\\omega t) + C_2\\cos(\\omega t)\\right) \\theta(t)\n", + "\\end{equation}" + ] + }, + { + "cell_type": "markdown", + "id": "b3fe2e42", + "metadata": {}, + "source": [ + "#### Complex poles give growing or damped oscillations\n", + "\n", + "The form\n", + "\\begin{equation}\n", + "F(s) = \\frac{C_1 + C_2s}{(s+\\sigma-i\\omega)(s+\\sigma+i\\omega)} \n", + " = \\frac{C_1 + C_2s}{(s + \\sigma)^2 +\\omega^2}\n", + "\\end{equation}\n", + "has inverse\n", + "\\begin{equation}\n", + "f(t) = \\left(\n", + " \\frac{C_1 - C_2\\sigma}{\\omega}e^{-\\sigma t}\\sin(\\omega t) + C_2e^{-\\sigma t}\\cos(\\omega t)\n", + "\\right) \\theta(t)\n", + "\\end{equation}\n" + ] + }, + { + "cell_type": "markdown", + "id": "e853a336", + "metadata": {}, + "source": [ + "In general, just by looking at the poles, we can tell if\n", + "- the system is stable (real parts < 0) or instable (real parts > 0)\n", + "- the system has exponential behaviour (no imaginary parts) or oscillates (imaginary parts)" + ] + }, + { + "cell_type": "markdown", + "id": "73c25283", + "metadata": {}, + "source": [ + "## A few common systems" + ] + }, + { + "cell_type": "markdown", + "id": "5124c491", + "metadata": {}, + "source": [ + "The ODE\n", "\\begin{align}\n", - "Y(s) = H(s) U(s)\n", + "\\tau \\dot{y} + y(t) = u(t)\n", + "\\end{align}\n", + "\n", + "has Laplace transform \n", + "\n", + "\\begin{align}\n", + "\\tau (s Y(s) - y(0)) + Y(s) = U(s)\n", + "\\end{align}\n", + "\n", + "or\n", + "\n", + "\\begin{align}\n", + "Y(s) = \\frac{\\tau y(0)}{\\tau s + 1} + \\frac{1}{\\tau s + 1} U(s)\n", + "\\end{align}\n", + "\n", + "Here, the term on the left is called the _free_ or _natural response_, as it's the system's own response to its initial conditions.\n", + "The term on the right is called the _forced response_." + ] + }, + { + "cell_type": "markdown", + "id": "a120e9cb", + "metadata": {}, + "source": [ + "### A first order system with y(0) = 0\n", + "\n", + "The ODE\n", + "\\begin{align}\n", + "\\tau \\dot{y} + y(t) = K u(t)\n", + "\\end{align}\n", + "\n", + "with $y(0) = 0$ has transfer function\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{1}{1 + s\\tau} = \\frac{\\omega}{\\omega + s}\n", + "\\end{align}\n", + "\n", + "and impulse response\n", + "\n", + "\\begin{align}\n", + "h(t) = \\frac{1}{\\tau} e^{-t/\\tau}\n", "\\end{align}" ] }, { "cell_type": "markdown", - "id": "347d2b70", + "id": "766bf705", "metadata": {}, "source": [ - "There's a [list of properties](https://en.wikipedia.org/wiki/Laplace_transform#Properties_and_theorems) on wikipedia." + "### A second order system with real & distinct poles\n", + "\n", + "The ODE\n", + "\n", + "\\begin{align}\n", + "a \\ddot{y} + b \\dot{y} + c y(t) = u(t)\n", + "\\end{align}\n", + "\n", + "with $y(0) = 0$ and $\\dot{y}(0) = 0$ has transfer function\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{1}{a s^2 + b s + c} = \\frac{k}{(s - p_1)(s - p_2)} = \\frac{C_1}{s - p_1} + \\frac{C_2}{s - p_2}\n", + "\\end{align}\n", + "\n", + "where the last bit is a [partial fraction decomposition](https://en.wikipedia.org/wiki/Partial_fraction_decomposition).\n", + "As a result, it has impulse function\n", + "\n", + "\\begin{align}\n", + "h(t) = C_1 e^{p_1 t} + C_2 e^{p_2 t}\n", + "\\end{align}" ] }, { "cell_type": "markdown", - "id": "d79bc3fa", + "id": "0edde5d4", "metadata": {}, - "source": [] + "source": [ + "### A second order system with imaginary poles\n", + "\n", + "The ODE\n", + "\\begin{align}\n", + "\\ddot{y} + 2\\zeta\\omega\\dot{y} + \\omega^2 = u(t)\n", + "\\end{align}\n", + "\n", + "with $y(0) = 0$, $\\dot{y}(0) = 0$, and $\\ddot{y}(0) = 0$, has transfer function\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{1}{s^2 + 2\\zeta\\omega s + \\omega^2}\n", + "\\end{align}\n", + "\n", + "we can find the poles by solving $s^2 + 2\\zeta\\omega s + \\omega^2 = 0$ to find\n", + "\\begin{align}\n", + "-\\zeta \\omega \\pm \\omega \\sqrt{\\zeta^2 - 1}\n", + "\\end{align}\n", + "\n", + "if we consider the case where $\\zeta > 1$, then the poles are real and we have the system above.\n", + "For $\\zeta = 1$ we get a slightly different transfer function $\\frac{C_1s + C_2}{(s + \\omega)^2}$.\n", + "But for $0 \\leq \\zeta < 1$ the poles must be imaginary.\n", + "We can make this explicit by multiplying the term inside the square root by $-1$ and writing the poles as\n", + "\\begin{align}\n", + "-\\zeta \\omega \\pm i \\omega \\sqrt{1 - \\zeta^2}\n", + "\\end{align}\n", + "\n", + "for\n", + "\\begin{align}\n", + "H(s) &= \\frac{1}{(s + \\zeta \\omega + i \\omega \\sqrt{1 - \\zeta^2})(s + \\zeta \\omega - i \\omega \\sqrt{1 - \\zeta^2})} \\\\\n", + " &= \\frac{1}{(s + \\zeta \\omega)^2 + \\omega^2 (1 - \\zeta^2)}\n", + "\\end{align}\n", + "\n", + "Using the equation given above under \"poles and zeros\", we see that the impuls response is\n", + "\n", + "\\begin{align}\n", + "f(t) &= \\frac{1}{\\omega \\sqrt{1 - \\zeta^2}}e^{-\\zeta\\omega t}\\sin\\left(\\omega \\sqrt{1 - \\zeta^2} t\\right) \\theta(t) \\\\\n", + "&= \\frac{1}{\\omega_d}e^{-\\zeta\\omega t}\\sin\\left(\\omega_d t\\right) \\theta(t) \n", + "\\end{align}\n", + "\n", + "where $\\zeta$ is the damping coefficient, $\\omega$ is the natural frequency, and $\\omega_d = \\omega\\sqrt{1 - \\zeta^2}$ is the damped frequency.\n" + ] }, { "cell_type": "markdown", - "id": "8105055c", + "id": "20fb7cbe", "metadata": {}, - "source": [] + "source": [ + "## Filters\n", + "\n", + "Filters are commonly described using their transfer function." + ] }, { - "cell_type": "code", - "execution_count": null, - "id": "1efad05f", + "cell_type": "markdown", + "id": "fd49d887", + "metadata": {}, + "source": [ + "For example, a [low-pass filter](https://en.wikipedia.org/wiki/Low-pass_filter) has transfer function\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{\\omega}{\\omega + s} = \\frac{1}{1 + \\tau s}\n", + "\\end{align}\n", + "\n", + "where $\\tau = 1 / \\omega$.\n", + "For example, in an RC circuit we get $\\tau = RC$.\n", + "\n", + "To see why this is a \"low pass\" filter, we can determine its response to a sine wave:\n", + "\n", + "\\begin{align}\n", + "u(t) = \\sin(\\omega_u t)\n", + "\\end{align}\n", + "or\n", + "\\begin{align}\n", + "U(s) = \\frac{\\omega_u}{s^2 + \\omega_u^2}\n", + "\\end{align}\n", + "\n", + "The response to this input is\n", + "\\begin{align}\n", + "Y(s) = H(s)U(s) = \\frac{\\omega \\omega_u}{(\\omega + s)(s^2 + \\omega_u^2)}\n", + "\\end{align}\n" + ] + }, + { + "cell_type": "markdown", + "id": "195e2273", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n", + "\\begin{align}\n", + "\\end{align}\n" + ] + }, + { + "cell_type": "markdown", + "id": "dff94891", "metadata": {}, - "outputs": [], "source": [] } ], From 6bad2cedd1ff88589d68b64631d7c41edc732b93 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 1 Sep 2023 01:50:48 +0100 Subject: [PATCH 08/77] Laplacing --- .../appendix-C-laplace-and-filters.ipynb | 465 +++++++++++++++--- 1 file changed, 409 insertions(+), 56 deletions(-) diff --git a/artefacts/appendix-C-laplace-and-filters.ipynb b/artefacts/appendix-C-laplace-and-filters.ipynb index 9251c4d..c46764b 100644 --- a/artefacts/appendix-C-laplace-and-filters.ipynb +++ b/artefacts/appendix-C-laplace-and-filters.ipynb @@ -176,7 +176,7 @@ "id": "462946d2", "metadata": {}, "source": [ - "### Some things are easier in the Laplace domain\n", + "## Some things are easier in the Laplace domain\n", "\n", "The Laplace transform has some very nice properties.\n", "For starters, **linear combinations** stay the same:\n", @@ -193,7 +193,7 @@ "id": "36fe69b2", "metadata": {}, "source": [ - "#### Time-derivatives\n", + "### Time-derivatives\n", "\n", "More surprisingly, time-derivatives become multiplications by powers of $s$.\n", "\n", @@ -215,14 +215,8 @@ "\n", "\\begin{align}\n", "\\mathcal{L}\\{\\dot{f}(t)\\} = s F(s) - f(0)\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "094c3bdb", - "metadata": {}, - "source": [ + "\\end{align}\n", + "\n", "Similarly, for second order time-derivatives we get $\\mathcal{L}\\{\\ddot{f(t)}\\} = s^2F(s) - sf(0) - \\dot(f)(0)$." ] }, @@ -231,7 +225,7 @@ "id": "3d698bc5", "metadata": {}, "source": [ - "#### Convolution and impulse response\n", + "### Convolution and impulse response\n", "\n", "Most importantly, ugly convolution becomes multiplication.\n", "\n", @@ -261,31 +255,29 @@ "id": "0377e8d8", "metadata": {}, "source": [ - "#### More properties\n", + "### More properties\n", "\n", "There's a [list on wikipedia](https://en.wikipedia.org/wiki/Laplace_transform#Properties_and_theorems)." ] }, - { - "cell_type": "markdown", - "id": "d79bc3fa", - "metadata": {}, - "source": [ - "### Some transformed functions" - ] - }, { "cell_type": "markdown", "id": "5bae9ee8", "metadata": {}, "source": [ + "## Some transformed functions\n", + "\n", "Exponentials:\n", "$$\\mathcal{L}\\{e^{-at}\\} = \\frac{1}{s + a}$$\n", "\n", "Sine & cosine:\n", - "$$\\mathcal{L}\\{\\sin(\\omega t)\\} = \\frac{\\omega}{s^2 + \\omega^2}$$\n", + "$$\\mathcal{L}\\{\\sin(\\omega t)\\}\n", + " = \\mathcal{L}\\left\\{\\frac{e^{i \\omega t} - e^{i \\omega t}}{2i}\\right\\}\n", + " = \\frac{\\omega}{s^2 + \\omega^2}$$\n", "\n", - "$$\\mathcal{L}\\{\\cos(\\omega t)\\} = \\frac{s}{s^2 + \\omega^2}$$\n", + "$$\\mathcal{L}\\{\\cos(\\omega t)\\}\n", + " = \\mathcal{L}\\left\\{\\frac{e^{i \\omega t} + e^{i \\omega t}}{2}\\right\\}\n", + " = \\frac{s}{s^2 + \\omega^2}$$\n", "\n", "The impulse function:\n", "$$\\mathcal{L}\\{\\delta(t)\\} = 1$$\n", @@ -298,7 +290,9 @@ "\\begin{equation}\n", "\\mathcal{L}\\{\\alpha \\theta(t)\\} = \\frac{\\alpha}{s}\n", "\\end{equation}\n", - "(we have left the value at 0 undefined, but there are [other choices](https://en.wikipedia.org/wiki/Heaviside_step_function))." + "(we have left the value at 0 undefined, but there are [other choices](https://en.wikipedia.org/wiki/Heaviside_step_function)).\n", + "\n", + "There are lots of tables of Laplace transforms out there, including the [Laplace transform wikipedia page](https://en.wikipedia.org/wiki/Laplace_transform)." ] }, { @@ -306,7 +300,7 @@ "id": "347d2b70", "metadata": {}, "source": [ - "### Poles and zeros\n", + "## Poles and zeros\n", "\n", "The form\n", "\n", @@ -324,7 +318,7 @@ "id": "37fd5134", "metadata": {}, "source": [ - "#### Real poles result in exponential terms\n", + "### Real poles result in exponential terms\n", "\n", "The form \n", "\\begin{equation}\n", @@ -341,7 +335,7 @@ "id": "94652d8b", "metadata": {}, "source": [ - "#### Imaginary poles give oscillations\n", + "### Imaginary poles give oscillations\n", "\n", "The form\n", "\\begin{equation}\n", @@ -358,14 +352,14 @@ "id": "b3fe2e42", "metadata": {}, "source": [ - "#### Complex poles give growing or damped oscillations\n", + "### Complex poles give growing or damped oscillations\n", "\n", "The form\n", "\\begin{equation}\n", "F(s) = \\frac{C_1 + C_2s}{(s+\\sigma-i\\omega)(s+\\sigma+i\\omega)} \n", " = \\frac{C_1 + C_2s}{(s + \\sigma)^2 +\\omega^2}\n", "\\end{equation}\n", - "has inverse\n", + "with poles $-\\sigma + i\\omega$ and $-\\sigma -i\\omega$, has inverse\n", "\\begin{equation}\n", "f(t) = \\left(\n", " \\frac{C_1 - C_2\\sigma}{\\omega}e^{-\\sigma t}\\sin(\\omega t) + C_2e^{-\\sigma t}\\cos(\\omega t)\n", @@ -380,15 +374,9 @@ "source": [ "In general, just by looking at the poles, we can tell if\n", "- the system is stable (real parts < 0) or instable (real parts > 0)\n", - "- the system has exponential behaviour (no imaginary parts) or oscillates (imaginary parts)" - ] - }, - { - "cell_type": "markdown", - "id": "73c25283", - "metadata": {}, - "source": [ - "## A few common systems" + "- the system has exponential behaviour (no imaginary parts)\n", + " - or just oscillates (only imaginary parts)\n", + " - or has damped/growing oscillations (real and imaginary parts)" ] }, { @@ -396,6 +384,8 @@ "id": "5124c491", "metadata": {}, "source": [ + "## A few common systems\n", + "\n", "The ODE\n", "\\begin{align}\n", "\\tau \\dot{y} + y(t) = u(t)\n", @@ -413,8 +403,8 @@ "Y(s) = \\frac{\\tau y(0)}{\\tau s + 1} + \\frac{1}{\\tau s + 1} U(s)\n", "\\end{align}\n", "\n", - "Here, the term on the left is called the _free_ or _natural response_, as it's the system's own response to its initial conditions.\n", - "The term on the right is called the _forced response_." + "The first term is called the **free** or **natural response** and represents the system's response to its initial conditions.\n", + "The second term is called the **forced response**." ] }, { @@ -492,7 +482,7 @@ "-\\zeta \\omega \\pm \\omega \\sqrt{\\zeta^2 - 1}\n", "\\end{align}\n", "\n", - "if we consider the case where $\\zeta > 1$, then the poles are real and we have the system above.\n", + "If we consider the case where $\\zeta > 1$, then the poles are real and we have the system above.\n", "For $\\zeta = 1$ we get a slightly different transfer function $\\frac{C_1s + C_2}{(s + \\omega)^2}$.\n", "But for $0 \\leq \\zeta < 1$ the poles must be imaginary.\n", "We can make this explicit by multiplying the term inside the square root by $-1$ and writing the poles as\n", @@ -513,49 +503,412 @@ "&= \\frac{1}{\\omega_d}e^{-\\zeta\\omega t}\\sin\\left(\\omega_d t\\right) \\theta(t) \n", "\\end{align}\n", "\n", - "where $\\zeta$ is the damping coefficient, $\\omega$ is the natural frequency, and $\\omega_d = \\omega\\sqrt{1 - \\zeta^2}$ is the damped frequency.\n" + "where $\\zeta$ is the _damping coefficient_, $\\omega$ is the _natural frequency_, and $\\omega_d = \\omega\\sqrt{1 - \\zeta^2}$ is the _damped frequency_." ] }, { "cell_type": "markdown", - "id": "20fb7cbe", + "id": "8aedf673", "metadata": {}, "source": [ - "## Filters\n", + "## Frequency response example: a low-pass filter\n", + "\n", + "\n", + "# TODO, Rewrite with $\\tau$ and using \\cos\n", + "\n", + "\n", + "For many applications, particularly in engineering, the most interesting part of a system is how it responds to a (co)sinusoidal input.\n", + "\n", + "We can obtain this by filling in the laplace transform of a sine for U(s), carrying out the multiplication with H(s), and then working out the inverse transform.\n", + "We'll do this below for illustrative purposes, but skip to the next section to see why people usually don't.\n", + "\n", + "A [low-pass filter](https://en.wikipedia.org/wiki/Low-pass_filter) has transfer function\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{\\omega}{\\omega + s} = \\frac{1}{1 + \\tau s}\n", + "\\end{align}\n", + "where $\\tau = 1 / \\omega$.\n", + "For example, in an RC circuit we get $\\tau = RC$.\n", + "\n", + "To see why it's called a \"low-pass filter\", we apply the input\n", + "\\begin{align}\n", + "u(t) = \\sin(\\phi t)\n", + "\\end{align}\n", + "or\n", + "\\begin{align}\n", + "U(s) = \\frac{\\phi}{s^2 + \\phi^2}\n", + "\\end{align}\n", + "to get\n", + "\\begin{align}\n", + "Y(s) = H(s)U(s) = \\frac{\\omega \\phi}{(s + \\omega)(s^2 + \\phi^2)}\n", + "\\end{align}\n", + "\n", + "Partial fraction decomposition lets us write this as \n", + "\\begin{align}\n", + "Y(s) = \\frac{\\omega \\phi}{(\\omega + s)(s^2 + \\phi^2)}\n", + " = \\frac{C_1}{s + \\omega} + \\frac{C_2 s + C_3}{s^2 + \\phi^2}\n", + "\\end{align}\n", "\n", - "Filters are commonly described using their transfer function." + "We find the numerators by bringing under a common denominator and writing out the full equation:\n", + "\n", + "\\begin{align}\n", + "Y(s) &= \\frac{C_1s^2 + C_1\\phi^2 + C_2s^2 + C_2s\\omega + C_3s + C_3\\omega}{(s + \\omega)(s^2 + \\phi^2)} \\\\\n", + " &= \\frac{(C_1 + C_2)s^2 + (C_2\\omega + C_3)s + (C_1\\phi^2+ C_3\\omega)}{(s + \\omega)(s^2 + \\phi^2)} \\\\\n", + "\\end{align}\n", + "so that\n", + "\\begin{align}\n", + "C_1 + C_2 = 0 \\\\\n", + "C_2\\omega + C_3 = 0 \\\\\n", + "C_1\\phi^2+ C_3\\omega = \\omega\\phi\n", + "\\end{align}\n", + "or $C_2 = -C_1$, $C_3 = C_1\\omega$, and $C_1 = \\frac{\\omega\\phi}{\\omega^2 + \\phi^2}$.\n", + "\n", + "Substituting the equations for $C_2$ and $C_3$ into the partial fraction decomposition we see that\n", + "\\begin{align}\n", + "Y(s) &= C_1 \\frac{1}{s + \\omega} - C_1 \\frac{s}{s^2 + \\phi^2} + C_1 \\omega \\frac{1}{s^2 + \\phi^2} \\\\\n", + " &= C_1 \\left[ \\frac{1}{s + \\omega} - \\frac{s}{s^2 + \\phi^2} + \\frac{\\omega}{\\phi} \\frac{\\phi}{s^2 + \\phi^2} \\right]\n", + "\\end{align}\n", + "which we can translate back to\n", + "\\begin{align}\n", + "y(t) = C_1 \\left[ e^{-\\omega t} - \\cos(\\phi t) + \\frac{\\omega}{\\phi}\\sin(\\phi t) \\right]\n", + "\\end{align}" ] }, { "cell_type": "markdown", - "id": "fd49d887", + "id": "73fe61e8", "metadata": {}, "source": [ - "For example, a [low-pass filter](https://en.wikipedia.org/wiki/Low-pass_filter) has transfer function\n", + "#### Intermezzo: Let's do trig!\n", + "\n", + "Next we need something known as the \"harmonic addition theorem\", which shows that we can write the two trig functions above as a single cosine with a phase shift:\n", + "$$a\\cos(x) + b\\sin(x) = c\\cos(x + z)$$\n", + "\n", + "to see that this is true and find the appropriate $c$ and $z$ we use use $\\cos(x + z) = \\cos(x)\\cos(z) - \\sin(x)\\sin(z)$ and write\n", "\n", "\\begin{align}\n", - "H(s) = \\frac{\\omega}{\\omega + s} = \\frac{1}{1 + \\tau s}\n", + "a\\cos(x) + b\\sin(x) &= c\\cos(x + z) \\\\\n", + " &= c\\cos(x)\\cos(z) - c\\sin(x)\\sin(z)\n", + "\\end{align}\n", + "so that\n", + "\\begin{align}\n", + "\\left.\\begin{aligned} a &= c\\cos(z) \\\\ b &= -c\\sin(z) \\end{aligned}\\right\\}\n", + "\\quad \\tan{z}=\\frac{\\sin(y)}{\\cos(z)}=\\frac{-b}{a}\n", + "\\quad \\longrightarrow \\quad z = \\arctan(-b/a)\n", "\\end{align}\n", + "and\n", + "$$a^2 + b^2 = c^2\\cos^2(z) + c^2\\sin^2(z) = c^2 \\quad \\longrightarrow \\quad c = \\pm \\sqrt{a^2 + b^2}$$\n", "\n", - "where $\\tau = 1 / \\omega$.\n", - "For example, in an RC circuit we get $\\tau = RC$.\n", + "Finally, because $\\arctan$ by definition returns a value $z \\in (-\\pi/2, \\pi/2)$ we have $\\cos(z) > 0$ and so $a$ and $c$ must have the same sign, denoted $\\operatorname{sign}(a)$.\n", + "\n", + "So, for arbitrary $a$, $b$ and $x$ we find $z=\\arctan(-b/a)$ and $c=\\operatorname{sign}(a)\\sqrt{a^2+b^2}$.\n", "\n", - "To see why this is a \"low pass\" filter, we can determine its response to a sine wave:\n", + "##### Atan2 form\n", + "\n", + "Alternatively, we can write $c \\cos(x + z) = \\sqrt{a^2+b^2} \\cos(x + \\operatorname{atan2}(-b/a))$." + ] + }, + { + "cell_type": "markdown", + "id": "90cd96d6", + "metadata": {}, + "source": [ + "#### Back to the low-pass filter!\n", + "\n", + "Filling in \n", + "$$z = \\arctan(\\frac{-\\omega/\\phi}{-1})=\\arctan(\\frac{\\omega}{\\phi})$$\n", + "and\n", + "$$c = \\operatorname{sign}(-1)\\sqrt{1 + \\omega^2/\\phi^2}=-\\sqrt{1 + \\omega^2/\\phi^2}$$\n", + "\n", + "we get\n", "\n", "\\begin{align}\n", - "u(t) = \\sin(\\omega_u t)\n", + "y(t) &= C_1 \\left[ e^{-\\omega t} - \\cos(\\phi t) + \\frac{\\omega}{\\phi}\\sin(\\phi t) \\right] \\\\\n", + " &= C_1 \\left[ e^{-\\omega t} + \\sqrt{1 + \\omega^2/\\phi^2} \\cos\\left(\\phi t + \\arctan\\frac{\\omega}{\\phi}\\right) \\right] \\\\\n", "\\end{align}\n", - "or\n", + "\n", + "Finally\n", + "\\begin{align}\n", + "C_1 \\sqrt{1 + \\omega^2/\\phi^2} \n", + " = \\frac{\\omega\\phi}{\\omega^2 + \\phi^2} \\sqrt{1 + \\omega^2/\\phi^2}\n", + " = \\frac{\\omega}{\\omega^2 + \\phi^2} \\sqrt{\\phi^2 + \\omega^2}\n", + " = \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}} \\\\\n", + "\\end{align} \n", + "\n", + "for\n", + "\\begin{align}\n", + "y(t) = \\frac{\\omega\\phi}{\\omega^2 + \\phi^2} e^{-\\omega t} \n", + " + \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}} \\cos\\left(\\phi t + \\arctan\\frac{\\omega}{\\phi}\\right)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "d674efb3", + "metadata": {}, + "source": [ + "#### Interpreting the result\n", + "\n", + "We applied a simple input $\\sin(\\phi t)$ and got response:\n", + "\n", "\\begin{align}\n", - "U(s) = \\frac{\\omega_u}{s^2 + \\omega_u^2}\n", + "y(t) = \\frac{\\omega\\phi}{\\omega^2 + \\phi^2} e^{-\\omega t} \n", + " + \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}} \\cos\\left(\\phi t + \\arctan\\frac{\\omega}{\\phi}\\right)\n", "\\end{align}\n", "\n", - "The response to this input is\n", + "If $\\omega$ is real and positive (which is true if it's an angular frequency), then the left part is a **transient response**, while the right part is an **oscillation, with the same frequency** $\\phi$ **but a phase shift** $\\arctan(\\omega/\\phi) - \\pi/2$ (where the second term accounts for the $\\cos$ to $\\sin$ switch).\n", + "\n", + "To analyse this further, let $\\lambda = \\phi / \\omega$ be the ratio between the input and filter frequencies, so that $\\lambda > 1$ means the input frequency exceeds the filter frequency.\n", + "The equation above then simplifies to\n", "\\begin{align}\n", - "Y(s) = H(s)U(s) = \\frac{\\omega \\omega_u}{(\\omega + s)(s^2 + \\omega_u^2)}\n", - "\\end{align}\n" + "y(t) = \\frac{\\lambda}{1 + \\lambda^2} e^{-\\omega t} \n", + " + \\frac{1}{\\sqrt{1 + \\lambda^2}} \\cos\\left(\\phi t + \\arctan(\\lambda^{-1}) \\right)\n", + "\\end{align}\n", + "\n", + "This means that, once the transient response has died out, the main effect of the filter is to apply a frequency-dependent scaling $(1 + \\lambda^2)^{-1/2}$.\n", + "We can plot this response on a linear scale or, as is more commonly done, on a log-log scale:" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "id": "f59c1829", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "

" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import numpy as np\n", + "\n", + "f = lambda x: 1 / np.sqrt(1 + x**2)\n", + "\n", + "x = np.linspace(0, 5, 1001)\n", + "y = f(x)\n", + "\n", + "fig = plt.figure(figsize=(12, 4))\n", + "fig.subplots_adjust(wspace=0.3)\n", + "\n", + "ax = plt.subplot(1, 2, 1)\n", + "ax.set_xlabel('$\\lambda$')\n", + "ax.set_ylabel('$(1 + \\lambda^2)^{-1/2}$')\n", + "ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n", + "ax.axhline(f(1), color='#999', lw=0.5)\n", + "ax.plot(x, y, label='response')\n", + "ax.legend()\n", + "\n", + "ax = plt.subplot(1, 2, 2)\n", + "ax.set_xscale('log')\n", + "ax.set_yscale('log')\n", + "ax.set_xlabel('$\\lambda$')\n", + "ax.set_ylabel('$(1 + \\lambda^2)^{-1/2}$')\n", + "ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n", + "ax.axhline(f(1), color='#999', lw=0.5)\n", + "ax.plot(x, y, label='response')\n", + "ax.legend()\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "4291ad50", + "metadata": {}, + "source": [ + "this finally shows us why it's called a \"low-pass\" filter: at frequencies $\\phi \\ll \\omega$ the signal gain is almost unaffected, but as $\\phi$ gets closer to $\\omega$ a significant gain reduction sets in.\n", + "\n", + "In lots of engineering applications, the transient response is uninteresting, so people talk exclusively in terms of [frequency response](https://en.wikipedia.org/wiki/Frequency_response) and make [Bode plots](https://en.wikipedia.org/wiki/Bode_plot) consisting of the log-log plot above plus a similar one for the phase shift.\n", + "For patch-clamp the transient response is usually the interesting bit, but the equipment can still be analysed in terms of its frequency response, and noise-reduction through filtering is often described purely in frequency terms too." + ] + }, + { + "cell_type": "markdown", + "id": "0a7221fa", + "metadata": {}, + "source": [ + "## General frequency response\n", + "\n", + "To see how the above example generalises, we start with an impulse response $h(t)$ **for a stable system** and a cosine input\n", + "\n", + "$$u(t) = \\cos(\\omega t)$$\n", + "to get output\n", + "$$y(t) = \\int_0^t h(\\tau)\\cos(\\omega(t - \\tau))d\\tau$$\n", + "which we can write as\n", + "$$y(t) = \\int_0^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau - \\int_t^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau$$\n", + "\n", + "Recall that this is an equation _for a single value_ $y$ of $t$.\n", + "Because system is _stable_, its impulse response $h(t)$ will dampen out for increasing values of $t$.\n", + "As a result, the multiplication by $h$ will cause **the second term to dampen out as the starting point of the integral, $t$, increases**:" ] }, + { + "cell_type": "code", + "execution_count": 38, + "id": "dc683065", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 2.5))\n", + "\n", + "f = lambda x: np.exp(-x)\n", + "x = np.linspace(0, 10, 1001)\n", + "y = f(x)\n", + "\n", + "ax = fig.add_subplot(1, 3, 1)\n", + "ax.set_xlim(0, 10); ax.set_ylim(0, 1)\n", + "ax.plot(x, y)\n", + "ax.fill_between(x, y, alpha=0.2)\n", + "ax.text(2, 0.5, '$\\int h$ from 0 to $\\infty$')\n", + "\n", + "ax = fig.add_subplot(1, 3, 2)\n", + "ax.set_xlim(0, 10); ax.set_ylim(0, 1)\n", + "ax.axvline(x[200], color='k', lw=1)\n", + "ax.plot(x, y)\n", + "ax.fill_between(x[200:], y[200:], alpha=0.2)\n", + "ax.text(2.5, 0.5, '$\\int h$ from 2 to $\\infty$')\n", + "\n", + "ax = fig.add_subplot(1, 3, 3)\n", + "ax.set_xlim(0, 10); ax.set_ylim(0, 1)\n", + "ax.axvline(x[400], color='k', lw=1)\n", + "ax.plot(x, y)\n", + "ax.fill_between(x[400:], y[400:], alpha=0.2)\n", + "ax.text(4.5, 0.5, '$\\int h$ from 4 to $\\infty$')\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "51c3a3f1", + "metadata": {}, + "source": [ + "By contrast, the integral in the first term\n", + "$$y_{ss}(t) = \\int_0^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau$$\n", + "is always taken from 0 to $\\infty$, so over the full range of $h$.\n", + "\n", + "This splits the system into a _sinusoidal steady-state response_ (first term) and a _transient_ (second term).\n", + "\n", + "Assuming that we're only interested in the sinusoidal steady-state response $y_{ss}$, we can then analyse the system by looking at $y_{ss}$ exclusively:\n", + "\n", + "\\begin{align}\n", + "y_{ss}(t)\n", + " &= \\int_0^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau \\\\\n", + " &= \\frac{1}{2} \\int_0^\\infty h(\\tau)\\left(e^{i\\omega(t - \\tau)} + e^{-i\\omega(t - \\tau)}\\right)d\\tau \\\\\n", + " &= \\frac{1}{2} e^{i\\omega t} \\int_0^\\infty h(\\tau)e^{-i\\omega\\tau} d\\tau +\n", + " \\frac{1}{2} e^{-i\\omega t} \\int_0^\\infty h(\\tau)e^{i\\omega\\tau} d\\tau \\\\\n", + " &= \\frac{1}{2} e^{i\\omega t} H(i\\omega) + \\frac{1}{2} e^{-i\\omega t} H(-i\\omega) \\\\\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "10125dc2", + "metadata": {}, + "source": [ + "To go further, we first need to show that $H(-i\\omega)$ and $H(i\\omega)$ are each other's complex conjugates, i.e. $\\overline{H(-i\\omega)}=H(i\\omega)$:\n", + "\n", + "\\begin{align}\n", + "H(-i\\omega) = \\int_0^\\infty h(t)e^{i\\omega t}dt\n", + " = \\int_0^\\infty h(t)\\cos(\\omega t)dt + i \\int_0^\\infty h(t)\\sin(\\omega t)dt\n", + "\\end{align}\n", + "\n", + "and because $h(t)$ and $\\cos$ and $\\sin$ are real-valued the first and second terms are $H(-i\\omega)$'s real and imaginary parts.\n", + "Next, since\n", + "\n", + "\\begin{align}\n", + "H(i\\omega) = \\int_0^\\infty h(t)e^{-i\\omega t}dt\n", + " &= \\int_0^\\infty h(t)\\cos(-\\omega t)dt + i \\int_0^\\infty h(t)\\sin(-\\omega t)dt \\\\\n", + " &= \\int_0^\\infty h(t)\\cos(\\omega t)dt - i \\int_0^\\infty h(t)\\sin(\\omega t)dt \\\\\n", + " &= H(-i\\omega)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "490bf0f7", + "metadata": {}, + "source": [ + "Now, using $R=\\operatorname{Re}(H(i\\omega))$ and $I=\\operatorname{Im}(H(i\\omega))$ we can write\n", + "\n", + "\\begin{align}\n", + "y_{ss}(t)\n", + " &= \\frac{1}{2} \\left[ e^{i\\omega t} H(i\\omega) + e^{-i\\omega t} H(-i\\omega) \\right] \\\\\n", + " &= \\frac{1}{2} \\left[ e^{i\\omega t} H(i\\omega) + e^{-i\\omega t} \\overline{H(i\\omega)} \\right] \\\\\n", + " &= \\frac{1}{2} \\left[ e^{i\\omega t} R + i e^{i\\omega t} I + e^{-i\\omega t} R - i e^{-i\\omega t} I \\right] \\\\\n", + " &= \\frac{1}{2} \\left[ (e^{i\\omega t} + e^{-i\\omega t}) R + i (e^{i\\omega t} - i e^{-i\\omega t}) I \\right] \\\\\n", + " &= R\\cos(\\omega t) - I\\sin(\\omega t) \\\\\n", + "\\end{align}\n", + "\n", + "Finally, using the harmonic addition theorem in atan2 form (see above), we get\n", + "\n", + "\\begin{align}\n", + "y_{ss}(t) = |H(i\\omega)| \\cos(\\omega t + \\angle H(i\\omega))\n", + "\\end{align}\n", + "\n", + "where $|H(iw)| = \\sqrt{R^2 + I^2}$ and $\\angle H(i\\omega) = \\operatorname{atan2}(I, R)$." + ] + }, + { + "cell_type": "markdown", + "id": "dcdd6b1e", + "metadata": {}, + "source": [ + "As a result, a **stable** system's _sinusoidal steady state_ when driven with a frequency $\\omega$ consists of a scaling and a phase shift, and can be written in terms of its _frequency response_ $H(i\\omega)$." + ] + }, + { + "cell_type": "markdown", + "id": "20fb7cbe", + "metadata": {}, + "source": [ + "## Filters\n", + "\n", + "We now revisit the low-pass filter $H(s) = \\omega / (s + \\omega)$ when driven with an input $u(t)=\\cos(\\phi t)$.\n", + "\n", + "Recognising that for $\\omega > 0$ the system is stable, and **ignoring the transient response**, we fill in\n", + "\n", + "$$H(i \\phi) = \\frac{\\omega}{i \\phi + \\omega}$$\n", + "\n", + "so that\n", + "$$|H(i \\omega)| = \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}}$$\n", + "and\n", + "$$\\angle H(i \\omega) = \\operatorname{atan2}(\\phi, \\omega) = \\arctan(\\phi / \\omega)$$\n", + "(where the last bit holds because $\\phi > 0$ and $\\omega > 0$), to find\n", + "\\begin{align}\n", + "y_{ss}(t) = \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}} \\cos(\\omega t + \\arctan(\\phi / \\omega))\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "fd49d887", + "metadata": {}, + "source": [] + }, { "cell_type": "markdown", "id": "195e2273", From cab16b35bc6dcdaea80fefccee75325f2b4571ab Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 1 Sep 2023 22:37:00 +0100 Subject: [PATCH 09/77] Done with laplace appendix - for now --- .../appendix-C-laplace-and-filters.ipynb | 1119 ++++++++++++++--- artefacts/resources/rc-1-simple.png | Bin 0 -> 2304 bytes 2 files changed, 942 insertions(+), 177 deletions(-) create mode 100644 artefacts/resources/rc-1-simple.png diff --git a/artefacts/appendix-C-laplace-and-filters.ipynb b/artefacts/appendix-C-laplace-and-filters.ipynb index c46764b..81d4d4e 100644 --- a/artefacts/appendix-C-laplace-and-filters.ipynb +++ b/artefacts/appendix-C-laplace-and-filters.ipynb @@ -13,7 +13,11 @@ "id": "15d86196", "metadata": {}, "source": [ - "This notebook provides a brief summary of laplace transforms, and their use in analysing a system's response to an input signal $u(t)$." + "This notebook provides a brief summary of laplace transforms, and their use in analysing a system's response to an input signal $u(t)$, in particular a _filter's_ response to a sinusoidal input.\n", + "\n", + "The text glosses over the tricky maths of using the Dirac delta, but writes out a lot of the easy stuff.\n", + "You can usually skip derivations.\n", + "Ideally, you'd use the below as a reminder, not as a first introduction!" ] }, { @@ -23,14 +27,6 @@ "source": [ "## The impulse response\n", "\n", - "This part of the text follows the outline given in \"Handleiding bij de cursus Systeemanalyse\" by Harm A. van Essen, 2002, and glosses over some tricky maths." - ] - }, - { - "cell_type": "markdown", - "id": "c93b0550", - "metadata": {}, - "source": [ "Let $\\delta(t)$ be the [unit impulse](https://en.wikipedia.org/wiki/Dirac_delta_function) or Dirac delta, which is commonly thought of as\n", "\n", "\\begin{align}\n", @@ -53,30 +49,28 @@ "id": "99ecb1cd", "metadata": {}, "source": [ - "The impulse response for lots of functions can be found in tables, but can also be worked out by hand. For example, given a system defined by \n", + "Impulse responses for common functions can be looked up in tables, but they can also be worked out by hand.\n", + "For example, given a system defined by \n", "\n", - "$$ \\dot{y}(t) + ay(t) = u(t) $$\n", + "\\begin{align}\n", + "\\dot{y}(t) + ay(t) = u(t)\n", + "\\end{align}\n", "\n", "where $y$ is the state, $u$ is the input and $a$ is a non-zero constant, we can fill in $u(t)=\\delta(t)$ and $y(t)=h(t)$ (by h's definition) so that \n", "\n", - "$$ \\dot{h}(t) + ah(t) = \\delta(t) $$" - ] - }, - { - "cell_type": "markdown", - "id": "2a273061", - "metadata": {}, - "source": [ - "Away from $t = 0$, we have $\\delta(t) = 0$ and so we can separate $\\frac{dh}{dt} = -ah$ as usual to find $h(t) = h_0 e^{-at}$.\n", - "The situation near the discontinuity is harder to analyse, but the accepted solution is to let $h$ be zero just before it, $h(0^-) = 0$, and 1 immediately after it $h(0^+) = 1$.\n", - "With this, the full impulse response becomes\n", + "\\begin{align}\n", + "\\dot{h}(t) + ah(t) = \\delta(t)\n", + "\\end{align}\n", "\n", + "Next, we'll assume that the system has no response for $t < 0$ (the impulse hasn't happened yet).\n", + "At $t > 0$, we have $\\delta(t) = 0$ and so we can separate $\\frac{dh}{dt} = -ah$ as usual to find $h(t) = h_0 e^{-at}$.\n", + "The situation at $t = 0$ is tricky to analyse,but the accepted solution seems to be that $h(t = 0)$ is undefined, its limit approached from the left is 0, and its limit approached from the right is 1.\n", + "Integrating from $0$ to $t$ we then get $h_0 = 1$, so that the full impulse response becomes\n", "\\begin{align}\n", - "h(t) = \\begin{cases}\n", - " e^{-at}, &t>0, \\\\\n", - " 0, &t<0 \\end{cases}\n", + "h(t) = \\begin{cases}e^{-at}, &t>0,\\\\0, &t<0\\\\\\text{undefined}, &t=0\\end{cases}\n", "\\end{align}\n", - " " + "\n", + "More commonly we'll ignore negative time and just write e.g. $h(t)=e^{-at}$." ] }, { @@ -84,12 +78,12 @@ "id": "724142d8", "metadata": {}, "source": [ - "### The superposition principle\n", + "### The superposition principle and linear systems\n", "\n", - "Next, we introduce the **superposition principle**.\n", + "Next, we introduce the [superposition principle](https://en.wikipedia.org/wiki/Superposition_principle).\n", "This holds if, when input $u_1(t)$ has response $y_1(t)$ and input $u_2(t)$ has response $y_2(t)$, any **linear combination** of the input signals $u(t) = \\alpha_1 u_1(t) + \\alpha_2 u_2(t)$ results in the same linear combination of responses $y(t) = \\alpha_1 y_1(t) + \\alpha_2 y_2(t)$.\n", "\n", - "The class of systems satisfying this priniciple are called **linear systems**.\n", + "The class of systems satisfying this priniciple are called [linear systems](https://en.wikipedia.org/wiki/Linear_time-invariant_system).\n", "\n" ] }, @@ -100,14 +94,16 @@ "source": [ "### Decomposing arbitrary inputs into impulse responses\n", "\n", - "Where the superposition principle holds, we can analyse the response to any input signal $u(t)$ by decomposing into inputs with known responses.\n", + "For systems where the superposition principle holds, we can analyse the response to any input signal $u(t)$ by decomposing into sub-inputs with known responses.\n", "If we're willing to do some maths, this can even be an infinite number of sub-inputs, for example sine waves or, in this case, the unit impulse.\n", "\n", - "Again, let $\\delta(t - \\tau)$ be a unit impulse input, and $h(t - \\tau)$ the impulse response, then we can write $u(t)$ as a linear combination with an infinite number of terms $u(\\tau)\\delta(t - \\tau)\\,d\\tau$ (where $\\tau$ is a constant):\n", + "Let $\\delta(t - \\tau)$ be a unit impulse input, and $h(t - \\tau)$ the impulse response, we can then write $u(t)$ as a linear combination with an infinite number of terms $u(\\tau)\\delta(t - \\tau)\\,d\\tau$ (where $\\tau$ is a constant):\n", "\\begin{align}\n", "u(t) = \\int_{-\\infty}^\\infty u(\\tau)\\delta(t - \\tau)\\,d\\tau\n", "\\end{align}\n", - "writing the same linear combination for the known step responses $h(t - \\tau)$ we find:\n", + "\n", + "writing the same linear combination for the known impulse responses $h(t - \\tau)$ we find:\n", + "\n", "\\begin{align}\n", "y(t) = \\int_{-\\infty}^\\infty u(\\tau)h(t - \\tau)\\,d\\tau\n", "\\end{align}" @@ -122,7 +118,7 @@ "\\begin{align}\n", "(f * g)(t) = \\int_{-\\infty}^\\infty f(\\tau)g(t - \\tau)\\,d\\tau\n", "\\end{align}\n", - "where the left hand side notation is meant to convey that this is an operation on _functions_: we are not convolving the functions themselves, not their evaluations on some specific value of $t$.\n", + "where the left hand side notation is meant to convey that this is an operation on _functions_: we are convolving the functions themselves, not their evaluations on some specific value of $t$.\n", "Convolution is not restricted to time-varying functions: $t$ represents any free variable.\n", "For people who love properties of things we can add that $(f * g)(t) = (g * t)(t)$." ] @@ -157,7 +153,7 @@ "\n", "where $s$ is the new free variable, and is a complex number (so we're mapping 1-d functions onto 2-d functions!).\n", "\n", - "We can denote this transfer as $\\mathcal{L}\\{f(t)\\} = F(s)$" + "We denote this transfer as $\\mathcal{L}\\{f(t)\\} = F(s)$." ] }, { @@ -168,7 +164,7 @@ "### The inverse transform?\n", "\n", "Being a nice one-to-one mapping, the Laplace transformation should have an inverse.\n", - "You can find it on [wikipedia](https://en.wikipedia.org/wiki/Inverse_Laplace_transform), but it's more common to rely on tables when converting back to the time domain, and even more common to end the analysis without ever converting back!" + "You can find it on [wikipedia](https://en.wikipedia.org/wiki/Inverse_Laplace_transform), but it's more common to rely on tables when converting back to the time domain, or to end the analysis without ever converting back." ] }, { @@ -176,15 +172,15 @@ "id": "462946d2", "metadata": {}, "source": [ - "## Some things are easier in the Laplace domain\n", + "### Some things are easier in the Laplace domain\n", "\n", "The Laplace transform has some very nice properties.\n", "For starters, **linear combinations** stay the same:\n", "\n", "\\begin{align}\n", - "& \\int_0^\\infty \\left[a f(t) + b g(t)\\right] e^{-st} dt \\\\\n", - "&= a \\int_0^\\infty f(t) e^{-st} dt + b \\int_0^\\infty g(t) e^{-st} dt \\\\\n", - "&= aF(s) + bG(s)\n", + "& \\int_0^\\infty \\left[a f(t) + b g(t)\\right] e^{-st} dt \n", + " = a \\int_0^\\infty f(t) e^{-st} dt + b \\int_0^\\infty g(t) e^{-st} dt \n", + " = aF(s) + bG(s)\n", "\\end{align}" ] }, @@ -193,7 +189,7 @@ "id": "36fe69b2", "metadata": {}, "source": [ - "### Time-derivatives\n", + "#### Time-derivatives\n", "\n", "More surprisingly, time-derivatives become multiplications by powers of $s$.\n", "\n", @@ -225,7 +221,7 @@ "id": "3d698bc5", "metadata": {}, "source": [ - "### Convolution and impulse response\n", + "#### Convolution and impulse response\n", "\n", "Most importantly, ugly convolution becomes multiplication.\n", "\n", @@ -255,7 +251,7 @@ "id": "0377e8d8", "metadata": {}, "source": [ - "### More properties\n", + "#### More properties\n", "\n", "There's a [list on wikipedia](https://en.wikipedia.org/wiki/Laplace_transform#Properties_and_theorems)." ] @@ -265,7 +261,10 @@ "id": "5bae9ee8", "metadata": {}, "source": [ - "## Some transformed functions\n", + "### Some transformed functions\n", + "\n", + "There are lots of tables of Laplace transforms out there, including the [Laplace transform wikipedia page](https://en.wikipedia.org/wiki/Laplace_transform).\n", + "A few famous ones are given below.\n", "\n", "Exponentials:\n", "$$\\mathcal{L}\\{e^{-at}\\} = \\frac{1}{s + a}$$\n", @@ -290,9 +289,7 @@ "\\begin{equation}\n", "\\mathcal{L}\\{\\alpha \\theta(t)\\} = \\frac{\\alpha}{s}\n", "\\end{equation}\n", - "(we have left the value at 0 undefined, but there are [other choices](https://en.wikipedia.org/wiki/Heaviside_step_function)).\n", - "\n", - "There are lots of tables of Laplace transforms out there, including the [Laplace transform wikipedia page](https://en.wikipedia.org/wiki/Laplace_transform)." + "(we have left the value at 0 undefined, but there are [other choices](https://en.wikipedia.org/wiki/Heaviside_step_function))." ] }, { @@ -300,17 +297,17 @@ "id": "347d2b70", "metadata": {}, "source": [ - "## Poles and zeros\n", + "## Analysing systems with zeros and poles\n", "\n", - "The form\n", + "Many systems can be analysed in terms of their _zeros_ (values of $s$ for which $H(s)$ is zero) and _poles_ (a [particular type of singularity](https://en.wikipedia.org/wiki/Zeros_and_poles)).\n", + "\n", + "In particular, any n-th order linear differential equation (with all initial conditions set to 0) has a transfer function that can be written as the fraction of two polynomials:\n", "\n", "\\begin{align}\n", "F(s) = \\frac{a_ms^m + a_{m-1}s^{m-1} + ...}{b_ns^n + b_{n-1}s^{n-1} + ...} = k\\frac{\\prod_{i=1}^m(s - z_i)}{\\prod_{i=1}^n(s - p_i)}\n", "\\end{align}\n", "\n", - "is commonly analysed in terms of **poles** $p_i$ and **zeroes** $z_i$.\n", - "\n", - "A few common cases are discussed below." + "where $p_i$ are its **poles** $p_i$ and $z_i$ are its **zeroes**." ] }, { @@ -318,7 +315,11 @@ "id": "37fd5134", "metadata": {}, "source": [ - "### Real poles result in exponential terms\n", + "### Real, imaginary, and complex poles\n", + "\n", + "We can tell a lot by looking at the poles.\n", + "\n", + "#### Real poles result in exponential terms\n", "\n", "The form \n", "\\begin{equation}\n", @@ -335,7 +336,7 @@ "id": "94652d8b", "metadata": {}, "source": [ - "### Imaginary poles give oscillations\n", + "#### Imaginary poles give oscillations\n", "\n", "The form\n", "\\begin{equation}\n", @@ -352,7 +353,7 @@ "id": "b3fe2e42", "metadata": {}, "source": [ - "### Complex poles give growing or damped oscillations\n", + "#### Complex poles give growing or damped oscillations\n", "\n", "The form\n", "\\begin{equation}\n", @@ -372,11 +373,13 @@ "id": "e853a336", "metadata": {}, "source": [ - "In general, just by looking at the poles, we can tell if\n", - "- the system is stable (real parts < 0) or instable (real parts > 0)\n", - "- the system has exponential behaviour (no imaginary parts)\n", - " - or just oscillates (only imaginary parts)\n", - " - or has damped/growing oscillations (real and imaginary parts)" + "#### Stability and oscillations\n", + "\n", + "In summary, for a system with poles $p_i = \\sigma_i + i \\omega$, \n", + "- the system is stable only if all $\\sigma_i < 0$ (negative real parts);\n", + "- the system has exponential behaviour if all $\\omega_i = 0$ (no imaginary parts);\n", + "- the system exhibits pure oscillations if all $\\sigma_i = 0$ (no real parts);\n", + "- the system shows damped ($\\sigma_i < 0$) or exponentially growing ($\\sigma_i > 0$) oscillations for complex poles." ] }, { @@ -384,8 +387,7 @@ "id": "5124c491", "metadata": {}, "source": [ - "## A few common systems\n", - "\n", + "### Free and forced response\n", "The ODE\n", "\\begin{align}\n", "\\tau \\dot{y} + y(t) = u(t)\n", @@ -412,11 +414,13 @@ "id": "a120e9cb", "metadata": {}, "source": [ - "### A first order system with y(0) = 0\n", + "### First and second order systems with zeroed initial conditions\n", + "\n", + "#### A first order system with y(0) = 0\n", "\n", "The ODE\n", "\\begin{align}\n", - "\\tau \\dot{y} + y(t) = K u(t)\n", + "\\tau \\dot{y} + y(t) = u(t)\n", "\\end{align}\n", "\n", "with $y(0) = 0$ has transfer function\n", @@ -437,7 +441,7 @@ "id": "766bf705", "metadata": {}, "source": [ - "### A second order system with real & distinct poles\n", + "#### A second order system with real & distinct poles\n", "\n", "The ODE\n", "\n", @@ -464,7 +468,7 @@ "id": "0edde5d4", "metadata": {}, "source": [ - "### A second order system with imaginary poles\n", + "#### A second order system with imaginary poles\n", "\n", "The ODE\n", "\\begin{align}\n", @@ -486,21 +490,21 @@ "For $\\zeta = 1$ we get a slightly different transfer function $\\frac{C_1s + C_2}{(s + \\omega)^2}$.\n", "But for $0 \\leq \\zeta < 1$ the poles must be imaginary.\n", "We can make this explicit by multiplying the term inside the square root by $-1$ and writing the poles as\n", + "\n", "\\begin{align}\n", "-\\zeta \\omega \\pm i \\omega \\sqrt{1 - \\zeta^2}\n", "\\end{align}\n", - "\n", "for\n", "\\begin{align}\n", - "H(s) &= \\frac{1}{(s + \\zeta \\omega + i \\omega \\sqrt{1 - \\zeta^2})(s + \\zeta \\omega - i \\omega \\sqrt{1 - \\zeta^2})} \\\\\n", - " &= \\frac{1}{(s + \\zeta \\omega)^2 + \\omega^2 (1 - \\zeta^2)}\n", + "H(s) = \\frac{1}{(s + \\zeta \\omega + i \\omega \\sqrt{1 - \\zeta^2})(s + \\zeta \\omega - i \\omega \\sqrt{1 - \\zeta^2})}\n", + " = \\frac{1}{(s + \\zeta \\omega)^2 + \\omega^2 (1 - \\zeta^2)}\n", "\\end{align}\n", "\n", "Using the equation given above under \"poles and zeros\", we see that the impuls response is\n", "\n", "\\begin{align}\n", - "f(t) &= \\frac{1}{\\omega \\sqrt{1 - \\zeta^2}}e^{-\\zeta\\omega t}\\sin\\left(\\omega \\sqrt{1 - \\zeta^2} t\\right) \\theta(t) \\\\\n", - "&= \\frac{1}{\\omega_d}e^{-\\zeta\\omega t}\\sin\\left(\\omega_d t\\right) \\theta(t) \n", + "f(t) = \\frac{1}{\\omega \\sqrt{1 - \\zeta^2}}e^{-\\zeta\\omega t}\\sin\\left(\\omega \\sqrt{1 - \\zeta^2} t\\right) \\theta(t)\n", + " = \\frac{1}{\\omega_d}e^{-\\zeta\\omega t}\\sin\\left(\\omega_d t\\right) \\theta(t) \n", "\\end{align}\n", "\n", "where $\\zeta$ is the _damping coefficient_, $\\omega$ is the _natural frequency_, and $\\omega_d = \\omega\\sqrt{1 - \\zeta^2}$ is the _damped frequency_." @@ -513,65 +517,106 @@ "source": [ "## Frequency response example: a low-pass filter\n", "\n", + "In engineering (unlike in cell electrophysiology) the most interesting part of a system is often how it responds to a (co)sinusoidal input.\n", "\n", - "# TODO, Rewrite with $\\tau$ and using \\cos\n", + "We can obtain this by filling in the laplace transform of a sine or cosine for U(s), carrying out the multiplication with H(s), and then working out the inverse transform.\n", + "We'll do this below for illustrative purposes, but skip to the next section to see why people usually don't." + ] + }, + { + "cell_type": "markdown", + "id": "5462fea6", + "metadata": {}, + "source": [ + "### Deriving a transfer function\n", "\n", + "The example we use is a [low-pass filter](https://en.wikipedia.org/wiki/Low-pass_filter), for example the schematic below:\n", "\n", - "For many applications, particularly in engineering, the most interesting part of a system is how it responds to a (co)sinusoidal input.\n", + "\n", "\n", - "We can obtain this by filling in the laplace transform of a sine for U(s), carrying out the multiplication with H(s), and then working out the inverse transform.\n", - "We'll do this below for illustrative purposes, but skip to the next section to see why people usually don't.\n", + "Using Kirchoff's laws we write a differential equation for $V$ in terms of $V_\\text{in}$\n", "\n", - "A [low-pass filter](https://en.wikipedia.org/wiki/Low-pass_filter) has transfer function\n", + "\\begin{align}\n", + "\\left.\n", + "\\begin{aligned}\n", + "&V - V_\\text{in} = I_R R \\\\\n", + "&I_R = I_C = C\\frac{d}{dt}(0 - V) = -C\\dot{V} \\\\\n", + "\\end{aligned}\n", + "\\right\\}V - V_\\text{in} = -RC\\dot{V}\n", + "\\end{align}\n", + "\n", + "Using $\\omega = 1/RC$\n", "\n", "\\begin{align}\n", - "H(s) = \\frac{\\omega}{\\omega + s} = \\frac{1}{1 + \\tau s}\n", + "\\dot{V}(t) = \\omega\\left(V_\\text{in}(t) - V(t)\\right)\n", "\\end{align}\n", - "where $\\tau = 1 / \\omega$.\n", - "For example, in an RC circuit we get $\\tau = RC$.\n", "\n", - "To see why it's called a \"low-pass filter\", we apply the input\n", + "Apply a Laplace transformation, with initial conditions $V(0)=0$:\n", + "\n", "\\begin{align}\n", - "u(t) = \\sin(\\phi t)\n", + "s V(s) &= \\omega(V_\\text{in}(s) - V(s)) \\\\\n", + "V(s) &= \\omega V_\\text{in}(s) / (s + \\omega)\n", "\\end{align}\n", - "or\n", + "\n", + "Then find the transfer function by dividing by $U(s) = V_\\text{in}(s)$ for\n", + "\n", + "\\begin{align}\n", + "H(s) &= \\frac{\\omega}{s + \\omega}\n", + "\\end{align}\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "708dac38", + "metadata": {}, + "source": [ + "### Finding the output\n", + "\n", + "To see why this is called a \"low-pass filter\", we apply the input\n", + "\n", "\\begin{align}\n", - "U(s) = \\frac{\\phi}{s^2 + \\phi^2}\n", + "u(t) = \\cos(\\phi t)\n", + " \\quad\\longrightarrow\\quad\n", + "U(s) = \\frac{s}{s^2 + \\phi^2}\n", "\\end{align}\n", "to get\n", "\\begin{align}\n", - "Y(s) = H(s)U(s) = \\frac{\\omega \\phi}{(s + \\omega)(s^2 + \\phi^2)}\n", + "Y(s) = H(s)U(s) = \\frac{\\omega s}{(s + \\omega)(s^2 + \\phi^2)}\n", "\\end{align}\n", "\n", "Partial fraction decomposition lets us write this as \n", "\\begin{align}\n", - "Y(s) = \\frac{\\omega \\phi}{(\\omega + s)(s^2 + \\phi^2)}\n", - " = \\frac{C_1}{s + \\omega} + \\frac{C_2 s + C_3}{s^2 + \\phi^2}\n", + "Y(s) = \\frac{A}{s + \\omega} + \\frac{B s + C}{s^2 + \\phi^2}\n", "\\end{align}\n", "\n", - "We find the numerators by bringing under a common denominator and writing out the full equation:\n", + "which we can bring under a common denominator and expand to\n", "\n", "\\begin{align}\n", - "Y(s) &= \\frac{C_1s^2 + C_1\\phi^2 + C_2s^2 + C_2s\\omega + C_3s + C_3\\omega}{(s + \\omega)(s^2 + \\phi^2)} \\\\\n", - " &= \\frac{(C_1 + C_2)s^2 + (C_2\\omega + C_3)s + (C_1\\phi^2+ C_3\\omega)}{(s + \\omega)(s^2 + \\phi^2)} \\\\\n", + "Y(s) = \\frac{s^2(A + B) + s(B\\omega + C) + (A\\phi^2 + C\\omega)}{(s + \\omega)(s^2 + \\phi^2)}\n", "\\end{align}\n", - "so that\n", + "\n", + "which holds if $B = -A$ and $C = -\\frac{\\phi^2}{\\omega}A$.\n", + "For $A$ itself we find\n", "\\begin{align}\n", - "C_1 + C_2 = 0 \\\\\n", - "C_2\\omega + C_3 = 0 \\\\\n", - "C_1\\phi^2+ C_3\\omega = \\omega\\phi\n", + "\\omega = B\\omega + C = -A\\omega - \\frac{\\phi^2}{\\omega}A\n", + " \\,\\longrightarrow\\,\n", + "A = \\frac{\\omega}{-\\omega - \\phi^2/\\omega} = \\frac{-\\omega^2}{\\omega^2 + \\phi^2}\n", "\\end{align}\n", - "or $C_2 = -C_1$, $C_3 = C_1\\omega$, and $C_1 = \\frac{\\omega\\phi}{\\omega^2 + \\phi^2}$.\n", "\n", - "Substituting the equations for $C_2$ and $C_3$ into the partial fraction decomposition we see that\n", + "Filling in expressions for $B$ and $C$ we arrive at\n", + "\n", "\\begin{align}\n", - "Y(s) &= C_1 \\frac{1}{s + \\omega} - C_1 \\frac{s}{s^2 + \\phi^2} + C_1 \\omega \\frac{1}{s^2 + \\phi^2} \\\\\n", - " &= C_1 \\left[ \\frac{1}{s + \\omega} - \\frac{s}{s^2 + \\phi^2} + \\frac{\\omega}{\\phi} \\frac{\\phi}{s^2 + \\phi^2} \\right]\n", + "Y(s) = A\\frac{1}{s + \\omega} -A\\frac{s}{s^2 + \\phi^2} - A\\frac{\\phi}{\\omega}\\frac{\\phi}{s^2 + \\phi^2}\n", "\\end{align}\n", - "which we can translate back to\n", + "\n", + "which translates back easily to\n", + "\n", "\\begin{align}\n", - "y(t) = C_1 \\left[ e^{-\\omega t} - \\cos(\\phi t) + \\frac{\\omega}{\\phi}\\sin(\\phi t) \\right]\n", - "\\end{align}" + "y(t) = Ae^{-\\omega t} -A\\left[\\cos(\\phi t) + \\frac{\\phi}{\\omega}\\sin(\\phi t)\\right]\n", + "\\end{align}\n", + "\n", + "This shows us that the response can be broken up into two parts: a **transient response**, depending only on (A and) the filter frequency $\\omega$, and a **periodic response**, with the frequency of the input signal, $\\phi$." ] }, { @@ -579,12 +624,12 @@ "id": "73fe61e8", "metadata": {}, "source": [ - "#### Intermezzo: Let's do trig!\n", + "#### Intermezzo: the harmonic addition theorem\n", "\n", - "Next we need something known as the \"harmonic addition theorem\", which shows that we can write the two trig functions above as a single cosine with a phase shift:\n", + "To simplify further we need something known as the \"harmonic addition theorem\", which shows that we can write the grouped terms above as a single cosine with a phase shift:\n", "$$a\\cos(x) + b\\sin(x) = c\\cos(x + z)$$\n", "\n", - "to see that this is true and find the appropriate $c$ and $z$ we use use $\\cos(x + z) = \\cos(x)\\cos(z) - \\sin(x)\\sin(z)$ and write\n", + "to see that this is true and find the appropriate $c$ and $z$ we write\n", "\n", "\\begin{align}\n", "a\\cos(x) + b\\sin(x) &= c\\cos(x + z) \\\\\n", @@ -597,15 +642,37 @@ "\\quad \\longrightarrow \\quad z = \\arctan(-b/a)\n", "\\end{align}\n", "and\n", - "$$a^2 + b^2 = c^2\\cos^2(z) + c^2\\sin^2(z) = c^2 \\quad \\longrightarrow \\quad c = \\pm \\sqrt{a^2 + b^2}$$\n", - "\n", - "Finally, because $\\arctan$ by definition returns a value $z \\in (-\\pi/2, \\pi/2)$ we have $\\cos(z) > 0$ and so $a$ and $c$ must have the same sign, denoted $\\operatorname{sign}(a)$.\n", + "$$a^2 + b^2 = c^2\\cos^2(z) + c^2\\sin^2(z) = c^2 \\quad \\longrightarrow \\quad c = \\pm \\sqrt{a^2 + b^2}$$" + ] + }, + { + "cell_type": "markdown", + "id": "c677459a", + "metadata": {}, + "source": [ + "##### Form using sign(a)\n", "\n", - "So, for arbitrary $a$, $b$ and $x$ we find $z=\\arctan(-b/a)$ and $c=\\operatorname{sign}(a)\\sqrt{a^2+b^2}$.\n", + "Finally, because $\\arctan$ by definition returns a value $z \\in (-\\pi/2, \\pi/2)$ we have $\\cos(z) \\geq 0$ and so $a$ and $c$ must have the same sign, denoted $\\operatorname{sign}(a)$.\n", + "This gives us the final result:\n", "\n", + "\\begin{align}\n", + "a\\cos(x) + b\\sin(x) = \\operatorname{sign}(a)\\sqrt{a^2+b^2} \\cos(x + \\arctan(-b/a))\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "700c1da2", + "metadata": {}, + "source": [ "##### Atan2 form\n", "\n", - "Alternatively, we can write $c \\cos(x + z) = \\sqrt{a^2+b^2} \\cos(x + \\operatorname{atan2}(-b/a))$." + "Alternatively, we can use [atan2(b, a)](https://en.wikipedia.org/wiki/Atan2).\n", + "This differs from $\\arctan(b/a)$ by either $+\\pi$ or $-\\pi$ whenever $a < 0$, and since $\\cos(x \\pm \\pi) = -\\cos(x)$ this means the $\\cos$ will have the same sign as $a$ so that we can write:\n", + "\n", + "\\begin{align}\n", + "a\\cos(x) + b\\sin(x) = \\sqrt{a^2+b^2} \\cos(x + \\operatorname{atan2}(-b, a))\n", + "\\end{align}" ] }, { @@ -615,31 +682,21 @@ "source": [ "#### Back to the low-pass filter!\n", "\n", - "Filling in \n", - "$$z = \\arctan(\\frac{-\\omega/\\phi}{-1})=\\arctan(\\frac{\\omega}{\\phi})$$\n", - "and\n", - "$$c = \\operatorname{sign}(-1)\\sqrt{1 + \\omega^2/\\phi^2}=-\\sqrt{1 + \\omega^2/\\phi^2}$$\n", + "Filling in $a = 1$ and $b = \\phi / \\omega$ we get\n", + "\\begin{align}\\cos(\\phi t) + \\frac{\\phi}{\\omega}\\sin(\\phi t) \n", + " &= \\operatorname{sign}(1)\\sqrt{1 + \\phi^2/\\omega^2} \\cos\\left(\\phi t + \\arctan(-\\phi / \\omega)\\right) \\\\\n", + " &= \\sqrt{1 + \\phi^2/\\omega^2} \\cos\\left(\\phi t - \\arctan(\\phi / \\omega)\\right)\n", + "\\end{align}\n", "\n", - "we get\n", + "The complete response becomes\n", "\n", "\\begin{align}\n", - "y(t) &= C_1 \\left[ e^{-\\omega t} - \\cos(\\phi t) + \\frac{\\omega}{\\phi}\\sin(\\phi t) \\right] \\\\\n", - " &= C_1 \\left[ e^{-\\omega t} + \\sqrt{1 + \\omega^2/\\phi^2} \\cos\\left(\\phi t + \\arctan\\frac{\\omega}{\\phi}\\right) \\right] \\\\\n", + "y(t) &= \\frac{-\\omega^2}{\\omega^2 + \\phi^2} e^{-\\omega t} - \\frac{-\\omega^2}{\\omega^2 + \\phi^2} \\sqrt{1 + \\phi^2/\\omega^2} \\cos\\left(\\phi t - \\arctan(\\phi / \\omega)\\right) \\\\\n", + " &= -\\frac{\\omega^2}{\\omega^2 + \\phi^2} e^{-\\omega t} + \\frac{\\omega}{\\sqrt{\\omega^2 + \\phi^2}} \\cos\\left(\\phi t - \\arctan(\\phi / \\omega)\\right) \\\\\n", "\\end{align}\n", "\n", - "Finally\n", - "\\begin{align}\n", - "C_1 \\sqrt{1 + \\omega^2/\\phi^2} \n", - " = \\frac{\\omega\\phi}{\\omega^2 + \\phi^2} \\sqrt{1 + \\omega^2/\\phi^2}\n", - " = \\frac{\\omega}{\\omega^2 + \\phi^2} \\sqrt{\\phi^2 + \\omega^2}\n", - " = \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}} \\\\\n", - "\\end{align} \n", - "\n", - "for\n", - "\\begin{align}\n", - "y(t) = \\frac{\\omega\\phi}{\\omega^2 + \\phi^2} e^{-\\omega t} \n", - " + \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}} \\cos\\left(\\phi t + \\arctan\\frac{\\omega}{\\phi}\\right)\n", - "\\end{align}" + "This clearly shows the **transient part** on the left, and the **periodic part** on the right, which has **the same frequency** as the input signal but a **phase shift** depending on the ratio of the input frequency to the filter frequency.\n", + "Both terms have an amplification or gain factor depending on both frequencies." ] }, { @@ -649,35 +706,27 @@ "source": [ "#### Interpreting the result\n", "\n", - "We applied a simple input $\\sin(\\phi t)$ and got response:\n", - "\n", - "\\begin{align}\n", - "y(t) = \\frac{\\omega\\phi}{\\omega^2 + \\phi^2} e^{-\\omega t} \n", - " + \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}} \\cos\\left(\\phi t + \\arctan\\frac{\\omega}{\\phi}\\right)\n", - "\\end{align}\n", - "\n", - "If $\\omega$ is real and positive (which is true if it's an angular frequency), then the left part is a **transient response**, while the right part is an **oscillation, with the same frequency** $\\phi$ **but a phase shift** $\\arctan(\\omega/\\phi) - \\pi/2$ (where the second term accounts for the $\\cos$ to $\\sin$ switch).\n", - "\n", - "To analyse this further, let $\\lambda = \\phi / \\omega$ be the ratio between the input and filter frequencies, so that $\\lambda > 1$ means the input frequency exceeds the filter frequency.\n", + "We applied an input $\\cos(\\phi t)$ and got a response consisting of an exponentially decaying term and an oscillation.\n", + "To analyse this further, let $\\lambda = \\phi / \\omega$ be the ratio between the input and the filter frequencies, so that $\\lambda > 1$ means the input frequency exceeds the filter frequency.\n", "The equation above then simplifies to\n", "\\begin{align}\n", - "y(t) = \\frac{\\lambda}{1 + \\lambda^2} e^{-\\omega t} \n", - " + \\frac{1}{\\sqrt{1 + \\lambda^2}} \\cos\\left(\\phi t + \\arctan(\\lambda^{-1}) \\right)\n", + "y(t) = -\\frac{1}{1 + \\lambda^2} e^{-\\omega t} \n", + " + \\frac{1}{\\sqrt{1 + \\lambda^2}} \\cos\\left(\\phi t - \\arctan(\\lambda) \\right)\n", "\\end{align}\n", "\n", - "This means that, once the transient response has died out, the main effect of the filter is to apply a frequency-dependent scaling $(1 + \\lambda^2)^{-1/2}$.\n", + "This means that, once the transient response has died out, the main effect of the filter is to apply a phase shift and a frequency-dependent scaling $(1 + \\lambda^2)^{-1/2}$.\n", "We can plot this response on a linear scale or, as is more commonly done, on a log-log scale:" ] }, { "cell_type": "code", - "execution_count": 18, + "execution_count": 1, "id": "f59c1829", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -701,8 +750,8 @@ "fig.subplots_adjust(wspace=0.3)\n", "\n", "ax = plt.subplot(1, 2, 1)\n", - "ax.set_xlabel('$\\lambda$')\n", - "ax.set_ylabel('$(1 + \\lambda^2)^{-1/2}$')\n", + "ax.set_xlabel('$\\lambda$ = input frequency / filter frequency')\n", + "ax.set_ylabel('scaling factor')\n", "ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n", "ax.axhline(f(1), color='#999', lw=0.5)\n", "ax.plot(x, y, label='response')\n", @@ -711,8 +760,8 @@ "ax = plt.subplot(1, 2, 2)\n", "ax.set_xscale('log')\n", "ax.set_yscale('log')\n", - "ax.set_xlabel('$\\lambda$')\n", - "ax.set_ylabel('$(1 + \\lambda^2)^{-1/2}$')\n", + "ax.set_xlabel('$\\lambda$ = input frequency / filter frequency')\n", + "ax.set_ylabel('scaling factor')\n", "ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n", "ax.axhline(f(1), color='#999', lw=0.5)\n", "ax.plot(x, y, label='response')\n", @@ -723,13 +772,398 @@ }, { "cell_type": "markdown", - "id": "4291ad50", + "id": "e37dc6d6", + "metadata": {}, + "source": [ + "Looking at the linear plot, we can clearly see that the filter has a strong effect on frequencies above $\\omega$, but already provides significant damping even at $\\phi = \\omega$.\n", + "In the log-log plot the effect seems a bit more dramatic: for $\\phi \\approx 10^{-1} \\omega$ there is very little filtering, but anything higher gets filtered out.\n", + "\n", + "This finally shows us why it's called a \"low-pass\" filter: only low frequencies (relative to $\\omega$) pass through unattenuated.\n", + "\n", + "When combined with a plot of the phase shift, the log-log plot above is called a [Bode plot](https://en.wikipedia.org/wiki/Bode_plot).\n", + "In many engineering applications the transient response is uninteresting (provided it can be made short), so people usually don't bother with all the above to figure it out.\n", + "Instead, they look only at the _frequency response_." + ] + }, + { + "cell_type": "markdown", + "id": "adc1c4cc", + "metadata": {}, + "source": [ + "### Equations for cos and sin" + ] + }, + { + "cell_type": "markdown", + "id": "2bfed6d2", + "metadata": {}, + "source": [ + "In summary, for the low-pass filter and a cosine input we found\n", + "\n", + "\\begin{align}\n", + "y(t) &= -\\frac{\\omega^2}{\\omega^2 + \\phi^2} e^{-\\omega t} + \\frac{\\omega}{\\sqrt{\\omega^2 + \\phi^2}} \\cos\\left(\\phi t - \\arctan(\\phi / \\omega)\\right) \\\\\n", + " &= -\\frac{1}{1 + \\lambda^2} e^{-\\omega t} + \\frac{1}{\\sqrt{1 + \\lambda^2}} \\cos\\left(\\phi t - \\arctan(\\lambda) \\right)\n", + "\\end{align}\n", + "\n", + "where $\\lambda = \\phi / \\omega$.\n", + "\n", + "We can repeat all the above for a $\\sin$ input to find\n", + "\n", + "\\begin{align}\n", + "y(t) &= \\frac{\\omega\\phi}{\\omega^2 + \\phi^2} e^{-\\omega t} \n", + " + \\frac{\\omega}{\\sqrt{\\omega^2 + \\phi^2}} \\sin\\left(\\phi t - \\arctan(\\phi/\\omega)\\right) \\\\\n", + " &= \\frac{\\lambda}{1 + \\lambda^2} e^{-\\omega t} \n", + " + \\frac{1}{\\sqrt{1 + \\lambda^2}} \\sin\\left(\\phi t - \\arctan(\\lambda)\\right)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "29c1de4a", + "metadata": {}, + "source": [ + "### Let's simulate\n", + "\n", + "Of course, we could also just simulate all this...\n", + "At the risk of overkill, we'll use Myokit to simulate three cases: $\\lambda = 1/2$, $\\lambda = 1$, and $\\lambda = 2$.\n", + "\n", + "We'll start by defining a model for the cosine case:" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "6982ea37", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "\n", + "cos_model = myokit.parse_model('''\n", + "[[model]]\n", + "rc.v0 = 0\n", + "rc.v1 = 0\n", + "rc.v2 = 0\n", + "input(time, omega, lambda) = cos(lambda * omega * time)\n", + "\n", + "[rc]\n", + "t = 0 bind time\n", + "omega = 2\n", + "cos0 = input(t, omega, 1 / 2)\n", + "cos1 = input(t, omega, 1)\n", + "cos2 = input(t, omega, 2)\n", + "dot(v0) = (cos0 - v0) * omega\n", + "dot(v1) = (cos1 - v1) * omega\n", + "dot(v2) = (cos2 - v2) * omega\n", + "''')" + ] + }, + { + "cell_type": "markdown", + "id": "5a6312aa", + "metadata": {}, + "source": [ + "Next, we'll run a simulation and plot the results:" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "2ec8fc99", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sim = myokit.Simulation(cos_model)\n", + "sim.set_tolerance(1e-8, 1e-8)\n", + "log = sim.run(np.pi * 3).npview()\n", + "t = log.time()\n", + "\n", + "def amplitude(x):\n", + " \"\"\"Expected amplitude\"\"\"\n", + " return 1 / np.sqrt(1 + x**2)\n", + "\n", + "fig = plt.figure(figsize=(15, 5))\n", + "\n", + "ax = fig.add_subplot(1, 1, 1)\n", + "ax.set_title('Cosine simulations')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", + "ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", + "ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "kw = dict(color='#999', lw=0.5, ls='--')\n", + "ax.axhline(amplitude(1/2), **kw)\n", + "ax.axhline(amplitude(1), **kw)\n", + "ax.axhline(amplitude(2), **kw)\n", + "ax.legend(ncols=3)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "ab5437b5", + "metadata": {}, + "source": [ + "This shows the simulated voltages all starting at $V(t=0) = 0$, but then quickly adapting to become proper cosines.\n", + "Each signal is attenuated during the first period, but by the second period we see the peaks reach the expected amplitude for a pure signal.\n" + ] + }, + { + "cell_type": "markdown", + "id": "108098bc", + "metadata": {}, + "source": [ + "To check if our maths was any good, we can define functions using the derived equations for the transient and periodic terms, and compare them to the simulations:" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "dbdea210", + "metadata": {}, + "outputs": [], + "source": [ + "omega = cos_model.get('rc.omega').eval()\n", + "\n", + "def cos_transient(t, x):\n", + " \"\"\"Transient, using x=lambda.\"\"\"\n", + " return -1 / (1 + x**2) * np.exp(-omega * t)\n", + "\n", + "def cos_periodic(t, x):\n", + " \"\"\"Sine wave with gain and phase shift.\"\"\"\n", + " return 1 / np.sqrt(1 + x**2) * np.cos(omega * x * t - np.arctan(x))" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "7e6d22cb", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 9))\n", + "\n", + "ax = fig.add_subplot(2, 2, 1)\n", + "ax.set_title('Simulations, and subtracted transients')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", + "ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", + "ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "ax.plot(t, log['rc.v0'] - cos_transient(t, 1/2), '--', color='tab:blue', label='Simulation minus transient')\n", + "ax.plot(t, log['rc.v1'] - cos_transient(t, 1), '--', color='tab:orange', label='Simulation minus transient')\n", + "ax.plot(t, log['rc.v2'] - cos_transient(t, 2), '--', color='tab:green', label='Simulation minus transient')\n", + "ax.legend(ncols=2)\n", + "\n", + "ax = fig.add_subplot(2, 2, 2)\n", + "ax.set_title('Derived cosines')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, cos_periodic(t, 0.5), '--', color='tab:blue') \n", + "ax.plot(t, cos_periodic(t, 1), '--', color='tab:orange')\n", + "ax.plot(t, cos_periodic(t, 2), '--', color='tab:green')\n", + "\n", + "ax = fig.add_subplot(2, 2, 3)\n", + "ax.set_title('Derived transients')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, cos_transient(t, 0.5))\n", + "ax.plot(t, cos_transient(t, 1))\n", + "ax.plot(t, cos_transient(t, 2))\n", + "\n", + "ax = fig.add_subplot(2, 2, 4)\n", + "ax.set_title('Simulations minus derived cosines')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, log['rc.v0'] - cos_periodic(t, 0.5))\n", + "ax.plot(t, log['rc.v1'] - cos_periodic(t, 1))\n", + "ax.plot(t, log['rc.v2'] - cos_periodic(t, 2))\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "badaae31", + "metadata": {}, + "source": [ + "We can repeat the exercise for the sine wave equations:" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "f9b341f4", + "metadata": {}, + "outputs": [], + "source": [ + "sin_model = myokit.parse_model('''\n", + "[[model]]\n", + "rc.v0 = 0\n", + "rc.v1 = 0\n", + "rc.v2 = 0\n", + "input(time, omega, lambda) = sin(lambda * omega * time)\n", + "\n", + "[rc]\n", + "t = 0 bind time\n", + "omega = 2\n", + "sin0 = input(t, omega, 1 / 2)\n", + "sin1 = input(t, omega, 1)\n", + "sin2 = input(t, omega, 2)\n", + "dot(v0) = (sin0 - v0) * omega\n", + "dot(v1) = (sin1 - v1) * omega\n", + "dot(v2) = (sin2 - v2) * omega\n", + "''')" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "147105b9", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sim = myokit.Simulation(sin_model)\n", + "sim.set_tolerance(1e-8, 1e-8)\n", + "log = sim.run(np.pi * 3).npview()\n", + "t = log.time()\n", + "\n", + "fig = plt.figure(figsize=(15, 5))\n", + "\n", + "ax = fig.add_subplot(1, 1, 1)\n", + "ax.set_title('Sine simulations')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", + "ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", + "ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "kw = dict(color='#999', lw=0.5, ls='--')\n", + "ax.axhline(amplitude(1/2), **kw)\n", + "ax.axhline(amplitude(1), **kw)\n", + "ax.axhline(amplitude(2), **kw)\n", + "ax.legend()\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "74ae6fe7", + "metadata": {}, + "source": [ + "Consistent with the positive transient term, the signals now all start off with high amplitudes before settling down to the expected filtering level.\n", + "\n", + "Next, we perform the same checks as before:" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "17efb2f1", "metadata": {}, + "outputs": [], + "source": [ + "omega = sin_model.get('rc.omega').eval()\n", + "\n", + "def sin_transient(t, x):\n", + " \"\"\"Transient, using x=lambda.\"\"\"\n", + " return x / (1 + x**2) * np.exp(-omega * t)\n", + "\n", + "def sin_periodic(t, x):\n", + " \"\"\"Sine wave with gain and phase shift.\"\"\"\n", + " return 1 / np.sqrt(1 + x**2) * np.sin(omega * x * t - np.arctan(x))" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "id": "e1c06f2c", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], "source": [ - "this finally shows us why it's called a \"low-pass\" filter: at frequencies $\\phi \\ll \\omega$ the signal gain is almost unaffected, but as $\\phi$ gets closer to $\\omega$ a significant gain reduction sets in.\n", + "fig = plt.figure(figsize=(15, 9))\n", + "\n", + "ax = fig.add_subplot(2, 2, 1)\n", + "ax.set_title('Simulations, and subtracted transients')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", + "ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", + "ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "ax.plot(t, log['rc.v0'] - sin_transient(t, 1/2), '--', color='tab:blue', label='Simulation minus transient')\n", + "ax.plot(t, log['rc.v1'] - sin_transient(t, 1), '--', color='tab:orange', label='Simulation minus transient')\n", + "ax.plot(t, log['rc.v2'] - sin_transient(t, 2), '--', color='tab:green', label='Simulation minus transient')\n", + "ax.legend(ncols=2)\n", + "\n", + "ax = fig.add_subplot(2, 2, 2)\n", + "ax.set_title('Derived cosines')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, sin_periodic(t, 0.5), '--', color='tab:blue') \n", + "ax.plot(t, sin_periodic(t, 1), '--', color='tab:orange')\n", + "ax.plot(t, sin_periodic(t, 2), '--', color='tab:green')\n", + "\n", + "ax = fig.add_subplot(2, 2, 3)\n", + "ax.set_title('Derived transients')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, sin_transient(t, 0.5), label='$\\lambda=1/2$')\n", + "ax.plot(t, sin_transient(t, 1), label='$\\lambda=1/2$')\n", + "ax.plot(t, sin_transient(t, 2), 'k:', label='$\\lambda=1/2$')\n", + "ax.legend()\n", + "\n", + "ax = fig.add_subplot(2, 2, 4)\n", + "ax.set_title('Simulations minus derived cosines')\n", + "ax.set_ylim(-1.1, 1.1)\n", + "ax.plot(t, log['rc.v0'] - sin_periodic(t, 0.5))\n", + "ax.plot(t, log['rc.v1'] - sin_periodic(t, 1))\n", + "ax.plot(t, log['rc.v2'] - sin_periodic(t, 2), 'k:')\n", "\n", - "In lots of engineering applications, the transient response is uninteresting, so people talk exclusively in terms of [frequency response](https://en.wikipedia.org/wiki/Frequency_response) and make [Bode plots](https://en.wikipedia.org/wiki/Bode_plot) consisting of the log-log plot above plus a similar one for the phase shift.\n", - "For patch-clamp the transient response is usually the interesting bit, but the equipment can still be analysed in terms of its frequency response, and noise-reduction through filtering is often described purely in frequency terms too." + "plt.show()" ] }, { @@ -739,7 +1173,7 @@ "source": [ "## General frequency response\n", "\n", - "To see how the above example generalises, we start with an impulse response $h(t)$ **for a stable system** and a cosine input\n", + "To see how the above examples generalise, we start with an impulse response $h(t)$ **for a stable system** and a cosine input\n", "\n", "$$u(t) = \\cos(\\omega t)$$\n", "to get output\n", @@ -747,20 +1181,20 @@ "which we can write as\n", "$$y(t) = \\int_0^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau - \\int_t^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau$$\n", "\n", - "Recall that this is an equation _for a single value_ $y$ of $t$.\n", + "This seems like a crazy thing to do, but recall that this is an equation _for a single value_ $y$ of $t$.\n", "Because system is _stable_, its impulse response $h(t)$ will dampen out for increasing values of $t$.\n", - "As a result, the multiplication by $h$ will cause **the second term to dampen out as the starting point of the integral, $t$, increases**:" + "As a result, the multiplication by $h(\\tau)$ will cause **the second term get very small for large values of the starting point of the integral, $t$**:" ] }, { "cell_type": "code", - "execution_count": 38, + "execution_count": 22, "id": "dc683065", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -783,6 +1217,7 @@ "ax.plot(x, y)\n", "ax.fill_between(x, y, alpha=0.2)\n", "ax.text(2, 0.5, '$\\int h$ from 0 to $\\infty$')\n", + "ax.text(2, 0.35, '$A=1$')\n", "\n", "ax = fig.add_subplot(1, 3, 2)\n", "ax.set_xlim(0, 10); ax.set_ylim(0, 1)\n", @@ -790,6 +1225,7 @@ "ax.plot(x, y)\n", "ax.fill_between(x[200:], y[200:], alpha=0.2)\n", "ax.text(2.5, 0.5, '$\\int h$ from 2 to $\\infty$')\n", + "ax.text(2.5, 0.4, f'$A\\\\approx{np.exp(-2):.3}$')\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", "ax.set_xlim(0, 10); ax.set_ylim(0, 1)\n", @@ -797,6 +1233,7 @@ "ax.plot(x, y)\n", "ax.fill_between(x[400:], y[400:], alpha=0.2)\n", "ax.text(4.5, 0.5, '$\\int h$ from 4 to $\\infty$')\n", + "ax.text(4.5, 0.4, f'$A \\\\approx{np.exp(-4):.3}$')\n", "\n", "plt.show()" ] @@ -808,11 +1245,11 @@ "source": [ "By contrast, the integral in the first term\n", "$$y_{ss}(t) = \\int_0^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau$$\n", - "is always taken from 0 to $\\infty$, so over the full range of $h$.\n", + "is always taken from 0 to $\\infty$, so over the full range of $h$, capturing its full \"weight\" of 1.\n", "\n", - "This splits the system into a _sinusoidal steady-state response_ (first term) and a _transient_ (second term).\n", + "This splits the system into a **periodic steady-state response** (first term) and a **transient response** (second term).\n", "\n", - "Assuming that we're only interested in the sinusoidal steady-state response $y_{ss}$, we can then analyse the system by looking at $y_{ss}$ exclusively:\n", + "Assuming that we're only interested in the periodic steady-state response, $y_{ss}$, we can then analyse the system by looking at $y_{ss}$ exclusively:\n", "\n", "\\begin{align}\n", "y_{ss}(t)\n", @@ -829,21 +1266,24 @@ "id": "10125dc2", "metadata": {}, "source": [ - "To go further, we first need to show that $H(-i\\omega)$ and $H(i\\omega)$ are each other's complex conjugates, i.e. $\\overline{H(-i\\omega)}=H(i\\omega)$:\n", + "where we have isolated $H(i\\omega)$ and $H(-i\\omega)$: two _evaluations_ of the transfer function!\n", + "\n", + "To go further, we first need to show that $H(-i\\omega)$ and $H(i\\omega)$ are each other's complex conjugates, i.e. $\\overline{H(-i\\omega)}=H(i\\omega)$.\n", + "We can do this simply by writing them out:\n", "\n", "\\begin{align}\n", "H(-i\\omega) = \\int_0^\\infty h(t)e^{i\\omega t}dt\n", " = \\int_0^\\infty h(t)\\cos(\\omega t)dt + i \\int_0^\\infty h(t)\\sin(\\omega t)dt\n", "\\end{align}\n", "\n", - "and because $h(t)$ and $\\cos$ and $\\sin$ are real-valued the first and second terms are $H(-i\\omega)$'s real and imaginary parts.\n", - "Next, since\n", + "Here the first and second terms are the real and imaginary parts of $H(-i\\omega)$ (because $h$ and $\\cos$ and $\\sin$ all return real values).\n", + "We do the same for $H(i\\omega)$:\n", "\n", "\\begin{align}\n", - "H(i\\omega) = \\int_0^\\infty h(t)e^{-i\\omega t}dt\n", + "H(i\\omega) &= \\int_0^\\infty h(t)e^{-i\\omega t}dt \\\\\n", " &= \\int_0^\\infty h(t)\\cos(-\\omega t)dt + i \\int_0^\\infty h(t)\\sin(-\\omega t)dt \\\\\n", " &= \\int_0^\\infty h(t)\\cos(\\omega t)dt - i \\int_0^\\infty h(t)\\sin(\\omega t)dt \\\\\n", - " &= H(-i\\omega)\n", + " &= \\overline{H(-i\\omega)} &\n", "\\end{align}" ] }, @@ -869,15 +1309,58 @@ "y_{ss}(t) = |H(i\\omega)| \\cos(\\omega t + \\angle H(i\\omega))\n", "\\end{align}\n", "\n", - "where $|H(iw)| = \\sqrt{R^2 + I^2}$ and $\\angle H(i\\omega) = \\operatorname{atan2}(I, R)$." + "where $|H(iw)| = \\sqrt{R^2 + I^2}$ and $\\angle H(i\\omega) = \\operatorname{atan2}(I, R)$.\n", + "\n", + "This shows that we can write a **stable** system's _periodic steady state response_ to a cosine input with frequency $\\omega$ in terms of the transfer function evaluated at $H(i\\omega)$.\n", + "If we allow $\\omega$ to vary, the resulting function $H(i\\omega)$ is called the system's _frequency response_.\n", + "\n", + "Repeating the steps above with a sine input, we find\n", + "\n", + "\\begin{align}\n", + "y_{ss,cos}(t) = |H(i\\omega)| \\cos(\\omega t + \\angle H(i\\omega)) \\\\\n", + "y_{ss,sin}(t) = |H(i\\omega)| \\sin(\\omega t + \\angle H(i\\omega))\n", + "\\end{align}" ] }, { "cell_type": "markdown", - "id": "dcdd6b1e", + "id": "dba28c61", "metadata": {}, "source": [ - "As a result, a **stable** system's _sinusoidal steady state_ when driven with a frequency $\\omega$ consists of a scaling and a phase shift, and can be written in terms of its _frequency response_ $H(i\\omega)$." + "### The low-pass filter again\n", + "\n", + "We now apply this general procedure to the low-pass filter we analysed before.\n", + "Because we used $\\omega$ for its filter frequency, we'll use $\\phi$ for the input frequency and $H(i\\phi)$ for the frequency response.\n", + "\n", + "\\begin{align}\n", + "H(s) &= \\frac{\\omega}{s + \\omega} \\\\\n", + "H(i\\phi) &= \\frac{\\omega}{\\omega+i\\phi}\n", + " = \\frac{\\omega(\\omega-i\\phi)}{(\\omega+i\\phi)(\\omega-i\\phi)}\n", + " = \\frac{\\omega^2 - i\\omega\\phi}{\\omega^2 + \\phi^2}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "|H(i\\phi)| = \\frac{|\\omega|}{|\\omega+i\\phi|}\n", + " = \\frac{\\omega}{\\sqrt{\\omega^2 + \\phi^2}}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\angle H(i\\phi) = \\arctan(-\\omega\\phi / \\omega^2) \n", + " = \\arctan(-\\phi / \\omega)\n", + " = -\\arctan(\\phi / \\omega)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "083f3e94", + "metadata": {}, + "source": [ + "And so\n", + "\\begin{align}\n", + "y_{ss,\\cos} &= \\frac{\\omega}{\\sqrt{\\omega^2 + \\phi^2}} \\cos\\left(\\phi t - \\arctan(\\phi/\\omega)\\right) \\\\\n", + "y_{ss,\\sin} &= \\frac{\\omega}{\\sqrt{\\omega^2 + \\phi^2}} \\sin\\left(\\phi t - \\arctan(\\phi/\\omega)\\right)\n", + "\\end{align}" ] }, { @@ -885,28 +1368,310 @@ "id": "20fb7cbe", "metadata": {}, "source": [ - "## Filters\n", + "## More filters!\n", "\n", - "We now revisit the low-pass filter $H(s) = \\omega / (s + \\omega)$ when driven with an input $u(t)=\\cos(\\phi t)$.\n", - "\n", - "Recognising that for $\\omega > 0$ the system is stable, and **ignoring the transient response**, we fill in\n", + "A general strategy to analyse filters is to look at $H(i\\omega)$, work out $|H(i\\omega)|$ and $\\angle H(i\\omega)$, and then make a Bode plot." + ] + }, + { + "cell_type": "markdown", + "id": "fd49d887", + "metadata": {}, + "source": [ + "### A first-order low-pass filter, one last time" + ] + }, + { + "cell_type": "code", + "execution_count": 124, + "id": "60cca6f0", + "metadata": { + "scrolled": true + }, + "outputs": [], + "source": [ + "def bode(mag, arg, axes=None, lo=1e-2, hi=1e5, **kwargs):\n", + " lo, hi = np.log10(lo), np.log10(hi)\n", + " w = np.logspace(lo, hi, 1001, base=np.e)\n", + "\n", + " if axes is None:\n", + " fig = plt.figure(figsize=(9, 6))\n", + " fig.subplots_adjust(hspace=0.2)\n", + " ax0 = fig.add_subplot(2, 1, 1)\n", + " ax0.set_xscale('log')\n", + " ax0.set_yscale('log')\n", + " ax0.set_ylabel('Gain')\n", + " ax0.grid()\n", + "\n", + " ax1 = fig.add_subplot(2, 1, 2)\n", + " ax1.set_xscale('log')\n", + " ax1.set_xlabel('Angular frequency')\n", + " ax1.set_ylabel('Phase shift (degrees)')\n", + " ax1.grid()\n", + " else:\n", + " ax0, ax1 = axes\n", + "\n", + " label=None\n", + " if kwargs:\n", + " label=','.join(f'{k}={v}' for k, v in kwargs.items())\n", + "\n", + " ax0.plot(w, mag(w, **kwargs), label=None)\n", + " ax1.plot(w, arg(w, **kwargs) * 180 / np.pi, label=label)\n", + " if label is not None:\n", + " ax1.legend()\n", + " \n", + " return ax0, ax1" + ] + }, + { + "cell_type": "code", + "execution_count": 125, + "id": "8e2f5c79", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "w_filter = 1\n", + "mag = lambda w: w_filter / np.sqrt(w_filter**2 + w**2)\n", + "arg = lambda w: np.arctan(-w / w_filter)\n", + "bode(mag, arg)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "159edacf", + "metadata": {}, + "source": [ + "### A first-order high-pass filter\n", "\n", - "$$H(i \\phi) = \\frac{\\omega}{i \\phi + \\omega}$$\n", + "Finally!\n", "\n", + "We'll use\n", + "\\begin{align}\n", + "H(s) = \\frac{s}{s + \\omega}\n", + "\\end{align}\n", "so that\n", - "$$|H(i \\omega)| = \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}}$$\n", - "and\n", - "$$\\angle H(i \\omega) = \\operatorname{atan2}(\\phi, \\omega) = \\arctan(\\phi / \\omega)$$\n", - "(where the last bit holds because $\\phi > 0$ and $\\omega > 0$), to find\n", "\\begin{align}\n", - "y_{ss}(t) = \\frac{\\omega}{\\sqrt{\\phi^2 + \\omega^2}} \\cos(\\omega t + \\arctan(\\phi / \\omega))\n", + "H(i\\phi) &= \\frac{i\\phi}{\\omega+i\\phi} = \\frac{\\phi^2 + i\\omega\\phi}{\\omega^2-\\phi^2} \\\\\n", + "|H(i\\phi)| &= \\frac{|i\\phi|}{|\\omega+i\\phi|} = \\frac{\\phi}{\\sqrt{\\omega^2+\\phi^2}} \\\\\n", + "\\angle H(i\\phi) &= \\arctan(\\omega / \\phi)\n", "\\end{align}" ] }, + { + "cell_type": "code", + "execution_count": 126, + "id": "d5e1ad13", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "w_filter = 1\n", + "mag = lambda w: w / np.sqrt(w_filter**2 + w**2)\n", + "arg = lambda w: np.arctan(w_filter / w)\n", + "bode(mag, arg)\n", + "plt.show()" + ] + }, { "cell_type": "markdown", - "id": "fd49d887", + "id": "4291ad50", + "metadata": {}, + "source": [ + "### A second-order system as a filter\n", + "\n", + "Starting from\n", + "\\begin{align}\n", + "H(s) = \\frac{1}{s^2 + 2 \\zeta \\omega s + \\omega^2}\n", + "\\end{align}\n", + "(see above) we can derive\n", + "\\begin{align}\n", + "|H(i\\phi)| &= \\frac{1}{\\sqrt{(\\omega^2 - \\phi^2)^2 + (2\\zeta\\omega\\phi)^2}} \\\\\n", + "\\angle H(i\\omega) &= \\operatorname{atan2} \\left(2\\zeta\\omega\\phi, \\omega^2 + \\phi^2 \\right)\n", + "\\end{align}\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": 139, + "id": "070f51b8", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "w_filter = 1\n", + "mag = lambda w, zeta: 1 / np.sqrt((w_filter**2 - w**2)**2 + (2*zeta*w_filter*w)**2)\n", + "arg = lambda w, zeta: np.arctan2(-2*zeta*w*w_filter, w_filter**2 - w**2)\n", + "w_hi = 100\n", + "axes = bode(mag, arg, hi=w_hi, zeta=2)\n", + "axes = bode(mag, arg, axes, hi=w_hi, zeta=1)\n", + "axes = bode(mag, arg, axes, hi=w_hi, zeta=0.5)\n", + "axes = bode(mag, arg, axes, hi=w_hi, zeta=0.3)\n", + "axes = bode(mag, arg, axes, hi=w_hi, zeta=0.1)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "f3a8d2a3", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "35862457", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "11cc559c", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "d116b8fa", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "5619f207", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "63fa2ad8", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "4dd01e4d", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "f2937323", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "2ab08077", + "metadata": {}, + "source": [ + "EPC uses a Bessel\n", + "\n", + "- Bessel 100 kHz\n", + "- Bessel 30 kHz\n", + "- Bessel 10 kHz\n", + "- HQ 30 kHz" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "c03c5a59", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "60d3164a", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "76e7a368", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "c21e177d", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "1ecefad8", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "41b0ed55", "metadata": {}, + "outputs": [], "source": [] }, { diff --git a/artefacts/resources/rc-1-simple.png b/artefacts/resources/rc-1-simple.png new file mode 100644 index 0000000000000000000000000000000000000000..947cb9a2614afbdff839c9122d8bb3a234f56108 GIT binary patch literal 2304 zcmV+b3IFzqP)>XUVrBt*``*IbS-d3QSz(6L%?;m+pDiCn@BnmxCWRBj0Cm=H`xAp zL|J7S6kEVS@^0WIU}-_xIA9?#7Pu&arpzH}G_W3c47eD09{31wgQO#EFD-X}ENaae z0iLn_hr$F&Lx5`|>B%D36H3C*+Ri6wneKtC}VcqX=pEFh@? zm<8Ne;D}wU048S95ur9q##1ESnEc)Zyq{2g&x$80Q;Y_N15Xuaq&R1$$Vs|O()_ec zNvkC-sJ5tH*bV#(*a=Jp_7dLq2V#mUMACFg|B&?7l)TB3UQF6`m7p{E*-)Y9SBxC+ z05DsU3Ns`f4V(%5I)bipJZ}4X+gAY}295@#@b2Z3hboRqu^fro9sSRvaxl50|N zhNO{_7E5}2g>3hU9PkTZJTRpw??T`q+dYc=tYG^ELJ#^IR6 zCk*+Fj;*mk)3LxCz_^06nZR>EV})&xCNyRKNN7t>2bKV@1Lws4Zc1HUz3CRDfopFv52^6*z@ZMNW^aD!UXma903N0G|W4+TK{=p4GOONNNIJktBC{be;XMy+_hY z;5>pu{v@)bOw;X*wzo-om@t*Ju*^MMlfUnfw2#mu?=1881DzJ&c3=ph4PK`N#a;jF z2ygLiW$wxJD1O$k{fMMiV59BVs$o;oTYw?9UoJ||5nhVd9bq;mnFQ!`iRh~R=B91m zZ+njIY>q?KD7G)NU9qvn6uu9=MryLAJwvQ*}Q1WLRD{51J}HL$rLZvpj^ zvQ9tIYoLVfU4%Cc+qV|w{or7XNjj$MSs7(^an)9*dQ>}VXC!cTb8~aPsi`S5J@7qy z_T+Z&-YsBmY%K!?Nh^Q}g+pCYLdcdaTb8@vf(v4pPG!-eMa-X{8n{%aavVj7((k6E zGfEl`dOgs4AMULNQzZSbpPuzz;MA1#D_;1=uH`_vp|dlppdszrRz_25$#`>n zd;9zuGiHogym;~85hF&>($bP|ZEYo=&o8ij$kmIatk2eT_T}>x@tmZ~36VODN!rW6 zCH-h46-m8~;pQu?A&sJCRz2w9jJ98u^s$W_H{LXD+O+BUd_FgH=+JHXeEutC!piET zV7n!WggPB)2Yze&`N*=e$h|;E>NunAr-9Rxn8l`!j*gvGm3A0m`$tu(A*gpcS>dyEd zAj@93JGOvdCw*69-Z1;&|Ym_6ZU}_Yw26zWyCye`mxwhNN-qlaUcE$yi-!Pz3 z;D`W{-Ujs8 z1-Al>0`BC^lJR~*$yk-%_kih5OM02GHOnQo{}x+M7D;xr-AWk#`LgY;RkdFW&X}~B zj<#JgF!KPO@fq8{=|@?aL()+|vk!5%;bals$+oKz{M&5PQXqvr`5rUM)$ zX+3bB!$|{m=srWR1$Yl({mEoWH`>nR(RQ`!i~yguy$wLpP~cJEQ`9%)EaX<;LEAH- zyCe9hr1iG%jw`Jvwd{;qoo@cBG_M$)msNfew^VSA^f8H8g>GDj`{^S&pkjj;0W zgM`8C*0_=~#j(Is#m9Wu{%0gTy;94)>9vGaWupiYZ#$O*R0>7ayi6>DpvHcjl-SXM7Ntla`SzM!yJ{BWY?iMP-my;40`oCF?fe zXOc!MFq7~-X0G!BH8LGojHC^~*|z^%koGm;qrjKyV~&C3bhCxPxrDa$X5ebu>!J!P a!T$kKoPonIuNMjc0000 Date: Tue, 12 Sep 2023 16:06:32 +0100 Subject: [PATCH 10/77] Analysed Chon's model vs one made using the 1995 op amp equation --- artefacts/appendix-A-op-amp.ipynb | 56 +- .../appendix-C-laplace-and-filters.ipynb | 93 +- artefacts/appendix-D-non-ideal-op-amp.ipynb | 659 +++++++++++++ .../appendix-E-uncompensated-models.ipynb | 873 ++++++++++++++++++ artefacts/artefacts-1.ipynb | 37 +- ...eal-data-2-liquid-junction-potential.ipynb | 3 +- artefacts/resources/op-amp-3-generic.png | Bin 0 -> 11644 bytes artefacts/resources/patch-amp-5-Cp.png | Bin 7511 -> 7713 bytes artefacts/resources/patch-amp-6-cell.png | Bin 0 -> 9928 bytes 9 files changed, 1647 insertions(+), 74 deletions(-) create mode 100644 artefacts/appendix-D-non-ideal-op-amp.ipynb create mode 100644 artefacts/appendix-E-uncompensated-models.ipynb create mode 100644 artefacts/resources/op-amp-3-generic.png create mode 100644 artefacts/resources/patch-amp-6-cell.png diff --git a/artefacts/appendix-A-op-amp.ipynb b/artefacts/appendix-A-op-amp.ipynb index 8b5cb24..18e4fd1 100644 --- a/artefacts/appendix-A-op-amp.ipynb +++ b/artefacts/appendix-A-op-amp.ipynb @@ -4,7 +4,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Appendix: Op amps with negative feedback" + "# Appendix A: Ideal op amps" ] }, { @@ -12,20 +12,10 @@ "metadata": {}, "source": [ "Since they're so central in the patch-clamp amplifier, we might as well have a quick look at op amps.\n", - "In particular, op amps connected in a negative feedback loop like below:" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "In particular, op amps connected in a negative feedback loop like below:\n", + "\n", + "\n", + "\n", "Note that the proper way to draw an op amp also includes two terminals to which a power source is connected, see for example [wikipedia](https://en.wikipedia.org/wiki/Operational_amplifier).\n", "These are omitted here for clarity." ] @@ -34,7 +24,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "The general (idealised!) equation for an op amp is:\n", + "The general (idealised) equation for an op amp is:\n", "\n", "$$ V_o = A (V_+ - V_-)$$\n", "\n", @@ -46,13 +36,8 @@ "V_o &= A (V_+ - V_o) \\\\\n", "(1 + A) V_o &= A V_+ \\\\\n", "V_o &= \\frac{A}{1 + A} V_+ \\approx V_+\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "\\end{align}\n", + "\n", "where the final approximation works if $A \\gg 1$." ] }, @@ -76,23 +61,23 @@ "R_2 (V_o - V_-) &= R_1 V_- \\\\\n", "R_2 V_o &= (R_1 + R_2) V_-\n", "\\end{align}\n", - "then\n", + "then use\n", "\\begin{align}\n", "V_- = V_+ - V_0/A\n", "\\end{align}\n", - "so that\n", + "to get\n", "\\begin{align}\n", "R_2 V_o &= (R_1 + R_2) (V_+ - V_0/A) \\\\\n", "\\left(R_2 + \\frac{R_1 + R_2}{A} \\right) V_o &= (R_1 + R_2) V_+ \\\\\n", - "\\frac{A R_2 + R_1 + R_2}{A} V_o &= (R_1 + R_2) V_+ \\\\\n", - "V_o &= \\frac{A R_1 + A R_2}{A R_2 + R_1 + R_2} V_+ \\\\\n", - "V_o &= \\frac{R_1 + R_2}{R_2 + R_1 / A + R_2 / A} V_+ \\\\\n", + "V_o &= \\frac{A (R_1 + R_2)}{A R_2 + (R_1 + R_2)} V_+ \\\\\n", + " &= \\frac{A}{1 + \\left(\\frac{R_2}{R_1 + R_2}\\right) A} V_+ \\\\\n", "\\end{align}\n", "\n", - "Finally, assuming that $A \\gg 1$, the terms $R_1/A$ and $R_2/A$ will become small and\n", - "\n", + "Finally, assuming that $A \\gg 1$, we get\n", "\\begin{align}\n", - "V_o \\approx \\frac{R_1 + R_2}{R_2} V_+ = \\left(1 + \\frac{R_1}{R_2} \\right) V_+\n", + "V_o = \\frac{1}{1/A + \\frac{R_2}{R_1 + R_2}} V_+\n", + " \\approx \\frac{R_1 + R_2}{R_2} V_+ \n", + " = \\left(1 + \\frac{R_1}{R_2} \\right) V_+\n", "\\end{align}\n", "\n", "The term $\\left(1 + \\frac{R_1}{R_2} \\right)$ is sometimes called $A_\\text{CL}$, the \"_closed loop gain_\".\n", @@ -109,13 +94,8 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "### A difference amplifier" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "## A difference amplifier\n", + "\n", "The second active component we introduced was a differential or [_difference amplifier_](https://en.wikipedia.org/wiki/Differential_amplifier), as shown in the left panel below:" ] }, diff --git a/artefacts/appendix-C-laplace-and-filters.ipynb b/artefacts/appendix-C-laplace-and-filters.ipynb index 81d4d4e..f1dc66e 100644 --- a/artefacts/appendix-C-laplace-and-filters.ipynb +++ b/artefacts/appendix-C-laplace-and-filters.ipynb @@ -13,11 +13,12 @@ "id": "15d86196", "metadata": {}, "source": [ - "This notebook provides a brief summary of laplace transforms, and their use in analysing a system's response to an input signal $u(t)$, in particular a _filter's_ response to a sinusoidal input.\n", + "This notebook discusses laplace transforms and their use in analysing a system's response to an input signal $u(t)$.\n", + "In particular, _filter's_ response to a (co)sinusoidal input.\n", "\n", "The text glosses over the tricky maths of using the Dirac delta, but writes out a lot of the easy stuff.\n", - "You can usually skip derivations.\n", - "Ideally, you'd use the below as a reminder, not as a first introduction!" + "You can skip the derivations.\n", + "It's very long, but covers a lot of ground: use as a reminder, not as an introduction!" ] }, { @@ -785,6 +786,51 @@ "Instead, they look only at the _frequency response_." ] }, + { + "cell_type": "markdown", + "id": "8b9b737b", + "metadata": {}, + "source": [ + "### Corner frequency and bandwidth\n", + "\n", + "At $\\phi = \\omega$, the filter reduces the signal by a factor $1 / \\sqrt{1 + \\lambda^2} = 1 / \\sqrt{2}$.\n", + "Because the _power_ of this signal is proportional to its square, $1/2$, and because $^{10}\\log(1/2) \\approx -3.01$ this is also known as the \"3[dB](https://en.wikipedia.org/wiki/Decibel) point\"." + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "id": "94ebcf66", + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "-3.010299956639812" + ] + }, + "execution_count": 17, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "amplitude = 1 / np.sqrt(2) # The amplitude at phi=omega is 1/sqrt(2)\n", + "power = amplitude**2 # The power is proportional to amplitude**2\n", + "10*np.log10(1/2) # Engineers like decibels" + ] + }, + { + "cell_type": "markdown", + "id": "cf4fcea0", + "metadata": {}, + "source": [ + "In general, any frequency at which a filter's gain drops to $\\sqrt{1/2}$ is known as a _cutoff_ or [_corner frequency_](https://en.wikipedia.org/wiki/Cutoff_frequency).\n", + "\n", + "The width of the range of frequencies with a gain above $\\sqrt{1/2}$ is called the [bandwidth](https://en.wikipedia.org/wiki/Bandwidth_(signal_processing)).\n", + "For the low-pass filter above, both the cutoff frequency and the bandwidth have the value $\\omega$." + ] + }, { "cell_type": "markdown", "id": "adc1c4cc", @@ -832,10 +878,19 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 2, "id": "6982ea37", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.35.4.dev).\n", + " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" + ] + } + ], "source": [ "import myokit\n", "\n", @@ -868,7 +923,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 3, "id": "2ec8fc99", "metadata": {}, "outputs": [ @@ -931,7 +986,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 4, "id": "dbdea210", "metadata": {}, "outputs": [], @@ -949,7 +1004,7 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": 5, "id": "7e6d22cb", "metadata": {}, "outputs": [ @@ -1014,7 +1069,7 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 6, "id": "f9b341f4", "metadata": {}, "outputs": [], @@ -1040,7 +1095,7 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 7, "id": "147105b9", "metadata": {}, "outputs": [ @@ -1092,7 +1147,7 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 8, "id": "17efb2f1", "metadata": {}, "outputs": [], @@ -1110,7 +1165,7 @@ }, { "cell_type": "code", - "execution_count": 21, + "execution_count": 9, "id": "e1c06f2c", "metadata": {}, "outputs": [ @@ -1182,13 +1237,13 @@ "$$y(t) = \\int_0^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau - \\int_t^\\infty h(\\tau)\\cos(\\omega(t - \\tau))d\\tau$$\n", "\n", "This seems like a crazy thing to do, but recall that this is an equation _for a single value_ $y$ of $t$.\n", - "Because system is _stable_, its impulse response $h(t)$ will dampen out for increasing values of $t$.\n", - "As a result, the multiplication by $h(\\tau)$ will cause **the second term get very small for large values of the starting point of the integral, $t$**:" + "Because the system is _stable_, its impulse response $h(t)$ will dampen out for increasing values of $t$.\n", + "As a result, the multiplication by $h(\\tau)$ will cause **the second term to get very small for large values of the starting point of the integral, $t$**:" ] }, { "cell_type": "code", - "execution_count": 22, + "execution_count": 10, "id": "dc683065", "metadata": {}, "outputs": [ @@ -1383,7 +1438,7 @@ }, { "cell_type": "code", - "execution_count": 124, + "execution_count": 11, "id": "60cca6f0", "metadata": { "scrolled": true @@ -1425,7 +1480,7 @@ }, { "cell_type": "code", - "execution_count": 125, + "execution_count": 12, "id": "8e2f5c79", "metadata": {}, "outputs": [ @@ -1473,7 +1528,7 @@ }, { "cell_type": "code", - "execution_count": 126, + "execution_count": 13, "id": "d5e1ad13", "metadata": {}, "outputs": [ @@ -1519,7 +1574,7 @@ }, { "cell_type": "code", - "execution_count": 139, + "execution_count": 14, "id": "070f51b8", "metadata": {}, "outputs": [ diff --git a/artefacts/appendix-D-non-ideal-op-amp.ipynb b/artefacts/appendix-D-non-ideal-op-amp.ipynb new file mode 100644 index 0000000..dfc1d0c --- /dev/null +++ b/artefacts/appendix-D-non-ideal-op-amp.ipynb @@ -0,0 +1,659 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "730357a8", + "metadata": {}, + "source": [ + "# Appendix: Non-ideal op amps" + ] + }, + { + "cell_type": "markdown", + "id": "11447cae", + "metadata": {}, + "source": [ + "In this notebook we go a little bit further than [Appendix A](./appendix-A-op-amp.ipynb) and look at non-ideal - but still very idealised - op amps, using some of the concepts from [Appendix C](./appendix-C-laplace-and-filters.ipynb).\n", + "\n", + "Analysis of non-ideal op amps is usually divided into two parts:\n", + "- In the _small signal_ range the amplifier acts \"linearly\": its gain within this range does not depend on the absolute values of $V_+$ and $V_-$, and there are no history effects.\n", + "- In the _large signal_ range, everything gets more complicated: the amps move towards their intended amplification with a \"slew rate\", they can saturate and then need to recover, oscillations occur etc.\n", + "\n", + "For our purposes, it should be easy to choose an op amp that we can use within its small signal range, so **we fill focus on small signal only**.\n", + "The large signal case is much more interesting, so be aware when searching the literature that unless stated otherwise they are probably going for the large signal case.\n", + "Similarly, almost everything you find about op-amps is in terms of their _frequency response_, while for patch-clamp we are almost exclusively interested in their _transient_ response.\n", + "Here we will make the simplifying assumption that all patch-clamp protocols can be analysed as a series of steps, so that we can learn what we need to known by analysing the **step response**." + ] + }, + { + "cell_type": "markdown", + "id": "0e051b1d", + "metadata": {}, + "source": [ + "## Feedback amplifier: general equation\n", + "\n", + "Given any amplifier with an _open-loop gain_ $A_{OL}$ such that $V_\\text{out} = A_\\text{OL} V_\\text{in}$, we can write an expression for the [gain reduction](https://en.wikipedia.org/wiki/Negative-feedback_amplifier) when some portion $\\beta$ of the output is fed back into the input.\n", + "If this is negative feedback, we can write it as $-\\beta V_\\text{out}$ to get\n", + "\n", + "\\begin{align}\n", + "V_\\text{out} = A_\\text{OL}\\left( V_\\text{in} - \\beta V_\\text{out} \\right)\n", + "\\end{align}\n", + "to find\n", + "\\begin{align}\n", + "A_\\text{FB} = \\frac{V_\\text{out}}{V_\\text{in,CL}} = \\frac{A_\\text{OL}}{1 + \\beta A_\\text{OL}}\n", + "\\end{align}\n", + "\n", + "where $A_\\text{FB}$ is the _closed-loop gain_.\n", + "For a large open-loop gain, we obtain $A_\\text{FB} = \\frac{1}{1/A_\\text{OL} + \\beta} \\approx 1 / \\beta$." + ] + }, + { + "cell_type": "markdown", + "id": "d51efc3d", + "metadata": {}, + "source": [ + "### $V_\\text{in}$ for open and closed-loop is not always the same\n", + "\n", + "The above notation works well for block diagrams, as shown on the left in the figure below:\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "id": "4f61c6e5", + "metadata": {}, + "source": [ + "But when we use $V_\\text{in}$ for op amps, we need to be a bit careful about how we define it.\n", + "\n", + "For the open-loop case, we can use either $V_\\text{in} = V_+ - V_-$, or $V_\\text{in} = V_+,\\, V_- = 0$, where the first version is more general and seems to be preferred.\n", + "\n", + "For the closed-loop configuration we have to use $V_\\text{in} = V_+$." + ] + }, + { + "cell_type": "markdown", + "id": "ebbb9b3e", + "metadata": {}, + "source": [ + "Using the above definition, we get\n", + "\n", + "\\begin{align}\n", + "V_\\text{out} = \\frac{A}{1 + \\left(\\frac{R_2}{R_1 + R_2}\\right) A} V_\\text{in}\n", + "\\end{align}\n", + "or\n", + "\\begin{align}\n", + "\\beta = \\frac{R_2}{R_1 + R_2}\n", + "\\end{align}\n", + "\n", + "for the non-inverting negative feedback op amp with finite gain." + ] + }, + { + "cell_type": "markdown", + "id": "3e0bdd01", + "metadata": {}, + "source": [ + "## Dominant pole approximation\n", + "\n", + "Op-amps are complex devices that have a very non-trivial transfer function.\n", + "However, to simplify their analysis and use, they are commonly designed to have a _dominant pole_, so that we can approximate their transfer function with _dominant pole approximation_.\n", + "\n", + "For op-amps, a commonly used approximate transfer function is that of a low-pass filter (see [Appendix C](./appendix-C-laplace-and-filters.ipynb)), with an additional amplification factor $A_0$:\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{V_\\text{out}}{V_\\text{in}} = A_\\text{OL}(s) = \\frac{A_0}{1 + s/\\omega_c}\n", + "\\end{align}\n", + "\n", + "where $\\omega_c$ is the [corner frequency](https://en.wikipedia.org/wiki/Cutoff_frequency) (the frequency at which the response begins to change dramatically).\n", + "For op-amps and low-pass filters, the corner frequency is also the [bandwidth](https://en.wikipedia.org/wiki/Bandwidth_(signal_processing)) (the range of frequencies that are let through without more than a factor $1/\\sqrt{2}$ loss of gain)." + ] + }, + { + "cell_type": "markdown", + "id": "b22793e8", + "metadata": {}, + "source": [ + "### Closed-loop response\n", + "\n", + "Combining the above equations (just like on [wikipedia](https://en.wikipedia.org/wiki/Negative-feedback_amplifier)) we find:\n", + "\n", + "\\begin{align}\n", + "A_\\text{FB}(s) \n", + " &= \\frac{A_\\text{OL}(s)}{1 + \\beta A_\\text{OL}} \\\\\n", + " &= \\frac{A_0 / (1 + i s/\\omega_c)}{1 + \\beta A_0 / (1 + i s/\\omega_c)} \\\\\n", + " &= \\frac{A_0}{(1 + i s/\\omega_c) + \\beta A_0 }\n", + " = \\frac{A_0}{i s/\\omega_c + (1 + \\beta A_0) } \\\\\n", + " &= \\left( \\frac{A_0}{1 + \\beta A_0}\\right) \\frac{1}{1 + i s/((1 + \\beta A_0) \\omega_c) } \\\\\n", + "\\end{align}\n", + "\n", + "which shows that the response is still a single pole one.\n", + "However, the gain has been reduced by a factor $1 + \\beta A_0$ while the cutoff frequency (or bandwidth) is increased by a factor $1 + \\beta A_0$.\n", + "This is known as the gain-bandwidth trade-off." + ] + }, + { + "cell_type": "markdown", + "id": "e38695d2", + "metadata": {}, + "source": [ + "### Bode plot / frequency response\n", + "\n", + "In Appendix C, we saw that system's with a transfer function\n", + "$$H(s) = \\frac{\\omega_c}{s + \\omega_c}$$\n", + "have a frequency response with gain\n", + "\\begin{align}\n", + "|H(i\\omega)|\n", + " = \\frac{\\omega_c}{\\sqrt{\\omega_c^2 + \\omega^2}}\n", + " = \\frac{1}{\\sqrt{1 + (\\omega/\\omega_c)^2}}\n", + "\\end{align}\n", + "\n", + "This lets us draw the top-half of a Bode plot for the open-loop configuration:" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "76b6eaee", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import numpy as np\n", + "import matplotlib.pyplot as plt\n", + "\n", + "fig = plt.figure()\n", + "ax = fig.add_subplot()\n", + "ax.set_xscale('log')\n", + "ax.set_yscale('log')\n", + "ax.set_xlabel('Normalised angular frequency $\\omega/\\omega_c$')\n", + "ax.set_ylabel('Gain (frequency response)')\n", + "ax.grid()\n", + "\n", + "lo, hi = np.log10(1e-5), np.log10(1e5)\n", + "w = np.logspace(lo, hi, 1001, base=np.e)\n", + "g = 1 / np.sqrt(1 + w**2)\n", + "ax.plot(w, g)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "dfcb0c83", + "metadata": {}, + "source": [ + "Now we can apply our equation using $A_0 = 1$ and $\\beta = 9$ to find the closed-loop equivalent:" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "36273c38", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "a = 1\n", + "beta = 0.9\n", + "\n", + "fig = plt.figure()\n", + "ax = fig.add_subplot()\n", + "ax.set_xscale('log')\n", + "ax.set_yscale('log')\n", + "ax.set_xlabel('Normalised angular frequency $\\omega/\\omega_c$')\n", + "ax.set_ylabel('Gain (frequency response)')\n", + "ax.grid()\n", + "\n", + "lo, hi = np.log10(1e-5), np.log10(1e3)\n", + "w = np.logspace(lo, hi, 1001, base=np.e)\n", + "g0 = 1 / np.sqrt(1 + (w / 1)**2)\n", + "g1 = (a / (1 + beta * a)) / np.sqrt(1 + (w / (1 + beta * a))**2)\n", + "ax.plot(w, g0, label='Open loop')\n", + "ax.plot(w, g1, label='Closed loop')\n", + "ax.legend()\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "f49fc583", + "metadata": {}, + "source": [ + "From all this, we conclude that we can handle the open loop and the non-inverting closed-loop configurations with the same equations." + ] + }, + { + "cell_type": "markdown", + "id": "2b1ead94", + "metadata": {}, + "source": [ + "## Op amp step response\n", + "\n", + "Assuming a dominant pole, we can write the step response as\n", + "\n", + "\\begin{align}\n", + "Y(s) = H(s) U(s) \n", + " &= A_0 \\frac{\\omega_c}{s + \\omega_c} \\frac{V_\\text{in}}{s} \\\\\n", + " &= A_0 V_\\text{in} \\frac{\\omega_c}{s(s + \\omega_c)}\n", + "\\end{align}\n", + "\n", + "where we have assumed $U(s)$ is a step function ($1/s$) scaled by the constant _input voltage_ $V_\\text{in} = V_+ - V_-$.\n", + "We also assume that $y(0) = 0$.\n", + "We can translate this back using [a table](https://en.wikipedia.org/wiki/List_of_Laplace_transforms) to find\n", + "\n", + "\\begin{align}\n", + "y(t) = A_0 V_\\text{in} (1 - e^{-\\omega_c t})\n", + "\\end{align}\n", + "\n", + "This means that, if $\\omega_c$ is a large enough number, $y(t) = V_\\text{out}$ rapidly settles at\n", + "\n", + "\\begin{align}\n", + "V_\\text{out} = A_0 (V_+ - V_-)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "05ac08f9", + "metadata": {}, + "source": [ + "## Equation used in Sigworth papers" + ] + }, + { + "cell_type": "markdown", + "id": "c37d770c", + "metadata": {}, + "source": [ + "To model an op amp with a finite speed, [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) uses the equation\n", + "\n", + "\\begin{align}\n", + "\\frac{d}{dt} V_\\text{out} = \\omega_A (V_+ - V_-) = \\frac{V_+ - V_-}{\\tau_A}\n", + "\\end{align}\n", + "\n", + "where $\\omega_a = 1/\\tau_A$ is the gain-bandwidth product (GBP) in radians, so $\\omega_A = 2 \\pi f_A$ for the more common representation in Hz.\n", + "\n", + "**I'm not sure where this equation is from**, and can't find it in popular op-amp books (which are more about frequency response, large signal deviations, or things you can build with op amps).\n", + "\n", + "It makes some intuitive sense: $V_\\text{out}$ will increase as long as $V_- < V_+$, and decrease when $V_- > V_+$, so connecting $V_\\text{out}$ to $V_-$ should indeed give us a feedback amplifier that tries to make $V_-$ equal $V_+$ with some delay determined by $\\tau_A$.\n", + "However, in an open-loop configuration with $V_+ \\neq V_-$ the equation predicts an infinitely increasing gain.\n", + "\n", + "A deduction might be be something like this:\n", + "Starting from\n", + "\n", + "\\begin{align}\n", + "V_\\text{out} = A_0 V_\\text{in} (1 - e^{-\\omega_c t})\n", + "\\end{align}\n", + "\n", + "We can assume a constant $V_\\text{in}$ and take the derivative to find\n", + "\n", + "\\begin{align}\n", + "\\frac{d}{dt} V_\\text{out} = A_0 \\omega_c V_\\text{in} e^{-\\omega_c t}\n", + "\\end{align}\n", + "\n", + "Then, for very small $t$ you could assume that $\\dot{V_\\text{out}} \\approx A_0 \\omega_c V_\\text{in} = \\omega_A (V_+ - V_-)$.\n", + "Alternatively, you could introduce the \"no saturation\" condition as a simplification that removes the $e^{-\\omega_c t}$ term.\n", + "\n", + "Example figures in Sigworth 1995a use $\\tau_A = 16 \\text{ns} = 0.016 \\mu\\text{s}$." + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "e456380b", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure()\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('t')\n", + "ax.set_ylabel('$V_{out}$')\n", + "\n", + "a = 4\n", + "v_in = 3\n", + "w = 0.5\n", + "\n", + "t = np.linspace(0, 10, 101)\n", + "v = a * v_in * (1 - np.exp(-w*t))\n", + "d = a * w * v_in\n", + "\n", + "ax.plot(t, v)\n", + "ax.plot(t, t * d)\n", + "ax.axhline(12, color='#999', ls='--')\n", + "ax.set_xlim(0, 10)\n", + "ax.set_ylim(0, 14)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "f54509e9", + "metadata": {}, + "source": [ + "The above example, with some arbitrary values for the constants, shows that this is a reasonable approximation for maybe the first 5% of the response _in an open-loop configuration_.\n", + "Not sure how or if to extend this to a closed-loop sanity check." + ] + }, + { + "cell_type": "markdown", + "id": "7c9fca45", + "metadata": {}, + "source": [ + "### Analysis using Sigworth's equation\n", + "\n", + "Given the equation above, we now repeat the analysis of the patch clamp amplifier's \"bandwidth\" shown in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), but using the symbols shown below:\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "id": "f5c4b00e", + "metadata": {}, + "source": [ + "First, let\n", + "\n", + "\\begin{align}\n", + "I &= I_{Cf} + I_{Rf} - I_p \\\\\n", + " &= C_f (\\dot{V}_o - \\dot{V}_p) + \\frac{V_o - V_p}{R_f} - C_p \\dot{V}_p \\\\\n", + "R_f I &= R_fC_f (\\dot{V}_o - \\dot{V}_p) + V_o - V_p - R_fC_p \\dot{V}_p\n", + "\\end{align}\n", + "\n", + "Next, by treating $V_c$ as a constant we get\n", + "\n", + "\\begin{align}\n", + "V_\\text{out} \\equiv V_o - V_c \\quad \\longrightarrow \\quad \\dot{V}_o = \\dot{V}_\\text{out}\n", + "\\end{align}\n", + "\n", + "and using the equation for op-amps introduced above\n", + "\n", + "\\begin{align}\n", + "\\tau_A \\dot{V}_\\text{out} = V_c - V_p \\quad \\longrightarrow \\quad \n", + " & V_p = -\\tau_A \\dot{V}_\\text{out} \\\\\n", + " & \\dot{V}_p = -\\tau_A \\ddot{V}_\\text{out}\n", + "\\end{align}\n", + "for\n", + "\n", + "\\begin{align}\n", + "R_f I &= R_fC_f (\\dot{V}_\\text{out} + \\tau_A \\ddot{V}_\\text{out}) + V_\\text{out} + \\tau_A \\dot{V}_\\text{out} + R_fC_p \\tau_A \\ddot{V}_\\text{out} \\\\\n", + "&= \\tau_A R_f(C_f + C_p) \\ddot{V}_\\text{out} + (R_fC_f+ \\tau_A) \\dot{V}_\\text{out} + V_\\text{out} \\\\\n", + "&= \\tau_A R_f C_t \\ddot{V}_\\text{out} + (\\tau_A + \\tau_f) \\dot{V}_\\text{out} + V_\\text{out}\n", + "\\end{align}\n", + "\n", + "where the last step defines $\\tau_f = R_fC_f$ and $C_t = C_f + C_p$.\n", + "\n", + "This leads to a transfer function\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{V_\\text{out}}{I(s)} \n", + " &= \\frac{R_f}{\\tau_AR_fC_ts^2 + (\\tau_A + \\tau_f)s + 1} \\\\\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "32ce3172", + "metadata": {}, + "source": [ + "#### The patch-clamp amp as a damped harmonic oscillator\n", + "\n", + "We described $C_f$ as a \"stray capacitance\", but mentioned that [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) introduced an extra $C_f$ as a stability measure.\n", + "To see why, we can equate the transfer function above to the damped harmonic oscillator equation (see [Appendix C](./appendix-C-laplace-and-filters.ipynb)):\n", + "\n", + "$$ H(s) = R_f \\frac{1}{\\tau_0^2s^2 + 2\\zeta\\tau_0s + 1} $$\n", + "with\n", + "$$ \\tau_0 = \\sqrt{\\tau_AR_fC_t} $$\n", + "and\n", + "$$ \\zeta = \\frac{1}{2}\\frac{\\tau_A + \\tau_f}{\\tau_0} $$" + ] + }, + { + "cell_type": "markdown", + "id": "b395121f", + "metadata": {}, + "source": [ + "Now if we use $\\tau_A \\ll 0$ we get \n", + "$$\n", + "\\zeta \\approx \\frac{1}{2}\\frac{\\tau_f}{\\omega_0} = \\frac{R_f}{2\\sqrt{\\tau_AR_f}} \\frac{C_f}{\\sqrt{C_f + C_p}}\n", + "$$\n", + "\n", + "From this we can see that making $C_f$ smaller and smaller will eventually lead to $\\zeta < 1$, which creates overshoot and oscillations in the amplifier's step response.\n", + "An example, using the step response equations straight from [wikipedia](https://en.wikipedia.org/wiki/Harmonic_oscillator#Step_input):" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "cf1792b4", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "tau0_in_s = np.sqrt(1.6e-10) # Roughly based on Sigworth figure 2\n", + "tau0 = tau0_in_s * 1e3 # Convert to ms\n", + "w0 = 1 / (2 * np.pi * tau0) # Angular frequency\n", + "\n", + "def v(z):\n", + " phi = np.arccos(z)\n", + " return 1 - np.exp(-z * w0 * t) / np.sin(phi) * np.sin(np.sqrt(1 - z**2) * w0 * t + phi)\n", + "\n", + "t = np.linspace(0, 2, 1001)\n", + "v1 = v(0.99)\n", + "v2 = v(0.2)\n", + "\n", + "fig = plt.figure()\n", + "ax = fig.add_subplot()\n", + "ax.plot([0, 0, t[-1]], [0, 1, 1], 'k--', label='Command')\n", + "ax.plot(t, v1, label='$\\zeta = 0.99$')\n", + "ax.plot(t, v2, label='$\\zeta = 0.2$')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "95f86c3a", + "metadata": {}, + "source": [ + "This damped oscillating response to a step function is known as [ringing](https://en.wikipedia.org/wiki/Ringing_(signal))." + ] + }, + { + "cell_type": "markdown", + "id": "9801b9f1", + "metadata": {}, + "source": [ + "#### Now what?\n", + "\n", + "Since the patch-clamp amplifier is all about a good transient response, and since we can get ringing with a very low $C_f$, the solution to building good patch clamp amplifier's is not to make $C_f$ as low as possible.\n", + "In fact, in [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) a resistor $R_f$ is used with a very low stray capacitance, necessitating the introduction of an extra capacitator to achieve a large enough $C_f$.\n", + "\n", + "Instead, another \"dominant pole\" trick is used.\n", + "To see how, we rewrite the original transfer function in terms of two new poles $-\\tau_1$ and $-\\tau_2$:\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{V_\\text{out}}{I(s)} \n", + " &= \\frac{R_f}{\\tau_AR_fC_ts^2 + (\\tau_A + \\tau_f)s + 1} \\\\\n", + " &= \\frac{R_f}{(\\tau_1s + 1)(\\tau_2s + 1)} \\\\\n", + "\\end{align}\n", + "\n", + "We can find $\\tau_1$ and $\\tau_2$ using the quadratic equation, but it's actually more informative to approximate them.\n", + "To do this, we equate the denominators\n", + "\n", + "$$ \\tau_1\\tau_2s^2 + (\\tau_1 + \\tau_2)s + 1 = \\tau_AR_fC_ts^2 + (\\tau_A + \\tau_f)s + 1 $$\n", + "\n", + "Now if $\\tau_A \\ll 1$ we have $\\tau_A + \\tau_f \\approx \\tau_f$.\n", + "The goal is to create a dominant pole $\\tau_1 \\gg \\tau_2$, so we can also assume $\\tau_1 + \\tau_2 \\approx \\tau_1$ and so\n", + "\n", + "$$ \\tau_1 \\approx \\tau_f = R_f C_f$$\n", + "\n", + "Filling that in into $\\tau_1\\tau_2 = \\tau_AR_fC_t$ we get\n", + "\n", + "$$ \\tau_2 \\approx \\tau_A \\frac{C_t}{C_f}$$\n", + "\n", + "By making $C_f$ larger, we make $\\tau_1$ larger and $\\tau_2$ smaller.\n", + "The trick then, used by Sigworth in his design, is to choose $C_f$ such that (1) $\\tau_2$ is much shorter than the phenomena of interest so that we can usually ignore it, and (2) to accept the effects of $\\tau_1$ and deal with them in other ways (i.e. via $C_p$ compensation)." + ] + }, + { + "cell_type": "markdown", + "id": "70ff71ca", + "metadata": {}, + "source": [ + "## Equations used in Weerakoon et al. paper\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "id": "21f78f42", + "metadata": {}, + "source": [ + "The paper by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) uses the equations\n", + "\n", + "$$ V_\\text{out} = \\frac{I_\\text{in} R_f}{1 + \\tau_f s} $$\n", + "\n", + "where $\\tau_f = R_fC_f \\approx 7.5\\,\\mu{s}$, and\n", + "\n", + "$$ V_p = \\frac{V_c}{1 + \\tau_c s} $$\n", + "\n", + "where $\\tau_c = \\tau_A\\frac{C_t}{C_f} \\approx 0.8\\,\\mu{s}$." + ] + }, + { + "cell_type": "markdown", + "id": "890b6266", + "metadata": {}, + "source": [ + "To arrive at the first equation, we start by translating it back to a time-domain differential equation\n", + "\n", + "$$ R_f I_\\text{in} = V_\\text{out} + \\tau_f \\dot{V}_\\text{out} $$\n", + "\n", + "Going by the diagram above, we can write this equation for $I_\\text{in}$:\n", + "\n", + "\\begin{align}\n", + "R_f I_\\text{in} &= (V_o - V_p) + R_fC_f \\left(\\dot{V}_o - \\dot{V}_p\\right) \\\\\n", + "&= (V_o - V_c) + (V_c - V_p) + \\tau_f \\left(\\dot{V}_o - \\dot{V}_c + \\dot{V}_c - \\dot{V}_p\\right) \\\\\n", + "&= V_\\text{out} + \\tau_f \\dot{V}_\\text{out} + (V_c - V_p) + \\tau_f \\left(\\dot{V}_c - \\dot{V}_p\\right)\n", + "\\end{align}\n", + "\n", + "This is approximately equal to the first Weerakoon equation when $V_c \\approx V_p$ and $\\dot{V}_c \\approx \\dot{V}_p$." + ] + }, + { + "cell_type": "markdown", + "id": "2b345c26", + "metadata": {}, + "source": [ + "Next, we translate the second equation to \n", + "$$ V_c - V_p = \\tau_c \\dot{V}_p $$\n", + "\n", + "which is just a mathematical way of saying \"$V_p$ follows $V_c$ with some delay set by $\\tau_f$\" and should be OK as a first approximation?" + ] + }, + { + "cell_type": "markdown", + "id": "228a49c2", + "metadata": {}, + "source": [ + "Finally, we can combine the two Weerakoon equations to get\n", + "\n", + "\\begin{align}\n", + "R_f I_\\text{in} &= V_\\text{out} + \\tau_f \\dot{V}_\\text{out} + (\\tau_c - \\tau_f) \\dot{V}_p\n", + "\\end{align}\n", + "\n", + "using $\\tau_c \\ll \\tau_f$ this means the first equation should be off by something on the order of $\\tau_f \\dot{V}_p$." + ] + }, + { + "cell_type": "markdown", + "id": "a3ac8d0e", + "metadata": {}, + "source": [ + "## Equation used in Lei et al. papers\n", + "\n", + "In [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) and subsequent work, we used the same equations as in Weerakoon:\n", + "\n", + "\\begin{equation}\n", + "\\frac{d}{dt} V_p = \\frac{V_c - V_p}{\\tau_c}\n", + "\\end{equation}\n", + "\n", + "Instead of an experiment-specific value which takes $C_p$ into account, we used a constant $\\tau_c =0.8\\,\\mu s$.\n" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-E-uncompensated-models.ipynb b/artefacts/appendix-E-uncompensated-models.ipynb new file mode 100644 index 0000000..54b6e63 --- /dev/null +++ b/artefacts/appendix-E-uncompensated-models.ipynb @@ -0,0 +1,873 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "fc24dbb4", + "metadata": {}, + "source": [ + "# Appendix E: Two models of uncompensated patch-clamp" + ] + }, + { + "cell_type": "markdown", + "id": "aff7c8af", + "metadata": {}, + "source": [ + "Based on the discussion in [Appendix D](./appendix-D-non-ideal-op-amp.ipynb) we now look at models of uncompensated patch clamp, with leak current omitted for simplicity." + ] + }, + { + "cell_type": "markdown", + "id": "7d17c4f4", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "5d0303e8", + "metadata": {}, + "source": [ + "We now write an equation for the voltage at every junction, starting bottom left:\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "where $I$ is the non-capacitative current through the cell membrane." + ] + }, + { + "cell_type": "markdown", + "id": "7f702770", + "metadata": {}, + "source": [ + "Next,\n", + "\n", + "\\begin{align}\n", + "C_p\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\left(\\dot{V}_o-\\dot{V}_p\\right) - \\frac{V_p-V_m}{R_s}\n", + "\\end{align}\n", + "\n", + "which can be used as a differential equation for either $V_p$\n", + "\n", + "\\begin{align}\n", + "2. && (C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s}\n", + "\\end{align}\n", + "or $V_o$\n", + "\\begin{align}\n", + "2'. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "7ff20823", + "metadata": {}, + "source": [ + "Then the bit where the models differ:\n", + "\n", + "\\begin{align}\n", + "3a. && \\tau_a\\dot{V}_o = V_c - V_p \\\\\n", + "3b. && \\tau_c\\dot{V}_p = V_c - V_p \\\\\n", + "\\end{align}\n", + "\n", + "where $V_c$ is the command voltage.\n", + "The value $\\tau_c$ is either a constant (0.08 microseconds) or $\\tau_c = \\tau_aC_t/C_f$." + ] + }, + { + "cell_type": "markdown", + "id": "db2a73ec", + "metadata": {}, + "source": [ + "And finally\n", + "\n", + "\\begin{align}\n", + "4. && R_f I_\\text{out} \\equiv V_\\text{out} = V_o - V_p\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "f7e3ea4c", + "metadata": {}, + "source": [ + "## Lei et al equations" + ] + }, + { + "cell_type": "markdown", + "id": "642b3187", + "metadata": {}, + "source": [ + "Equation 1 is equation S2.11 in the supplement and Equation 3b is S2.12.\n", + "\n", + "We can insert equation 4 into equation 2 to get\n", + "\\begin{align}\n", + "\\dot{I}_\\text{out} \n", + " = \\frac{1}{R_fC_f} \\left[C_p\\dot{V}_p + \\frac{V_p-V_m}{R_s} - I_\\text{out} \\right]\n", + " = \\frac{I_\\text{in} - I_\\text{out}}{R_fC_f}\n", + "\\end{align}\n", + "\n", + "where\n", + "\\begin{align}\n", + "\\dot{I}_\\text{in} \n", + " = C_p\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", + " = C_p\\dot{V}_p + C_m\\dot{V}_m + I\n", + "\\end{align}\n", + "\n", + "which are equations S2.8 and S2.5.\n", + "\n", + "So the B model above is equivalent to the Lei et al. model (without leak or compensations)." + ] + }, + { + "cell_type": "markdown", + "id": "6fdd1973", + "metadata": {}, + "source": [ + "## Simulations\n", + "\n", + "We now run simulations for a single step from -80 to -20 mV.\n", + "The ionic current is set to 0.\n", + "\n", + "The values for the different constants are mostly based on Weerakoon.\n", + "We recalculate $\\tau_c$ instead of using the constant value.\n", + "The value for $\\tau_a$ is 50ns (Weerakoon), so slower than the 16ns used in Sigworth's original analysis." + ] + }, + { + "cell_type": "markdown", + "id": "338ee717", + "metadata": {}, + "source": [ + "### Lei et al., original formulation" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "a9d15cd3", + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.35.4.dev).\n", + " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" + ] + } + ], + "source": [ + "import myokit\n", + "\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "2cd4366c", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Tau c: 0.8 us\n" + ] + } + ], + "source": [ + "m0 = myokit.parse_model('''\n", + "[[model]]\n", + "cell.Vm = -80 # Vm starts at -80\n", + "amp.Vp = -80 # I=0, dot(Vm)=0, so Vp = Vm\n", + "amp.I_out = 0 # I=0, steady state\n", + "\n", + "[engine]\n", + "time = 0 [ms]\n", + " in [ms]\n", + " bind time\n", + "\n", + "[cell]\n", + "use amp.Rs, amp.Vp\n", + "Cm = 100 [pF]\n", + " in [pF]\n", + "I = 0 [pA]\n", + " in [pA]\n", + "\n", + "# Equation 1 / Equation S2.11\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "\n", + "[amp]\n", + "use cell.Vm, cell.Cm\n", + "Vc = -20 [mV]\n", + " in [mV]\n", + "Rs = 0.01 [GOhm]\n", + " in [GOhm]\n", + "Rf = 0.025 [GOhm]\n", + " in [GOhm]\n", + "Cf = 0.3 [pF]\n", + " in [pF]\n", + "Cp = 4.5 [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", + " in [pF]\n", + "Ct = Cf + Cp\n", + " in [pF]\n", + "tau_amp = 0.05e-3 [ms]\n", + " in [ms]\n", + "tau_c = tau_amp * (Cf + Cp) / Cf\n", + " in [ms]\n", + "\n", + "# Equation S2.5\n", + "I_in = cell.I + Cm * dot(Vm) + Cp * dot(Vp)\n", + " in [pA]\n", + "\n", + "# Equation S2.8\n", + "dot(I_out) = (I_in - I_out) / (Rf * Cf)\n", + " in [pA]\n", + "\n", + "# Equation 3b, S2.12\n", + "dot(Vp) = (Vc - Vp) / tau_c\n", + " in [mV]\n", + "\n", + "''')\n", + "m0.check_units(myokit.UNIT_STRICT)\n", + "\n", + "print(f'Tau c: {m0.get(\"amp.tau_c\").eval() * 1e3} us')" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "3172ad62", + "metadata": {}, + "outputs": [], + "source": [ + "s0 = myokit.Simulation(m0)\n", + "d0 = s0.run(10)" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "fc8e2c5a", + "metadata": { + "scrolled": true + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "kw = dict(color='#aaa', ls='--')\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", + "ax.plot(d0.time(), d0['amp.I_out'])\n", + "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", + "ax.plot(d0.time(), d0['cell.Vm'])\n", + "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp (mV)')\n", + "ax.plot(d0.time(), d0['amp.Vp'])\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "486858f2", + "metadata": {}, + "source": [ + "### Lei et al. reformulation" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "7842fd86", + "metadata": {}, + "outputs": [], + "source": [ + "m1 = myokit.parse_model('''\n", + "[[model]]\n", + "cell.Vm = -80 # Vm starts at -80\n", + "amp.Vp = -80 # I=0, dot(Vm)=0, so Vp = Vm\n", + "amp.Vo = -80 # ?\n", + "\n", + "[engine]\n", + "time = 0 [ms]\n", + " in [ms]\n", + " bind time\n", + "\n", + "[cell]\n", + "use amp.Rs, amp.Vp\n", + "Cm = 100 [pF]\n", + " in [pF]\n", + "I = 0 [pA]\n", + " in [pA]\n", + "\n", + "# Equation 1\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "\n", + "[amp]\n", + "use cell.Vm\n", + "Vc = -20 [mV]\n", + " in [mV]\n", + "Rs = 0.01 [GOhm]\n", + " in [GOhm]\n", + "Rf = 0.025 [GOhm]\n", + " in [GOhm]\n", + "Cf = 0.3 [pF]\n", + " in [pF]\n", + "Cp = 4.5 [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", + " in [pF]\n", + "Ct = Cf + Cp\n", + " in [pF]\n", + "tau_amp = 0.05e-3 [ms]\n", + " in [ms]\n", + "tau_c = tau_amp * (Cf + Cp) / Cf\n", + " in [ms]\n", + "\n", + "# Equation 2'\n", + "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf)\n", + " in [mV]\n", + "\n", + "# Equation 3b\n", + "dot(Vp) = (Vc - Vp) / tau_c\n", + " in [mV]\n", + "\n", + "# Equation 4\n", + "I_out = (Vo - Vp) / Rf\n", + " in [pA]\n", + "\n", + "''')\n", + "m1.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "57fa5c45", + "metadata": {}, + "outputs": [], + "source": [ + "s1 = myokit.Simulation(m1)\n", + "d1 = s1.run(10)" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "f7fd5baa", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", + "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", + "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", + "ax.plot(d0.time(), d0['cell.Vm'])\n", + "ax.plot(d1.time(), d1['cell.Vm'])\n", + "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", + "ax.plot(d0.time(), d0['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "45966ecf", + "metadata": {}, + "source": [ + "## New model" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "f41fa405", + "metadata": {}, + "outputs": [], + "source": [ + "m2 = myokit.parse_model('''\n", + "[[model]]\n", + "cell.Vm = -80 # Vm starts at -80\n", + "amp.Vp = -80 # I=0, dot(Vm)=0, so Vp = Vm\n", + "amp.Vo = -80 # ?\n", + "\n", + "[engine]\n", + "time = 0 [ms]\n", + " in [ms]\n", + " bind time\n", + "\n", + "[cell]\n", + "use amp.Rs, amp.Vp\n", + "Cm = 100 [pF]\n", + " in [pF]\n", + "I = 0 [pA]\n", + " in [pA]\n", + "\n", + "# Equation 1\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "\n", + "[amp]\n", + "use cell.Vm\n", + "Vc = -20 [mV]\n", + " in [mV]\n", + "Rs = 0.01 [GOhm]\n", + " in [GOhm]\n", + "Rf = 0.025 [GOhm]\n", + " in [GOhm]\n", + "Cf = 0.3 [pF]\n", + " in [pF]\n", + "Cp = 4.5 [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", + " in [pF]\n", + "Ct = Cf + Cp\n", + " in [pF]\n", + "tau_amp = 0.05e-3 [ms]\n", + " in [ms]\n", + "#tau_c = tau_amp * (Cf + Cp) / Cf\n", + "# in [ms]\n", + "\n", + "# Equation 2\n", + "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct)\n", + " in [mV]\n", + "\n", + "# Equation 3a\n", + "dot(Vo) = (Vc - Vp) / tau_amp\n", + " in [mV]\n", + "\n", + "# Equation 4\n", + "I_out = (Vo - Vp) / Rf\n", + " in [pA]\n", + "\n", + "''')\n", + "m2.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "06041a0a", + "metadata": {}, + "outputs": [], + "source": [ + "s2 = myokit.Simulation(m2)\n", + "d2 = s2.run(10)" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "ae12ccc2", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4gAAAD4CAYAAACuesiSAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAABja0lEQVR4nO3dd3yV5f3/8dfnnJws9pY9FFSWIAg4UBS1WK2j1ap14PiW1t1hXV221VZba9XaXy1urXVUq9LWiYpKRRAQBUEZsvcmCVnnnM/vj3OCARKSkJPcOcn7+XikOeee7wP08lz3tczdEREREREREQkFHUBEREREREQaBlUQRUREREREBFAFUURERERERJJUQRQRERERERFAFUQRERERERFJygg6QH1r37699+rVK+gYIpJCs2bN2uTuHYLOURsqm0QaJ5VPItIQ7atsanIVxF69ejFz5sygY4hICpnZ8qAz1JbKJpHGSeWTiDRE+yqb1MVUREREREREAFUQRUREREREJEkVRBEREREREQGa4BhEaTpKS0tZtWoVRUVFQUeRFMnOzqZbt25EIpGgo4iIiIg0SqogSqO1atUqWrRoQa9evTCzoONILbk7mzdvZtWqVfTu3TvoOCIiIiKNkrqYSqNVVFREu3btVDlsJMyMdu3aNZgWYTP7g5l9bmafmtmLZta63L6bzWyxmX1hZl8LMKaIiIhIjaiCKI2aKoeNSwP7+3wTGOjug4GFwM0AZtYfOA8YAIwD/p+ZhQNLKSIiIlIDddbF1MyygfeArOR9nnf3X5pZW+BZoBewDPi2u29NnnMzcDkQA65199eT24cBjwE5wCvAde7uZpYFPAEMAzYD57r7slTk31a0jRnrZnByr5NTcTkRaWTc/Y1ybz8Ezk6+PgN4xt2LgaVmthgYAUzb1/Xy8vKYMmXKbtu6devGQQcdRDQaZerUqXud06tXL3r16kVxcTHTpu19+QMPPJDu3buzc+dOZsyYsdf+fv360aVLF/Ly8pg1a9Ze+w899FA6derEtm3bmDNnzl77Bw4cSPv27dm0aRPz5s3ba/+QIUNo3bo169evZ8GCBXvtHzZsGC1atGDNmjUsXLhwr/0jRowgNzeXlStXsmTJkr32H3nkkWRlZbFs2TKWLVu21/5jjjmGjIwMFi9ezKpVq/baP2bMGAC++OIL1q5du9u+cDjM6NGjAZg/fz4bNmzYbX9mZiZHHXUUAHPnzmXz5s277c/JyWHkyJEAzJkzh23btu22v3nz5gwfPhyAmTNnkp+fv9v+1q1bM2TIEACmT59OYWHhbvvbtWvHoEGDAPjggw8oKSkhHo9TEi+lJFpCq1bN6d6jM6Ulhcz5ZBalsSJi8SgxjxL1GM2bNaNNu9bE4zGWLv2SuMdwjxN3J+4xcnOyadaiGbFoKes3rAPiOJ747XGyc7LIyc4iGo+ybds2HMeJE8cBJzMrm8ysDGKxOPn5+clzPRHenaysLCKRCNF4jMKdOyF5BJ44Jisrk3BGBrFYjKKiQsCxsiu4k5WdRTgcIhqNUVJSnLjsrj+dxPUtFCIWjVFSWvzVrZNHZGVnETIjGotRUlrC7pzMzGwsROL8aGn5iwNw4ZhbOLz/6Gr92xMRSTd1OQaxGDjB3fPNLAJMNbNXgW8Cb7n7HWZ2E3ATcOMeT927AJPNrJ+7x4C/AhNIfAl7hcRT+VdJVCa3uvtBZnYecCdwbirC/2DKD5i1fhZvd3ybDrkdUnFJaYKaN2++1xe/yjzwwAPk5uZy8cUX79e9Jk2axPz587npppsqPWbNmjVce+21PP/88/t1jzFjxnDXXXft+mIru1xG4sEXQFcSZVWZVcltezGzCSTKNo2rbOTcnaLiAvKKNlFUtJWYFbF0y/vkFW1n7aaVFJTmU+JFlHgxJRQTsxjxGXFiXkqplxIlTtTiRM0pNad0sVMyA0oMSsyIGcTKt7CvJ9GuXZkiEo9VK2LJ/WW9uSuaEyoGFCRfZ1VyneLk7+xK9seSv3Mq2e8k+jnlVrIfEt9i9vVNJkLF+cuEqtifmfzZwzHrPuPw/qP3caKISPoyd6/6qNrexCwXmApcQaLFb4y7rzWzzsAUdz842XqIu/8uec7rwK0kWhnfcfdDktvPT57/vbJj3H2amWUA64AOvo8PNXz4cJ85c2aVmU9+/mTWFqzl9W+9TpfmXfb7s0twFixYwKGHHhpohppUEGsjGo2SkVH3c041hApiRX+vZjbL3VMeyswmAwdUsOun7v5y8pifAsOBbyZ7NvwFmObuf0/ufxh4xd1f2Ne9qls2ScOxszCPJavmsXL9IjZsXcbm/DVsL9xIXnQbBZ5PPsXkhWJsD8fJDxml1egiHXYnN+5kuxNxI+JGhoeIECJMiAwPEyFMxBK/M8ggYhmELYNwKEzYIoRDGWSEIoRCEcKW+J0RyiDDEtvDoTChUAYhCydfhwlbmFA4g5CFCIczCIWS1wtlkBHOwEJhQsl7WCiMJc8PWeL8DAthFiYcCmEWSv5OvscwMwyDkGGECJkRCoUAIxQyzJKvw4nXRggLQYhQ4lwzwMC+OjbxmuT7hFBy5EzZtrJu6ZZ4g+22rexeyfdlfz3JrGaU2xf66jOUHRaq3iiduiqf6lN1y6eb37+Z91e/z9Tz9u7xICINy77Kpjr9RpkcdzMLOAj4i7tPN7NO7r4WIFlJ7Jg8vLKn7qXJ13tuLztnZfJaUTPbDrQDNqXqM/ie/UpEamnJkiVcddVVbNy4kdzcXB588EEOOeQQbr31Vpo3b87111+/2/HLly/nsssuY+PGjXTo0IFHH32UHj16cMkll9C2bVs+/vhjDj/8cAYNGsTMmTO5//77WbJkCRdccAGxWIxTTjmFu+++m/z8fJYtW8Zpp53GvHnzeOyxx5g0aRI7d+5kyZIlnHXWWfz+978H4IorruCjjz6isLCQs88+m1/96ldB/FEFzt1P3Nd+MxsPnAaMLfdgahXQvdxh3YA1dZNQ6lI8FmPJqs/49Mv/sXTjZ2woWMmW0k1spYDN4VK2hA3fs9IXhhxzWsWMFvEwbeM59PQcckO5ZEdakBtpSU5WK5plt6F5Vita5baldfN2tG3ekXatOtGmRXvCmZU1yYk0bP/58j9BRxCRFKjTCmKye+iQ5Ox+L5rZwH0cXtGjVd/H9n2ds/uFy3Xj6tGjx74ilwvToCbDkFr61b8/Y/6aHSm9Zv8uLfnlNwbU+LwJEybwwAMP0LdvX6ZPn86VV17J22+/XenxV199NRdffDHjx4/nkUce4dprr+Wll14CYOHChUyePJlwOMxjjz2265zrrruO6667jvPPP58HHnig0mvPmTOHjz/+mKysLA4++GCuueYaunfvzu23307btm2JxWKMHTuWTz/9lMGDB9f4szZmZjYOuBE4zt13lts1CfiHmd1Nort8X2DvAYDSoKzfupYP5r7K/NXTWFmwhA2+hXXhUvLCX7UShc3pGHLaxiIcGmtDG2tP65yOtG3elQPa9KJbp7706XooLXPbBPhJRILzzrffoThWXPWBItKg1cs6iO6+zcymkBg7uN7MOpfrYlo28r+yp+6rkq/33F7+nFXJLqatgC0V3H8iMBES3SRS9blEaio/P58PPviAc845Z9e24uJ9/8d02rRp/Otf/wLgoosu4oYbbti175xzziEc3nuCzGnTpu2qRH7nO9/Zq1WyzNixY2nVqhUA/fv3Z/ny5XTv3p3nnnuOiRMnEo1GWbt2LfPnz1cFcW/3kxh99Wayy9qH7v59d//MzJ4D5gNR4KrkwzJpIOKxKNPnvsrUz//Nwh2fsdq2syrCrtbANqE4XUozGOYd6JTZk55tD+WQ7sPof+BImuW2DDi9SMPVPqd90BFEJAXqchbTDkBpsnKYA5xIYhKZScB44I7k75eTp1T41N3dY2aWZ2ajgOnAxcCfy50znsTsgGcDb+9r/OH+qI8xmlL39qelry7E43Fat25d4YyQ1VV+qYdmzZrVKk9W1ldd2cLhMNFolKVLl3LXXXfx0Ucf0aZNGy655JIGs/ZgQ+LuB+1j3+3A7fUYR6owc8E7vD77SRbnz2NxpIBtyZbBduE4PaO5HO7dOLDtYIb3PYn+fUcRrocxvSKNzY+n/Jh3Vr7D7ItmBx1FRGqhLv8L2Bl4PDkOMQQ85+7/MbNpwHNmdjmwAjgHoIqn7lfw1TIXryZ/AB4GnkxOI7+FxCyoKdHA1luTRqJly5b07t2bf/7zn5xzzjm4O59++imHHXZYpeccddRRPPPMM1x00UU89dRTHHPMMVXeZ9SoUbzwwguce+65PPPMMzXKuGPHDpo1a0arVq1Yv349r7766q7lAETSRSwWZfL0vzPl8+f4NLaCFZmJMv2AcJxDo23pmzuYow49k1EDTiCcoWUqRVLhjeVvVH2QiDR4dVZBdPdPgaEVbN8MjK3knAqfurv7TGCv8YvuXkSygllXNEmN1MbOnTvp1u2rHtI/+tGPeOqpp7jiiiu47bbbKC0t5bzzzttnBfG+++7jsssu4w9/+MOuSWqqcs8993DhhRfyxz/+kVNPPXVXN9LqOOywwxg6dCgDBgygT58+HH300dU+VyRI7s7k6c/w33mP8rGtYUuGEQ45B5dmco4N4JQhF3HEoJPKTVcpIqn0/rnvawyiSCNQL8tcNCTVnap53AvjWJ2/mle++QrdW3Sv8nhpeBrCMhdB2blzJzk5OZgZzzzzDE8//TQvv/xy1Semgfpc5qI+aZmL/bd522oeev2XvJc3gxURJ+LOYUU5HNbmKE4ffQ19ulTaG1ikzql8EpGGKLBlLhqFplV/lkZi1qxZXH311bg7rVu35pFHHgk6kkjKzfr8bZ743+1MC62jMBSiXxy+EzqCC0/6Gd0P6BN0PJEm55q3r2HKyinMHT836CgiUguqIFZCy1xIOhs9ejSffPJJ0DFE6sT7cybxyIw7mJW5g0gIhhW34Wt9L+WsMZcSCldv8XIRSb0pK6cEHUFEUkAVxErE4pqVXkSkIZm/+D3++M6NzMjMp1kkzinR7lx03O0M7Dss6GgiAnxwwsOUblsadAwRqSVVECsR27EeMmDT+lV0b6kxiCIiQdm4bTV3vjSBt1hOJMM5paQXV5xyF727Nc0xxiINVYuHT0q8GHRusEFEpFZUQaxE2KNAiFhU67+JiAQhHovxt0k38NTW18kLwVGFLbnsuLs5ov+ooKOJSAW+36kD/8vNQSMQRdKbKohVaGqzvIqINASfLZvFb9/4Hp9mFdM3GuL6Pj/gjLGXao1akQbsf7k5QUcQkRTQaP5K6CuIpELz5s2rfewDDzzAE088sd/32rhxIyNHjmTo0KG8//77+32dmurVqxebNm3a5zG//e1va3zdxx57jKuvvnp/Y0ka+9u/f85l74xnUaSQ80JD+MclH3HmiZepcijSwE0/5x3+d+YrQccQkVpSC2IlvKyKqBZEqSff//73qzwmGo2SkVHx/23feustDjnkEB5//PFq3zMWixEOh6t9/P767W9/yy233FLn95H0tm3HRm559hzez9zMwSXGj0f8niOHfj3oWCJSTbm57YOOICIpoBZEkXq2ZMkSxo0bx7Bhwxg9ejSff/45ALfeeit33XXXXsdfcskl/OhHP+L444/nxhtvrPD8OXPmcMMNN/DKK68wZMgQCgsLefrppxk0aBADBw7kxhtv3HW95s2b84tf/IKRI0cybdo0mjdvzo033siwYcM48cQTmTFjBmPGjKFPnz5MmjQJ2Ls177TTTmPKlCl7ZT3zzDMZNmwYAwYMYOLEiQDcdNNNFBYWMmTIEC644AIA/v73vzNixAiGDBnC9773PWKxxKzBjz76KP369eO4447jf//7X2r+wCUtfLxoGt95dixTI5sYV9Kdxy78nyqHImnm/x49nEGPDwo6hojUkloQK2E4YGpBbCxevQnWpXjY/AGD4JQ7anzahAkTeOCBB+jbty/Tp0/nyiuv5O23397nOQsXLmTy5MmEw2HGjh1b4fm//vWvmTlzJvfffz9r1qzhxhtvZNasWbRp04aTTz6Zl156iTPPPJOCggIGDhzIr3/9awAKCgoYM2YMd955J2eddRY/+9nPePPNN5k/fz7jx4/n9NNPr/Zne+SRR2jbti2FhYUcccQRfOtb3+KOO+7g/vvvZ86cOQAsWLCAZ599lv/9739EIhGuvPJKnnrqKU466SR++ctfMmvWLFq1asXxxx/P0KFDa/znK+nnPx88zh2f/wEPOze0/w4XnvbToCOJyH6YHioNOoKIpIAqiJVKdDF1VEGU1MnPz+eDDz7gnHPO2bWtuLi4yvPOOeccwuFwtc//6KOPGDNmDB06dADgggsu4L333uPMM88kHA7zrW99a9exmZmZjBs3DoBBgwaRlZVFJBJh0KBBLFu2rEaf77777uPFF18EYOXKlSxatIh27drtdsxbb73FrFmzOOKIIwAoLCykY8eOTJ8+fbfM5557LgsXLqzR/SX9PDDpZiZu+Tcd487PhvyWY4afEXQkEdlPs5auIKaxwiJpTxXESpQVb6oeNhL70dJXF+LxOK1bt97VmlZdzZo1q9H5+5p9Nzs7e7dxh5FIZNfkH6FQiKysrF2vo9EoABkZGcTj8V3nFBXtvfzLlClTmDx5MtOmTSM3N5cxY8ZUeJy7M378eH73u9/ttv2ll17SJCRNzG+fGs/T0dkMKA5z27h/cFDPAUFHEpFayAT1vBJpBDQGsQru8aoPEqmmli1b0rt3b/75z38CicrSJ598kvLzR44cybvvvsumTZuIxWI8/fTTHHfccfudu1evXsyZM4d4PM7KlSuZMWPGXsds376dNm3akJuby+eff86HH364a18kEqG0NNH1aOzYsTz//PNs2LABgC1btrB8+XJGjhzJlClT2Lx5M6Wlpbs+ozROv3ji2zwdnc3wohz+ev47qhyKNALjO3dkUO8eQccQkVpSBbEqehAmtbBz5066deu26+fuu+/mqaee4uGHH+awww5jwIABvPzyyzW6ZnXO79y5M7/73e84/vjjOeywwzj88MM544z977p39NFH07t3bwYNGsT111/P4Ycfvtcx48aNIxqNMnjwYH7+858zatRXi5lPmDCBwYMHc8EFF9C/f39uu+02Tj75ZAYPHsxJJ53E2rVr6dy5M7feeitHHnkkJ554YoX3kMbhF0+ezYu+gCOLmvPXS6bQpmXboCOJSArMzs4OOoKIpIA1tYXghw8f7jNnzqzyuHEPDWR1xHhw6B8YNXhcPSSTVFuwYAGHHnpo0DEkxSr6ezWzWe4+PKBIKVHdsind3fH0eJ4qmc1RhS2599K3yU52aRZprJpS+RSLluAeJyOiiqJIQ7evskljEKsQb1r1ZxGROnPfC9fyVMlshhfmcPf4N1U5FGlkwhmZQUcQkRRQF9NK2K7fqiGKiNTWs2/czcN5bzO4KIO7L3idZjm5QUcSkRQ7/5HBWgdRpBFQC2IVtMyFiEjtfPzZW/x51cN0jYX4wzcn0aZFm6AjiUgdmBfWdyaRxkAVxEqYyjgRkVrbtmUVv/rgOqJh45dH3UeXDt2DjiQidWTu0hVBRxCRFFAX00qofigiUjvxWIyb/vlNvozAd7tcxsgBxwcdSURERKqgFsQqNLVZXkVEUuWOZy7hf9mFnOoDuXzcj4KOIyJ17OwuB/BFViZzgw4iIrWiFsRKWNWHiFTp9ttvZ8CAAQwePJghQ4Ywffp0/u///o/58+fXy/3nzJnDK6+8suv9rbfeyl133VXledU9LhWWLVvGwIED6+VeqWRmvzGzT81sjpm9YWZdyu272cwWm9kXZva1IHMG5YX3HuLZ0o8ZXpTNbRc+FXQcEakHyyJqdxBpDPT/5CrE1dlU9tO0adP4z3/+w+zZs8nKymLTpk2UlJTw0EMP1cv9o9Eoc+bMYebMmXz961+vl3s2MX9w958DmNm1wC+A75tZf+A8YADQBZhsZv3cPRZc1Pq1YdNK/t+ie+gad24/41kyMsJBRxJp1MysNfAQMJDEKJnLgC+AZ4FewDLg2+6+NXn8zcDlQAy41t1fT0WOmZd+lorLiEjA6qwF0cy6m9k7ZrbAzD4zs+uS2281s9XJp+5zzOzr5c6p8Km7mQ0zs7nJffeZmSW3Z5nZs8nt082sV8ryl71QF1PZT2vXrqV9+/ZkJdd6a9++PV26dGHMmDGULTj88MMP069fP8aMGcN3v/tdrr76amKxGH369MHd2bZtG6FQiPfeew+A0aNHs3jxYrZs2cKZZ57J4MGDGTVqFJ9++imQaPmbMGECJ598MhdffDG/+MUvePbZZxkyZAjPPvssAPPnz2fMmDH06dOH++67r9L8n3zyCSeccAJ9+/blwQcfBCA/P5+xY8dy+OGHM2jQIF5++WUACgoKOPXUUznssMMYOHDgrnvNmjWL4447jmHDhvG1r32NtWvX7tp+2GGHceSRR/KXv/wl1X/09cLdd5R724yvhi6fATzj7sXuvhRYDIyo73xBuv1f32FTGL7f9zq6HNAn6DgiTcG9wGvufghwGLAAuAl4y937Am8l37PHQ6xxwP8zMz3FEZFd6rIFMQr82N1nm1kLYJaZvZnc9yd3363/WhVP3f8KTAA+BF4hUaC9SuLp11Z3P8jMzgPuBM5N5YdQ/bBxuHPGnXy+5fOUXvOQtodw44gbK91/8skn8+tf/5p+/fpx4okncu6553Lcccft2r9mzRp+85vfMHv2bFq0aMEJJ5zAYYcdRjgcpl+/fsyfP5+lS5cybNgw3n//fUaOHMmqVas46KCDuOaaaxg6dCgvvfQSb7/9NhdffDFz5swBEpWvqVOnkpOTw2OPPcbMmTO5//77gUQF8vPPP+edd94hLy+Pgw8+mCuuuIJIJLJX/k8//ZQPP/yQgoIChg4dyqmnnkrHjh158cUXadmyJZs2bWLUqFGcfvrpvPbaa3Tp0oX//ve/AGzfvp3S0lKuueYaXn75ZTp06MCzzz7LT3/6Ux555BEuvfRS/vznP3Pcccfxk5/8JIV/K/XLzG4HLga2A2UzsHQlUVaVWZXcVtH5E0iUbfTo0aPugtaj59/8A29nbWNsaU9OHzMh6DgijZ6ZtQSOBS4BcPcSoMTMzgDGJA97HJgC3Ei5h1jAUjMre4g1rbZZznh4IF9mGHPHaxSiSDqrsxZEd1/r7rOTr/NIPM2q8EtSUoVP3c2sM9DS3ad5YsaYJ4Azy53zePL188DYstbFFH6S1F5OmozmzZsza9YsJk6cSIcOHTj33HN57LHHdu2fMWMGxx13HG3btiUSiXDOOefs2jd69Gjee+893nvvPW6++WamTp3KRx99xBFHHAHA1KlTueiiiwA44YQT2Lx5M9u3bwfg9NNPJycnp9Jcp556KllZWbRv356OHTuyfv36Co8744wzyMnJoX379hx//PHMmDEDd+eWW25h8ODBnHjiiaxevZr169czaNAgJk+ezI033sj7779Pq1at+OKLL5g3bx4nnXQSQ4YM4bbbbmPVqlVs376dbdu27aosl32OhsjMJpvZvAp+zgBw95+6e3fgKeDqstMquFSFBYm7T3T34e4+vEOHDnXzIerRlu1reWDF43QtdX727SeCjiPSVPQBNgKPmtnHZvaQmTUDOrn7Wkh8JwM6Jo/vCqwsd36FD7HMbIKZzTSzmRs3bqxWkE0Wr8XHEJGGol7GICa7fg4FpgNHA1eb2cXATBKtjFup/Kl7afL1ntuhXCHn7lEz2w60Azbtcf8aP6VXtbBx2VdLX10Kh8OMGTOGMWPGMGjQIB5//PFd+/Y1Q+7o0aN54IEHWLNmDb/+9a/5wx/+wJQpUzj22GMrPbfs2UizZs32mamsy2tZvmg0yl/+8pdd3UjLJrXZ81mLmfHUU0+xceNGZs2aRSQSoVevXhQVFdGvXz9mzZrFK6+8ws0338zJJ5/MWWedxYABA5g2bfeH0tu2bdvr2g2Vu59YzUP/AfwX+CWJMqr8Yn/dgDUpjtYg/er5i9kQhp92u5L2LdsFHUekqcgADgeucffpZnYvye6klajWQyx3nwhMBBg+fHi1vhb9b8Xq6hwmIg1cnc9iambNgReAHyTH7PwVOBAYAqwF/lh2aAWn+z627+uc3Tfsx1P6ry6sp2Gyf7744gsWLVq06/2cOXPo2bPnrvcjRozg3XffZevWrUSjUV544YVd+0aOHMkHH3xAKBQiOzubIUOG8Le//Y3Ro0cDcOyxx/LUU4mZIadMmUL79u1p2bLlXhlatGhBXl5elVmvuuoq5syZw5w5c+jSJTEZ58svv0xRURGbN29mypQpHHHEEWzfvp2OHTsSiUR45513WL58OZDoLpubm8uFF17I9ddfz+zZszn44IPZuHHjrgpiaWkpn332Ga1bt6ZVq1ZMnToVYNfnSDdm1rfc29OBsj7Mk4DzkmOkewN9gRn1na++/ft/D/N2xjrGFnfk3BOvDDqOSFOyCljl7tOT758nUWFcn+yFRfL3hnLHN8mHWCJSPXXagmhmERKVw6fc/V8A7r6+3P4Hgf8k31ZWYK1Kvt5ze/lzVplZBtAK2JLKz6AxiLK/8vPzueaaa9i2bRsZGRkcdNBBTJw4kbPPPhuArl27cssttzBy5Ei6dOlC//79adWqFZBo5evevTujRo0CEi2KTz/9NIMGDQISYwkvvfRSBg8eTG5u7m4tk+Udf/zx3HHHHQwZMoSbb765RvlHjBjBqaeeyooVK/j5z39Oly5duOCCC/jGN77B8OHDGTJkCIcccggAc+fO5Sc/+QmhUIhIJMJf//pXMjMzef7557n22mvZvn070WiUH/zgBwwYMIBHH32Uyy67jNzcXL72tbRdBeIOMzuYxFOk5cD3Adz9MzN7DphPYiz2VY19BtOSkiIenH8PnSzODWepa6lIfXL3dWa20swOdvcvgLEkyp/5wHjgjuTvl5OnTAL+YWZ3k5jzIWUPsb7erTMrIxGtgyiS5qyuFoJPjgV8HNji7j8ot71zWZ94M/shMNLdzzOzASS6aY0gUWC9BfR195iZfQRcQ6KL6ivAn939FTO7Chjk7t9PTlLzTXf/9r5yDR8+3MtmkNyXUx8cyIpM4/4Bv+a44WfV/A9AArdgwQIOPfTQoGPsU35+Ps2bNycajXLWWWdx2WWXcdZZ+ve2LxX9vZrZLHcfHlCklKhu2dQQ3fPMlTxc/D4TWozjmm/+Ieg4Ig1KfZRPZjaExDIXmcCXwKUkeok9B/QAVgDnuPuW5PE/JbEURpRED69X93X96pZPJzwygI3hkCapEUkD+yqb6rIF8WjgImCumc1JbrsFOD9ZkDmJdXm+B1U+db8CeAzIITF7aVlB9jDwZHIGri0kZkFNCUt2MlUDotSlW2+9lcmTJ1NUVMTJJ5/MmWeeGXQkkRrZun09Lxe8y0GxDK668I6g44g0Se4+B6joi97YSo6/Hbg91TnevkzrIIo0BnVWQXT3qVQ8RvCVfZxTYYHl7jNJLP665/Yi4Jw9t6dGZcMfRVLnrrvuqvogkQbsTy9dyaaMEFf2vpJQWEupiYiIpLs6n6Qm3cXVhpjW6qoLtQRDf58Ny9LVn/Ja/HOGFeVwzgnfCzqOiATspEcGMOjxQUHHEJFaUgWxEqbWw7SXnZ3N5s2bValoJNydzZs3k52dHXQUSbr7tesoNePS4b8OOoqINADhuGZ+F2kM6mUdxLSmykXa6tatG6tWraK6C/xKw5ednU23bt2qPlDq3Kz5r/FeeCPHFXfiuGHjgo4jIg3Aa6u0WoZIY6AKojRakUiE3r17Bx1DpFGa+L/fkJ3hfPfEe4KOIiIiIimkCmKV1F1CRKS8WfPfZXpkO2NKuzHoQI03EpGEMd27sjkjrHUQRdKcxiBWomwEojqYiojs7rGpv8KACSekfJZ8EUljrfW1UqRRUAtiFTTBiYjIV5asmMu0yAZGlbal/4F1uva3iKSZly6fF3QEEUkBPeoREZFqe+itWygxuOCIm4KOIiIiInVAFcSqqAVRRASALVtX865/ydDiZhwz5OtBxxGRBma01kEUaRRUQaxCXBVEEREAJr7yE/LCIU7r+/2go4hIA9QlWhp0BBFJAY1BrIQl64W278NERJqE4uJ8Xi/6hP7RTM4+/pKg44hIA/TsmvVBRxCRFFALYmWSNcM4sWBziIg0AE++cRubMkKMaX8WZnp0JiJ7c7Q4mEhjoBbEKnhcXUxFRF5f9zpdLM7FX78h6Cgi0kAd3aMbeeGQ1kEUSXNqQayEuZ6Qi4gAfDDn33yeGWVEeCDNcrKDjiMiDVRfIkFHEJEUUAtiFRy1IIpI0/bsR/eQmeFcNPaXQUcRkQbs8cvmBB1BRFJALYiVKGs/dM1iKiJN2NYda5mesY7DS1vRr0f/oOOISAMWjZZQXFIQdAwRqSVVEKukCqKINF1PvH47BaEQJ/W5MOgoItLAHfPkUIY/PSroGCJSS6ogVkHVQxFpyt7bNpUeJc43x0wIOoqINHADi0sA9b4SSXcag1iJr7qYasJmEWma5i2awsLMGGdEDyEjIxx0HBFp4B5atyHxQkvhiKQ1tSBWRU/BRKSJevaDewm5c+rIHwYdRUTSQAmQb6YWRJE0pxbEKmgWUxFpiuKxKB9GF3FoNJNRA44KOo6IpIFjenajMBRiTjxGOKyvmCLpSi2IldjVxTTQFCIiwXjrw4dZl2Ec1mI0pu5iIlINI6wZgMoMkTSnCmKlEoWbxiCKyL6Y2fVm5mbWvty2m81ssZl9YWZfCzLf/vr358+QHXfOPeH6oKOISJq4/5IZzB0/l1BIY5ZF0pna/6uifvQiUgkz6w6cBKwot60/cB4wAOgCTDazfu4eCyZlzRUV5/GRbeCw4pb0OaB70HFEJE0UFm6jsGgrbVr1xEJqgxBJV3X2/14z625m75jZAjP7zMyuS25va2Zvmtmi5O825c6p8Km7mQ0zs7nJffdZsu+CmWWZ2bPJ7dPNrFfK8id/awyiiOzDn4Ab2L03+hnAM+5e7O5LgcXAiCDC7a9/vfsX8sMhRnRMy8ZPEQnIcc8czXGTTicaLQ46iojUQl0+3okCP3b3Q4FRwFXJJ+s3AW+5e1/greT7PZ+6jwP+n5mV9VH4KzAB6Jv8GZfcfjmw1d0PIvFF7c5Ufwg1IIpIRczsdGC1u3+yx66uwMpy71clt1V0jQlmNtPMZm7cuLGOktbce8v/S4tYnLNPvC7oKCKSRo4pLAIgpDGIImmtzrqYuvtaYG3ydZ6ZLSDxJekMYEzysMeBKcCNlHvqDiw1s8XACDNbBrR092kAZvYEcCbwavKcW5PXeh6438zMUzq/smqIIk2VmU0GDqhg10+BW4CTKzqtgm0VFiTuPhGYCDB8+PAGUdgU7Mzjk/AWBpe2oW3LNlWfICKSdPeGTYkXpu6lIumsXsYgJrt+DgWmA52SlUfcfa2ZdUwe1hX4sNxpZU/dS5Ov99xeds7K5LWiZrYdaAds2uP+E0i0QNKjR4+ahdckNSJNlrufWNF2MxsE9AY+SfZ47wbMNrMRJMqo8gP3ugFr6jhqyrw45c/kh0OM7Diu6oNFJCXM7EjgQmA00BkoBOYB/wX+7u7bA4xXbQVm5IdCdHSv8EmZiKSHOn/EY2bNgReAH7j7jn0dWsE238f2fZ2z+wb3ie4+3N2Hd+jQoarIyQuraBORirn7XHfv6O693L0XiUrh4e6+DpgEnJccI92bRLf4GQHGrZEPV79KbjzO2cdfE3QUkSbBzF4F/g94ncQQms5Af+BnQDbwcrJLe4M3pkdXTuzRleJYUdBRRKQW6rQF0cwiJCqHT7n7v5Kb15tZ52TrYWdgQ3J7ZU/dVyVf77m9/DmrzCwDaAVsSeVnUPuhiNSEu39mZs8B80mMxb4qXWYwLSndycfhLQwsaUXLZq2CjiPSVFzk7pv22JYPzE7+/LH8MjoN2YkZbflPfBsZ4aygo4hILdTlLKYGPAwscPe7y+2aBIxPvh4PvFxu+15P3ZPdUfPMbFTymhfvcU7Ztc4G3k7V+MNd7YdxVRFFZN+SLYmbyr2/3d0PdPeD3f3VILPVxKvTHmVHOMTQtscGHUWkKbnVzI7a1wEVVCAbpN9d9D5zx88lI5IddBQRqYW6bEE8GrgImGtmc5LbbgHuAJ4zs8tJrB12DlT51P0K4DEgh8TkNGVfuB4GnkxOaLOFxCyoKaVZTEWkqXhn8ctkW5zTj7066CgiTckiEq2EnYFngafdfU6wkfbPjh2r2Z63mq4HHE4orKW2RdJVXc5iOpWKxwgCjK3knNuB2yvYPhMYWMH2IpIVzLri6mQqIk2Ax+PM9TUcWpJNj07dqj5BRFLC3e8F7jWzniQedD9qZtnA0yRmd18YaMAaOOGFkykOhZh+9jvkNkuLXrEiUgHNQ1wFNSCKSFMwZ8GrbMgw+uYMCTqKSJPk7svd/U53Hwp8BzgLWBBwrBo5rWAnmXEnEo4EHUVEakEVxErYrpqhqogi0vi9+vGTAJxw+OUBJxFpmswsYmbfMLOnSAylWQh8K+BYNXLrpi3MWr6SiIWDjiIitaAO4pVJrG2Gx1VBFJHG75Odn9ML48gBRwYdRaRJMbOTgPOBU0ksifMMMMHdCwINth+2h0JsDofoGY+hKqJI+lIFsQquFkQRaeQ2bV7GF5lRTijtRSikNWBF6tktwD+A6909pUt11bfje3Sl1IxpsUKao6VyRNJVlRVEM+tIYkbSLkAhMA+Y6e6NevYWdTEVkabi5Q/+RsyM4T1PCzqKSJPj7seXvTazNiTWd84ot392ELn2x7eyuvJq4UqyMlsEHUVEaqHSCqKZHQ/cBLQFPiaxoH02cCZwoJk9D/zR3XfUQ87gaJ0LkUbFzJoBRemyeH19mLFuKq3CcU4/5uKgo4g0WWb2G+AS4EvYNYW6AydU49wwMBNY7e6nmVlbEktm9AKWAd92963JY28GLgdiwLXu/nqqPsNPz3+dn6bqYiISmH21IH4d+K67r9hzh5llAKcBJwEv1FG2BkFdTEXSm5mFSEwdfwFwBFAMZJnZRuAVYKK7LwowYqBisSjzwlvpX9KC5rm5QccRacq+DRzo7iX7ce51JGY8bZl8fxPwlrvfYWY3Jd/faGb9SZSHA0j0DJtsZv1S9cBs69Yv2bB5IQf2Op6MjKxUXFJEAlDpLKbu/pOKKodJ7dz9JXdvtJVD27WEoyqIImnuHeBA4GbgAHfv7u4dgdHAh8AdZnZhkAGD9O7HL7IjbAxoPTzoKCJN3TygdU1PMrNuJCa4eajc5jOAx5OvHyfR+6ts+zPuXuzuS4HFwIj9zLuXE14+nbP/9xMKCtan6pIiEoBqT1JjZq1ITLf8HeBQoGtdhWoQkvVDVQ9F0t6J7l6658bkZBAvAC+YWZNdtOu9+f8C4KTh4wNOItLk/Q742MzmkejpAIC7n17FefcANwDlB/51cve1yfPXJueTgMR3tw/LHbeKSr7PmdkEYAJAjx49qvUBLtiRxxvNcskNZ1freBFpmPZZQTSzHOB0EpXCw0kUPmcC79V5MhGR1HjRzP4BvFzZtPEVVSCbikVFX9DdYMBBRwQdRaSpexy4E5jLV2MQ98nMTgM2uPssMxtTnVMq2Fbhs3B3nwhMBBg+fHi1npdfv2Ub12/ZBiFNki+SzvY1Sc1TwLHAG8D9wNvAYnefUj/RGoZGPlmrSFPwIIkxN/eY2TvA08Ar+znOp1EpKNjKF5Fijox2CTqKiMAmd7+vhuccDZxuZl8nMZFgSzP7O7DezDonWw87k5hoEBItht3Lnd8NWFPb4GU2hUKsy8jg4HiUJtstQ6QRqHQMIjAQ2Epi0PPnyQHMTafHZfKTumYxFUlr7v6yu58P9AT+BYwHVpjZI8kFqpus1z98kuJQiEGdjgk6iojALDP7nZkdaWaHl/3s6wR3v9ndu7l7LxIPwt529wuBSSTKOpK/X06+ngScZ2ZZZtYb6AvMSNUHOLFHV87vegD5JXmpuqSIBKDSFkR3P8zMDiHRvXSymW0AWpjZAe6+rt4SBsRMi0WLNCbuXkhi2vdnzWwwie5c44FwoMEC9NGKyYTMGTfykqCjiAgMTf4eVW5btZa5qMAdwHNmdjmwAjgHwN0/M7PngPlAFLgqlUv+XNa8H29v+5xmuR1SdUkRCcA+O4m7++fAL4BfmNkRwPnADDNb5e5H1UfAoKkFUaRxMLNOJKaRPw/oDPwTuDTQUAFbWLqcAwnRo1PPoKOINHnufnwtz58CTEm+3gyMreS424Hba3Ovylx79r+4ti4uLCL1al9dTHfj7h+5+49IdNO6ue4iNRBlXUybUK9akcbIzL5rZm8Ds4F+wA3u3sfdb3T3OcGmC87m7WtZkhnjwFC3oKOINGlmdmFyvdbK9h9oZmnRD3zTps/5eN7TlJbsDDqKiNRCldNMmVkf4F7gSBKzak0DfljHuQK3q4OpWhBF0t1RJLpbTXbNOrXLKx8+TsyMwZ3HBB1FpKlrR2J5i1nALGAjiQlnDgKOAzaRWOi+wRv7n7OJm/HOAUNp3/6QoOOIyH6qzjzE/wD+ApyVfH8eiVkAR9ZVKBGRVHH3Xd1Ik2MPe1Gu7HP3fwUQK3BzVr9HpjlfG3Vx0FFEmjR3v9fM7icx1vBoYDBQSGKSwIvcfUWQ+Wriim3beT8nh5YZzYKOIiK1UJ0Korn7k+Xe/93Mrq6rQA2NV28pIhFp4MzsERJfvD7jqzXGnMTMpk3Ol7HVHBgP07HdAUFHEWnykhPFvJn8SVvf37aD72/bAWEtciGSzqpTQXzHzG4CniHxZepc4L9m1hbA3bfUYb7AWFknU/UwFWksRrl7/6BDNARbtq1haSTGSdFeQUcRkUZkfTjMskgGQ6PFZAYdRkT2W3UqiOcmf39vj+2Xkag+9UlpogZGLYgijcY0M+vv7vODDhK016f/IzH+sMvooKOISCNyYo+uALxdmocWuhBJX1VWEN29d30Eaag0R41Io/E4iUriOqCYxFxU7u6Dg41V/z5Z8z4hc0484oKgo4hII/LD1kP4YNOntGrZPegoIlILlVYQzewYd5+6j/0tgR7uPq9OkgVsVxdT9TEVaSweAS4C5kLT7hrwZekK+mB07qAlLkQaCjNrBdwKlDXtvwv82t23Bxaqhi4740kuCzqEiNTavtZB/JaZfWBmvzCzU81shJkda2aXmdmTwH+AnHrKGRitgyjSaKxw90nuvtTdl5f97O/FzOxWM1ttZnOSP18vt+9mM1tsZl+Y2ddSEz81iosLWRIppScdg44iIrt7BNgBfDv5swN4NNBENbRuzSymTr+H4qK0qdOKSAUqbUF09x+aWRvgbOAcoDNfTbv8t321LsKuGQNPAza4+8DktluB75JY4wfgFnd/JbnvZuByIAZc6+6vJ7cPAx4jURl9BbjO3d3MsoAngGHAZuBcd19Ww89fef7kb1cfU5HG4nMz+wfwbxJdTIFaL3PxJ3e/q/wGM+tPYjmgAUAXYLKZ9UvOUhi49z55iZKQcVCbIUFHEZHdHeju3yr3/ldmNieoMPvjpDcvAeDNHsdxQOehwYYRkf22zzGI7r4VeDD5U1OPAfeTqMSVV9MvVH8FJgAfkqggjgNeJVGZ3OruB5nZecCdfDWhjojInnJIVAxPLretLpa5OAN4xt2LgaVmthgYAUxL8X32y6zFbwAwqv9ZVRwpIvWssPzwHjM7msSD+bRx4+atzMjOom1my6CjiEgtVGcW0/3i7u+ZWa9qHl7hFyozWwa0dPdpAGb2BHAmiQriGST66gM8D9xvZuZq8hORCrj7pXVw2avN7GJgJvDj5EO1riQeaJVZldy2FzObQOIBGD169KiDeHtbkv8FHcJxDj/kqHq5n4hU2/eBJ5JjEQG2AuMDzFNjF+7I48IdeVoHUSTN7WsMYl252sw+NbNHkl1YIfHlaWW5Y8q+UHVNvt5z+27nuHsU2A60q+iGZjbBzGaa2cyNGzdWdEilVN8USW9m9rOydVsr2X+CmZ1Wyb7JZjavgp8zSPRuOBAYAqwF/lh2WgWXqrAgcfeJ7j7c3Yd36FA/k8IvC22nZ7Q5oXAQxb+I7MnM5pvZT4F8dz8MGAwMdveh7v5pwPFqZG04zDu5ORSWplXDp4jsocoWRDPLSrbs7XNbNf0V+A2JL0u/IfGF6jIq/0K1ry9aNfoSBkwEGD58eLVqfLtmMVUFUSTdzQX+bWZFwGwSY6Czgb4kKneTgd9WdKK7n1idG5jZgyQm7oLEg6zyc7x3A9bsT/BUW7piDusiIY6KHBR0FBH5yvkkhtm8YWabgKeB50hMUpNWTk6ug/h6yfbGP4uhSCNWnUfIFY2b2a+xNO6+3t1j7h4nMa5xRHJXZV+oViVf77l9t3PMLANoBWzZn1z7zKxZTEXSmru/7O5Hk+i+9RkQJvHF6+/ACHf/obvXrGsBYGady709Cyhb8mcScJ6ZZZlZbxIV0Rm1+Qyp8u6c5wEY1OP4gJOISBl3/8Tdb3b3A4HrgJ7Ah2b2tpl9N+B4NfLzjsdyKs1p37Zf0FFEpBb2tQ7iASS6ceaY2VC+arFrCeTuz83MrLO7r02+3fML1T/M7G4Sk9T0BWa4e8zM8sxsFDAduBj4c7lzxpOorJ4NvJ3S8YdlDYiqIIo0Cu6+CFiUwkv+3syGkOi5sAz4XvI+n5nZc8B8IApc1VBmMJ2/cRYZYeeE4d+q+mARqXfu/iGJyuHLwJ9ITPa3PxMFBuLbp/yFbwcdQkRqbV9dTL8GXEKi1e7uctvzgFuqurCZPQ2MAdqb2Srgl8CY/fhCdQVfLXPxavIH4GHgyeSENltIdM9IGSurF6p+KCIVcPeL9rHvduD2eoxTLctia+kdC9G2RZuqDxaRemVmR5DobvotEt+RJgL/DDJTTa1a9i7zvnyNY0f8kNzmWmtVJF3tax3Ex4HHzexb7v5CTS/s7udXsPnhfRxf4Rcqd58JDKxgexGJ9RnryK6VEOvuFiIi9aSopJAvI1GOiXYKOoqIlGNmvyWxTNdW4BngaHdfte+zGqZT3r0agFcOOk0VRJE0Vp1lLgaa2YA9N7r7r+sgT4OjLqYi0hi8/8l/KQ4ZfVsNCjqKiOyuGDjF3RcGHaS2fr1xM3OzMjkgp8JJ5UUkTVSngphf7nU2cBqwoG7iNBwVTZEqIukrOWHMNUAvypV97n56UJnq05wv3wZgeL9TAk4iIuW5+6+CzpAqZ+Xv5Kz8ArA6W2ZbROpBlf8Pdvc/ln9vZneRmCCmcbNEFVHrIIo0Gi+R6Ob+byAebJT6t3zHAlqE4wwfODboKCLSSC2LRJidFeHk0gKaBx1GRPbb/jziyQX6pDpIQ+VN73ukSGNV5O73BR0iKCvZTM/STMIZerIvInXjG90OAGBYyTZVEEXSWJXfFMxsLl/N1BIGOgCNfvyhqeFQpLG518x+CbxBYswPAO4+O7hI9aOwKI8VkTgnRLsGHUVEqmBmpwBT3L3QzL7p7v8KOlN13dH9GyxYN5MuHYcEHUVEaqE6j5JPK/c6Cqx392gd5WlAyhZCVE1RpJEYBFwEnMBXXUw9+b5R++CTSUTN6NNmcNBRRKRqpwG/NLPZwCggbSqIp57wW04NOoSI1FqoqgPcfTnQGvgGicXt+9dxpoYhWT9UB1ORRuMsoI+7H+fuxyd/Gn3lEOCTpVMAGNpvXLBBRGQvZjbSzDqUvXf3q4BXSCx98fvAgu2HRZ+/zN//fRl529NylQ4RSaqygmhm1wFPAR2TP0+Z2TV1HSxou2YxVQuiSGPxCYmHXU3OsryFtIjFOeKQ0UFHEZG9TQTyyt6Y2d3AEOAQ4OqAMu2Xsz/8KXdu+YhNm78IOoqI1EJ1upheDox09wIAM7sTmAb8uS6DNRyqIIo0Ep2Az83sI3Yfg9jol7lYwVZ6lGYRiWiCGpEGKMPdi8wsA3gM2Amc7e5xM8sNNlrN3LVpG4syQnTL6VD1wSLSYFXn24IBsXLvYzSJZQKbwEcUaVp+GXSAIBQV5bM8EueE0o5BRxGRik01s7eAA4AWwNhk5fA4oDDYaDVzUmEJJ8WKIRQOOoqI1EJ1KoiPAtPN7MXk+zNJrCUmItLgmdn9wD/c/d2gswRh2rxXiJrRu/XAoKOISAXc/XtmdgxQAqwHnjez9snd3wouWc0tyIzwfmYW55bk0yroMCKy36qsILr73WY2BTiGRLPape7+cV0HayjimqZGJN0tAv5oZp2BZ4Gn3X1OsJHqz+yl7wEw5MCxAScRkcq4+9Ryb48wsw7uvjGwQPvpO53aEDVjbPFWVRBF0li1BqQk1wlr9GuFladJakQaB3e/l8QaiD2B84BHzSwbeBp4xt0XBhqwji3f/gXNwnFGDFQFUSRdpGPlEOBPfS9k2fo59Ox+dNBRRKQWNGNBJWzXOojB5hCR1Egu2XMncKeZDQUeITEusVEPllkT30SPeJjMzMygo4hIIzfm6JuCjiAiKVDlMhdNnauGKNIomFnEzL5hZk8BrwILSbPxPTUVj8VYFSnhANoFHUVEmoC5n/6de587g61blgQdRURqQS2IldIspiKNgZmdBJwPnArMAJ4BJpQt3dOYzVk0jYJQiG7NDww6iohUg5l9k8ScDw5MdfcXqzilQblk9h2UmHHKxvm0aatyRyRdVVpBNLM8Ku5gaYC7e8s6S9WQaAyiSLq7BfgHcL27bwk6TH2as2gyAId0PTLgJCJSFTP7f8BBJMZHA3zPzE5096sCjFUj923OZzWl9GnWOegoIlILlVYQ3b1FfQZpqNTFVCS9ufvxQWcIytJNn0IIRg46JegoIlK144CB7okn02b2ODA32Eg1c3RJDIrztQ6iSJrTGMRKlHUwVQVRRNLVmpLVdC51OrXtEnQUEanaF0CPcu+7A58GlGW/fBLJ4Ldt27CxqEl11hBpdDQGsVIagygi6W1NuIDOsWZBxxCR6mkHLDCzGcn3RwDTzGwSgLufHliyarqiXTPyQsY3CjfRIegwIrLfVEGsgloQRSQdbd+xkTUZcFhIrYciaeIXQQeorXsGXcX6TQsY0PcbQUcRkVpQBbESu9oPVT8UkTQ0Y/7rxM3o2bp/0FFEZB/M7H7gH+7+7n6e3x14AjgAiAMT3f1eM2sLPAv0ApYB33b3rclzbgYuB2LAte7+em0/B8CI4Vek4jIiEjCNQayCWhBFJB19tvJDAAb2OjbgJCJShUXAH81smZndaWZDanh+FPixux8KjAKuMrP+wE3AW+7eF3gr+Z7kvvOAAcA44P+ZWUpmlZkz5xF+9vfjWL16RtUHi0iDpQpipb6apkZEpCJmdo2ZfWFmn5nZ78ttv9nMFif3fS2IbMt3LCInHmf4gOOCuL2IVJO73+vuR5KYxXQL8KiZLTCzX5hZv2qcv9bdZydf5wELgK7AGcDjycMeB85Mvj4DeMbdi919KbAYGJGKz/Ljj//Ey7EtrFo7KxWXE5GA1FkF0cweMbMNZjav3La2ZvammS1K/m5Tbl+FX6jMbJiZzU3uu8/MLLk9y8yeTW6fbma9Upq/7IXqhyJSATM7nsQXrcHuPgC4K7m9zp7O18Sa2Ea6l4bIycqu71uLyH5w9+Xufqe7DwW+A5xForJXbcnvQkOB6UAnd1+bvPZaoGPysK7AynKnrUpu2/NaE8xsppnN3LhxY7Xu/8eCEPeu38iIFn1qEltEGpi6bEF8jMSXo/L2p7vDX4EJQN/kT9k1Lwe2uvtBwJ+AO+viQ6iLqYhU4grgDncvBnD3DcntdfZ0vibWZJTQyVvV921FZD+ZWcTMvmFmTwGvAguBb9Xg/ObAC8AP3H3Hvg6tYNteX3bcfaK7D3f34R06VG9O0iGewQk7CzHi1TpeRBqmOqsguvt7JLpKlFej7g5m1hlo6e7TkgvHPrHHOWXXeh4YW9a6mApll1IFUUQq0Q8YnezB8K6ZHZHcXq2n87B/T+irY83GL9kWNjpldUvZNUWkbpjZSWb2CImyYgLwCnCgu5/r7i9V8xoREpXDp9z9X8nN65Pfo0j+LnuItYrEGotlugFrav1BgDkZxo86tmdpwbpUXE5EAlLfYxBr2t2ha/L1ntt3O8fdo8B2EmsI7WV/voRZ8gFb3FVBFGmqzGyymc2r4OcMErNAtyExKcRPgOeSD6mq9XQe9u8JfXV8NP9NAHq0HZiya4pInbkFmAYc6u7fcPen3L2guicny52HgQXufne5XZOA8cnX44GXy20/LzlUpzeJ3lkpmVXm59mlvNksl+WF61NxOREJSENZ5qKyL1T7+qJVoy9hwESA4cOHV6vGF9pVQYxV53ARaYTc/cTK9pnZFcC/kr0bZphZHGhPHT6dr65Fa2cDMOggzWAq0tC5+/G1vMTRwEXAXDObk9x2C3AHiQdXlwMrgHOS9/vMzJ4D5pOYAfUq99R82fndiFvYsfVLjhr5w1RcTkQCUt8VxPVm1tnd11azu8Oq5Os9t5c/Z5WZZQCt2LtL634zSzSuxuPqRy8iFXoJOAGYkpxpMBPYROLp/D/M7G6gCyl8Ol9dq/O/JCcc57B+R9bnbUUkAO4+lYofmgOMreSc24HbU51l4IBzU31JEQlAfXcxrVF3h2Q31DwzG5XsQnHxHueUXets4O3kk/yUKOti6qgFUUQq9AjQJzlT8zPAeE/4DCh7Ov8aKXw6X13rY5voEg0TyYjU521FpIn75JPHueKxI/j8i5erPlhEGqw6a0E0s6eBMUB7M1sF/JL96+5wBYkZUXNIzOr1anL7w8CTZraYRMvheanMH0rWnd3Vgigie3P3EuDCSvbVydP56lqbUULfWNugbi8iTdRvP76X+VbKGWtmcMjBZwQdR0T2U51VEN39/Ep21ai7g7vPBPaaacHdi0hWMOtCWRfTmMYgikgaWbtuKZsyQoyOVDhxqohInbk13ob8DXM5YmDfoKOISC00lElqGpyyFkTUgigiaWTmF28D0Ktt/4CTiEhTc2goB4qKIa6H6yLprL7HIKaNXZPUqAVRRNLIonWJGUwH9Do64CQi0tR8YqWM79yRTwpWBx1FRGpBFcRKhHZVENWCKCLpY3X+EjLjzmF9jwo6iog0MfewldnZ2Swr3hx0FBGpBXUxrURZF9M4qiCKSPrYEN1El5CRnZUTdBQRaWJuPvpX7Mxfy5DB46s+WEQaLFUQK1HWxdRVQRSRNLIuXESPWPOgY4hIE9TvoFOCjiAiKaAuppVQF1MRSTfb87ewPgM6RQ4IOoqINEGLF7zEdx4dwlvv3xZ0FBGpBVUQK2HJ36ogiki6+PiLd3EzurY8KOgoItIETZz1J+aGYixc82HQUUSkFlRBrEQoWUV0VRBFJE18sfIjAA7qMizgJCLSFH0/sytPr17HFdY26CgiUgsag1gFjUEUkXSxavsiAIYeelzASUSkKeoTyoGSEti6POgoIlILakGsgmYxFZF0sbF4He2icTq26RJ0FBFpgj6PFfBwqxZcwlo2rZ8XdBwR2U+qIFbCy36ri6mIpImN5NEpFgk6hog0UY+UruWetm3YnBFh4/qPg44jIvtJXUyr4LuqiiIiDduGjFIGR9sFHUNEmqirj72dy4u20bfX8YQymwUdR0T2k1oQq6AxiCKSDlZtXM62cIiOWZ2DjiIiTVSPHsdwcL/TCGU2Ix6P8eTrV7Nu7aygY4lIDamCWIW4qwVRRBq+Txe+D0C31v0CTiIiTdVnn/6dD6f9EYD1G+Zx/5opvPjq1RCLBpxMRGpCFcQqxNSCKCJpYPG6TwDo2/XwgJOISFP1+KcPcvuCxwDofMBhPDfwGr6/Yj5M+V2wwUSkRjQGsQqlrqdeItLwrd6xBDNnSL/RQUcRkSbqusxuFG3P2/W+5xHfgzVz2fTBn1jWqh3Dh18ZYDoRqS61IFYm2bO0lNJgc4iIVMOm0vUcEHVatmwfdBQRaaK6hrI4MG67bzzl99zatSc3fPoXivPXBxNMRGpELYhVKLVY0BFERKq0yfLpGMsMOoaINGGfxPLZkRFnt34MmbncPO4h8tfPJat5p6CiiUgNqAWxCqWogigiDVs8Hmd9Roz21iroKCLShD1Vup7fZ+09NKdrl2EcPPQSAD74+CGKi3fUczIRqQm1IFZhR1izmIpIw7Zk3WIKQkan7K5BRxGRJuxHY++huCS/0v0rVn7AlZ/cw4TPnuHK77wOoXA9phOR6lILYhXWZRh5eVuDjiEiUqlPF08FoHsbLXEhIsE5oPNQevasfKKsHt2P4t6uX+eyJTPhPz8ELSUm0iCpgliFmBl/f/22oGOIiFRq2YZPAejXfXjASUSkKZs162+88+6v9nnMcSf9nuxjfkzxx4/z9AvfJq41EkUaHFUQq9C11Hlh22ts3rY26CgiIhVan7+csDv9Dzoq6Cgi0oQ9u+Af3L3k+aoPPOFnvDbkTH5b8Dkfz/p/dR9MRGokkAqimS0zs7lmNsfMZia3tTWzN81sUfJ3m3LH32xmi83sCzP7Wrntw5LXWWxm95mZVXS/2rik5/+xPhLit89fmupLi0gaM7Nnk2XYnGSZNqfcvgrLrLqypWQDHaNO8+Ztqj5YRKSO3JDZg7/tjFR9oBmnf+NRnhn8Q4YdcU3dBxORGgmyBfF4dx/i7mV9om4C3nL3vsBbyfeYWX/gPGAAMA74f2ZWNqr5r8AEoG/yZ1yqQ37j6Ms5tqQdkzNW8dK7D6X68iKSptz93GQZNgR4AfgXVFlm1Ykt5NNOS1yISMDahyJ08eo9q7dQiAFDLwMzFix+lWuePJod21fWcUIRqY6G1MX0DODx5OvHgTPLbX/G3YvdfSmwGBhhZp2Blu4+zd0deKLcOSn1y28+SbuYM3HhPWzbsakubiEiaSrZc+HbwNPJTRWWWXWZYVNGlDbWsi5vISJSpY9iO3gjI17j81asm82i4i2UPHkmbFyY+mAiUiNBVRAdeMPMZpnZhOS2Tu6+FiD5u2Nye1eg/COlVcltXZOv99y+FzObYGYzzWzmxo0baxAxoWO77vxf98tZmWn85tnzq3m+iDQRo4H17r4o+b6yMmsv+1c27W7z1tVsDYdol6kFqEUkWP8s3cifK1gHsSpfO+anvHzCX2m/cxv+4AnM+UjjEkWCFFQF8Wh3Pxw4BbjKzI7dx7EV9VXwfWzfe6P7RHcf7u7DO3ToULOkyWGN3zn5RxxX2pE3Mtfx99d+X7NriEhaMrPJZjavgp8zyh12Pl+1HkJ9lU1J8xZPA+CAln3263wRkVS5+euP8PCpT1d9YAWy+oyB773Lvzt046L5f+Xj6X9ObTgRqbaMIG7q7muSvzeY2Yskul+tN7PO7r422X10Q/LwVUD3cqd3A9Ykt3erYHtqMlaw7bZzn+XCZ8bwtzWPc8SXYzm4z7BU3U5EGiB3P3Ff+80sA/gmUL4wqKzMqhNL1iaWuOjZcWBd3UJEpFratD2wdhdo1Y1xF79J4eQfM+Tw7wJQUpxHZlaLFKQTkeqq9xZEM2tmZi3KXgMnA/OAScD45GHjgZeTrycB55lZlpn1JjEZzYxkN9Q8MxuVHAN0cblzUpj3q9etW7TnhmF3UGjGrW9eTlFRQapvJyLp5UTgc3cv3929wjKrrgKs2b4EgIF9RtXVLUREquWDaXfzyuSf1OoamVktOPfUiVgkm207VvGNfxzFC5Mug9LCFKUUkaoE0cW0EzDVzD4h8aXpv+7+GnAHcJKZLQJOSr7H3T8DngPmA68BV7l7LHmtK4CHSEwCsQR4NXUxK+wRxrGHn8Z3mp/EvOwYt/z9rNTdTkTS0Xns3r20qjIr5TYWraN5LE6PA9TFVESC9eKSl/jritdTd8F4jOGZHTh03iS4fwTRuf8Er/j7mYikTr13MXX3L4HDKti+GRhbyTm3A7dXsH0mUMf9qvYeTvSjc/7EigfH8mbmWv747NX8+Nz76zaCiDRI7n5JJdsrLLPqwpb4djoQIhRqSJNSi0hT9PPMnsS2pa53VevWPbn9grdh6Xvw2i3c9/b1LJp5J/d9+1UizfZv3LaIVE3fKPbT7y6axKCiDP5eOIV/vqkKoogEY1O4iHbx3KBjiIjQ0jJoU811EGuk97HwvXfpfOiZ9Iq0JJLbHoAtmz5P/b1ERBXE/ZWT3Yzfn/UCnaJwz8q/8u6sSUFHEpEmJhYrZUMY2oTbBB1FRIT3Y9uZFKmjHvWhMOeffC83Xvg2mLFm/VxO/vfZvPjEWFj6vrqeiqSQKoi10K1jH353zF+IOPxyzs3MXvBe0JFEpAlZuOwTSkJGx5wKl1kUEalXk6KbeDCzzoZc76ZZTlsuansYIzeugMdP44uHjuWFyddTtHNzvdxfpDFTBbGWhh5yHL8Y9BtKDG7635V8uuijoCOJSBOxYEVictSubfsFnEREBH515vP84+wUzhe4D61aduW6M56iy7Wfwql/5HXP586VrxLLXwvA1q1fEo9F6yWLSGOjCmIKnDDim/z84BvYHnZ+8u6lfPLF/4KOJCJNwIqNifE3B3UdGnASEUknZjbOzL4ws8VmdlOqrpvbvCMtWtZzj4ZIDhzxf1zzf7N44bj7aJZcE/aGf1/AZY8OgdduhuXTQJVFkWpTBTFFTjl6PL846EdsDzs/fn8C0+a+FXQkEWnk1heswNwZdODIoKOISJowszDwF+AUoD9wvpn1T8W1p7x/G/969cpUXKrGLBSie5+vJsP/Vu+vc052D/joIfzRcZzz6GCefPniQLKJpBtVEFPo1NGXc+vBt1AYghs/upZJ7z0SdCQRacQ2l26iQ8xp3qxV0FFEJH2MABa7+5fuXgI8A5yRigv/d/kbPLp2aiouVWvjRv+cUy98BX6yhMJvPki/3M50yGkHwI6CDZz2yCDefvab8NHDxNZ/Rmm0OODEIg1Hva+D2NiNO+oC2jRvy89nXM+vl9zNmi1f8v0zbws6log0QlvIp31UxbiI1EhXYGW596uAlHRDuC2zN/Gt81JxqdTJbknu4G9z++Bv79qUn7+WgyKtaLP2M1jwFp9nZjK+Syfu73cJo466nm3bV7B67Wz69hxDZk7r4LKLBETfLOrAyMGn8ECb7tzw6nf4y/aXWfnwZ9w6/jkiGZGgo4lII7I5HOXgaMugY4hIeqloocK91ogwswnABIAePXpU68JZFqrk8g1Ll06Hcc9FUxNLY2xdSvOF/+Xby1+jzwHDAJj66ePc/OVzvPjCWg7K6cjHbbswOTvChDF30uqAwRTkr8dCGeTmtgv4k4jUDVUQ60if7gN5+Px3+MkzZzApczHLHh7Fbac9Re+uhwQdTUQageLSIraEoTVtg44iIullFdC93PtuwJo9D3L3icBEgOHDh1drkcHJ0a1sjsQ4NxUp64MZtO1Dz1HXcMOoa3ZtHnXoOfwJ6NkzAluXsXDrXJ4rWsdVybUWn3nvF9yz8QOmbywkt9kBvNE8lw8z4Gen/4NQs/YsW/4e+XlrGNhlJOS0gayWENKoLkkfqiDWoVYt2vG3y9/jrme/x9M+jcte+xaXdB7P+K/fEHQ0EUlzC5fPIW5G+5zOQUcRkfTyEdDXzHoDq4HzgO+k4sKvx7awIDOaPhXESrRvfwgnjv75rvfnAt92xyzROjqy99e4PlpMbttWkLeeFTu/ZHrpTkLhTAD+PuMuXstbzNQVqwH4bbu2zMjJ4aVL5kBGJk++dhUrNi/gp60Og8zmvFm6hR3hEN8a92cAPvviJeJF2xnUbiBEsllXmk8okkvHToMAKCkpIBzKJKyeaVJHVEGsYxYK8ZPzH2TI9Ge4e+5t3LXxSWb87VVuOesJunbsXvUFREQqsHDlHAAOaH1gsEFEJK24e9TMrgZeB8LAI+7+WSqu3bbXSSxf8hx/fvEnRMhIg86mtdGZvwHk9Iac3pwO/O3V3wGQHW/P1yIhnurdn1CsmELfRldK+Nu/fwnArK2z2RrbzqvrviQUj/Joy1y2ZGSw6cWbAXh9y6sUeRE/2LINgAdat6IkFOYb7RJzCf17y3/JiBdzxbY83MI81Ko5WRbh2HanAvDuljdoHSthXFEIN3gx22kZymFA2xMAmLHlLTrEooyMZuFmvB4ppm24Jb1ajwJg9tYpdI4bA+I5YMbb4Xw6htvQpeUQAD7ZNpVu8QwOJBswptoODoi0o33z/rg7n2//gG6eRVeyieHMtjwOiHSiVbMDiXmUJds/ojvZdCKbEuLMtXw6RQ6gZW5PSuLFLM//hB7k0o5MiojxOfl0zuxKs+wuFMd2sqpgPj3JpTWZFBJjIXl0zupOblYnimJ5rCn4gl40oyURCojyJQV0ye5JVmZ7Cku3s75wCb1pRjPLIM9LWcFOuuT0JhJpQ0HJFjYVLaMPzcm2MDu8lNUU0iXnQDIiLSko2cTmopUcSAsyLcQ2L2EthXTN7Uc4oxn5xRvYUryavrQgw0Js8WLWU0T3Zodg4Rx2FK9je8k6+tGCkBmbvJhNFNM9tz+EM9letJodpRs52BKTz23wIrZRQrfmA8HCbC1ayc7SrfS1xPCSdV5IPqV0aTEIMLp1OJhTj7mk1v+6zb1avQYajeHDh/vMmTOrPO5nj36Ll0MLmXHuh+RkN0vJvfPyN/GrZy/gjfBqOsSc89p9k8u/cSuhcDgl1xdpqsxslrsPDzpHbVS3bCpz3ws/4sH8N/nroN9xzOGn1WEyEamNplQ+nfbY0Sy3HfWQSEQqcmRxSyZOqN567Psqm9SCWIVUPv1q0bw9d13+Ov+d+gh/XfAn7tv+Eu899B/GD7qRE486L4V3EpHGbkN+YhLCQ/ocEXASEZGENqVFLM+Evwy8jbjHg44jdcYTE/wkX8fiUbAQ4VAEd6e4NI8MwkRCYdzjFMQKyQxnE4k0I+4xdhZtJisUIdMyiHuM/Fgh2RnNiWS2IB6PUrBzLTmhzOT+OHmxArIz2xDJakUsXkJB/mqahbLIDEWIeowdsZ3kZrUjktWK0mghBQVraBHOITMUoSQeZUesgOY5HYlktqS0tIAdO1fTOtyczFAGRfEStscKaJ3TjYzMZhSX7CBv5xraZrQgEsqgMF7Ctmg+bZv1ICPSjMLibeQVrqVDpBUZFmZnrIjtsZ20b96LUEYOO4s2U1C4no6R1oQtRH6siLzYTtq1PJBQOIuCoo0UFG7ggEgbQmbsiO0kP1ZEh5b9sHCEvML1FBZuoktmYn6B7bGd7IwV0aHNoWAhduxcQ3HRFjon92+LFlDoJXRo0x8w2rZKzbATVRCrYqnvIHHqMZdx/BHnctfzV/NK5kf8eOFtHDP3z3z32N8z5NCjU34/EWl8tpRspE04TvvWnYKOIiICwMZQMSGHY4elZFlFEQmIplQKSG5WM35xwaM8f9okToj34IOs7Vw6/XtcOfE4ps19O+h4ItLAbfU82kXVPV1EGo7Di6FNTC2HIulOFcSAdevQhz9d9gpPHPMgx5R25MPMzXx/1rVc/LcRPPPGn4jHYkFHFJEGaGuomDaeHXQMEZFdnHRYBVFEqqIKYgMx6KAj+fN33+bZ45/g6/GefBkp4Pa1j3DaI4dx2xMXs3DFvKAjikgDEY/F2BSG1qHWQUcREdllVdgprIOhOSJSvzQGsYHp2/NwfnfZf9met5mHX/kl75W8x7P+Mc+/fR4DirMY1W4MZ4/5IZ3bdws6qogEZMX6RRSHjHbZGn8oIg3HhgyjWE0PImlPFcQGqlWLdvzo3Pv5EfD+xy8zafbfmJWxnIkFb/DYv1/nkJIsBjQfwqnDL+Wwg48JOq6I1KMFy2cB0KlFr2CDiIiU0zvejiibgo4hIrWkCmIaGD30DEYPPYNoaQmT/vcIU5e8yGfh1TwdncHTH86g2/txesfbcXDbwzl+6HkM6jMSUxcPkUZr5YbPAejR6dCAk4iIfMWJY01reW2RRkkVxDSSEcnkm2O+zzfHfB+Px/nw01d5Y+4zLIp/zscZm3m/4C0emvoWbac4B8ZacGBOXwZ2O5qjhnyDDm26BB1fRFJkfd4yAPp1PzzYICIi5WxhBzvVxVQk7amCmKYsFOLIIady5JBTASgpKea9WS/x0aL/sLR0IYsjO/go/jGs+BhW3E+nUqdLLIcukc50b30wB3UeyqCDjqRLh94BfxIRqanNRevJDcfp0blv0FFERHbZblGK1INJJO2pgthIZGZmceKR53LikecC4O7M/3Im0+e/ytKNc1gbW82aUAGfhr4klrcU8l6DhdAqFqdTNEQ7z6VduC1tsg+gfatedOlwCAd2OZQ+XQ4hnJEZ8KcTkfK2xrfT3o1QWOsgikjDMaDU+QKtgyiS7tK+gmhm44B7gTDwkLvfEXCkBsHMGHDgEQw48Ijdtm/P38qs+W+xZM3HrN6xlI3RdWxmO1+GC5ieUUA8tgq2zIQtwBeQ4U7bmNMyFqKZR8i1bJqFmtE8oxXNM9vQPLM1zXPa0CK3HS2bd6Rdy860bd2FDq07kZuVFcyHF6kHZjYEeADIBqLAle4+I7nvZuByIAZc6+6vp/Le262Q1nE9uBGRhiXuWgdRpDFI6wqimYWBvwAnAauAj8xskrvPDzZZw9WqeRtOGHE2J3D2XvtKSktYsmY+S1fOYfWmRWwqWMOW4k1sj2+ngELyrYT1oR3sCG9np62DUhI/BRXfKyfu5Mad3LiR5UaEEBEPESGDDMJkWISIRRK/Q5lELJPMUISMUISMUCaRcCYZ4QgZ4czETyiTcDiLSDiLjIwsMsKJn8yMxLGRjEwioQzC4YzEeRnJ3+EIkXAGGRmRxL5QhHBGhFAoTDiU2BYKZ5ARziRsRiikARRSLb8HfuXur5rZ15Pvx5hZf+A8YADQBZhsZv3cPZaqG28Jx+jqrVN1ORGRlFiTYexQDVEk7aV1BREYASx29y8BzOwZ4AxAFcT9kBnJ5NCeQzi055Aqj92Rt4U1m5axecdatu5Yz46dm8gv3EJB0TZ2lu6gqDSfwngRhV5MoZdQQpRSYhRajB1WRKk5JeaUGJQYFJsRLxu3EE/+ROvy01bO3AmReAoadjAS7+GrJ6Nls7Tt+d9Bo5rbfe/tvtv73a9glbyuSZY971FT9f3f/E7xXB6bMKOe71ojDrRMvm4FrEm+PgN4xt2LgaVmtphEWTVtXxfLy8tjypQpu23r1q0bBx10ENFolKlTpwKwsziPreEQ2cXNWLZsGb169aK4uJhp0/a+/IEHHkj37t3ZuXMnM2bs/WfZr18/unTpQl5eHrNmzdpr/6GHHkqnTp3Ytm0bc+bM2Wv/wIEDad++PZs2bWLevHl77R8yZAitW7dm/fr1LFiwYK/9w4YNo0WLFqxZs4aFCxfutX/EiBHk5uaycuVKlixZstf+I488kqysLJYtW8ayZcv22n/MMceQkZHB4sWLWbVq1V77x4wZA8AXX3zB2rVrd9sXDocZPXo0APPnz2fDhg277c/MzOSoo44CYO7cuWzevHm3/Tk5OYwcORKAOXPmsG3btt32N2/enOHDhwMwc+ZM8vPzd9vfunVrhgwZAsD06dMpLCzcbX+7du0YNGgQAB988AElJSW77e/YsSP9+/cH4P333ycW2/35ROfOnTn44IMB9vp3BxX/2yuvV69e+rdXzX97Tcm2MBTqIatI2kv3CmJXYGW596uAkXseZGYTgAkAPXr0qNaFu7c5mCM2rCJkGuNTkZYt2tKyRduUXc/jcUpKi8gr2sHOogIKi/MpLC6kqLiQktIiSqOFREuLKIkWEY0WURorJhYrpjRaQjReSjQepTQeJe4x3GPE4nHi8RhxjxP3WOInnnjtOO4x4sTBE68T2+LELQ4eJ47jQNwdx5Pvy+budtwTv3f9r3+1P1EJK/fOd9UH2f2VJytt5ecE913Xq6hG5rsfxZ6zie95n8r3V6biI2o3a/neWaozDXrrcJta3bUe/AB43czuAkLAUcntXYEPyx23KrltL+XLpt69qzdhVEHRNo7YmUvX3AH7l1pEpI4cmzmULcUbqj5QRBo0c0/fBWvM7Bzga+7+f8n3FwEj3P2ays4ZPny4z5w5s74iikg9MLNZ7j68Dq47GTiggl0/BcYC77r7C2b2bWCCu59oZn8Bprn735PXeBh4xd1f2Ne9VDaJNE51VT7VJ5VPIo3PvsqmdG9BXAV0L/e+G1918xIRqRV3P7GyfWb2BHBd8u0/gYeSr1UuiYiISNpK947iHwF9zay3mWWSmBhiUsCZRKRpWAMcl3x9ArAo+XoScJ6ZZZlZb6Av0KAHU4qIiIiUSesWRHePmtnVwOsklrl4xN0/CziWiDQN3wXuNbMMoIjkWEJ3/8zMniMxWVYUuCqVM5iKiIiI1KW0riACuPsrwCtB5xCRpsXdpwLDKtl3O3B7/SYSERERqb1072IqIiIiIiIiKaIKooiIiIiIiACqIIqIiIiIiEiSKogiIiIiIiICgLl70BnqlZltBJZX8/D2wKY6jFMXlLl+pFvmdMsLNcvc09071GWYulbDsgnS7+803fKCMteXdMtc07xNrXxKt79PUOb6kG55ofFnrrRsanIVxJows5nuPjzoHDWhzPUj3TKnW15Iz8z1Kd3+fNItLyhzfUm3zOmWt76l45+PMte9dMsLTTuzupiKiIiIiIgIoAqiiIiIiIiIJKmCuG8Tgw6wH5S5fqRb5nTLC+mZuT6l259PuuUFZa4v6ZY53fLWt3T881HmupdueaEJZ9YYRBEREREREQHUgigiIiIiIiJJqiCKiIiIiIgIoApipcxsnJl9YWaLzeymoPNUxcy6m9k7ZrbAzD4zs+uCzlQdZhY2s4/N7D9BZ6kOM2ttZs+b2efJP+sjg85UFTP7YfLfxDwze9rMsoPOtCcze8TMNpjZvHLb2prZm2a2KPm7TZAZGwqVTfUj3comSL/ySWVT45NO5VO6lk2QfuVTupVNoPJJFcQKmFkY+AtwCtAfON/M+gebqkpR4MfufigwCrgqDTIDXAcsCDpEDdwLvObuhwCH0cCzm1lX4FpguLsPBMLAecGmqtBjwLg9tt0EvOXufYG3ku+bNJVN9SrdyiZIo/JJZVPjk4blU7qWTZB+5VPalE2g8glUQazMCGCxu3/p7iXAM8AZAWfaJ3df6+6zk6/zSPyfr2uwqfbNzLoBpwIPBZ2lOsysJXAs8DCAu5e4+7ZAQ1VPBpBjZhlALrAm4Dx7cff3gC17bD4DeDz5+nHgzPrM1ECpbKoH6VY2QdqWTyqbGpe0Kp/SsWyC9Cuf0rRsgiZePqmCWLGuwMpy71eRBoVGGTPrBQwFpgccpSr3ADcA8YBzVFcfYCPwaLJrx0Nm1izoUPvi7quBu4AVwFpgu7u/EWyqauvk7msh8R9yoGPAeRoClU314x7Sq2yCNCufVDY1SmlbPqVR2QTpVz6lVdkEKp9AFcTKWAXb0mI9EDNrDrwA/MDddwSdpzJmdhqwwd1nBZ2lBjKAw4G/uvtQoIAG3rUo2ff8DKA30AVoZmYXBptKakFlUx1L07IJ0qx8UtnUKKVl+ZQuZROkbfmUVmUTqHwCVRArswroXu59Nxpg0/KezCxCopB7yt3/FXSeKhwNnG5my0h0QznBzP4ebKQqrQJWuXvZE8bnSRR6DdmJwFJ33+jupcC/gKMCzlRd682sM0Dy94aA8zQEKpvqXjqWTZB+5ZPKpsYn7cqnNCubID3Lp3Qrm0DlkyqIlfgI6Gtmvc0sk8TA1EkBZ9onMzMS/bsXuPvdQeepirvf7O7d3L0XiT/ft929QT+dcfd1wEozOzi5aSwwP8BI1bECGGVmucl/I2Np4IPDy5kEjE++Hg+8HGCWhkJlUx1Lx7IJ0rJ8UtnU+KRV+ZRuZROkZ/mUhmUTqHwiI2VxGhF3j5rZ1cDrJGYuesTdPws4VlWOBi4C5prZnOS2W9z9leAiNUrXAE8l/+P3JXBpwHn2yd2nm9nzwGwSM7Z9DEwMNtXezOxpYAzQ3sxWAb8E7gCeM7PLSRTW5wSXsGFQ2SRVSJvySWVT45OG5ZPKpvqTNmUTqHwCMPcG3z1cRERERERE6oG6mIqIiIiIiAigCqKIiIiIiIgkqYIoIiIiIiIigCqIIiIiIiIikqQKooiIiIiIiACqIIqIiIiIiEiSKogiIiIiIiICwP8HIVgRvFoP6wIAAAAASUVORK5CYII=\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", + "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", + "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", + "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", + "ax.plot(d0.time(), d0['cell.Vm'])\n", + "ax.plot(d1.time(), d1['cell.Vm'])\n", + "ax.plot(d2.time(), d2['cell.Vm'])\n", + "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", + "ax.plot(d0.time(), d0['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", + "ax.plot(d2.time(), d2['amp.Vp'])\n", + "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "a948aae0", + "metadata": {}, + "source": [ + "### Slow amp\n", + "\n", + "The traces look extremely similar, because they are dominated by the membrane charging time.\n", + "\n", + "We can make the difference visible by slowing down the op amp:" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "93bde676", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "new_tau_amp = 0.05 # 1000 times larger\n", + "s0.set_constant('amp.tau_amp', new_tau_amp)\n", + "s1.set_constant('amp.tau_amp', new_tau_amp)\n", + "s2.set_constant('amp.tau_amp', new_tau_amp)\n", + "s0.reset()\n", + "s1.reset()\n", + "s2.reset()\n", + "d0 = s0.run(10)\n", + "d1 = s1.run(10)\n", + "d2 = s2.run(10)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", + "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", + "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", + "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", + "ax.plot(d0.time(), d0['cell.Vm'])\n", + "ax.plot(d1.time(), d1['cell.Vm'])\n", + "ax.plot(d2.time(), d2['cell.Vm'])\n", + "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", + "ax.plot(d0.time(), d0['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", + "ax.plot(d2.time(), d2['amp.Vp'])\n", + "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "00bf88d9", + "metadata": {}, + "source": [ + "### Unstable amp\n", + "\n", + "We can calculate the damping factor as\n", + "\n", + "\\begin{align}\n", + "\\zeta = \\frac{\\tau_a + R_fC_f}{\\sqrt{\\tau_a R_f (C_p+C_f)}}\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "id": "15c25790", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Zeta: 3.082274593002165\n" + ] + } + ], + "source": [ + "Rf = 0.025 # GOhm\n", + "Cf = 0.3 # pF\n", + "Cp = 4.5 # pF, pF * GOhm = ms\n", + "tau_amp = 0.05e-3 # ms\n", + "\n", + "zeta = (tau_amp + Rf*Cf) / np.sqrt(tau_amp * Rf * (Cf + Cp))\n", + "# (ms + ms) / sqrt(ms * ms) = dimensionless\n", + "\n", + "print(f'Zeta: {zeta}')" + ] + }, + { + "cell_type": "markdown", + "id": "d66f67fa", + "metadata": {}, + "source": [ + "If we had a very small $C_f$ we could get some ringing, but as this is fixed it might be more feasible that we had a very large $C_p$:" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "c3dd0005", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Zeta: 0.7106374160558676\n" + ] + } + ], + "source": [ + "Cp *= 20\n", + "zeta = (tau_amp + Rf*Cf) / np.sqrt(tau_amp * Rf * (Cf + Cp))\n", + "print(f'Zeta: {zeta}')" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "c3cecbac", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "new_Cp = 4.5 * 20\n", + "s0.set_constant('amp.Cp', new_Cp)\n", + "s1.set_constant('amp.Cp', new_Cp)\n", + "s2.set_constant('amp.Cp', new_Cp)\n", + "s0.reset()\n", + "s1.reset()\n", + "s2.reset()\n", + "d0 = s0.run(10)\n", + "d1 = s1.run(10)\n", + "d2 = s2.run(10)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", + "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", + "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", + "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", + "ax.plot(d0.time(), d0['cell.Vm'])\n", + "ax.plot(d1.time(), d1['cell.Vm'])\n", + "ax.plot(d2.time(), d2['cell.Vm'])\n", + "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", + "ax.plot(d0.time(), d0['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", + "ax.plot(d2.time(), d2['amp.Vp'])\n", + "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "3a5ceb9d", + "metadata": {}, + "source": [ + "Here the Sigworth-based model shows ringing, but the Weerakoon/Lei model does not (cannot?).\n", + "\n", + "Of course, this is still with a slowed down amp.\n", + "We can restore its original value:" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "id": "d8e41963", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "old_tau_amp = 0.05e-3 # Original value\n", + "s0.set_constant('amp.tau_amp', old_tau_amp)\n", + "s1.set_constant('amp.tau_amp', old_tau_amp)\n", + "s2.set_constant('amp.tau_amp', old_tau_amp)\n", + "s0.reset()\n", + "s1.reset()\n", + "s2.reset()\n", + "d0 = s0.run(10)\n", + "d1 = s1.run(10)\n", + "d2 = s2.run(10)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", + "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", + "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", + "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", + "ax.plot(d0.time(), d0['cell.Vm'])\n", + "ax.plot(d1.time(), d1['cell.Vm'])\n", + "ax.plot(d2.time(), d2['cell.Vm'])\n", + "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", + "ax.plot(d0.time(), d0['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", + "ax.plot(d2.time(), d2['amp.Vp'])\n", + "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "789ad7cb", + "metadata": {}, + "source": [ + "So for an underdamped system we can see a difference between the two!\n", + "\n", + "Let's zoom in a bit:" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "id": "8a200061", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", + "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", + "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", + "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", + "ax.set_xlim(0, 2)\n", + "ax.set_ylim(-500, 11000)\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", + "ax.plot(d0.time(), d0['cell.Vm'])\n", + "ax.plot(d1.time(), d1['cell.Vm'])\n", + "ax.plot(d2.time(), d2['cell.Vm'])\n", + "ax.set_xlim(0, 2)\n", + "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", + "ax.plot(d0.time(), d0['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vp'])\n", + "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", + "ax.plot(d2.time(), d2['amp.Vp'])\n", + "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", + "ax.set_xlim(0, 2)\n", + "ax.set_ylim(-500, 1500)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "def45ae4", + "metadata": {}, + "source": [ + "## Conclusion?\n", + "\n", + "- Starting from the Sigworth 1995 equation for the op amp instead of Weerakoon et al., we get a system with a faster step response, but this is invisible in the normal traces, which are dominated by the membrane charging time.\n", + "- When $C_p$ becomes so large that the system becomes underdamped, we can see a difference between the models (Sigworth version shows \"ringing\").\n", + "\n", + "For \"normal\" patch clamp experiments I have no fundamental reason to prefer one over the other. (1) I can't find a reference that uses the same equation as Sigworth and (2) The Weerakoon equation is perhaps a simpler \"first approximation\", so more parsimonious? But (3) the Weerakoon equation uses a $\\tau_c$ derived from the Sigworth analysis.\n" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/artefacts-1.ipynb b/artefacts/artefacts-1.ipynb index 476bd19..74ef58a 100644 --- a/artefacts/artefacts-1.ipynb +++ b/artefacts/artefacts-1.ipynb @@ -138,10 +138,12 @@ "id": "8bcc3682", "metadata": {}, "source": [ - "### Stray capacitance\n", + "### \"Stray\" capacitance\n", "\n", "We now make the schematic a bit more realistic, by adding a capacitor in parallel with the resistance.\n", - "Originally, this was done to model \"stray\" or \"parasitic\" capacitance of the resistor itself (see e.g. [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4)), but the recent paper by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) describes it as a separate physical component, \"necessary to make the trans-impedance amplifier stable and to increase the bandwidth of the voltage clamp\"." + "In some schematics, this capacitor is drawn with dotted lines, to indicate that it represents the \"stray\" or \"parasitic\" capacitance of the resistor.\n", + "However, in newer designs resistors with a very low stray capacitance are used [(Weerakoon et al., 2009)](https://doi.org/10.1109/TBCAS.2008.2005419) but an extra capacitor is stil added to the circuit to \"make the trans-impedance amplifier stable and to increase the bandwidth of the voltage clamp\".\n", + "This is discussed in detail in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and in [Appendix D](./appendix-D-non-ideal-op-amp.ipynb)." ] }, { @@ -167,7 +169,7 @@ "I_\\text{in} &= I_R + I_C \\\\\n", " &= (V_o - V_-) / R_f + C_f \\frac{d}{dt}\\left(V_o - V_-\\right) \\\\\n", " &= V_\\text{out} / R_f + C_f \\dot{V}_\\text{out} \\\\\n", - "V_\\text{out} &= I_\\text{in} R_f - R_f C_f \\dot{V}_\\text{out}\n", + "R_f I_\\text{in} &= V_\\text{out} + R_f C_f \\dot{V}_\\text{out} \\\\\n", "\\end{align}\n", "\n", "To allow for the idea that the current we calculate is no longer equal to $I_\\text{in}$, we introduce a new symbol\n", @@ -176,22 +178,19 @@ "I_\\text{obs} \\equiv V_\\text{out} / R_f\n", "\\end{align}\n", "\n", - "We can rearrange and differentiate to get $V_\\text{out} = R_f I_\\text{obs}$ and $\\dot{V}_\\text{out} = R_f \\dot{I}_\\text{obs}$, so that\n", + "filling that in in the equation above we get\n", "\n", "\\begin{align}\n", - "V_\\text{out} &= I_\\text{in} R_f - R_f C_f \\dot{V}_\\text{out}\n", + "I_\\text{in} = I_\\text{obs} + R_f C_f \\dot{I}_\\text{obs} = I_\\text{obs} + \\tau_f \\dot{I}_\\text{obs}\n", "\\end{align}\n", - "divide by $R_f$ and rearrange to find\n", - "\\begin{align}\n", - "I_\\text{obs} = I_\\text{in} - R_f C_f \\dot{I}_\\text{obs}\n", - "\\end{align}\n", - "and\n", + "\n", + "so that we can write\n", + "\n", "\\begin{align}\n", - "\\dot{I}_\\text{obs} &= \\frac{I_\\text{in} - I_\\text{obs}}{R_f C_f}\n", - " = \\frac{I_\\text{in} - I_\\text{obs}}{\\tau_f}\n", + "\\dot{I}_\\text{obs} = \\frac{I_\\text{in} - I_\\text{obs}}{\\tau_f}\n", "\\end{align}\n", "\n", - "This means that $I_\\text{obs}$ will grow when it's smaller than $I_\\text{in}$ and shrink when it's larger: in other words $I_\\text{obs}$ will follow $I_\\text{in}$ with a time constant $\\tau_f = R_f C_f$.\n", + "This means that $I_\\text{obs}$ will follow $I_\\text{in}$ with a time constant $\\tau_f = R_f C_f$.\n", "Typical values for $R_f$ and $C_f$ are given in [Appendix B](./appendix-B-Rf-and-Cf.ipynb).\n", "For whole-cell experiments with standard gain settings, you might expect a $\\tau_f$ on the order of $80\\,{\\mu}s$ (HEKA) to $500\\,{\\mu}s$ (Axon)." ] @@ -229,7 +228,7 @@ "id": "b253b88a", "metadata": {}, "source": [ - "In the diagram above, we have added in $C_p$, and renamed the voltage $V_-$ to $V_p$, as we will use $V_p$ and $C_p$ together in the equations.\n", + "In the schematic of Figure 5, we have added $C_p$ and renamed the voltage $V_-$ to $V_p$, as we will use $V_p$ and $C_p$ together in the equations.\n", "\n", "Using the temporary symbol $I$ for the current flowing out on the left, we can then write\n", "\\begin{align}\n", @@ -266,7 +265,7 @@ "We now revisit the ideal op amp assumptions:\n", "\n", "1. We will keep the assumption that no current flows into the terminals\n", - "2. But we give the op amp a finite speed: for it's output voltage $V_o$ we will write $\\dot{V}_o = \\left(V_+ - V_-\\right) / \\tau_\\text{amp}$\n", + "2. But we give the op amp a finite speed: for it's output voltage $V_o$ we can write $\\dot{V}_o = \\left(V_+ - V_-\\right) / \\tau_\\text{amp}$\n", "\n", "An estimate for $\\tau_\\text{amp}$ from Weerakoon et al. is 0.05 $\\mu$s, while Sigworth 1995a gives an example value of 0.1 $\\mu$s." ] @@ -275,7 +274,13 @@ "cell_type": "markdown", "id": "6eb76dc2", "metadata": {}, - "source": [] + "source": [ + "Note: _I have not been able to find a reference for this exact equation, although it's consistent with the 1st order behaviour shown in Section 2.7 of [Operational amplifiers by Clayton & Winder](https://www.waterstones.com/book/operational-amplifiers/g-b-clayton/steve-winder/9780750659147).\n", + "This section also points out that this behaviour is expected only with \"small-signal characteristics\", i.e. when the voltages used are low enough for the op-amp to stay within its \"linear range\".\n", + "For large voltages, additional consideration such as the \"slew rate\" and saturation recovery times come into play.\n", + "For the small voltages used in patch-clamping, it seems safe to assume that we are well within the linear range.\n", + "A subtly different equation is used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348)._" + ] }, { "cell_type": "markdown", diff --git a/artefacts/old-real-data-2-liquid-junction-potential.ipynb b/artefacts/old-real-data-2-liquid-junction-potential.ipynb index cd5a5f4..eaf6936 100644 --- a/artefacts/old-real-data-2-liquid-junction-potential.ipynb +++ b/artefacts/old-real-data-2-liquid-junction-potential.ipynb @@ -68,7 +68,8 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "In short, everyone uses [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0)." + "In short, almost everyone uses [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0).\n", + "An open source alternative is available, which uses a better model: https://swharden.com/LJPcalc/" ] }, { diff --git a/artefacts/resources/op-amp-3-generic.png b/artefacts/resources/op-amp-3-generic.png new file mode 100644 index 0000000000000000000000000000000000000000..cce63fb4c60edd82991547b6dcf7319c033907dd GIT binary patch literal 11644 zcmdVAi91y9A3lx=Ay1swI*L4kZotfu3$Md|N*L}b4`xULPtIkNzO;15V!KkT$Fr=WM z#6s^Y&eK4@|0WeRL4WAHG|YS{C@$#ydr=PdmFhwlx%||g_!)UR_ys)kv8MEoEbagUpV;wptE;=VB|V}0sHFx&3w!PaPyY!{8OzCmI2jIbkc^J>o3 z$iWyVrGYZX`>uvAYQI3GCKadBm};lZ!u{~a??++-he4Dob=`Bc*Lljt;XoU9>ie&5 z+}I|cN>r4a`MAk8D5kF+AEJVZROQ!afU*{L(nNqC+Z`s@1_vPG=_=|=!e-MXHy-euk zWW`=l3jFFd`=Fq(Fe)P>gY}fZJ=MG__3rIi8N;Q%%onSrHFkd&x)zp}_5*kwUt6!g z{y)P?GBSAE<9Rv;zi9C_kEh>;OO=dwUr5K~;y=fiE+L4Lz)vTh zx{zE}Y3Ncy4s`Ujs`e9rp!U4%s1tnz(?x{@rz+#1fap$M<`ot{x8FZmtXS1dgCb}z zR=f14*-X+|aQj`ViZ0rtQAns5q-msXRo=X@GFc~8;n)v-gR>%4qpHU3w`4&8X*!9& z-DLgC2LbF2Fn2uN6IzolA^5sl9x&i7{b+wrr9Mj8G(;eplsx-EC0p$hXf2Hl4WHw!xPQ~8KhIP^mP0o*5-Hvr>}Ijz zMwkXYd~+chvzKsQLDu#o12bK0ryP7xW%qV(}1tgJ8>FxHDGo}kB>s+k- zl;$weza)YB@ztRMJx)^OmId<)x1XD+n(hFlUAjh++s_5bIBZS3<{VIJ$A2~Pf)BS zAFa}w06^)bBs!csNE8%VcC(`sznbJiN>aZv`_UD1xBBzvIX>pPE47wDaYRCYn!_K} z9GI6E#e@gPsa;zP`#ti({BF}#UZ&9xn>Kn?0Y@tZTF;(6tF5f8)IjuYhH;i@Js$q8 z0hG!NJoq%wZltFK7}>YJVGL}c6RJhOdGP5qj`@DGqw9!dDdi!W3U<20PxYES9|n`7 zWgA^YW2_p@jh;a}Vs31l_u|D1%)ER9+8fRKBglQD_h?g6)o;d1o_E9X`rUX5W`mHR z!5UBN)d^QBb;RX^$iY20yey`(bcIQnNA2ieyshwtoov;q8r)F_^u-fBztP^0cvI@=A4ld=VaT0dwY>SHx(i&kF{iwZbpT-esftL9eBroDQXiNQB*t`Q_|4a_3iu(~!J0yosi90>Hv3j*alLv(E~V z0Ar%ef|<9`48OFbDe-*#(z?N4RLZe$p>jxfK2EC7$*?8D!E}9>W+b{334i;vL$ZuA zJ-os;Kaqy~1w7NSN9Ql<A;;@AH6i;` z0WZjhOWRCJI|JEEi;GHJ4LRUOi&kJ2`_l>l`EW4T$n!}l?1WanJB)nEtNBd+;_|G@ zMtDG9S2XVYRTclSf@aL0@hdIIZCmWq@5h3QWH%~bsgSo4k6QW3`j(@3wb;wfH`xZ~ z=H_axCN7O4kx>2{uSc%Bba)G+w^Ti7f<2P_1*dT@EpdT_FjpS#3nyF$0mIw81S5h_ zmFSEYaU<#)~>HnW|b%@lm@)$`_@Eop)Y!895>V&3h9BcXk%#{JuG+ygvSq+Ny! zapaBepFj6vR&pYkglWYF$5oo`abL*u;W&3 zc;jcV<{+}fKSo1i--64IeKS|VYLxecyUYg}u7hHqd5H6zf3X!S-qbl><$Ab~te1A@ z85PCh;o-ggN#9AIZqc;{Pxr`gJO!1N?_R#73OL?dPl#eGCR(GlxvFF@ks^(lrkCUx zY7I|4pT7c|#Noc-NjP^DEH59?qz?DF>BT<%Y?li>%2PRIBA5fB97%mB_C-JJuOE1L z`6IwFZ#LxPgNmTx4O)6;r001&0!6lV+Nn3gfFp-3$z2EqRZ5bgt_my&$`uE4&=Ze2xS@b8a3P(drgO6_;sK)nbICx1cQHK6YVC&gQIh2PJ~_ z?Ypbq;J*$QWCgm!kAQ$krlkGjh_W(0d;2e!+1Z_SbrV+Q`(#h75g&#(LvOE9IKcRL zp7`JR$}3NCKqKFiJ$;!(&z&2dyTt^Y_GQ;_Ipqe0()G2h+J2b$}V$BaaCgtu_@zE@$AQPA{ylj@AzNhk! zP~kIgF`hlvC(N7X!#m8V2vc}}*m>-#%CyMrVdDCK`Bgac0Xk2Jq9}Mw$|HF@ki3q* zJIV@Ej82)Usd9`1)$S7}QBgchk=wf)b5X(4Je0Sn29b?{$CDbZ?$!^73d| zcFOzr>lE#^m}6GpR6dE*NC!wQA~w%kR8yh2NnTs;3e9G|9|Ig)Tr%S4dFxakSmvtg zykSiWMaE0+i7Vf^Hzgrs+Sb;#L&SGyN;|V)R+X3PHw?fd3;nG^VqZ*53|~oRa$h-~l;PX=$*4g0-gb|aciDDk!1O2U=Fp9Ut@$DhCUoiyu9DGH zD}~Dr&!!Mp(|HQpiLL+JPk}XfN{A=BkyJL=cRvc7FDnh27glW1bmIRonU6W^L*(nv zPmwZhqC&v>cpEeqm!w^k2eh3GURhvP8JM12|LI&2F$h%90kn*(gcBAWi-_Ui(Uai? z8QgdHAgwB*k4hQ9_iqU|hLU|a5RHF$ax!PiOjqBO$P92R*w8&&L1dq_nL9dL zedLCKIfbfb^&F=<4cvL3zNsIVIhc9eB}M_k*O`Ma8wR=1T(4zrUmo z-^YI`ri=)0L!F*(hv%NGG@`$tA^MmUyk-vnY&X&V>gO} zWHQ4lKa8N2an6Wcq2r;Tx!u)8%1DF`2{BxEZ$z?TmeK7ao7B1-Vs}>hxuwwJ!os`# zm7#*?Yh&NW8-w=$PEJnp;n32Jsg*ad+Qed4jHoT9A*J_cclQSZ z1K+6CfK-s^7r&xsf@cTo4FM5gcc^fMM!~Xq=9UL6Q9+R50*+ooVsVDG$;Ae`=PH4Ot>iga~1ljw3YU`d21BY1N*RKO1 zq{e+-<`qZAP|~RG7DPp~o`Bbx0`_BHzD%Pdnq)%82kupO>FR z&BuRgK3(^Wgc+&X1r6qw6{+teb4fXD4r&F}f0+r{x2$&iZJ5h1E+?0&NWxZpXD5wU z#qxM!lO{YGbhh5CS?7cOLZW|f+x{b}VBZ;>L_zLHPNm4+Y)dmQ3fMvyZ0gEqy$)#E zk^+yanmg%m#pI~od)QcpV1CpCpg^rum}3CqDctWZJT__~P|Yyu)0m69#opI;AP)4^ zc_`mAJ8TzkYHVscnwFB9I!bsP8ykD;=1s5BGHVjyRF$)Mx{a*!`K5CHIIns6@#f>l zk9TNQ4#IcwX5GX$0rqian=FHl2SS|3+tqWHmh%@;u+$^i!;?itgy^WSoLs<&I!Bd<*CKdt<#9}etR+-87d&lRxV0wGJm8ZHj( zt}9#)F#tHIqB_k94JbMmU`hai3`D2T`7If}vb6uIOZ z-+)Ze97si^Y!2GZw!CIzW2fy(QXMt4{pRbsNwW3= zU_GbjJID5wflsj0qupX(@=I!lo40SDRD;DBOf@K`c6;`VzNRMK>({S;0aM}t(x|me zT2QetfOX(<$mri8UB!6lEFLlsc(bON~3zzq&@py za&l>-kufE)CTrtHqS~xsdmKe zCtuP3SOQdlnBb|Qr)PQh?w!8AJ^^FdF!H?twx9NgtToJ;%&Qo%v5=qcQ4Wg1#;T;# zbFkQqt@#cqr-7@M&7r5GDC-5O+rPPNDgN|l>-A?TO=%_zeggXeQ&awDU1IVkvjUx< zf~~gY=VArsLl)1o&HkMEpZ+PE+(p$*3hpoD9Aw&W{M#wE%a=nZObfu&;;F9d*Ppbw zzN(oCO>b#=0R1l#LBH#Kh2}dFYe2Dx=s*q?ncdE=uHUt!repufrjUl1&da074v?tw zGNGH3^&WE_FN%PMF%6VHt)brxAh-Zi++I$CZvd3HJ}_17&Vz~+!sz3B?|0b6b={t* zc0cAl_yRWZ`rXd=mwhb7gjo%x$tVMto|a3s56_v6A{(BTcf0v(NHM|76TwhcKi>AV z9^2!{KdmfT676XvHj&ct676=>TBvqT+wzwJ_iW=N%)bBcGrE3GTWyoCuN>nf1Vx^- zNVRh&v)`WOvW@GaPuYz7e|p$|tbP=|@lm`~YsvY&?)revP<5O{z8dR9wroNamj2|1 zPWpr?(5wkv)>L4=OR>x&RY#Aq2F_SjHMkxknrL~MrRu1jmkeVa*{!G`Vp}k3 z9oCrVatbA2dZ-lA#uMW;3$k$9Hn%PvBB$u(A0YBU;xZ1-FwKRtMgs;z<5YZV3+6y3 zoAwjYQ~~Tc2*)3l)f2&Y0$7Nh4zpxAJ0BIh01MGk85CFncp~WHjrOKqe+aB|DBVYh z(QaH$x& z!*)`IhRtZ$gxS0SQ}UI5?l2sZc&?s1xwxrrlQ~0iDJe^p7N~ltqGLlR{`yaEdPllv zQ&+xD`ZAOZu0M(+q}e9WDcrVwc}eMfE-wnk34&+Tmy$xlYr;Fwj5t>KUmhStW<4q2 z)X_$3c)JXp8vYEd=TSu@{4G#=Gs^G6VizfGv@jh+vWMuyZOi(1P`zYiZvJztgTFsF zQJLG%sr}q1C9kV@&Uw-{YXhljy=T`pQ$SrqyfaP|N4;`UYF(BPB1TKK1QWAD9$!O8 zWxPyXOQF5|jNv)E75%%^RNwh{IiFsc(L2tAS>Yz87T(mXLb?lYwZ!P|DA-bc!}C{4 zS|j>s|3r1tf(q=az)XAbCY*-S6&58m1p>(8vja0`XP7o~QasrvO9rJvgQ01;PyO5Q z$?H@q5t9-^w|Miy&X8W2M5A7|axg?8-DaAUaysMavOqB$PeNE?n3y>u{BKZ>ikD-( zEyG7pm(qbEFYHbySF@> zN4tXRdTdE5Fh?qtpL2YtoRC^$IS^hc4V~n+e{zsW8TNs*^DRaHHNvOJE00l@a35YP z$Gz;g9G@g^RZ+>)6((dAf(?iTJAw^!mjPawxsG+2CL>M2lrxYECFK7Z^k7N4hRT)I zVKIB1`kY73!)|M?&279gnn-j}hSZ=(We*Wd>`5!QX7sG)#lbW-1AHlXL>kA?6@xqg z@>BMjE|plTq6+CTGnWv2DNl(YZHP}?RamhCOhJ&*ShL*0dNx`^?y7iv`eYdhg0o+O zIV`*=IV=c_vJJ-@4P20JvAxt^;5jqa%`hTtjljS{>4W(%*))U+yfH@I2UN6v)yEg< zQQx_?d{uJH%^XKoa1xz`S?GM!I@3v)71*gSE^GP-&6N1Bnwx$VaC=jVV1u=zoz`p^ zy}_nBb#6IEZs#|P6@wr=1WjvuK884mYfy_M{4 z{6*zmJz!=?`<|W@W^i271fi-Yvd24+eqJ0$(z1)HO8+`kF#z>A$TILrNX)ReI zeLTtj@IkI+PCs5GlvL#Mf^w0QRJV-WjPPyuX_Ib2lXh$TJ8WaNiHiddFWPVa>HYs9 z4)@zJdukb3MC`zI!Jl)ylZl7JRj70U{Fzn@F_g(dl;7BO*|>dmvDcAU{X@+ zQk0#&y_S#v2+mhlyh?olG3@!~vr}=XxP5kZy8llKwoO#3)?BURdHC_1AFPk+ZPF&^ zJ(O1)_Qkd&5#$%j@OscTS@+$a(!|s>SyPkrC*9Lw?nku0%R@FT)UDw!S{!`}t0g(D zciK54G9w+eV{gEPqB}ct=I;n5L$Hx=uRvXUbNOmq*9|Cqsoya2w5NzZb%MZa;1j29 z+?H93Ryf4u8pU|swckW#u+9rA;98JV3u?(XFspCEXO08RHH_J#Gxw73UI(7nVkV3b z!s%aQ;6S6YGbMEo1XjNVe6q&9SSISuED(J#pJWchq3BKz!d*fc9p<^Is)kHaE zBl`2Xnsh_>U&=eO-&1EEFmyAE5_>sqxp%70JWDzJJffm5uqVZdY@MVj>*K?O4g%44 z!6OH%vQiDZ^p&gd31D~kob_>oT?ebQ7K#o9EncSnO=LmM7<;xhOYo~HtM)W*NJ*dW z#vMBoJH|2-;?q*p0TR6ejjd$70QQj~o;D)kJA|q8X3(lE7Tf5}SSFX6R|zjcf?3pA z{(sK;CMK5;n%sUwF>~#HD0y1b*H@9ie31HsGua$GlHWwVHQC>T@+ChC5_{fO*5^I8 zdu1;fd|@*EQ+5~aecJyt|H1QIJ~$Mk}MkFKA{5=T(**0 z&_++d#G^ZB5I;Op--bi8I1l99XXD_|N)@wYqR5Z#?1uX|4R}M}ZSDCWWj|T#9o4A@ zP0XBh#`w?EfR>K)jtvC~yPY5fmH)gQcYD1v@FO*jag)rks7%!lC!-y_VvJ%2rK8ngt-*87NswVZiL$jNYk z+=G0vBp1(V>XENF#*DsP7%L*W9nwMW(Ct7G4CfgsBASE)B3Z=?x@pY|)> zi<2M#K@uTB|MM?mi}pgF|MPTOz(yPU;1<@TdBnn8TFNh>P<5~o(k91TNDcQp9JIBr z!y{um?U+~eyRp2?b$W=SbIeaIiG_Q8bPAXhv3b@j0}PzZL%Q_RpXGN+Gb+{N6_7%H zVC-Z{EIc(}k)Es2oK%|$yXfLb6MZ8KT|JDhj+=iR(VDa%;_aRWp??J61E6j zrHT{sI6dBX{9SIZYhb_(q5Qoz%6vH}CuYGqDPMf@+3m)7w4bY5emk@h0smb*E!Rs$k3c$SVOstoWro;%F765*qF zRjD_RjoUfsU(03%5{o_xLxuN0+vM)uyO3=%fz~oQ41LZsKu?Nn^y@fK4^d#A5OWTws41e zCF7&KxLXcbE-V_x@LQ>fI*AEKDG<0pb z)q(EKzPe*`fa6EcG?N=OICSL+3nFo0ZL)4V99Kcs=!=L*D7{;EevIms?a$xJs7MAQ z6NPyJ3)-{)92Q6a)? zDLV`UDJ9JboD%Sj(I4hb!F79Uzbm-)nO`s-Sd~xfxm}Nsln(Dkha-CwX>0G`C7x8I z^gQBba&8~5^DRi=k<;bt@aF6IeIX6Xo&i69@53DCIr2J%Lsn9;D6laadvliVBr8z+W&5z|NRD7pt@A1Fa^bPM1pH)>POtU%e zil8OP^VzWVy`Mb^zN^n7`AiI~tgQM;SNc!)XUgj8>ShKyt*OYz>+Fh+-`K6r$fvvY ztC%5(Ihc6X&c3O&Hcd4)2~vHEwbr4(#}M31x2nL+If9c6+3Ndn@1DbvCeBoK)vi&_ zb!70@`J9&6%SP)Vlo_d=#{)_vb@Z=LrkgNbx1UF!M}mNxY@iz+1nlK`F+Y2IPr2m_ zQWmGFHcIS|6mjo$V!m4&=wVw^*H4xl=Pa|u&aH81K3Z`iryrfa@v96Dbq<{V>jkL2 z+!=jB3mMsA-VjcA>{1t&lnl$dj~i2Q$oWi!wevhb;q}tD3$$gKo~-kgVWVGxth$|) zryjrhG8J6`0I(=?Ush6!UzpTodE`TNNairl9Z$ub-KcG1#xdE54SdU5Ore^$%~ztF z939@b>ou=`IzT7>`Jc*;T)oWIbtviOSX=As=G`43B5fJdpSwB$OTO(*dzmx!RW!w(Va%rW71{Kenm!hx}kx z=l!0nr`%=cD6k~`eQ?l_o}PXZGDj5Qh9zLwcP+zcd1_A6?j6UiH~w-Xs@SG6UhHpQh~2Vdg<47Rt2cMHIf_yB_$ZdlYNxv+^c@VGyW^7hENuqw(Ls^-T#yCWqs zUy`n|TOlB~E`eJ{c)9tMyA&D@Fd{h@fE{ydOd13|S~u$kmafU+VmAd_k5L zqxi05A=lNB(qg`jB8v9;-5S9ovuvvlj-88i7?L*jXE4XtD+ku4$65zBywcB5VqRv$ z*ktq}PLgoVMkVa1IXvW~Bjg0RL!*r2i3xpVIZ@#t3dCP{j4C!M9kflj+fHqaxJ;og z{nrzvg5^K@cKm8Ce{J;Pr-6B>-YShtsQUc*!9|smO&`L=`hCZ7+Oi-!>#*i>$|fav zoyz9$+P0fbUQD%i(bd&u_j0*j6T&+Esp=O35ZDsLUVHdvd2_ZE3Dq$& zgrtxWDG-vjS8I>S_3D)ZsPb z?s63>Wg3M;>$Pg~4rv~laz;T?$6Mke$k<+0p0h1go0PLL;d`#(RoWV;h5*tC)RVv% z*$P4UBFd5-nKcJkw<`LXF<0r0UD(mIvou&;yG;N3Cj$dRamsD8&yZWB5PY!t6Y}K6 z(XdriFAFv|sGkMDL|Xdv^z^jV05v5v^Ie2O5Fu(BC#T^-qVKmRcwt4@79Qzn1+*yE zC#oClB4P;wae_{vCm{PjZncQ*Iv%JT9Md>y~cDje2jNafvPLspG} zMXU9U?N^FZKo8zaEluQY4^k|YzA-BQv6Gz2;?s$R)F>CXs4-&%U6(=0f)B=G7Jx)-iDFG zqlS(8Sm-orba!`${Tkc<1d^Oz%Ebi#?Gjp;aPs-r}J3IRq%<7&YUK9K{ETUFO)CNQi@k_>4Al>Sreq(H4M@7jTpNo8Yp_b~b z2&8uDMc^T1up1fr(R`RR)j%bB6B zSWI%1FqV1gXVxifxH>WFx=3XBee(Pjgnf|BO}dm`I`RW~d0r-QXQ+&7Td$eHKw6D4 z)TYppz<1QnC?|IIR>CB|pvX zs#HgR7~w-@E4`mA3+FiLaNRrxw%+Kf3uDux?)H8+?{-I7ii?j(hTNQ<-I8@qD43=v z{agId(<8isxIqy#%Qs5*sJp~ha@F%3x+2oy1D3~dRUcukh`7ksCz0Yu#leUsbnJD0XDoV5P@Y zpd}RAOVH#3#Jj^L-K(oQD5HGsU#(1vB_l85*;k68Xz_)}nHuX6@uO?&>V6oHr_BU6 zA(gxnfu_bOV7D(>Qw1JuTTxFl+&d7&I)7jFJ(ieWxpeCoNKJUfQA9Maa>0Ktu1BSx zoi%v!4OI{?PL5AAqBz2S)Sdh=_;`LF=dn)*p&ifw47*XG=lr*HIY+HHp>H5Oz{q9O&?p%&ZZ1H{B*()g00%rV&|^xWM?x5e+E5cHTbdJ=lWco1mHf8 zJv=s|1q1}{S~mDo6Xs&Y`;->n8Kpr-Arq%($QpVAiEy?5YZt46-cxznw7Z*kAR{YY zgP}$pI|?20(i5*nSqg;{@BKYLYT+CI)WF~yPwjocvy7zD!wEx0ihHsoVTg=_Hz}^{ zEtPg?MMZTc;lj|i=*K{aqJt9bXm9-~zRGo!`?v-AnfzVhn0*6I`oXN#uq*`a%4}B9 z#^K%}B>o&gEmAA^;?bI+mf1V8mqlH?+90_2{PnB8mX=mqbNOJu3|)j_`FjB@`UzxA z%^B}XF~49xD2h5^CrQ6gBCnBA`(yWDo_R9`s8fZ9Y72}&d{f5sNN@Un)3qK*wWfRh zU;^DSf8O!x^j8!`;NF_%vuCq4QXFCyoe+n1(eCal3LE7qB4Qdg6l&`0>sgA4Z?7-U zf9?GwP;pT_zf8>MrPIhB-mD_UskOmSpKE&8zd%h#g%0&Q49j*l`3xJo(9BbjAofYW z@b5+gX(Wn~N7f1IS`p#x_`vDN3%P**w!10h_|Zm!`8MF`e!yl(BO}HIBgB!D;X1@2xq6Jrrv(`^^tVob}d;`kJ+M_!DWrdmPW zls}M`S3-6SAmdB3IWb)Bq$3fQ)I9~Ur2Y6x;zW%&)NnTCdISQ+wFh&tQ(v@FAxBdX z8h14xe7YQ#R0>K7GD7JS%Q|F$5Bi+%+0ih7$|(UE5}+o}aV;2iN42(HdDe3lK^hmY59NnE{v70!*sV^RB`)vGZU!u)&e#AdIPE`O2BUY zx9!DC(odoKoyT%?y%3D*v;g=@L7x9>_^Bc;CGlZa$=qpg`?Qij9E@G-m9NFD#&n7i zOu?h;TK?^6<~?4P`!|7*;kT9!*H&TcO8H!PQTmjX>k>dwFuzMC0eZFH0+kb Xogaa*f=N*4G=-*`E&}tw=H>qf`7mYN literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-amp-5-Cp.png b/artefacts/resources/patch-amp-5-Cp.png index 4bb2b133bf964636f2094a65012ab30c3e5d8be4..4318aa120e35fd5260599e1403a038a6b2581f2b 100644 GIT binary patch delta 7558 zcmXY0cRZVa)JdFj`KvizJy0a_>d&^Rib7>u&08*A?u98xy={)~dwGmX{NX9^qQFp5{Q@7Cm7*u3 zt2xa}bd6d+DzBps?Wh%>r2kTinUx_UYTiC*V4||$ykItLe-W6 z{sKTpfp!^c0i_rTtV5S?WPD-GB?&VOF%xD4NWq+8SFlH9ELn^E0J_5O7DjCS@=^n^ zu)IYxRY(4csFeD>k0rb#XXDtQq@%583r6;$>wuinb~^H5>Kp?G-@yLaOk5jwO{^<< zKqKKQ+-v(nFIOE6)>dH+LXrXCegm}8G>vpmtg3q*i+BD#Q!gmVbMvQF2HwKT9I<^* zW2a&nZeR%N`FyMY3$Brs0#XK)XC5rq+}WgEj2%xQ*WgMlRgBcRt8;tfp`61m2wr4b zZf6^3fpmx&sGR1f7;92cJ)slxitGu^=0t`OQ;$#>;v&qO&XH-CP8|pr1|kc;B#l-5~r{I*Svolb}$(13%# zS0ItFlW!au(!zNFxcn%c9q82Id1N9d;#DB=N6HV7sFxY0yC!zta;8oY_gOB$_pWdg zO6w#vCCEuv^oQ>!{9x@e z!_=$P`W`V<+$${QWjhroDDbJ5l5pKh6NY2E8hMr%Tdg3tnP;3@M+ZloqAPE7EMfiDquQ-#z+@y*4TDUj;0a@dVl!AxmCcRT<~hmP4; zYi2o#0(_7sy~*u&>?h)$q?&T$xz^iMle^}oFa|0IFOmdfmC-;ye4f>%D}Z6-TpqZE;;4n?Lo*H zW~rDTZ2j%Bv3xa6H870Nla)mQO&Zvrk7G2p+K<1cYFpc}uiQzoF$%}u+iKwS6Jpf# zLgcse*hh~s#HWAe3=o?R*#N+VX*{w=YVF=i*Yi+Tji+wI@&RUs$9eb$@udhIVV5!j zin59iyA}M7kRz?bXg&1}SMuiJc2A!&culsrjBx8syG-noN8hV^ORKc#9F|=bQZ&{i z2EEGFNfDxVq7_ORpwBd3)cvakk?9_GT#_t8?;b&3ZEEdBt(Z`={Wz-y${h-VN7MGLVrlYp9m0@~}v zTAS`x=1MQ|XULSd9U{;Ov;Mc_+Ej-hgb+#R4Z0^uiC5luppQekhK?Q}f@2JvaWRZwjLu&`u%Y_22)lp`b2Gq{z)2k zPz#2K?@gq69Qjr#mz&U@lCq5je|BnsNX{QdW^Q zwE>C)>%eC8;l%i(V0e`l)Il(mg{bJ40TBB_7sViO`wE7w_d*!l!VmZ$yr1GPMP2}$ z4pRKP_HK)v2`rjjsb(*Xo^Jw2;#Yb)rXryl?*aKgui_9OiB>@a?$GR!FM$lxGbA`j zkt7Emr#rng%sWhX7~6}lXavY}m8=DDO`mkU=tGk~>Tpdb8p=TMn^%k*%cRI2igzCL zXdMh3E#wP_3OEc74$6n`dVc?Dc@aksLUs8@J&%mU${=i51Y^1>3XP0Ew)k8mr;lp( zM-ujIhw@#8^?LkS18l~&6t^O(8SO^mcbqkj7vGj;`i@oiF! z{|--~PyDCzPT68;;o6!;j8T#F=6{5rZmb^^;{im3gT|H!SsymI_3U!_;=v!?N-gbL z4Ty49lB+cHL0#fS@fpLsQEV?*p%T(p``lAxDWN+0``c?wO@w0FQ;Yr``BqCKS#(#0 zU+9!8>^1&nP?Ny76!r$bqm#evzUWbDy*Jrem1iPT?{T%6BMQv{lp2K1%LK?T9T^O= zT~J@h{iOX@^7eTn$~EK0=)wEI+2ql=tLaZhQrgahf+(LN>+;j^G8wy4t~T4Fj43NU<5a#QNf1h$laTx)q8?3nirJV1l+*3!bp+xkXv9LIx-kaguotHm|teuAPh5qd#q?oJ(|WaWc7~DM&zG1*BLy zMomZ}YeWN4nRid*+;ZYgh<#cxh!#R>$9DIPHX@Xp-)OhUYCs*Bcf~1f+q@O|_%rc| z|7N(jl57DIx3j~uMm7ZnnqFR3W3kdssjzHT2-+#WpXam2w4VGBP^Fqbf zA(bU%GObDbWJ;rGe38+(vex}_1d%WwGdhQ2=1v{$;4J8@bMH)-4_fT4Zn-Xn2q`0E zGu^tY9jlRNG|$vK?|_ayFweA;$WS85VdQ4w3;Q2~f*!jg;?T1RUu%4&-f_07EmY1j z^{Ux^)C8(8NZIsB=)fcl)fLxOb5Qf|7dfv&Vj+oHsP1_yNwCgBPABvK(fa?NsIA8Z zg^kIpX1tcr$w0mpd)-r^mxcPcL%VpP(AnC05Cn^yVQa0iqJv>@`zeZg7H&hh0QWbw zwdkzwT#XH;ySQC`*b=j~8c&>_HHHCD#k0BN$T8xPA8Gg5)BHi}OAf>3d_yodY+G^) zzN#rw^K{rf173Z$KZ;lO%c>1p>gNb+8>NSjX#$m#2yijDoEMSMWRxXU>^v5jmc?nN znf!R?kJxiI!B|4nEByzBw$ZY(?()I~Xu{VRB_|S0{5TFQ=-e|O<%Qt?Nt|TMr27E! zr?mmK182d(3Z#>)%VE!c`&Er!(F#{w$VcCdW?)~hesZ+!0X6~19(*xy6#0e&y!B`~ zzaYSVqw6aHZ+mgbuFUM#A9pmS;@qz!n@Kt}*MF3|JWs|88t|6j{9eMuw&|xCT057H znHx;3`NP*1&->G?ABKC5A0Y*{|B<@oSVcwy^5{(gd1*ne(DG2xUJ--xauai*Jx6C+ z%_Q6@t_=j&JW_&x;(Ky5pRqJngYq7Ac~R|#nwKNeRzm|`<6nbh0q4#rSp;H*vPu$Y z=>NsC4p;?JdNymawT|ZRd_wcx_2PeG+ppawm?4b}6eF`D_HB0e^jw5tGH$W&3Qu|4 zG>vVdBSXgz&bdTJ8Okc~nI!p5;fdjggGn_TxM zONNo}T3_Mugh^nAQTPC5*&;y@;K+U&x_hqU0u8tj0D)Nd>-NnI6FG2$Q;!#N+r=^* z`vZ@*=X>sGeapa)WL3|i<_oVf^-?MU`S1MlObw&Z^y|n!2B++-D|G%ZL&&wC{Zv3G z>;ib)mMN!xWO*;ThG56(?uxBmWyUeacT9`@bN^4Zjr+Lyr z5$fst;x8U#^DyPA=EOE?>MWf2MIF=EX}@+vSoKs z^Cd^k*W;MEBu>QsK*GLpi71#1LnxQM%Kyl6WekJ9bpn8b*9<&Wa(<@vphkDRd*|=p zpyChzwpBy-sGfk2G4Oc)Zd@p%L`$s9bEZbna&mWhFiy-keRVSiNJAem>R07HmT{kz zO-QqPHkM1eo-fG6hWplZEM<*s<$}8Z0#=+w$Ku^~qyk_Nn{871G9gw0Xyh%n#E$!Ou_%}C`jQFEZ8o8ctLjlHz1hv9hrd62{nX;KS2XH34Q#hE zrM`W~&l3rF!QuaJy20#vtmllHdMgbVTfR?74NwXjviI1Uh}aP8zIn3B9pjDOlz~mY z(jY!EPi2B^L#N)R9_)0j9#uaLd?!&tU)o>tm6VM#fwcpFFdPbJ1l`@aHvVyW**h;{ zU9Bxq*le`YuSs%=6;>57>axS-2Z|Rrs7`>y_=^R2)pOKX3=rXUyWRm0tXP%9-PK_X zoPR!P%Ki=lZ8E?Z<{)z)j`z?j?R6WC*^58ln{h!zlMN|5-W88ykL9mG`Q?`GPYFUx zk&M|W`@Qw?Y-*pdf(H*;$MdTYokf!uCA}`W8oJ#&qp2|dT?uE3Yih!gT%z~!$~LyP z(7+je@w=JiB#~Q>{->0 z`&62MI$WkI$KAn!fqt9N2Z|heftxRp{^fN zWqg_grC-~me=F-OpdYzY`<_75;dF55SReyp-wF;NFMMqh1?Yp+X<+6qwyRGKIZ8CO zb@VD97FDq-$4ltgR(gATUr~DmZV2D|L}k4)`fey`L&ams1j^48B=(shM4q(rhzp4V zmwx^4{o%b0N&hQm7+D*ip%2rYa!r(@U=K>BZlaKuMQI~?o%fHw?3oet-jWP~B=dPqu%=^C?M5{4(}gaWKie zQus!w;GpvBbLlu*#xZ7r3Ct|tr&C0cLfutzl}S842OWd%h>3q$HU?kvVbrSU zvfgvIgu}abdbG<^y*GQDSp6-zslCF&?YoV;GK=H_zAl8=#G;x7?*#-=iHw7DJl%p4t1Z)d1kBd?0TfOF)R zGIW~j;pVhJU@HfUfNH=>W#s;d#|xeuHIz6F1KVy%ITM(wY3_v|tmjtG;fz-YZJo;& zy(?BP72q3EPw(Tt*WY-Dx9_%jR|?3ctPU3CT=()@85$k>pnZNg+f>;Ud9ok0_B+ke zOzX>pPnmO5p84B5Vi94#E{2EY`x`l?`J?}y9e8x4O7cMwEG;kCwLcdX6^V}0cb=({ z9k9Py%9jG^=lPI-D0uuGRM@u9^M^{+E?=LvO1{s*UDZ@kwe2^jezxoLc>oX4fqR(A zOX&4q0V}`CJ?6eA=Y-9?+Rtb@b!QD|eAXur)tP*4EqV9%WZ<>vfzn2hl$Fx;rR%WJO3%I-c~}d{IuN1E8OC$Cz*5Hm zYLX{)nY?bHI!aPdkM6s|Eh~PJbm>FNnF5wMq)J^_hWMpdBh*T)eL%(lc|w*4mWFJ~ z?l(vmqtY#f=#SsSXZguq?V~jPHe2L$EnFjA4pK|&CsLO;g9$0 z!vaf%w8B@Zs<-rnl(WwmaPN12!5Q`!Wp!Mpy{VvxR}z5-Q*^3*JhWc7;S@aLp5Zs%TV%pdY&t(q zU&xE_N5Yt(rD$WVuT%peU9S2{CXe4b`)U2F$srZS+`<&9BQ^XmjJWX#8X-mf`+fD0 zRl_R(amCV@kO2); zERtVU$dl&uW^J1`s2r5SBzV1PpQ^w+N*nu=6KlA-e9fs+e2rQYf@%-HC+TO%!o$$i z5XwDxsK-vajKlM_srvh{S;kumJ+$YN?gs$9>@7XLZH>5ltO!yjgsH=TYNLG(8?;s5 zR;zm8=eHJ&o8Z$3S!H+l?D=u>IV(Sc+HxiyAcTid%PnM(OLAo7UR^hNJM}=A^Y_uz z$}QJWqoxAtgb+$Ck%bQF^0^6g#KvAp`FAdF|J%@PoofaU@gx2Jl_78cCVnGVVYP41 z{!JA9%v(uU3o!I%1@lx)>UsL?(k^Zz&rgpi`P#yICw++x%hIOrAXRVh4VkVxW>|Tg z5HNveLV_h4PIGF712S-^8@{Zp!tGZ7i%b>&!BmQw?{ki{eP|SkzT*dtfc1NkKaz#9 z_S|h9GD$(Sg}=gN3q>8h5W%=wnv-x)(9!-~>KRq)<+YTwiezrNovUTRf&d<=wFl386@n6~IeZ3%V)kh~ZyMiwMNe z3&MD5aQX4_0+-9<e{V>yAn+`4+-v6thzv@APB2TzWH}p!JBq$cM;c?HpQu z%-5!&+wqH;Oy8`Z%qg~Z+fM(F0juWUQv4B zjH=PBd5oukOaub`tZWUdOGPbiizDb=T_Q^7mC&CgpjB=OVrcMx*%190k098`krJnoLFL%nAVcaQ{ zn@NiNSJ+mWH5smYg*K;7N8m>gunb@X`d#ihz}04)Lq~c_X6lkz)D~XJhx;F4pG-xw zQ;&0O&`P4&FGbd<#f;Y0B?Uxsm?vQ%F_9H1mnXLx4bYG#Z46)MmF z;45$*Xj%DDj;{yZK$~%>-$D?49oSZ7i=**n$w2}E#dy{q0a1c3bT5CR-60YCzHAz+ z6}9h-GsGGH$~7!^icleUQ-)G1_n+eZa6!zQ^#mR)GX@=Z?PitRYDs z%)c%Ckn5@E8U8oxqyG*2>60K09!{7BQ2Xx4E|=?4+X!Ocz9Vpwu7u(nzI)D6z~j2L zp+gW-i*f~ZMF3ebb%3={R;&5~dGy;&Gj?T~tvuN8j0(}K z(^z6(SKry9U35H+dVoNJCk>BnsczE_#TSc*CqMF4K~t?mer~}C704JxoLhNrd&&>f z&BY6?%Lwq%xUAEk2!`{HA5So@Uh|42NXFoskf}j_$HCy>q@X5(8g3#@QMVu1*JueI zzpaC*7bRT14aTYm>Y*wH2s%VQze2)tT)0LkPphH_ZRmu&bu6GzL9;i*ZM5qUG1$E~Iy>Sg1+FB+%~Xz+vn&37v)57(xu1AR9B< zQ%Nq*4y-`}PH6t(6%yTq3xYYzs}c#8Z&gQuXN)V|sftZ>A+k}HU_TKndkqeC9aAMz zPj+CJ8D@bxNt-aR(ft8Ryeqpre9sx!l(Z|-8{M0Cs1KcyzM!yEBiorvi1R%34p>sZ zB-EWd9)B@B>+c&s0}GI&RHu;U?XVv^w01x+D}d-d zv*gEUxv1hHNqMUYOea31y3oj0L1W^POaL8(WWboHulnnS=de9~*;4a#%faQ}#_K|_J9z0%^P9z`w(ODo3jztK$J0!`?$9JkRcjo8 ey34z9%7q@w{<)kZ1!o6Pf3Vx8`b0gKr~d;<1(xvu delta 7336 zcmXw8c|6q7*Pg|i?8#1KsAS*wCEJ9QvSb}gqp~;F3^Ot<2pL&Mwla~Wp=94iLS;lF zG>AcE-}hzoet*CBeZT+S&%O72&ppp`o^#Gi{Sn<~?ijJ(a5Iw|QF+V1VsdW$$y{Au ztr9U|V&dgY(OR>RN%|gT&GP-p*HAOQYtv?(uyaKjzBgWd)JaSgN!!hNnmWUCD$yeC zRX5uO5p^8f8?c$U@wGE%CW+vaZ~AIu@{K0kolg&Ky)BOzB5g+(Fsznc-(F~Ts%o8N zv{g|~&R!;9h>qkmtdwyif^+R$NHHPssfxPO-{0&5nX2&fhzlX05RGacZMFue^Ias= z=VX6oqhly5-3{8tiiT=`q70iYPGo)%i?XFoA?uXe*4%WgyTq|5PHpa-y!0cGa!n-m z%KUG+J9)%t{6P;dQQpo2++-igvt~--MAmT{+hg?TlkrucRY4_nr~%8F$!x1z8NizxE)yqQDyb5PS znYOX>@I!D<*Vaz6W_4^NeD)L!KVCw(Y5R0_)#WpA-RDGdFm_v|rC#J`n^H)y3ginO z62W>OB<~%C$(Absx%;4fk?zTi68tE%aLG5j3mM`2ZW^8ucIM^dBzaUE_y3xvJ9{BZ z?!UJF_u_&K5h#xwhHD`6y$hZBo)M}ZblY=0#ElC02-Zu{gp>}|EWek2Jj3@{DL%tb zToU8h!B<3#l@YgpvniMOpvrt^vhfLV26i*`j{&@RCbd7a-P93l1Uat3&f4MKvC-sf zp{(|=cA&aY@%UD(UVMy4(??{QXiVD_s_Cp(ypbHzIz@YxaLnz#UY&>`w%NN#Fv|D5SIR;^y(jJ_dLG!r>?0 zJbBN=R*GuP5WYa}20GZH;xRZamu57bTzPE;(rrD;-0(D{F|vrrjjf>sT&nyoFsX*z zIlop(@7{O=PyrUFs%#PV~5BkK=b@EEIwGxGy; zp~?0KicNeM^4+l+@lwbL3|sZXwO)^Rl%+WOO}Z3(8M}`=y)_9{n|a!oS!jRoS_xN% z>;EaJ&F{=XNv(4~K>?9ix3ZbHc$XgD9P3A>6HxS%kTSmdL~bN%%st0!bKc&KP{$khax!VE)jEsN z%Kfy$Z;S)?{Z;yf`QQh=r4}#%C|JAVr1EQ&B}}fkg9L%b2j_-}sw%<;b&*Fg!Sr9I zpLh%gN7~xD1u<$BXY~*8bs|5l-t0K~ReG}fVpwL~)Rxu37Q3GmVdHX(;OF-j?_5Df zm>ayXxP1Tg9ApdH{r9jH#!#YrAk^1vd{R|vR{dJgIz9~X{S-x&AW&VmI8NSZThDlJ2Fef0U&UZgX4?Y z#RtHnpen0!1ydU!3bxIoB(d$ew3L0rGGZb05}Ww0lzR11HqmaA;i1#wgY*-eiWM=Z z2GAEnd#-N;elQq@k zAF`PGA$0^z@3rtbUx|t%U5`CHuwRYT#tyu9h2{xI>h~R;oP}1nh8*?wh@qZl;`?4` ziN3th{wsHv!1XkB)uVsMGG6XpWiT@u!*(+0+u;HJ-|LBXEV8bT5(G_^a^oBMqqct9 zRjjxohc;*&Ot&a#IY)S zQrEIBdFGF!lS+9GG}mYU71Gwc=Zh2 zu3YoassH#oTP&ptEb$Jb{6-$9B2k({dx5M=zbX~ijJVc(AEpb2*xL$tBLx5 zFZ6OUQgh|rl6%^{p;FyWVCYV~nHCUumJN|JuQW85KV0k4jFHGq#ob({YVuhy zBFwY)n1tYIWlm2?w-b38FQX)XdQVJh4wlcgOR9TM`ePF)OGB8a;hWg&#n-+{J-wu( zl(ybzad|243@NPgX3ZmZe%Vjr-4V65U2{~49GV|);s#;SBk6AiLFo=`N;UfG_XqdJ zfb`8=@7;C6B1J?XQV7;XA>p^wOa%vbXHrY;fyIZKIBM)E+2M{`eM|iG3~iq`VL);< zA<(D|J|QGSwoEG9bRJ4?EdwEb9^-TspZY|PWp-hPeL!F|3Z8+YJp1dm1SkM+AvXty zS}Q8H0UD~9A!qCqGBh+4wm2N9geWI^T7NBg^R>|#NV3fJt8IrHJ7dA6-KpZqM5(eH z3xRM$rk3P+@#b3bGj;9E%L+Sp2fARqGvLc2COI>;hVy2aHX^@>_Aey>F~j?M z5ENE}TuZ)7k3!&yBxHnB4W#O7^J<&kOi$V@z$v#rJ>hkCB)RPqi9J|r^a`)jjnMvH zLK<^a!uV#brXLMs(6hfPW8*TY>(99T@rV>Seav-Y?^gkLWl+aDD%D`exuXAIdod8O zNcM|;*^fzVa1VnZx(<*^oMTguc(Rg$EB+okT6#dou2=BUxojCzzJ{KU>W>Msd~xiW zGr2H#PQ!mkn}FXGHYX6X4L+zZ*-w~mBSk4oGx`cN42mU^WrykX->AvA1xFh*9|o`Z ze1Bz5*kzf-Z@q1px%VaO36rx-k{*_5?Uc}Ou9~64 zK<1#QVhUZ8N&9;1j&$6`#5bV$LS7O1g$xX21suoKGDvRJyj7)K!z~jl-tcH(V@$#s za#E#c61m)_vU&Ar!YesiW9|fumwkXDF-ePnJK&hKhbTzRO#?^JS&?^(AVe0C!M@8m zt1-(MPo?g%RJCkembJ-IcBaDd76jV$(4N0vuS@eG5_L?d0UwLiiu;x_9&w$6Vq)Mf zLlmE8-?q82dZpehg|T_?rN>-s-)L~*3HvuJBGrjz7J2*$y-o&kN}5_jMlv9vHwH(5|=!h^HKzAQr~MZM;{!jmBf zAv-KHg8ja>Zqt_M_wgB+yQu{RBBmXJ=uJo>R&gDGomQvdn7UALB9^~unbLQ_kLToQ&<|@sNU9AN)s1PCshq zbm7gP#INH4KqqG0w7o##s>kmvHgsdztXzn|`&@HxjC>4_wAWVGreuvz@PL zIA=O=77XE0mvtqgwD0d%6MbAI=D#^OIc@&9Zl@Bx;j0@qw{{C&b-9VQ%2}5OY`QJ3 z7eN7RGaEu=_?2XYVc6DKKi;N;JXw#=%#-yWxm9%}ux<{!2ES%GDRhm3)klsxRC1(kr#K{v(di zg!osOS5S-B-_3JqiJi!IZT3qQ(yu9y7)!8<7daRoD0>Oheu z{o}`v5XSZhYVmD-E>Qy)lw_y*N9>yaJyKjxXJ3Ge2ZV9dy2E@V+F>CBPmgPeSK+NSjmat14bJBY2ToctH~$xs};+VA=Z*_Ye3Hr2V9rQn(cki0Y{5_|V{Y>+3E=-((OOk2!Q5SIORhpz9MSXSsR{Vpi_lF^Jg-2>F++ z)b>-v{`z$vpPp0=c({Xu1BR8Qi{bufKW0+0dMv27ez{l9m z=G3PiZg$toyn;|vJBp!6p%aKD6Z!p+5*S=XDf}SaQto6tw2<7&3#XZV;pEiky1pp?)?fM zdF>iC!s`-Mc>9W5<_Z?dg}@wa&F3n6L43NO>Qt7Llt`~~$})7wqVc0*z1E>De{zF% zmS~OlQBfQI@nISb0Q!EMFU`YC>uYIyQoo1xI9`O?VQb=>?_Esm5`OOA0^FktMCcX$ zb+f}>&*S%8+9RgHTpjK9rp5ITmTDVXaX)rd5vC?(0KSZ{hjB827 zo?m9xmURC0U}jbNvc-~Oe*cV+s22J8x^dR-KJ(n=@v_o_ue_G%b>SUK zdGP+mACGN;Yws}SCU8sZrdojFx#1$$Jq7%bHSzUW%$Il3LTmnNW258R|7nJ(#_TLD z8Mee#RegTH!dY^7YIb>|h?oorg7LQ4Ll1!F237&e-0s#49BqM>xf(nk=RWyGw_E*wm&8E*M`kgHCtnLCz@?vXhx2p zenQC|H?Jpffju;9>T`J$sHjev6%`?;xW5!aClD(OS&HttE1ic>wZoY4(8m6XjzK#k z0}by_Y<)Q@K9$5Nd;vIj^WU62;6}@#Eo&xxsN0l(cP_sn6UEAU$a66f8D>qDZ9aDb^P&x;G8f_}KwxLqD$lvxN4Q zecQeBt7)67|L;oEA}Qyld{I(AYhGWNWJne99qv3E7pU%ErIjx09P1J1o}HSj{Jcz2 z3V~rbI(vc6i%_pFB^ClY_1#)|6$b6QT^~oGD855-U67yem5ke)dUa>8B(z)D4>SdT z4seaX>*DZR&$ne|WmP6K(I3@XN_kj{V}DZazCxu!51>ZOsbHk_!G#dW0M}k@SZXj> z2{OpWd9HnfX)ft&Mcc-;C+`IMmX^!Q%U`f^iD?G`*`N#%qMN@n?1J(!TEP>;fn#+k z6JJ7W@v^*4z6D^kp3mmhj|MYcxZjboFGVW*?LVG5w;BTpGoNwr+&}mGXHso#tx@{c z0q}C|ljRN-46VRX_0qb!9Tv5is`yK*tf#@jV}gF|i!7CtC_BJx@`zMK;$U6O|k@^e%gZA_aa^b7vf0q0!!V#b* zsMTE#qFnW)MZ?G(pwcHkKIFLB5F&*;v^3f(uTszK{F#K@2}m6>VwSbK57L&1dOW}x zA-;AU&LU=W`;3&!qy@DTNFN=q?aOMz!^5-9Fx&2l=XZH)`<5^|@KQ(*7{m0}c}~9G zikgmdoY}`Eh13#;;0w%4v;QdyGJri9EerCtf39w8JbEa65Fxbvi(+_YoFDge_H=Q7 zLwC%Bh6k!OWBU7<1!4U!07R5n(%}6Bw}>xQFre*8`skbXCcppm#Jw4TBA^e@<2at~ zg#G4#rPW~k)X;lt6hCG8x`p1~z$*LS;-#fLCoH?nJfi3uC2RKQu)y3Mq*}5hL0-1% zK;*9gLN%6k@6y%{be(^^q=1%~la0r=Mu8mJH}4fvfd_}SD|sOUdrhJ zz|73qyIS#bWG0~7g_FW4ejW`wy(V19&3!`&19!m3fyX(*(m%M_7q+l2Zb-XtZ_f|* zRJ6&iG3B`Jf@k4(Gu{OWg%lEoG^h7^U%}iN+n|EyAN=Av!xS=$7Z(3Gc+NzfwYp~j z4Uj&0O2?c!frIuT5%Cv$#^>?p z@0PW2@Yk!5W32)91}UGzP8_^UEf$3Cp$rRN^k&5ZN;rdxiFbBZsGUc`z13;v65q9;}L6zXc0*P=A$y=V$^F(MUy`{<_7p z-_6-qrwkCt7scT*AjuarN06GUA2Qfa!ndOxDhQS&(5@kOTvVWuCVx?}fP8jkJ(=nf zWw2lS%nAEtSNo-kuVZ&pCRqZn`+}wm?|}*uXrIM;M!=3ZZFerz>^IDxwNCCT*EV!? z(%L^NaOVb7P6$wzS2hY#0+NZM*ix7QM;_~ucIw{vH`@Yz!i@{tDpMYXKs@;sfaISO z7;aP)`6U?}wpcB%lLl0%(L+vWfR!AAg0zj=+~s}?)8uBSSZ1K<-^h2$0bOu(g5nyV zj}qwRFG7*LG5fG;luG&sjy1+pheFy0UEVBcBv|>zgY%ptg4(<8$qr8(qhr5x_2N}ty z7-$K$I*2FszOtV5kB^1fW~=8bZ|v6MNnZ`qh~MxlS?YFFSG+_<7~;e7DY#L_7C(IT z@_lov3SKdLtB%-+zml|LU{A$ZH%X7~_TyPj&o7=kXNUckS(1${F2+cnKnq6+=YT39 z7z@i@myb^jGw$WhWE(qu{Fw^HMv%q7*OADX#+LK|1iNznRj&eQi)n*C>zvITf1HdL zu1FMXDI=bd#w{ZE-!nztvzTkt*kRMK3IK)WZU>82k5UZ3hNiM&C39&f=mzvOa=h?Z zJ$Qx^AmtVHfs1_WJ9L9T{*tOJW_Z+Q?(xyJuto-xvc9CG`j!7Uf%-%I_MP2N?L3NU zX^G$Jvy`|#9JCRJ%>PY5jyfrt=2cpJCx~GXbU#JYSF9N)-jjS$&jYOMj%CY! zsi4!7jGJ6f$E{1O(v#aaU2{Xe?%b@>gD&QZdX@p&-!1XkbNr9|kbMjdyyw7iWfw*V z(T!BQL&ZQJ0{`ysWd2Y}syVBiqr7T!bJH3zJFVqT)ilkl<#-7u77`f6%(F*4Q6f>7 zsn%pKGK5a7BtS$L)#!rw3Fv+P6*)udgT2cSDCo*wVn&!@N+GABtKi4ZMwBa$spO{Q z2XU**V9Q&T%4 zdBfC<%qxY40D70VbwXe-i2(FXY)#)Ug2lLx4DM>5j{#yHOMFTG)2H7e?#0H)vUwQ& zL5{qUc?FBydEMaiMXQ~O1VZkBrqbDFb7%PJDGdqdn5tYs|AB(MF>3%OV7l)>Gb6^E zIGIDU)fv`vIt?fvb#QBLlufu4B$VWQr1O6hqSr%^gnN}n>B_ffpNNfR38+iYo}h@D zj9)SD=b7+Ay3eF`HKI4IGq|B=GGfH}{&zq$AwYTn*Aub!0b3y3nD zzDIIKE91V_34b0L=aSzDlbB8F{{LANc+Bry3@Pz3;~V9;f@fXZ=_HWHaUIpYB9e7ig^7;F9UywVcz_7>71Sn*;g)LUBr|U(*fS}<$HsYblX-!J3 QZ-RgiZhpm#WQut3KRNPJrvLx| diff --git a/artefacts/resources/patch-amp-6-cell.png b/artefacts/resources/patch-amp-6-cell.png new file mode 100644 index 0000000000000000000000000000000000000000..d88ad8210167db71bd439c43418c3f723ca0091e GIT binary patch literal 9928 zcmaKScQ~7W6mN)?7By;99YJjs)LzvRqqH`$s$%a=trjg+G*&{;l2)lzF@hpLrP_)j zsI6&Hd)1z~Z|{Ac`^SCmy?b@8JeM72vVK(p*>9DHU1^Inpf7%VHyl}1nCqdDrC^%a?-v|&0sKWTB!IZ40BxYHO zDx=EqwS*p~xSH97L+v<9l(Vd}@uR`!2McgwbLalG&LL_nvQc$n?=8-acnc+S6MuUv zX(842>N*G;Y@*77Mxj6;IlOy<5DKDA9zi+g?z~Zc9Mb*Oi1s^IyGDF7CJZ_WhVC-l z0Y7D%IFI$_mW3!=A1o)NCWjeT(8;_=7w|-e9-bp{X_@|$h@**tt!3_*JDXB$DV&sY z_0RK$@nSR!=YAFvmr#vH)7O`jDM8NCn}W69NJVK^G_AYT|0z5(c(+h2zGRPL%?Y^_ zz_=Lb;};3a^RFO1Pd@bKzi7f~Md`pf?5JC_#xG~T{%HIv{P z*xj8KA1tCxR?^mjMPFIBK?H6=DcE2)l0b4{aCKTFM?iHv+)J!H11H0`wY;1S62g&0YB&?dgHidbz6yM0W0ZRM2G!fmV9rP z{{ttgEPO))uKhnR%+g_>zr>{@8{fXX^#havIuBcorm=aMMMR8mLMaGl=q{}vc%43W zdLR(lNT*zp5OXb<11l-%C^Uz-1Yd?OX8E|hR!@n)U_A6 zJHnBKQ&pHi?$cw!)mb3MP9phin0**SUNlOlHZDvgt51F6x&qiVpMq&)6_i<0&`o)D zb2Ag;Amr^_T^U&r9~_B9hI9N7J7B3*{}X_~Y@_0XZ^+?8iQR!czp#092%Ss|5zlz& zhIE!LSq`52YZqxzHHRpO;0Q=6;c~-`+N*H^DJOihk8cC?D>4vFmg*{oF8tFs5{YW znoM6V?<6fhNEN#)5KpCLR%Yg9#6Cb~lnKJl5ynaU*r#8bjR7moIf!k|3WJ1lT(P=oz#ABq|&u^ zGlvgb%dg1clJ{~lafJ|J?xs5%twzh&m$czYKZs+T9*iL%RD|zh~jEcBXaF6|cksR9*5PcMrDz1Z98~iJ>Ub&lg?IiC{<*o8Q`hK>+>Y<%AItL*)0QavxLEf!OB)71!eY2q9y$#%i6oSf zd|W5mWyGDr!J4GoAe1T%dfXkeS1>L{9L_e`V2|p4)R~)A*~Su)^EBA@H~bZ3@ad>* zJf}E0c4d%}y2%jc#G3|OSofD!BEW*2IlS(yj!i#fS|9WOHb!_!t0X%Bbp?>?DZ~Co@xL*#1_t6 ztsKemMf<)#g{Jdq+=552Km3rtg!&U1reCzaTZcJ?7VxC~k!)e%WnSg=U8kFp^>k3$ z7>O6_TP3MamDzHnbkV{jhwsmsl2-ExPXe~Kpqb;X#9d;jRAOmRx<;c;9-Wwixjd>fG+T&gv^SP=i z`PbF)_OM}lPleYkUa`riBSd}Vo=4-xn%&(btpEkhL8*dK+8&yVn?nel)CursHk9X0 zO6|KQqkAty*f*tN0t5Nml9G}?)z%5KVQm}j$900XE7G=giG|@ae7f*g-M#jP{MzWF z=woA7PN)eZcft5#{aRuC8|?;+#&8A2!77sa`;Hzs`!mgx_!4STPWC9OnEoaWBRfM? zRsX^%{vC&`Vwv|c-c>%pu+G{hH4E})SwDy)#~Z-tK4;{4Ql;p+vYiomRHTijGHWLv zS2MqTc28cTpEUDrA!gE$tc3CtB~8c0rdSR#KJ$UWN-)+0T?ji250ZNw7(IEQn`&)QOcl_* zrKNp=(tmGvKor?{iBOnbPAat=V4$TIz; z=2ChFprt69CWENfW}b?7?-t2B_dcjt-8cI4Kvm^aqanqfXyiF5MvFIRuw!Msq=*l< z=c(vTLK)K%K@=&<5&I!KmWRV#!Zy}?0D?_ST+5q*XUkA5K_!;FM6OMVQndt ziXQKPwi)wQoiTROCFhCl8>uo7n8vsd1U4mWM89?1H=zZc?^{I^o;)kS%|cu+-5&w} zRK!=Nv&tx<)U?ml0*RB&KY#gW$y5 zq*X6?25mq1lvFvsJ3db$aqF)cjk0q$sC1F6x&^H&OP2HR-4kD;#-AbgYVM>{Eyxy~ zln=4utu3|l2z_s+5nY-7k5DsB)XFXr+dbsQ9kbYW;nDqd$mPE1!49MRz|~0Y;`)Tg zvNX4#mUd?=}AP36y#7pc3eorFtHCdB3Uxm^S( zjty5d2YR|oS5{cD_B4X>pJ3t~Hu(0NcUjt3UmC2o?a!p~-YBu{=`YqQF7R(x>A9z} zfY`de3yuBxqZ9a8c4^^0z`SHG9PKRK8m)GS zhDY5e@1h#*vPPSgfs77Z*x%?sIo#%S#|9?H3yW~1G^5V0trM^S@j?`ZtWD%VI7I`f zr~5-~(fdWj(itntZ*C)w%pDCljO;ih;vntWqx>MqBy0EXxV>gDA{Hw@)S89k*Ac8gTAe~O7OBD#rBHZsc4v4R=8+05@{g8gQyflmaL$ZD&w|K6pr^&0 zBx(BorTy*(#}3_*x`{6@7l5`w)J4k+FfqL5i0*4o6vY(1-@Ncr(&`q$HF*B@z#rBLu#Nsi$#Au;X7QVq z;WGcsVHRbE13N=ngBHOt^qc6sH-K~^=Uoc0q{U{Rad}xT!p9UCWG6SoWG7V3Ib3(Q z^ER?ksl7He3loF=)VqJBUicLDDdyBYN90p7i|fqCNJoi)NG|t{SLa?yzLuuwQ9u+k z*s(*}jb>8zUQO>y;0Eo->!tQhelt(L%pY0nfpxQGxoQ-tqEGQb!5Y=3I1@V{>!y}o^ ztFNC9$cn5n8;dDKtkaRQ>*JDDJsAyD(VvTlIf;#l4_htU;!;_Vdv?nVuwyfFmNv_# z@x;~wNbE!Njb_=}0aE)_Po_;V=MH4tH9t;&$$&#MHaLh#`eSgU5|D(8W*X6BAThoL zU0~Dvvi$pb-75{F?I=b?H$IF2Mix|rvS2g@DS^sp7igVKjlOp<%Hj2)zLMo-n6|v6 zv2Um*E&?J|5+cPg^QHEbhxnSfPg*?(@1XI!oFoc#!{cYdE=i-EGB zF_=uYj~6pVMn*=M`4z4Q{;+kw5xP8_uXi;t#6lwC>iQTWPy)UDig^Ou1UF>$o8U(C zxT;qlR*1Z~ymO}7rZ*9|mskHzQS8pFl+w6=$Ei(2#fMH_Y~0x)BH%xIzWvaZA1_+h zTb`Gjm&yB05vHvwZMM*P=MdgpKERqIQSEmlDiAD!^QF(8f3_taBXd7^l{1|Rc~~w% zE?4}RQV{#WWsUgnXm>?GV}S_1;PbZyFnHXo_TS?{g7LHIpBfMl5fI5oMy{!0!P?5| z44VxNnD8ufyC(Ij-iRI*1uBN&RRVkNe|se1ndkeHj{CEaUUPYMH4=#1l;!2+Il|*O zL;j252;X6r2spVLb?zvk0S!Qots649&&OdZFuN28;Of@(67SLKR(4TW>}Agaf~)rv zR^kY%C`I)qLFymWr2NylKGmFJZmOXeTEF#*FzZ!y4_p{oVW4 za4?kRa=wRbREPi6hvv?aw&?J;cMI(}-aKAj_WDD@WbrC^n5pTOQ$*m5-9pyon^3a@ zW;Bhu@nVq5r3k@;D6CHN@66}-brhZs_6<(9itPG(V@<|m_NOCv2K5zPI_XryR>z#k zT2O~;gu+J}ZJf*Dzjv5|i;Q@~Ob0TAyoY`I`F@VFPN`!3hG;hIb0L1iVqz|NQY8@3W? z1y7EJ!f;2bqW6!JTjZLA-jj`z`BYWNFc6Au$Lp=eSmhh*WSj{qoFj3ZI7OKK^51@_aILju|C*79)4`*upZd*h5)u;JMG?PKxSKMx z&c*=HXRg(|`XN*9(8(w+UNFg|S2kC;w^t^2&;DXCmYW5ZtP8 zfq3uuu<`UV3#S=TGsN8+__!XSL`lsHng^F-j`ymHl>!kqBWHc-eSu=>8G1Clh1;$a$C23v#@Z?|%@cv7{ zd?wz)steQyzcilZ_h)G@5elkW*WH4QIFGenB~(XNZ<-V+y#O3N3=nfDjd4XyO-F5m zrB?U%Xf{RDaVQO30}_fhC;9kJw9^G>24rB`g!CWT%4L5xqRmOP<;xp9(B0NNv}ZSr zK5{bV(>%S|Wkml%vH+^h`*3$<@n^Z`aXZ6Fc5QsrJ$bx-mXpy$q1^FlGE`}g{~pEG=huvO@u<+>dE5^;pt@4xq{s`mTyeyfVGb@cf|dc+t| z+*Ub8uQ!*8gf5Zae4ULu8Y;FkuN&Ox$aGQ&#%dcK5*|xC&0BDQ(9G%*p87C*8n(XT zAdUcw%9n1V+>*A6w{&(luWQquvD8=0E4?&0X|}F$Ecn6KzCRN^P6(WRdwNYjeJUA)=gN<_3P@_j9RM(rW+L8j+OE zE4jbqB$MbV+w+`81dbqMJ z;g{v<4=^~*#0#HpK~{cWU4lAC>zWo!$G?vUL*69Cfo4gSABq%{7HKXI?UeWbQDYlb zZD?pXIq1>aE29cd{DYa*4?XAVEZ9tjru#@|7#An5O)iQp%ziq5CC>psbvM){P`i+C z%P2`Rt$l{)fF?k}#pa}qXyDAYsjz8DNl{OpL?W%ey}_aa)*43ZHrg>fsoO2o6S%J= zuSQh;ziqgMO3zV{|I_$5hRIudM+v{f*pdZlyAmFy)E!olJOrescGArcwq1AEB$XT5oXg}|LrBuS*%v|Aqqe~){@g; zMaGx7ThP-ApcG++FDTZ3$-rnpNbcKDyers=(!SzV+1?!JyS&OHd=XNQza}1H{#r5wR3v!U8t-XzAzrU}?{YkZK58 zvi$YnQ4{T_p$AhKxzFY0wjh4XTsV=m9DghR7HoJcI)jhreB6<&dh>NIgJtQZCQh-t z#_C&LoNNBg^W1HRe+q<-_STLMS%p+jOu=QRvb9Y(@cqU@R#Kgnv22YqZ?;Q;HVPyC zT#aD?^>Mu;K*Wgt3FC#kY5amGt70~eLXz(YNn?COqs$*MJ;Qn;Df5hQ@-l*>sVT*L<`{Z@cbFZyuQ;1vQtxv&XnDLJI zGLb^<>s$(RCeEdpHlA=e#iKL6()bC+&UFFEe2kjj^NQhgjt_&m!>UJ4mO3IHZZBy~ zC$k91pmxY}U$gWrtgQABtzm1GEiEm@nDJv1tSUxMXKn?#XZUTeFkv{D2OsH>Iqd1$ z=rxe81_6<7;AzGQnYgdS#d^RMj8L&O?{3AKE5oNMqh0_Q0A=7~ar3v(;9i z*Y2S>QRE(v?&%|=?~&$Yai3GliD&@ZYkq^o{>qd@KDH=YgJmYDyXX{?g|-Vc!H56C zs_8qbU4jp>D0qPQ?tk_o9a zPKsRtM9X=L&I+#OTYJ3UvxM1`{wUtkG#+mkv~kS6mR#5?25bGssr}2g!Her3#*DdU zxNZIJ?uE2@x7(&cUP&8PL(RUFxJ-o3Ob<6l2=GHHqcKYT{LjDRM6i zk0VT3+y$5^vRG|LvS5H`v{D3(AW2maCzrP{ABm;K{ z3Otn$g}Ff5Ej~fsB>p+D4T%ln{(PE1%^JR<_N&|4XC8vZGpwf`*Se;> zfDNuJDft}^ltE;50I@kU2SCrKuESk{{-Y=3QkSs3 z;z5WZ&X18Wu%(|Jadiv**+)l5F?|AY__t)zOs>L3G#ysBssevLKr7(R#MW1_GS$nW zu{$g{#oq=KoV?>*r&{`pG@DkOJ#FZD;UmW9JhC$exn+I4sv*~b?wezi^M8+Z5?frY zK_z9~<(`w=@D|PpZL$?M?mI`Qw1o_(Qfa|tz#hR*?f3#n|4z~`guI2P}UPv zE8v1n3-6OQHV10`?~1UEmpR~qqQugcA)g=Nns*GYzffUR=Hngi3S6mUH$!1G9D#cM z6rhU&K|p=lsI@b2Yw}Sa(8ky*6+Zp)quQaBKcb#1&&JdPx9{~-T^^suw1%kOtfWmo z9E$U6z^Tq%ATBSi+)mUSg}ZaSEg0+SwCj)*XnloKOw4KI*O1})QDtx47P3?tTde>B zF~I-L0+0(>)mCf$H|mG(dLQpBxu$geFsH-Vs=5tDdpW$)HNLh?NNXJnTbq~LO zFv^u0XL@a^&%dcG z{4HGtI<_*JC{^~B4bd3GMVl>MuW~!GwsRpygQrc4M)_jI;{i^8=&$Wne%NGY9>pjT zXz3!bjbhnd#Uk}8e){O%aznBKtW`|ak8YEF6F3N=q3ZLVjM5yy%;?pZAg|`tA#-RP zor@Hmb~F(x5^YkI7Uia5z5W?Jt`36Jo^c*z;Z8|D378jmRl=MomM}F*)mV9#<@}z% zmqH<%(9CEC(p6*g0hNici?v@!tm)Dc3+F6$oOgbr+QDfwGC3hvHX$y=151NeR|^W!G-dFo;V3h<%an*@w&50Kn_L&{hs>t(Uu!$u+&k~CBC+`i*0miFC;}5QoE!&nQPcY@l)p`1kt*@ z<}jtGDu!wil56hze&W*_f3`mcE37A1Cz{T?Xs;Z3P<3?$K7CKbZQ6xsCXTawhlb2* zs<-@{ep5@viY2Ja;p0HEb&;ySZ3mSW5TC3#FXbzx@ITMv{MM0B|d=J7yaVT zir+4|!MFi3WkEc!R(wC|*fNj0drKFYk_mB})>jLEd9Qs{@mb^AgXu zAwv#44Javy-ucfr72R$-V#XhIW_|7hvd4Lq(Pth)3!j+Ge>Cm!uR%uCyqQc_HJD(+ zxY+e7Q?;rO>HJG8RpBB#HWFSUIdml#s4EZ_17Ud7YvS9`c5JO(POuCZ&Nax~)dUtu zu%8TQo@OdNjdMlfA-;h9S=RfHb-J2B0;wImL9`T^mN%nIho z32x>JFVL>8bPv9U3ho;z8hC;e(ad|UjqS}t@rwa7Gu3W}4M0!S`;j&;D0>}Bkt8PZ z?X?vRJ;V0?n}NAqa_ONx%UQ~u+P$0j5@FssglY|I(EoQP<^MITVij^NS!C_}i8bfb zHOT7KrSGEY(Gw)UFLxgTBRG#zWgsAj_!`B)G$#BrrO>Hwm3nijxwvZylljV(umN#9 z`^FpL`Qrzbbbk;<7ymUc783k`DH6laWe@#12)Aup(t{(-ho65lm|!3flFBsvkRlCt z-UWYeuo}#vBm103Omqe>i+@NeaR@V5))}(Awd5y{Zw+G42tG7hg;T)JPq~ZmqC5!% zlo@2l@0W^05Zlv#8b@@NpoMpAuF6eI!R#|PA^?{S Date: Tue, 12 Sep 2023 16:16:32 +0100 Subject: [PATCH 11/77] Fixed typo --- .../appendix-E-uncompensated-models.ipynb | 45 ++++++++----------- 1 file changed, 18 insertions(+), 27 deletions(-) diff --git a/artefacts/appendix-E-uncompensated-models.ipynb b/artefacts/appendix-E-uncompensated-models.ipynb index 54b6e63..b1317d9 100644 --- a/artefacts/appendix-E-uncompensated-models.ipynb +++ b/artefacts/appendix-E-uncompensated-models.ipynb @@ -112,14 +112,14 @@ "\n", "where\n", "\\begin{align}\n", - "\\dot{I}_\\text{in} \n", + "I_\\text{in} \n", " = C_p\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", " = C_p\\dot{V}_p + C_m\\dot{V}_m + I\n", "\\end{align}\n", "\n", "which are equations S2.8 and S2.5.\n", "\n", - "So the B model above is equivalent to the Lei et al. model (without leak or compensations)." + "So the B model above is equivalent to the Lei et al. model (without leak, offset, or compensations)." ] }, { @@ -147,19 +147,10 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": 2, "id": "a9d15cd3", "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.35.4.dev).\n", - " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" - ] - } - ], + "outputs": [], "source": [ "import myokit\n", "\n", @@ -169,7 +160,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 3, "id": "2cd4366c", "metadata": {}, "outputs": [ @@ -243,7 +234,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 4, "id": "3172ad62", "metadata": {}, "outputs": [], @@ -254,7 +245,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 5, "id": "fc8e2c5a", "metadata": { "scrolled": true @@ -298,7 +289,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 6, "id": "7842fd86", "metadata": {}, "outputs": [], @@ -362,7 +353,7 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": 7, "id": "57fa5c45", "metadata": {}, "outputs": [], @@ -373,7 +364,7 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": 8, "id": "f7fd5baa", "metadata": {}, "outputs": [ @@ -418,7 +409,7 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": 9, "id": "f41fa405", "metadata": {}, "outputs": [], @@ -482,7 +473,7 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 10, "id": "06041a0a", "metadata": {}, "outputs": [], @@ -493,7 +484,7 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 11, "id": "ae12ccc2", "metadata": {}, "outputs": [ @@ -546,7 +537,7 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 12, "id": "93bde676", "metadata": {}, "outputs": [ @@ -612,7 +603,7 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 13, "id": "15c25790", "metadata": {}, "outputs": [ @@ -646,7 +637,7 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 14, "id": "c3dd0005", "metadata": {}, "outputs": [ @@ -666,7 +657,7 @@ }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 15, "id": "c3cecbac", "metadata": {}, "outputs": [ @@ -729,7 +720,7 @@ }, { "cell_type": "code", - "execution_count": 15, + "execution_count": 16, "id": "d8e41963", "metadata": {}, "outputs": [ From df253c934b5515e9c4198c9f5c18caad3c83b6aa Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 13 Sep 2023 12:32:03 +0100 Subject: [PATCH 12/77] Tweaks --- artefacts/appendix-A-op-amp.ipynb | 14 ++-- artefacts/appendix-B-Rf-and-Cf.ipynb | 20 ++---- .../appendix-C-laplace-and-filters.ipynb | 68 +++++++++++-------- artefacts/appendix-D-non-ideal-op-amp.ipynb | 26 +++---- .../appendix-E-uncompensated-models.ipynb | 16 ++--- 5 files changed, 70 insertions(+), 74 deletions(-) diff --git a/artefacts/appendix-A-op-amp.ipynb b/artefacts/appendix-A-op-amp.ipynb index 18e4fd1..681389f 100644 --- a/artefacts/appendix-A-op-amp.ipynb +++ b/artefacts/appendix-A-op-amp.ipynb @@ -11,13 +11,12 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Since they're so central in the patch-clamp amplifier, we might as well have a quick look at op amps.\n", - "In particular, op amps connected in a negative feedback loop like below:\n", + "In this notebook we take a quick look at op amps, connected in a negative feedback loop like below:\n", "\n", "\n", "\n", - "Note that the proper way to draw an op amp also includes two terminals to which a power source is connected, see for example [wikipedia](https://en.wikipedia.org/wiki/Operational_amplifier).\n", - "These are omitted here for clarity." + "_Note that the proper way to draw an op amp also includes two terminals to which a power source is connected, see for example [wikipedia](https://en.wikipedia.org/wiki/Operational_amplifier).\n", + "These are omitted here for clarity._" ] }, { @@ -30,11 +29,10 @@ "\n", "where $A$ is the \"_open loop gain_\" and is $\\mathcal{O}(10^5)$.\n", "\n", - "For the schematic on the left we find:\n", + "For the schematic on the left we can substitute $V_o$ for $V_-$ to find:\n", "\n", "\\begin{align}\n", "V_o &= A (V_+ - V_o) \\\\\n", - "(1 + A) V_o &= A V_+ \\\\\n", "V_o &= \\frac{A}{1 + A} V_+ \\approx V_+\n", "\\end{align}\n", "\n", @@ -87,7 +85,7 @@ "\n", "$$R_2 V_0 = (R_1 + R_2) V_-$$\n", "to\n", - "$$V_0 = \\left(1 + \\frac{R_1}{R_2}\\right) V_+$$" + "$$V_0 = \\frac{R_1 + R_2}{R_2} V_+$$" ] }, { @@ -110,7 +108,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "An op amp based design is shown on the right.\n", + "A design using an op amp is shown on the right.\n", "Once again assuming currents flow from right to left, and that no currents flow into the op amp terminals, we can see that the current through both resistors at the top must be equal:\n", "\n", "\\begin{align}\n", diff --git a/artefacts/appendix-B-Rf-and-Cf.ipynb b/artefacts/appendix-B-Rf-and-Cf.ipynb index 2722c46..95075f2 100644 --- a/artefacts/appendix-B-Rf-and-Cf.ipynb +++ b/artefacts/appendix-B-Rf-and-Cf.ipynb @@ -44,24 +44,12 @@ "- EPC9 data is from [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4). Fig 2. in this paper also shows that the 50GOhm resistor is always connected, resulting in the 495MOhm for default gain instead of 500MOhm. This is presumably true for the EPC10 too, but I can't find a reference for that.\n", "- Axon HS-9A numbers are from The Axon Guide, 5th edition, page 47.\n", "- Axon CV 203BU numbers are from the Axon Axopatch 200B Microelectrode Amplifier Data Sheet (accessed 2023-08-16), pages 4 and 6.\n", - "- The final reference is [Armstrong & Chow 1987](https://doi.org/10.1016/S0006-3495(87)83198-3)." - ] - }, - { - "cell_type": "markdown", - "id": "179ca0ac", - "metadata": {}, - "source": [ + "- The final reference is [Armstrong & Chow 1987](https://doi.org/10.1016/S0006-3495(87)83198-3).\n", + "\n", "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", "\n", - "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable." - ] - }, - { - "cell_type": "markdown", - "id": "484d7437", - "metadata": {}, - "source": [ + "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable.\n", + "\n", "$C_f$ is often called a [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance, where \"to shunt\" means to move, bypass, or divert." ] }, diff --git a/artefacts/appendix-C-laplace-and-filters.ipynb b/artefacts/appendix-C-laplace-and-filters.ipynb index f1dc66e..dcf55d8 100644 --- a/artefacts/appendix-C-laplace-and-filters.ipynb +++ b/artefacts/appendix-C-laplace-and-filters.ipynb @@ -14,11 +14,10 @@ "metadata": {}, "source": [ "This notebook discusses laplace transforms and their use in analysing a system's response to an input signal $u(t)$.\n", - "In particular, _filter's_ response to a (co)sinusoidal input.\n", + "In particular, a _filter's_ response to a (co)sinusoidal input.\n", "\n", "The text glosses over the tricky maths of using the Dirac delta, but writes out a lot of the easy stuff.\n", - "You can skip the derivations.\n", - "It's very long, but covers a lot of ground: use as a reminder, not as an introduction!" + "Derivations can be skipped." ] }, { @@ -65,7 +64,7 @@ "\n", "Next, we'll assume that the system has no response for $t < 0$ (the impulse hasn't happened yet).\n", "At $t > 0$, we have $\\delta(t) = 0$ and so we can separate $\\frac{dh}{dt} = -ah$ as usual to find $h(t) = h_0 e^{-at}$.\n", - "The situation at $t = 0$ is tricky to analyse,but the accepted solution seems to be that $h(t = 0)$ is undefined, its limit approached from the left is 0, and its limit approached from the right is 1.\n", + "The situation at $t = 0$ is tricky to analyse, but the accepted solution seems to be that (1) $h(t = 0)$ is undefined, (2) its limit approached from the left is 0, and (3) its limit approached from the right is 1.\n", "Integrating from $0$ to $t$ we then get $h_0 = 1$, so that the full impulse response becomes\n", "\\begin{align}\n", "h(t) = \\begin{cases}e^{-at}, &t>0,\\\\0, &t<0\\\\\\text{undefined}, &t=0\\end{cases}\n", @@ -74,6 +73,20 @@ "More commonly we'll ignore negative time and just write e.g. $h(t)=e^{-at}$." ] }, + { + "cell_type": "markdown", + "id": "4adc3514", + "metadata": {}, + "source": [ + "The integral of the unit impulse is the [unit step](https://en.wikipedia.org/wiki/Heaviside_step_function) function:\n", + "\\begin{equation}\n", + "\\theta(t - t_0) = \\begin{cases}1, &t > t_0\\\\0, &t < t_0\\\\\\text{undefined}, &t = t_0\\end{cases}\n", + "\\end{equation}\n", + "(some people use a different definition for the $t=t_0$ case).\n", + "\n", + "Using the unit step, we can write the above equation for $h(t)$ as $h(t) = e^{-at}\\theta(t)$." + ] + }, { "cell_type": "markdown", "id": "724142d8", @@ -96,7 +109,7 @@ "### Decomposing arbitrary inputs into impulse responses\n", "\n", "For systems where the superposition principle holds, we can analyse the response to any input signal $u(t)$ by decomposing into sub-inputs with known responses.\n", - "If we're willing to do some maths, this can even be an infinite number of sub-inputs, for example sine waves or, in this case, the unit impulse.\n", + "If we're willing to do some maths, this can even be an infinite number of sub-inputs, for example sine waves or unit impulses.\n", "\n", "Let $\\delta(t - \\tau)$ be a unit impulse input, and $h(t - \\tau)$ the impulse response, we can then write $u(t)$ as a linear combination with an infinite number of terms $u(\\tau)\\delta(t - \\tau)\\,d\\tau$ (where $\\tau$ is a constant):\n", "\\begin{align}\n", @@ -214,7 +227,7 @@ "\\mathcal{L}\\{\\dot{f}(t)\\} = s F(s) - f(0)\n", "\\end{align}\n", "\n", - "Similarly, for second order time-derivatives we get $\\mathcal{L}\\{\\ddot{f(t)}\\} = s^2F(s) - sf(0) - \\dot(f)(0)$." + "Similarly, for second order time-derivatives we get $\\mathcal{L}\\{\\ddot{f(t)}\\} = s^2F(s) - sf(0) - \\dot{f}(0)$." ] }, { @@ -282,15 +295,8 @@ "The impulse function:\n", "$$\\mathcal{L}\\{\\delta(t)\\} = 1$$\n", "\n", - "The step function, defined as \n", - "\\begin{equation}\n", - "\\theta(t - t_0) = \\begin{cases}1, &t > t_0\\\\0, &t < t_0\\end{cases}\n", - "\\end{equation}\n", - "has Laplace transform\n", - "\\begin{equation}\n", - "\\mathcal{L}\\{\\alpha \\theta(t)\\} = \\frac{\\alpha}{s}\n", - "\\end{equation}\n", - "(we have left the value at 0 undefined, but there are [other choices](https://en.wikipedia.org/wiki/Heaviside_step_function))." + "The unit step function:\n", + "$$\\mathcal{L}\\{\\alpha \\theta(t)\\} = \\frac{\\alpha}{s}$$" ] }, { @@ -308,7 +314,9 @@ "F(s) = \\frac{a_ms^m + a_{m-1}s^{m-1} + ...}{b_ns^n + b_{n-1}s^{n-1} + ...} = k\\frac{\\prod_{i=1}^m(s - z_i)}{\\prod_{i=1}^n(s - p_i)}\n", "\\end{align}\n", "\n", - "where $p_i$ are its **poles** $p_i$ and $z_i$ are its **zeroes**." + "where $p_i$ are its **poles** and $z_i$ are its **zeroes**.\n", + "\n", + "Analysis of systems written this form is often simplified using [partial fraction decomposition](https://en.wikipedia.org/wiki/Partial_fraction_decomposition) to write $F$ as a sum instead of a product." ] }, { @@ -319,6 +327,7 @@ "### Real, imaginary, and complex poles\n", "\n", "We can tell a lot by looking at the poles.\n", + "Like $s$, poles can be complex numbers, and the system's behaviour differs depending on whether they are fully real, fully imaginary, or complex.\n", "\n", "#### Real poles result in exponential terms\n", "\n", @@ -327,7 +336,7 @@ "F(s) = \\frac{C_1}{s - p_1} + \\frac{C_2}{s - p_2} + ... + \\frac{C_n}{s - p_n}\n", "\\end{equation}\n", "where $p_i$ are all real numbers has inverse transform \n", - "$$f(t) = C_1e^{p_1t} + C_2e^{p_2t} + ... + C_ne^{p_nt}$$\n", + "$$f(t) = \\left( C_1e^{p_1t} + C_2e^{p_2t} + ... + C_ne^{p_nt} \\right) \\theta(t)$$\n", "\n", "Terms like $\\frac{C_i}{(s - p_i)^2}$ become $C_ite^{p_it}$, while $\\frac{C_i}{(s - p_i)^3}$ becomes $C_it^2e^{p_it}$ etc." ] @@ -376,11 +385,15 @@ "source": [ "#### Stability and oscillations\n", "\n", - "In summary, for a system with poles $p_i = \\sigma_i + i \\omega$, \n", - "- the system is stable only if all $\\sigma_i < 0$ (negative real parts);\n", - "- the system has exponential behaviour if all $\\omega_i = 0$ (no imaginary parts);\n", - "- the system exhibits pure oscillations if all $\\sigma_i = 0$ (no real parts);\n", - "- the system shows damped ($\\sigma_i < 0$) or exponentially growing ($\\sigma_i > 0$) oscillations for complex poles." + "In summary, for a system with poles $p_i = \\sigma_i + i \\omega$,\n", + "\n", + "- the system is stable only if all real parts are negative $\\sigma_i < 0$\n", + "\n", + "and\n", + "\n", + "- a system with fully real poles (all $\\omega_i = 0$) exhibits exponential behaviour,\n", + "- a system with fully imaginary poles (all $\\sigma_i = 0$) exhibits pure oscillations,\n", + "- a system with complex poles exhibits damped ($\\sigma_i < 0$) or exponentially growing ($\\sigma_i > 0$) oscillations." ] }, { @@ -556,7 +569,7 @@ "\n", "\\begin{align}\n", "s V(s) &= \\omega(V_\\text{in}(s) - V(s)) \\\\\n", - "V(s) &= \\omega V_\\text{in}(s) / (s + \\omega)\n", + "V &= V_\\text{in} \\omega / (s + \\omega)\n", "\\end{align}\n", "\n", "Then find the transfer function by dividing by $U(s) = V_\\text{in}(s)$ for\n", @@ -853,7 +866,7 @@ "\n", "where $\\lambda = \\phi / \\omega$.\n", "\n", - "We can repeat all the above for a $\\sin$ input to find\n", + "We can repeat with a $\\sin$ input to find\n", "\n", "\\begin{align}\n", "y(t) &= \\frac{\\omega\\phi}{\\omega^2 + \\phi^2} e^{-\\omega t} \n", @@ -870,10 +883,9 @@ "source": [ "### Let's simulate\n", "\n", - "Of course, we could also just simulate all this...\n", - "At the risk of overkill, we'll use Myokit to simulate three cases: $\\lambda = 1/2$, $\\lambda = 1$, and $\\lambda = 2$.\n", + "We now use Myokit to simulate three cases: $\\lambda = 1/2$, $\\lambda = 1$, and $\\lambda = 2$.\n", "\n", - "We'll start by defining a model for the cosine case:" + "First we define a model for the cosine case:" ] }, { @@ -1512,8 +1524,6 @@ "source": [ "### A first-order high-pass filter\n", "\n", - "Finally!\n", - "\n", "We'll use\n", "\\begin{align}\n", "H(s) = \\frac{s}{s + \\omega}\n", diff --git a/artefacts/appendix-D-non-ideal-op-amp.ipynb b/artefacts/appendix-D-non-ideal-op-amp.ipynb index dfc1d0c..bf6c1d7 100644 --- a/artefacts/appendix-D-non-ideal-op-amp.ipynb +++ b/artefacts/appendix-D-non-ideal-op-amp.ipynb @@ -5,7 +5,7 @@ "id": "730357a8", "metadata": {}, "source": [ - "# Appendix: Non-ideal op amps" + "# Appendix D: Non-ideal op amps" ] }, { @@ -13,16 +13,15 @@ "id": "11447cae", "metadata": {}, "source": [ - "In this notebook we go a little bit further than [Appendix A](./appendix-A-op-amp.ipynb) and look at non-ideal - but still very idealised - op amps, using some of the concepts from [Appendix C](./appendix-C-laplace-and-filters.ipynb).\n", + "In this notebook we go a little bit further than [Appendix A](./appendix-A-op-amp.ipynb) and consider the _speed_ of an op amp, using some of the concepts from [Appendix C](./appendix-C-laplace-and-filters.ipynb).\n", "\n", "Analysis of non-ideal op amps is usually divided into two parts:\n", "- In the _small signal_ range the amplifier acts \"linearly\": its gain within this range does not depend on the absolute values of $V_+$ and $V_-$, and there are no history effects.\n", - "- In the _large signal_ range, everything gets more complicated: the amps move towards their intended amplification with a \"slew rate\", they can saturate and then need to recover, oscillations occur etc.\n", + "- In the _large signal_ range, everything gets more complicated: the amp moves towards its intended amplification with a finite \"slew rate\", it can saturate and then needs to recover, oscillations occur etc.\n", "\n", "For our purposes, it should be easy to choose an op amp that we can use within its small signal range, so **we fill focus on small signal only**.\n", "The large signal case is much more interesting, so be aware when searching the literature that unless stated otherwise they are probably going for the large signal case.\n", - "Similarly, almost everything you find about op-amps is in terms of their _frequency response_, while for patch-clamp we are almost exclusively interested in their _transient_ response.\n", - "Here we will make the simplifying assumption that all patch-clamp protocols can be analysed as a series of steps, so that we can learn what we need to known by analysing the **step response**." + "Similarly, almost everything you find about op-amps is in terms of their _frequency response_, while for patch-clamp we are almost exclusively interested in their _transient_ response." ] }, { @@ -97,7 +96,7 @@ "## Dominant pole approximation\n", "\n", "Op-amps are complex devices that have a very non-trivial transfer function.\n", - "However, to simplify their analysis and use, they are commonly designed to have a _dominant pole_, so that we can approximate their transfer function with _dominant pole approximation_.\n", + "However, to simplify their analysis and use, they are commonly designed to have a _dominant pole_, so that we can approximate their transfer function with a _dominant pole approximation_.\n", "\n", "For op-amps, a commonly used approximate transfer function is that of a low-pass filter (see [Appendix C](./appendix-C-laplace-and-filters.ipynb)), with an additional amplification factor $A_0$:\n", "\n", @@ -139,7 +138,7 @@ "source": [ "### Bode plot / frequency response\n", "\n", - "In Appendix C, we saw that system's with a transfer function\n", + "In Appendix C, we saw that systems with a transfer function\n", "$$H(s) = \\frac{\\omega_c}{s + \\omega_c}$$\n", "have a frequency response with gain\n", "\\begin{align}\n", @@ -317,7 +316,7 @@ "\\frac{d}{dt} V_\\text{out} = A_0 \\omega_c V_\\text{in} e^{-\\omega_c t}\n", "\\end{align}\n", "\n", - "Then, for very small $t$ you could assume that $\\dot{V_\\text{out}} \\approx A_0 \\omega_c V_\\text{in} = \\omega_A (V_+ - V_-)$.\n", + "Then, for very small $t$ you could assume that $\\dot{V}_\\text{out} \\approx A_0 \\omega_c V_\\text{in} = \\omega_A (V_+ - V_-)$.\n", "Alternatively, you could introduce the \"no saturation\" condition as a simplification that removes the $e^{-\\omega_c t}$ term.\n", "\n", "Example figures in Sigworth 1995a use $\\tau_A = 16 \\text{ns} = 0.016 \\mu\\text{s}$." @@ -517,11 +516,11 @@ "source": [ "#### Now what?\n", "\n", - "Since the patch-clamp amplifier is all about a good transient response, and since we can get ringing with a very low $C_f$, the solution to building good patch clamp amplifier's is not to make $C_f$ as low as possible.\n", + "Since the patch-clamp amplifier is all about a good transient response, and since we can get ringing with a very low $C_f$, the solution to building good patch clamp amplifiers is not to make $C_f$ as low as possible.\n", "In fact, in [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) a resistor $R_f$ is used with a very low stray capacitance, necessitating the introduction of an extra capacitator to achieve a large enough $C_f$.\n", "\n", "Instead, another \"dominant pole\" trick is used.\n", - "To see how, we rewrite the original transfer function in terms of two new poles $-\\tau_1$ and $-\\tau_2$:\n", + "To see how, we rewrite the original transfer function in terms of two new poles $-1/\\tau_1$ and $-1/\\tau_2$:\n", "\n", "\\begin{align}\n", "H(s) = \\frac{V_\\text{out}}{I(s)} \n", @@ -534,8 +533,8 @@ "\n", "$$ \\tau_1\\tau_2s^2 + (\\tau_1 + \\tau_2)s + 1 = \\tau_AR_fC_ts^2 + (\\tau_A + \\tau_f)s + 1 $$\n", "\n", - "Now if $\\tau_A \\ll 1$ we have $\\tau_A + \\tau_f \\approx \\tau_f$.\n", - "The goal is to create a dominant pole $\\tau_1 \\gg \\tau_2$, so we can also assume $\\tau_1 + \\tau_2 \\approx \\tau_1$ and so\n", + "Now if $\\tau_A \\ll \\tau_f$ we have $\\tau_A + \\tau_f \\approx \\tau_f$.\n", + "The goal is to create a dominant pole with $\\tau_1 \\gg \\tau_2$, so we can also assume $\\tau_1 + \\tau_2 \\approx \\tau_1$ and so\n", "\n", "$$ \\tau_1 \\approx \\tau_f = R_f C_f$$\n", "\n", @@ -544,7 +543,8 @@ "$$ \\tau_2 \\approx \\tau_A \\frac{C_t}{C_f}$$\n", "\n", "By making $C_f$ larger, we make $\\tau_1$ larger and $\\tau_2$ smaller.\n", - "The trick then, used by Sigworth in his design, is to choose $C_f$ such that (1) $\\tau_2$ is much shorter than the phenomena of interest so that we can usually ignore it, and (2) to accept the effects of $\\tau_1$ and deal with them in other ways (i.e. via $C_p$ compensation)." + "The trick then, used by Sigworth in his design, is (1) to choose $C_f$ such that $\\tau_2$ is much shorter than the phenomena of interest so that we can usually ignore it, and (2) to accept the effects of $\\tau_1$ and deal with them in other ways (i.e. via $C_p$ compensation).\n", + "Note also that $\\tau_f$ depends only on the patch clamp design, and not on the experiment-specific $C_t$." ] }, { diff --git a/artefacts/appendix-E-uncompensated-models.ipynb b/artefacts/appendix-E-uncompensated-models.ipynb index b1317d9..bcd4c6f 100644 --- a/artefacts/appendix-E-uncompensated-models.ipynb +++ b/artefacts/appendix-E-uncompensated-models.ipynb @@ -73,7 +73,7 @@ "\\end{align}\n", "\n", "where $V_c$ is the command voltage.\n", - "The value $\\tau_c$ is either a constant (0.08 microseconds) or $\\tau_c = \\tau_aC_t/C_f$." + "The value $\\tau_c$ is either a constant (80 nanoseconds) or $\\tau_c = \\tau_aC_t/C_f$." ] }, { @@ -134,7 +134,7 @@ "\n", "The values for the different constants are mostly based on Weerakoon.\n", "We recalculate $\\tau_c$ instead of using the constant value.\n", - "The value for $\\tau_a$ is 50ns (Weerakoon), so slower than the 16ns used in Sigworth's original analysis." + "The value for $\\tau_a$ is the 50ns from Weerakoon et al., slower than the 16ns used in Sigworth 1995." ] }, { @@ -404,7 +404,7 @@ "id": "45966ecf", "metadata": {}, "source": [ - "## New model" + "### New model" ] }, { @@ -543,7 +543,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -568,14 +568,14 @@ "\n", "fig = plt.figure(figsize=(15, 4))\n", "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", - "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", + "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original', lw=2)\n", "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", "ax.legend()\n", "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", - "ax.plot(d0.time(), d0['cell.Vm'])\n", + "ax.plot(d0.time(), d0['cell.Vm'], lw=2)\n", "ax.plot(d1.time(), d1['cell.Vm'])\n", "ax.plot(d2.time(), d2['cell.Vm'])\n", "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", @@ -632,7 +632,7 @@ "id": "d66f67fa", "metadata": {}, "source": [ - "If we had a very small $C_f$ we could get some ringing, but as this is fixed it might be more feasible that we had a very large $C_p$:" + "If we had a very small $C_f$ we could get some ringing, but as this is set by the amplifier design it might be more feasible that we had a very large $C_p$:" ] }, { @@ -836,7 +836,7 @@ "- Starting from the Sigworth 1995 equation for the op amp instead of Weerakoon et al., we get a system with a faster step response, but this is invisible in the normal traces, which are dominated by the membrane charging time.\n", "- When $C_p$ becomes so large that the system becomes underdamped, we can see a difference between the models (Sigworth version shows \"ringing\").\n", "\n", - "For \"normal\" patch clamp experiments I have no fundamental reason to prefer one over the other. (1) I can't find a reference that uses the same equation as Sigworth and (2) The Weerakoon equation is perhaps a simpler \"first approximation\", so more parsimonious? But (3) the Weerakoon equation uses a $\\tau_c$ derived from the Sigworth analysis.\n" + "For \"normal\" patch clamp experiments I have no fundamental reason to prefer one over the other. (1) I can't find a reference that uses the same equation as Sigworth and (2) The Weerakoon equation is perhaps a simpler \"first approximation\", so more parsimonious? But (3) the Weerakoon equation uses a $\\tau_c$ derived from the Sigworth analysis and." ] } ], From 2e6615393a845be9b431209c23e230ad9b1b6c02 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 13 Sep 2023 13:20:53 +0100 Subject: [PATCH 13/77] Tweaks --- artefacts/artefacts-1.ipynb | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/artefacts/artefacts-1.ipynb b/artefacts/artefacts-1.ipynb index 74ef58a..883a912 100644 --- a/artefacts/artefacts-1.ipynb +++ b/artefacts/artefacts-1.ipynb @@ -5,11 +5,11 @@ "id": "33970f55", "metadata": {}, "source": [ - "# Understanding patch-clamp data\n", + "# Modelling patch-clamp experiments\n", "\n", "In this notebook, we look in detail at processing data obtained from patch-clamp experiments.\n", "\n", - "In particular, we focus on **manual patch clamp** experiments in the **whole cell configuration**.\n", + "In particular, we focus on **manual** and **planar patch clamp** experiments in the **whole cell configuration**.\n", "These can be used to either\n", "\n", "1. pass current through the membrane and measure the resulting voltage (_current clamp_); or \n", @@ -48,9 +48,9 @@ "\n", "The image below (adapted from Sigworth 1995) shows a very simple schematic to measure small currents.\n", "A battery $V_c$ is attached to a pipette, and a resistor with a known resistance $R$ is introduced.\n", - "To obtain the current flowing into the patch clamp assembly, $I_\\text{in}$, we measure the voltage drop $V_\\text{out} = I_\\text{in} R$ across the resistor and use\n", + "To obtain the current flowing into the patch clamp assembly, $I$, we measure the voltage drop $V_\\text{out} = I R$ across the resistor and use\n", "\n", - "$$ I_\\text{in} = V_\\text{out} / R $$" + "$$ I = V_\\text{out} / R $$" ] }, { From 42be43ce2570025223b1eb7d0e5af0c64dae466e Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 13 Sep 2023 13:46:55 +0100 Subject: [PATCH 14/77] Back to working on main artefact document. --- artefacts/artefacts-1.ipynb | 18 ++++++++++++++---- 1 file changed, 14 insertions(+), 4 deletions(-) diff --git a/artefacts/artefacts-1.ipynb b/artefacts/artefacts-1.ipynb index 883a912..c71b6d4 100644 --- a/artefacts/artefacts-1.ipynb +++ b/artefacts/artefacts-1.ipynb @@ -44,8 +44,14 @@ "\n", "The answer involves a lot of electronics, but a brief outline is given below.\n", "It follows the exposition in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), but also uses [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", - "Note that the schematics given here are all simplifications in two ways: 1. They omit a lot of the extra circuitry you'd find in a real world application, and 2. They mostly omit non-ideal effects of components, which can come into play for precise measurements of small currents.\n", - "\n", + "Note that the schematics given here are all simplifications, illustrating the principles of a patch-clamp amplifier but omitting real-life complications." + ] + }, + { + "cell_type": "markdown", + "id": "b98ff54b", + "metadata": {}, + "source": [ "The image below (adapted from Sigworth 1995) shows a very simple schematic to measure small currents.\n", "A battery $V_c$ is attached to a pipette, and a resistor with a known resistance $R$ is introduced.\n", "To obtain the current flowing into the patch clamp assembly, $I$, we measure the voltage drop $V_\\text{out} = I R$ across the resistor and use\n", @@ -200,7 +206,7 @@ "id": "d03887fd", "metadata": {}, "source": [ - "### More capacitance!\n", + "### Pipette/Parasitic capacitance\n", "\n", "We now extend our diagram with another capacitor, $C_p$, to represent the \"pipette capacitance\".\n", "\n", @@ -245,8 +251,12 @@ "id": "eaf914be", "metadata": {}, "source": [ + "### A finite op amp speed\n", + "\n", "Using the ideal op-amp assumptions, we have $V_p = V_c$ and $\\dot{V}_p = \\dot{V}_c$, so the new term is entirely dependent on our input signal for $V_c$.\n", - "For a step protocol (a very common choice in voltage clamping), $\\dot{V}_p$ is either 0 during the steps or infinity at the discontinuities, which suggests that our idealised assumptions are reaching the limits of their usefulness." + "For a step protocol, a common choice in voltage clamping, this would imply that $\\dot{V}_p$ is either 0 during the steps or infinity at the discontinuities.\n", + "To get a more realistic result, we have to assume the op amp makes $C_p$ follow $C_v$ with a finite speed.\n", + "\n" ] }, { From 3d67ad206709cee047299afb6f81c623a024f014 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 13 Sep 2023 22:54:49 +0100 Subject: [PATCH 15/77] Nearly done with uncompensated case. --- artefacts/appendix-D-non-ideal-op-amp.ipynb | 48 +- .../appendix-E-uncompensated-models.ipynb | 2 +- ...ppendix-F-liquid-junction-potential.ipynb} | 187 ++--- ...> artefacts-1-modelling-patch-clamp.ipynb} | 243 ++++--- artefacts/artefacts-2-compensation.ipynb | 93 +++ ...al-data-3-capacitance-and-resistance.ipynb | 671 ------------------ artefacts/old-real-data-4-xxx.ipynb | 499 ------------- .../resources/patch-amp-1-too-simple.png | Bin 4754 -> 4643 bytes .../resources/patch-amp-2-with-op-amp.png | Bin 5961 -> 5856 bytes artefacts/resources/patch-amp-3-diff-amp.png | Bin 5592 -> 5514 bytes artefacts/resources/patch-amp-4-Cf.png | Bin 7040 -> 6931 bytes artefacts/resources/patch-amp-5-Cp-Iin.png | Bin 0 -> 7587 bytes artefacts/resources/patch-amp-5-Cp.png | Bin 7713 -> 7458 bytes artefacts/resources/patch-amp-6-cell-Iin.png | Bin 0 -> 9928 bytes artefacts/resources/patch-amp-6-cell.png | Bin 9928 -> 9802 bytes artefacts/resources/patch-amp-7-Eoff.png | Bin 0 -> 10232 bytes artefacts/resources/patch-amp-8-Ileak.png | Bin 0 -> 12097 bytes 17 files changed, 328 insertions(+), 1415 deletions(-) rename artefacts/{old-real-data-2-liquid-junction-potential.ipynb => appendix-F-liquid-junction-potential.ipynb} (70%) rename artefacts/{artefacts-1.ipynb => artefacts-1-modelling-patch-clamp.ipynb} (53%) create mode 100644 artefacts/artefacts-2-compensation.ipynb delete mode 100644 artefacts/old-real-data-3-capacitance-and-resistance.ipynb delete mode 100644 artefacts/old-real-data-4-xxx.ipynb create mode 100644 artefacts/resources/patch-amp-5-Cp-Iin.png create mode 100644 artefacts/resources/patch-amp-6-cell-Iin.png create mode 100644 artefacts/resources/patch-amp-7-Eoff.png create mode 100644 artefacts/resources/patch-amp-8-Ileak.png diff --git a/artefacts/appendix-D-non-ideal-op-amp.ipynb b/artefacts/appendix-D-non-ideal-op-amp.ipynb index bf6c1d7..0a79238 100644 --- a/artefacts/appendix-D-non-ideal-op-amp.ipynb +++ b/artefacts/appendix-D-non-ideal-op-amp.ipynb @@ -293,14 +293,14 @@ "To model an op amp with a finite speed, [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) uses the equation\n", "\n", "\\begin{align}\n", - "\\frac{d}{dt} V_\\text{out} = \\omega_A (V_+ - V_-) = \\frac{V_+ - V_-}{\\tau_A}\n", + "\\frac{d}{dt} V_\\text{out} = \\omega_A (V_+ - V_-) = \\frac{V_+ - V_-}{\\tau_a}\n", "\\end{align}\n", "\n", - "where $\\omega_a = 1/\\tau_A$ is the gain-bandwidth product (GBP) in radians, so $\\omega_A = 2 \\pi f_A$ for the more common representation in Hz.\n", + "where $\\omega_A = 1/\\tau_a$ is the gain-bandwidth product (GBP) in radians, so $\\omega_A = 2 \\pi f_A$ for the more common representation in Hz.\n", "\n", "**I'm not sure where this equation is from**, and can't find it in popular op-amp books (which are more about frequency response, large signal deviations, or things you can build with op amps).\n", "\n", - "It makes some intuitive sense: $V_\\text{out}$ will increase as long as $V_- < V_+$, and decrease when $V_- > V_+$, so connecting $V_\\text{out}$ to $V_-$ should indeed give us a feedback amplifier that tries to make $V_-$ equal $V_+$ with some delay determined by $\\tau_A$.\n", + "It makes some intuitive sense: $V_\\text{out}$ will increase as long as $V_- < V_+$, and decrease when $V_- > V_+$, so connecting $V_\\text{out}$ to $V_-$ should indeed give us a feedback amplifier that tries to make $V_-$ equal $V_+$ with some delay determined by $\\tau_a$.\n", "However, in an open-loop configuration with $V_+ \\neq V_-$ the equation predicts an infinitely increasing gain.\n", "\n", "A deduction might be be something like this:\n", @@ -319,7 +319,7 @@ "Then, for very small $t$ you could assume that $\\dot{V}_\\text{out} \\approx A_0 \\omega_c V_\\text{in} = \\omega_A (V_+ - V_-)$.\n", "Alternatively, you could introduce the \"no saturation\" condition as a simplification that removes the $e^{-\\omega_c t}$ term.\n", "\n", - "Example figures in Sigworth 1995a use $\\tau_A = 16 \\text{ns} = 0.016 \\mu\\text{s}$." + "Example figures in Sigworth 1995a use $\\tau_a = 16 \\text{ns} = 0.016 \\mu\\text{s}$." ] }, { @@ -393,7 +393,7 @@ "First, let\n", "\n", "\\begin{align}\n", - "I &= I_{Cf} + I_{Rf} - I_p \\\\\n", + "I &= I_{Cf} + I_{Rf} - I_{Cp} \\\\\n", " &= C_f (\\dot{V}_o - \\dot{V}_p) + \\frac{V_o - V_p}{R_f} - C_p \\dot{V}_p \\\\\n", "R_f I &= R_fC_f (\\dot{V}_o - \\dot{V}_p) + V_o - V_p - R_fC_p \\dot{V}_p\n", "\\end{align}\n", @@ -407,16 +407,16 @@ "and using the equation for op-amps introduced above\n", "\n", "\\begin{align}\n", - "\\tau_A \\dot{V}_\\text{out} = V_c - V_p \\quad \\longrightarrow \\quad \n", - " & V_p = -\\tau_A \\dot{V}_\\text{out} \\\\\n", - " & \\dot{V}_p = -\\tau_A \\ddot{V}_\\text{out}\n", + "\\tau_a \\dot{V}_\\text{out} = V_c - V_p \\quad \\longrightarrow \\quad \n", + " & V_p = -\\tau_a \\dot{V}_\\text{out} \\\\\n", + " & \\dot{V}_p = -\\tau_a \\ddot{V}_\\text{out}\n", "\\end{align}\n", "for\n", "\n", "\\begin{align}\n", - "R_f I &= R_fC_f (\\dot{V}_\\text{out} + \\tau_A \\ddot{V}_\\text{out}) + V_\\text{out} + \\tau_A \\dot{V}_\\text{out} + R_fC_p \\tau_A \\ddot{V}_\\text{out} \\\\\n", - "&= \\tau_A R_f(C_f + C_p) \\ddot{V}_\\text{out} + (R_fC_f+ \\tau_A) \\dot{V}_\\text{out} + V_\\text{out} \\\\\n", - "&= \\tau_A R_f C_t \\ddot{V}_\\text{out} + (\\tau_A + \\tau_f) \\dot{V}_\\text{out} + V_\\text{out}\n", + "R_f I &= R_fC_f (\\dot{V}_\\text{out} + \\tau_a \\ddot{V}_\\text{out}) + V_\\text{out} + \\tau_a \\dot{V}_\\text{out} + R_fC_p \\tau_a \\ddot{V}_\\text{out} \\\\\n", + "&= \\tau_a R_f(C_f + C_p) \\ddot{V}_\\text{out} + (R_fC_f+ \\tau_a) \\dot{V}_\\text{out} + V_\\text{out} \\\\\n", + "&= \\tau_a R_f C_t \\ddot{V}_\\text{out} + (\\tau_a + \\tau_f) \\dot{V}_\\text{out} + V_\\text{out}\n", "\\end{align}\n", "\n", "where the last step defines $\\tau_f = R_fC_f$ and $C_t = C_f + C_p$.\n", @@ -425,7 +425,7 @@ "\n", "\\begin{align}\n", "H(s) = \\frac{V_\\text{out}}{I(s)} \n", - " &= \\frac{R_f}{\\tau_AR_fC_ts^2 + (\\tau_A + \\tau_f)s + 1} \\\\\n", + " &= \\frac{R_f}{\\tau_aR_fC_ts^2 + (\\tau_a + \\tau_f)s + 1} \\\\\n", "\\end{align}" ] }, @@ -441,9 +441,9 @@ "\n", "$$ H(s) = R_f \\frac{1}{\\tau_0^2s^2 + 2\\zeta\\tau_0s + 1} $$\n", "with\n", - "$$ \\tau_0 = \\sqrt{\\tau_AR_fC_t} $$\n", + "$$ \\tau_0 = \\sqrt{\\tau_aR_fC_t} $$\n", "and\n", - "$$ \\zeta = \\frac{1}{2}\\frac{\\tau_A + \\tau_f}{\\tau_0} $$" + "$$ \\zeta = \\frac{1}{2}\\frac{\\tau_a + \\tau_f}{\\tau_0} $$" ] }, { @@ -451,9 +451,9 @@ "id": "b395121f", "metadata": {}, "source": [ - "Now if we use $\\tau_A \\ll 0$ we get \n", + "Now if we use $\\tau_a \\ll 1$ we get \n", "$$\n", - "\\zeta \\approx \\frac{1}{2}\\frac{\\tau_f}{\\omega_0} = \\frac{R_f}{2\\sqrt{\\tau_AR_f}} \\frac{C_f}{\\sqrt{C_f + C_p}}\n", + "\\zeta \\approx \\frac{1}{2}\\frac{\\tau_f}{\\omega_0} = \\frac{1}{2}\\sqrt{\\frac{R_f}{\\tau_a}} \\frac{C_f}{\\sqrt{C_f + C_p}}\n", "$$\n", "\n", "From this we can see that making $C_f$ smaller and smaller will eventually lead to $\\zeta < 1$, which creates overshoot and oscillations in the amplifier's step response.\n", @@ -524,23 +524,23 @@ "\n", "\\begin{align}\n", "H(s) = \\frac{V_\\text{out}}{I(s)} \n", - " &= \\frac{R_f}{\\tau_AR_fC_ts^2 + (\\tau_A + \\tau_f)s + 1} \\\\\n", + " &= \\frac{R_f}{\\tau_aR_fC_ts^2 + (\\tau_a + \\tau_f)s + 1} \\\\\n", " &= \\frac{R_f}{(\\tau_1s + 1)(\\tau_2s + 1)} \\\\\n", "\\end{align}\n", "\n", "We can find $\\tau_1$ and $\\tau_2$ using the quadratic equation, but it's actually more informative to approximate them.\n", "To do this, we equate the denominators\n", "\n", - "$$ \\tau_1\\tau_2s^2 + (\\tau_1 + \\tau_2)s + 1 = \\tau_AR_fC_ts^2 + (\\tau_A + \\tau_f)s + 1 $$\n", + "$$ \\tau_1\\tau_2s^2 + (\\tau_1 + \\tau_2)s + 1 = \\tau_aR_fC_ts^2 + (\\tau_a + \\tau_f)s + 1 $$\n", "\n", - "Now if $\\tau_A \\ll \\tau_f$ we have $\\tau_A + \\tau_f \\approx \\tau_f$.\n", + "Now if $\\tau_a \\ll \\tau_f$ we have $\\tau_a + \\tau_f \\approx \\tau_f$.\n", "The goal is to create a dominant pole with $\\tau_1 \\gg \\tau_2$, so we can also assume $\\tau_1 + \\tau_2 \\approx \\tau_1$ and so\n", "\n", "$$ \\tau_1 \\approx \\tau_f = R_f C_f$$\n", "\n", - "Filling that in into $\\tau_1\\tau_2 = \\tau_AR_fC_t$ we get\n", + "Filling that in into $\\tau_1\\tau_2 = \\tau_aR_fC_t$ we get\n", "\n", - "$$ \\tau_2 \\approx \\tau_A \\frac{C_t}{C_f}$$\n", + "$$ \\tau_2 \\approx \\tau_a \\frac{C_t}{C_f}$$\n", "\n", "By making $C_f$ larger, we make $\\tau_1$ larger and $\\tau_2$ smaller.\n", "The trick then, used by Sigworth in his design, is (1) to choose $C_f$ such that $\\tau_2$ is much shorter than the phenomena of interest so that we can usually ignore it, and (2) to accept the effects of $\\tau_1$ and deal with them in other ways (i.e. via $C_p$ compensation).\n", @@ -554,7 +554,7 @@ "source": [ "## Equations used in Weerakoon et al. paper\n", "\n", - "" + "" ] }, { @@ -566,11 +566,11 @@ "\n", "$$ V_\\text{out} = \\frac{I_\\text{in} R_f}{1 + \\tau_f s} $$\n", "\n", - "where $\\tau_f = R_fC_f \\approx 7.5\\,\\mu{s}$, and\n", + "where $\\tau_f = R_fC_f = 7.5\\,\\mu{s}$, and\n", "\n", "$$ V_p = \\frac{V_c}{1 + \\tau_c s} $$\n", "\n", - "where $\\tau_c = \\tau_A\\frac{C_t}{C_f} \\approx 0.8\\,\\mu{s}$." + "where $\\tau_c = \\tau_a\\frac{C_t}{C_f} = 0.8\\,\\mu{s}$." ] }, { diff --git a/artefacts/appendix-E-uncompensated-models.ipynb b/artefacts/appendix-E-uncompensated-models.ipynb index bcd4c6f..46bab9e 100644 --- a/artefacts/appendix-E-uncompensated-models.ipynb +++ b/artefacts/appendix-E-uncompensated-models.ipynb @@ -21,7 +21,7 @@ "id": "7d17c4f4", "metadata": {}, "source": [ - "" + "" ] }, { diff --git a/artefacts/old-real-data-2-liquid-junction-potential.ipynb b/artefacts/appendix-F-liquid-junction-potential.ipynb similarity index 70% rename from artefacts/old-real-data-2-liquid-junction-potential.ipynb rename to artefacts/appendix-F-liquid-junction-potential.ipynb index eaf6936..c3b26d7 100644 --- a/artefacts/old-real-data-2-liquid-junction-potential.ipynb +++ b/artefacts/appendix-F-liquid-junction-potential.ipynb @@ -4,18 +4,20 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Real data: Liquid junction potential" + "# Appendix F: Liquid junction potential" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "A [liquid junction potential](https://en.wikipedia.org/wiki/Liquid_junction_potential) (LJP) arises when two solutions with different ionic concentrations are in contact. Because different types of ion have different [mobilities](https://en.wikipedia.org/wiki/Electrical_mobility), they will diffuse at different speeds, and so we can get a situation where e.g. positive charges move faster than negative charges, resulting in a non-zero net current.\n", + "A [liquid junction potential](https://en.wikipedia.org/wiki/Liquid_junction_potential) (LJP) arises when two solutions with different ionic concentrations are in contact.\n", + "Because different types of ion have different [mobilities](https://en.wikipedia.org/wiki/Electrical_mobility), they will diffuse at different speeds, and so we can get a situation where e.g. positive charges move faster than negative charges, resulting in a non-zero net current.\n", "\n", - "In manual patch-clamp experiments (in the whole-cell configuration), a liquid junction potential arises _before the connection to the cell is made_, when the pipette fluid is in contact with the bath fluid at the pipette's tip. This LJP is in the order of 10mV.\n", + "In manual patch-clamp experiments (in the whole-cell configuration), a liquid junction potential arises _before the connection to the cell is made_, when the pipette fluid is in contact with the bath fluid at the pipette's tip.\n", + "This LJP is on the order of 10mV ([Neher (1992)](https://doi.org/10.1016/0076-6879(92)07008-C)).\n", "\n", - "Once a connection to a cell is made, the pipette fluid quickly diffuses into the cell, and so the LJP disappears." + "Because the pipette fluid is designed to be similar to the cytosolic fluid, once the connection to the cell is made the LJP quickly disappears." ] }, { @@ -24,25 +26,20 @@ "source": [ "_Note 1: It's usually safe to assume that the pipette is so much larger than the cell, and that the pipette and intracellular fluids are so similar, that the final solution inside the cell is pretty much equal to the pipette solution._\n", "\n", - "_Note 2: [Neher (1992)](https://doi.org/10.1016/0076-6879(92)07008-C) points out that diffusion is only fast for small cells, for larger cells the situation may be considerably more complicated._\n", - "\n", - "_Note 3: I don't know if there are any LJPs arising naturally between a cell and the bath. I think even the \"large\" non-selective channels are too small to act like a fluid-fluid interface, but very much a [citation needed]._" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## LJP correction in patch-clamp in the whole-cell configuration" + "_Note 2: [Neher (1992)](https://doi.org/10.1016/0076-6879(92)07008-C) points out that diffusion is only fast for small cells, for larger cells the situation after patching may be considerably more complicated._" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ + "## LJP correction in patch-clamp in the whole-cell configuration\n", + "\n", "So if the LJP isn't present during time of recording, why do we care about it?\n", "\n", - "The reason is that there are a whole host of resistances and small differences in potential that arise between the bath and pipette electrodes, for example the [electrode potentials](https://en.wikipedia.org/wiki/Electrode_potential). At the start of the experiment, before making contact with the cell, these are \"zeroed out\", i.e. we find a voltage-clamp potential for which no current flows, and call this voltage our zero. This is done manually on some amplifiers, or automatically on others.\n", + "The reason is that there are a whole host of resistances and small differences in potential that arise between the bath and pipette electrodes, for example the [electrode potentials](https://en.wikipedia.org/wiki/Electrode_potential).\n", + "At the start of the experiment, before making contact with the cell, these are \"zeroed out\", i.e. we find a voltage-clamp potential for which no current flows, and call this voltage our zero.\n", + "This is done manually on some amplifiers, or automatically on others.\n", "\n", "For example, the following steps may be followed:\n", "\n", @@ -61,31 +58,20 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "## How do we calculate the LJP?" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In short, almost everyone uses [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0).\n", - "An open source alternative is available, which uses a better model: https://swharden.com/LJPcalc/" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## How do we account for the LJP?" + "## How do we calculate the LJP?\n", + "\n", + "In short, almost everyone uses [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0), although an open source alternative is available, which purports to use a better model: https://swharden.com/LJPcalc/" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ + "## How do we account for the LJP?\n", + "\n", "There are two common strategies:\n", "\n", - "1. Apply your protocols - knowing that the cell will actually see different voltages, and then account for this during analysis.\n", + "1. Apply your protocols, knowing that the cell will actually see different voltages, and then account for this in analysis.\n", "2. Work out the LJP in advance, and adjust your protocols before applying them.\n", "\n", "To make option 2 easier, some amplifier software (e.g. HEKA's PatchMaster) lets you enter an LJP and will then correct all your protocols automatically.\n", @@ -119,76 +105,26 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "To start, we'll define both _electrode potentials_ and the _LJP_ as _voltage increases_:" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Inside the pipette, where the [AgCl coated wire](https://en.wikipedia.org/wiki/Silver_chloride_electrode) meets the pipette fluid, we have" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "At the pipette tip, where the pipette fluid meets the bath fluid, we have" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "(Note that this is the direction most commonly used, e.g. by Barry et al. and by Neher)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And finally, at the cell membrane, we have" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now we can compare the situation before touching the cell (left) with the situation after rupturing the membrane (right), by writing both as a series of voltage increases:" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "To start, we'll define both _electrode potentials_ and the _LJP_ as _voltage increases_:\n", + "\n", + "\n", + "\n", + "Inside the pipette, where the [AgCl coated wire](https://en.wikipedia.org/wiki/Silver_chloride_electrode) meets the pipette fluid, we have\n", + "\n", + "\n", + "\n", + "At the pipette tip, where the pipette fluid meets the bath fluid, we have\n", + "\n", + "\n", + "\n", + "(Note that this is the direction most commonly used, e.g. by Barry et al. and by Neher).\n", + "\n", + "And finally, at the cell membrane, we have\n", + "\n", + "\n", + "\n", + "Now we can compare the situation before touching the cell (left) with the situation after rupturing the membrane (right), by writing both as a series of voltage increases:\n", + "\n", "" ] }, @@ -216,54 +152,29 @@ "Taking the ground as zero, and going right-to-left through the first diagram, we can write:\n", "\\begin{align}\n", "V_L = V_{e2} - V_{LJ} - V_{e1}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "\\end{align}\n", + "\n", "We can then \"zero\" this measurement by subtracting a $V_0$:\n", "\\begin{align}\n", "V_L = V_{e2} - V_{LJ} - V_{e1} - V_0 = 0\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "\\end{align}\n", + "\n", "so that\n", "\\begin{align}\n", "V_0 = V_{e2} - V_{LJ} - V_{e1}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "\\end{align}\n", + "\n", "Following the same procedure, the right-hand diagram works out as:\n", "\\begin{align}\n", "V_R = V_{e2} + V_m - V_{e1}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "\\end{align}\n", + "\n", "Zeroing with the value we found before connecting to the cell, we find:\n", "\\begin{align}\n", "V_R &= V_{e2} + V_m - V_{e1} - V_0 \\\\\n", " &= V_m + V_{LJ} \\\\\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ + "\\end{align}\n", + "\n", "So, whenever we think we are measuring or manipulating $V_m$, we are actually dealing with $V_m + V_{LJ}$." ] }, @@ -286,7 +197,7 @@ "source": [ "## Other patch-clamp configurations\n", "\n", - "For other modes and more information, see [Figl et al. (2004)](https://medicalsciences.med.unsw.edu.au/sites/default/files/soms/page/ElectroPhysSW/Figl%20App%20Note2004.pdf)" + "For other modes and more information, see [Figl et al. (2004)](https://medicalsciences.med.unsw.edu.au/sites/default/files/soms/page/ElectroPhysSW/Figl%20App%20Note2004.pdf)." ] } ], diff --git a/artefacts/artefacts-1.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb similarity index 53% rename from artefacts/artefacts-1.ipynb rename to artefacts/artefacts-1-modelling-patch-clamp.ipynb index c71b6d4..5b62dde 100644 --- a/artefacts/artefacts-1.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -7,7 +7,7 @@ "source": [ "# Modelling patch-clamp experiments\n", "\n", - "In this notebook, we look in detail at processing data obtained from patch-clamp experiments.\n", + "In this set of notebooks, we look in detail at processing data obtained from patch-clamp experiments.\n", "\n", "In particular, we focus on **manual** and **planar patch clamp** experiments in the **whole cell configuration**.\n", "These can be used to either\n", @@ -15,7 +15,10 @@ "1. pass current through the membrane and measure the resulting voltage (_current clamp_); or \n", "2. control the membrane voltage and measure the resulting current (_voltage clamp_).\n", "\n", - "We will focus exclusively on **voltage clamp**." + "We will focus exclusively on **voltage clamp**.\n", + "\n", + "In the first notebook, we introducing an electrical model of a patch-clamp set up and its imperfections.\n", + "In the second, we review a model of the common compensation circuitry used to counter these flaws." ] }, { @@ -23,7 +26,7 @@ "id": "866f0da6", "metadata": {}, "source": [ - "## The set up\n", + "## The basic set-up\n", "\n", "Detailed descriptions of the patch clamp technique can be found in the chapter [Penner (1995) A Practical Guide to Patch Clamping](https://doi.org/10.1007/978-1-4419-1229-9_1), the (short) book [Molleman (2002) Patch Clamping](https://doi.org/10.1002/0470856521), or the (slightly older) article [Hamill, Marty et al., Sigworth (1981) Improved patch-clamp techniques for high-resolution current recording from cells and cell-free membrane patches](https://doi.org/10.1007/BF00656997).\n", "\n", @@ -38,13 +41,14 @@ "id": "b8e573b6", "metadata": {}, "source": [ - "## Patch-clamp amplifier electronics\n", + "## An electrical model of the patch-clamp set up\n", "\n", "Now that we have access to the inside & outside of the cell, how can we control its voltage and measure the current?\n", "\n", - "The answer involves a lot of electronics, but a brief outline is given below.\n", + "An outline of the answer is given below.\n", "It follows the exposition in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), but also uses [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", - "Note that the schematics given here are all simplifications, illustrating the principles of a patch-clamp amplifier but omitting real-life complications." + "Note that the schematics given here are all simplifications, illustrating the principles of a patch-clamp amplifier but omitting real-life complications.\n", + "We will assume some familiarity with passive electronics, but link to appendices for the more complicated parts." ] }, { @@ -52,7 +56,7 @@ "id": "b98ff54b", "metadata": {}, "source": [ - "The image below (adapted from Sigworth 1995) shows a very simple schematic to measure small currents.\n", + "Figure 1 shows a very simple schematic to measure small currents.\n", "A battery $V_c$ is attached to a pipette, and a resistor with a known resistance $R$ is introduced.\n", "To obtain the current flowing into the patch clamp assembly, $I$, we measure the voltage drop $V_\\text{out} = I R$ across the resistor and use\n", "\n", @@ -66,7 +70,7 @@ "source": [ "\n", "\n", - "_**Figure 1**: A very simple current measuring device._" + "_**Figure 1**: A very simple current measuring device (adapted from Sigworth 1995a)._" ] }, { @@ -74,8 +78,8 @@ "id": "80896b76", "metadata": {}, "source": [ - "By choosing a very large $R$ we get a measurable $V_\\text{out}$ for even very small $I_\\text{in}$.\n", - "However, it also creates a big difference between $V_c$, which we control, and the voltage over the patch clamp assembly.\n", + "By choosing a large enough $R$ we get a measurable $V_\\text{out}$ for even very small $I$.\n", + "However, a large $R$ also creates a big difference between the voltage we control, $V_c$, and the voltage over the patch clamp assembly.\n", "\n", "A clever trick with an [op amp](https://en.wikipedia.org/wiki/Operational_amplifier) can get us around this:" ] @@ -87,7 +91,7 @@ "source": [ "\n", "\n", - "_**Figure 2**: A better way to measure small currents._" + "_**Figure 2**: Using an op amp to measure small currents._" ] }, { @@ -95,19 +99,20 @@ "id": "524bef2a", "metadata": {}, "source": [ - "To analyse this circuit, we use two properties of **an idealised** op-amp:\n", + "We analyse this circuit using two properties of **an idealised** op-amp:\n", "\n", - "1. Connected in a negative feedback loop like above, the op amp instantaneously adjusts its output $V_o$ until the voltages at its input terminals ($V_+$ and $V_-$) are the same.\n", - "2. No current flows into (or out of) the input terminals.\n", + "1. Connected in a negative feedback loop like above, the op amp instantaneously adjusts its output $V_o$ until the voltages $V_+$ and $V_-$ at its input terminals are the same.\n", + "2. No current flows into or out of the input terminals.\n", "\n", - "From the first property we get $V_- = V_c$ and the second property gives us $I_\\text{in} = I_R$.\n", + "From the first property we get $V_- = V_c$.\n", + "The second gives us $I = I_R$.\n", "The voltage-drop across the resistor is then\n", "\n", - "$$ V_o - V_- = V_o - V_c = I_R R = I_\\text{in} R $$\n", + "$$ V_o - V_- = V_o - V_c = I_R R = I_ R $$\n", "\n", "And so if we can measure $V_\\text{out} \\equiv V_o - V_c$ we can use the known value of $R$ to calculate\n", "\n", - "$$I_\\text{in} = V_\\text{out} / R$$" + "$$I = V_\\text{out} / R$$" ] }, { @@ -118,7 +123,9 @@ "Finally, we add a [difference amplifier](https://en.wikipedia.org/wiki/Differential_amplifier) to $V_o$ and $V_c$.\n", "A difference amplifier takes the voltage between its two inputs and multiplies it by a fixed factor, using an external power source.\n", "Here we use an amplification factor of 1 so that it acts as a simple _buffer_.\n", - "This means that the power drawn from $V_{out}$ by any connected measurement equipment will be provided by the amplifier's power source instead of the circuit we're trying to measure." + "This means that the power drawn from $V_{out}$ by any connected measurement equipment will be provided by the amplifier's power source and not by the circuit we are trying to measure.\n", + "\n", + "Like with the op amp, we will assume that no (or negligible) current flows into the difference amplifier." ] }, { @@ -149,7 +156,7 @@ "We now make the schematic a bit more realistic, by adding a capacitor in parallel with the resistance.\n", "In some schematics, this capacitor is drawn with dotted lines, to indicate that it represents the \"stray\" or \"parasitic\" capacitance of the resistor.\n", "However, in newer designs resistors with a very low stray capacitance are used [(Weerakoon et al., 2009)](https://doi.org/10.1109/TBCAS.2008.2005419) but an extra capacitor is stil added to the circuit to \"make the trans-impedance amplifier stable and to increase the bandwidth of the voltage clamp\".\n", - "This is discussed in detail in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and in [Appendix D](./appendix-D-non-ideal-op-amp.ipynb)." + "The stability argument is discussed in detail in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4)." ] }, { @@ -172,31 +179,29 @@ "Because there are now two pathways for the current to flow through we start from:\n", "\n", "\\begin{align}\n", - "I_\\text{in} &= I_R + I_C \\\\\n", - " &= (V_o - V_-) / R_f + C_f \\frac{d}{dt}\\left(V_o - V_-\\right) \\\\\n", - " &= V_\\text{out} / R_f + C_f \\dot{V}_\\text{out} \\\\\n", - "R_f I_\\text{in} &= V_\\text{out} + R_f C_f \\dot{V}_\\text{out} \\\\\n", + "I &= I_R + I_C \\\\\n", + " &= (V_o - V_-) / R_f + C_f \\frac{d}{dt}\\left(V_o - V_-\\right) \\\\\n", + " &= V_\\text{out} / R_f + C_f \\dot{V}_\\text{out} \\\\\n", + "R_f I &= V_\\text{out} + R_f C_f \\dot{V}_\\text{out} \\\\\n", "\\end{align}\n", "\n", - "To allow for the idea that the current we calculate is no longer equal to $I_\\text{in}$, we introduce a new symbol\n", + "To allow for the idea that the current we calculate is no longer equal to $I$, we introduce a new symbol\n", "\n", "\\begin{align}\n", "I_\\text{obs} \\equiv V_\\text{out} / R_f\n", "\\end{align}\n", "\n", - "filling that in in the equation above we get\n", + "substituting this in we find\n", "\n", "\\begin{align}\n", - "I_\\text{in} = I_\\text{obs} + R_f C_f \\dot{I}_\\text{obs} = I_\\text{obs} + \\tau_f \\dot{I}_\\text{obs}\n", + "I = I_\\text{obs} + R_f C_f \\dot{I}_\\text{obs}\n", "\\end{align}\n", - "\n", - "so that we can write\n", - "\n", + "or\n", "\\begin{align}\n", - "\\dot{I}_\\text{obs} = \\frac{I_\\text{in} - I_\\text{obs}}{\\tau_f}\n", + "\\dot{I}_\\text{obs} = \\frac{I - I_\\text{obs}}{\\tau_f}\n", "\\end{align}\n", "\n", - "This means that $I_\\text{obs}$ will follow $I_\\text{in}$ with a time constant $\\tau_f = R_f C_f$.\n", + "This means that $I_\\text{obs}$ will follow $I$ with a time constant $\\tau_f = R_f C_f$.\n", "Typical values for $R_f$ and $C_f$ are given in [Appendix B](./appendix-B-Rf-and-Cf.ipynb).\n", "For whole-cell experiments with standard gain settings, you might expect a $\\tau_f$ on the order of $80\\,{\\mu}s$ (HEKA) to $500\\,{\\mu}s$ (Axon)." ] @@ -215,7 +220,7 @@ "As a result, $C_p$ will vary between experiments.\n", "During an experiment, changes in the water level due to evaporation and/or perfusion as well as adhesion effects (water slowly creeping up the side of the pipette) can cause further variation (see e.g. [Thompson et al. 2001](https://doi.org/10.1016/S0006-3495(01)75752-9)).\n", "\n", - "However, there are other capacitative effects in the set up, and a considerable $C_p$ exists in pipette-free patch clamp methods such as planar patch.\n", + "However, there are other capacitative effects in the set-up, and a considerable $C_p$ exists in pipette-free patch clamp methods such as planar patch.\n", "So it is better to think of $C_p$ as a lumped, _parasitic_ capacitance." ] }, @@ -226,7 +231,8 @@ "source": [ "\n", "\n", - "_**Figure 5**: The pipette, or lumped parasitic capacitance._" + "_**Figure 5**: The pipette, or lumped parasitic capacitance.\n", + "We have renamed $V_-$ to $V_p$, as we will use $V_p$ and $C_p$ together in the equations._" ] }, { @@ -234,13 +240,7 @@ "id": "b253b88a", "metadata": {}, "source": [ - "In the schematic of Figure 5, we have added $C_p$ and renamed the voltage $V_-$ to $V_p$, as we will use $V_p$ and $C_p$ together in the equations.\n", - "\n", - "Using the temporary symbol $I$ for the current flowing out on the left, we can then write\n", - "\\begin{align}\n", - "I_{in} = I + C_p \\dot{V}_p\n", - "\\end{align}\n", - "and\n", + "With this our equation for the observed current becomes\n", "\\begin{align}\n", "\\dot{I}_\\text{obs} = \\frac{I + C_p \\dot{V}_p - I_\\text{obs}}{\\tau_f}\n", "\\end{align}\n" @@ -256,125 +256,204 @@ "Using the ideal op-amp assumptions, we have $V_p = V_c$ and $\\dot{V}_p = \\dot{V}_c$, so the new term is entirely dependent on our input signal for $V_c$.\n", "For a step protocol, a common choice in voltage clamping, this would imply that $\\dot{V}_p$ is either 0 during the steps or infinity at the discontinuities.\n", "To get a more realistic result, we have to assume the op amp makes $C_p$ follow $C_v$ with a finite speed.\n", - "\n" + "\n", + "Two equations for this are found in the literature.\n", + "[Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) uses\n", + "\n", + "$$ \\dot{V}_o = \\frac{V_c - V_p}{\\tau_a} $$\n", + "\n", + "where $\\tau_a$ is tens of nanoseconds.\n", + "The later work by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and then [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) uses\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_p = \\frac{V_c - V_p}{\\tau_c}, \\quad\n", + "\\tau_c = \\frac{C_f + C_p}{C_f} \\tau_a\n", + "\\end{align}\n", + "\n", + "A detailed analysis of the amplifier's \"bandwidth\", used as a measure for how fast the amplifier can respond to changes in $V_c$, is given in Sigworth 1995a.\n", + "It involves transfer function representations, which are discussed in [Appendix C](./appendix-C-laplace-and-filters.ipynb), while parts of the analysis are recapitulated in [Appendix D](./appendix-D-non-ideal-op-amp.ipynb).\n", + "In summary, the equations used by Weerakoon and Lei et al. are a simplification based on Sigworth's analysis.\n", + "They give rise to slightly different behaviour, as can be seen in [Appendix E](./appendix-E-uncompensated-models.ipynb), but for the analysis of many patch-clamp experiments their influence is overshadowed by the effects of the _series resistance_ and _membrane capacitance_, which are discussed below." ] }, { "cell_type": "markdown", - "id": "b764b93c", + "id": "3864ae6c", "metadata": {}, "source": [ - "### A less idealised op amp" + "### Series resistance and membrane capacitance\n", + "\n", + "Finally, we add the cell itself to our model circuit." ] }, { "cell_type": "markdown", - "id": "98c8cbe6", + "id": "975181dc", "metadata": {}, "source": [ - "We now revisit the ideal op amp assumptions:\n", + "\n", "\n", - "1. We will keep the assumption that no current flows into the terminals\n", - "2. But we give the op amp a finite speed: for it's output voltage $V_o$ we can write $\\dot{V}_o = \\left(V_+ - V_-\\right) / \\tau_\\text{amp}$\n", - "\n", - "An estimate for $\\tau_\\text{amp}$ from Weerakoon et al. is 0.05 $\\mu$s, while Sigworth 1995a gives an example value of 0.1 $\\mu$s." + "_**Figure 6**: Series resistance, membrane capacitance, and a mysterious cell._" ] }, { "cell_type": "markdown", - "id": "6eb76dc2", + "id": "5d7e4fd2", "metadata": {}, "source": [ - "Note: _I have not been able to find a reference for this exact equation, although it's consistent with the 1st order behaviour shown in Section 2.7 of [Operational amplifiers by Clayton & Winder](https://www.waterstones.com/book/operational-amplifiers/g-b-clayton/steve-winder/9780750659147).\n", - "This section also points out that this behaviour is expected only with \"small-signal characteristics\", i.e. when the voltages used are low enough for the op-amp to stay within its \"linear range\".\n", - "For large voltages, additional consideration such as the \"slew rate\" and saturation recovery times come into play.\n", - "For the small voltages used in patch-clamping, it seems safe to assume that we are well within the linear range.\n", - "A subtly different equation is used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348)._" + "Our connection to the cell's cytosol is characterised by a _series resistance_ $R_s$.\n", + "And just like $C_p$, which is best thought of as a lumping together of several capacitances, $R_s$ represents the sum effect of all resistances between the amplifier and the cytosol.\n", + "\n", + "To represent the potential between the inside of the cell and the ground, we'll use the symbol $V_m$.\n", + "By assuming that the bath liquid is well grounded we can equate this to the membrane potential, defined as $V_m = V_\\text{in} - V_\\text{out}$.\n", + "\n", + "Finally, we separate the current of interest, $I$, from the current needed to charge the membrane which we represent as a capacitor $C_m$.\n", + "Because we don't want to make any assumptions about the mechanisms giving rise to $I$, we've drawn it as a mysterious little cloud.\n", + "\n", + "As before, we can write equations corresponding to these electrical components.\n", + "For example, the current passing through $R_s$ is proportional to voltage drop $V_p - V_m$, and equals the sum of currents passing through the cell:\n", + "\n", + "$$ \\frac{V_p - V_m}{R_s} = C_m \\dot{V}_m + I $$" ] }, { "cell_type": "markdown", - "id": "87263721", + "id": "85c6b88b", "metadata": {}, - "source": [] + "source": [ + "### Voltage offsets\n", + "\n", + "Voltage offsets arise at various locations in the set-up, including inside the amplifier (\\~30mV), at the interface between electrodes and liquids (up to 100mV), and at liquid interfaces (\\~15mV, all estimates are from [Neher, 1995](https://doi.org/10.1007/978-1-4419-1229-9_6)).\n", + "These are typically \"zeroed out\" before an experiment is started (as will be discussed in the next notebook), but if this process is imperfect or if conditions change during the experiment, some non-zero offset may remain.\n", + "\n", + "In the schematic, we account for this remaining offset by adding a battery that adds an offset $E_\\text{off}$." + ] }, { "cell_type": "markdown", - "id": "efbd5d5f", + "id": "2da6886d", "metadata": {}, - "source": [] + "source": [ + "\n", + "\n", + "_**Figure 7**: A battery is added, representing the sum of the various voltage offsets and corrections._" + ] }, { "cell_type": "markdown", - "id": "891a5ff2", + "id": "50562b08", "metadata": {}, - "source": [] + "source": [ + "Note that, because we are assuming all of the components are _linear_, i.e. their behaviour depends on voltage differences and not on absolute values, the exact location of this battery in the schematic doesn't matter too much." + ] }, { "cell_type": "markdown", - "id": "85c6b88b", + "id": "c1764d8d", "metadata": {}, - "source": [] + "source": [ + "### Leak current" + ] }, { "cell_type": "markdown", - "id": "189a138d", + "id": "9a36d864", "metadata": {}, - "source": [] + "source": [ + "To complete the circuit we add a leak current, indicated as a resistance $R_\\text{leak}$ (usually expressed as $g_\\text{leak} = 1 / R_\\text{leak}$ and an offset $E_\\text{leak}$." + ] }, { "cell_type": "markdown", - "id": "968d5bf2", + "id": "e10f3b06", "metadata": {}, - "source": [] + "source": [ + "\n", + "\n", + "_**Figure 8**: A leak current is added, including a voltage offset._" + ] }, { "cell_type": "markdown", - "id": "65c7e3a7", + "id": "87263721", "metadata": {}, - "source": [] + "source": [ + "Here we have followed [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) in placing the leak current after the series resistance, and in direct connection with the potential inside the membrane $V_m$.\n", + "This placement assumes assumes that the bulk of the series resistance $R_s$ is encountered before the pipette tip, so that threre is negligible resistance between the point from which current escapes (\"leaks\") and the cell internals.\n", + "\n", + "By modelling the leak current as a simple resistor, we are also assuming linear leak.\n", + "This assumption can be invalidated if calcium fluoride patch enhancer is used ([Lei et al. (2021)](https://doi.org/10.12688/wellcomeopenres.15968.2)).\n", + "\n", + "Finally, we have added a battery representing an offset $E_\\text{leak}$, which assumes that the leak current has a non-zero reversal potential.\n", + "This is not expected from first principles, as a non-selective leak current should have a reversal potential $E_\\text{leak} = 0$.\n", + "Indeed, a simple experiment can be performed by running a patch clamp protocol before attaching to a cell, and this does show reversal at 0mV.\n", + "Yet, in our experience a non-zero $E$ does seem to crop up when analysing patch-clamp data, so we will leave $E_\\text{leak}$ in for the time being.\n", + "\n", + "Accepting these three assumptions, the equation for the leak current becomes:\n", + "\n", + "$$I_\\text{leak} = \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} = g_\\text{leak}\\left(V_m - E_\\text{leak}\\right)$$" + ] }, { "cell_type": "markdown", - "id": "81eed969", + "id": "efbd5d5f", "metadata": {}, - "source": [] + "source": [ + "These considerations show that, despite its (presumed) simple nature, leak (or apparent leak) is not fully understood.\n", + "Indeed, separating leak from endogeneous or otherwise unexpected currents present in the cell of interest is non-trivial, and we offer no solution in this notebook." + ] }, { "cell_type": "markdown", - "id": "cff2cd08", + "id": "2e508d25", "metadata": {}, - "source": [] + "source": [ + "# TODO NAMES ACCESS RESISTANCE, SEAL RESISTANCE" + ] }, { "cell_type": "markdown", - "id": "427aa5db", + "id": "968d5bf2", "metadata": {}, - "source": [] + "source": [ + "## An ODE model of uncompensated patch-clamp" + ] }, { "cell_type": "markdown", - "id": "e3e54db4", + "id": "65c7e3a7", "metadata": {}, - "source": [] + "source": [ + "We are now in a position to formulate an ODE model of the uncompensated patch-clamp set-up." + ] }, { "cell_type": "markdown", - "id": "843ddc45", + "id": "81eed969", "metadata": {}, - "source": [] + "source": [ + "\n", + "\n", + "_**Figure 8**: It's figure 8 again!._" + ] }, { "cell_type": "markdown", - "id": "2e508d25", + "id": "843ddc45", "metadata": {}, - "source": [] + "source": [ + "For the node at $V_m$, we can write the sum of currents as:\n", + "\\begin{align}\n", + "\\end{align}" + ] }, { "cell_type": "markdown", "id": "e3528d0b", "metadata": {}, - "source": [] + "source": [ + "## Conclusion" + ] } ], "metadata": { diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb new file mode 100644 index 0000000..8363805 --- /dev/null +++ b/artefacts/artefacts-2-compensation.ipynb @@ -0,0 +1,93 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "cf0eda98", + "metadata": {}, + "source": [ + "# Modelling patch-clamp experiments: compensation\n", + "\n", + "In the last notebook, we introduced an electrical schematic that can serve as a model of the patch-clamp set up, with distortions by leak, offsets, an unwanted capacitances.\n", + "To compensate for these unwanted effects, patch-clamp amplifiers contain special circuitry.\n", + "In this notebook we extend our model to include the effects of these compensations." + ] + }, + { + "cell_type": "markdown", + "id": "e35c1d2b", + "metadata": {}, + "source": [ + "## Fast capacitance correction ($C_p$)" + ] + }, + { + "cell_type": "markdown", + "id": "f2685571", + "metadata": {}, + "source": [ + "## Slow capacitance correction ($C_m$)" + ] + }, + { + "cell_type": "markdown", + "id": "3a492bbb", + "metadata": {}, + "source": [ + "## Series resistance compensation (R_s)" + ] + }, + { + "cell_type": "markdown", + "id": "f2b59884", + "metadata": {}, + "source": [ + "## Zeroing and the liquid junction potential\n", + "\n", + "In the last notebook we introduced $E_\\text{off}$, which represents the sum of various voltage offsets _and their correction_.\n", + "This means we skipped ahead a bit, and no further adaptations are needed here.\n", + "However, we will briefly discuss the liquid junction potential (LJP) its correction.\n", + "\n", + "In manual patch clamp, just before the pipette is placed against the membrane, the voltage offset errors are \"zeroed\" by adjusting a variable offset on the amplifier until the voltage is found at which no current flows.\n", + "Unfortunately, at this point the pipette solution is in contact with the bath solution, and so an LJP exists.\n", + "\n", + "Once the connection to the cell is made, this LJP no longer exists, but the offset used in zeroing still includes it!\n", + "Correcting for this (so a correction for an unwanted correction) is known as LJP correction.\n", + "\n", + "The procedure is as follows:\n", + "1. Use software to calculate the LJP, from the known bath and pipette solutions\n", + "2. Correct for the LJP either by adjusting the protocols before you apply them (so that the cell sees what you want it to see), or in the analysis (so accept that the cell saw different voltages than you intended, and account for this).\n", + "\n", + "A detailed description is provided in [Appendix F](./appendix-F-liquid-junction-potential.ipynb)." + ] + }, + { + "cell_type": "markdown", + "id": "4e957331", + "metadata": {}, + "source": [ + "## Conclusion" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/old-real-data-3-capacitance-and-resistance.ipynb b/artefacts/old-real-data-3-capacitance-and-resistance.ipynb deleted file mode 100644 index d89e690..0000000 --- a/artefacts/old-real-data-3-capacitance-and-resistance.ipynb +++ /dev/null @@ -1,671 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Dealing with real data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the previous part of the tutorial\n", - "\n", - "\n", - "TODO" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Capacitance artefacts" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "If we stop looking at the flat (constant voltage) bit, and view the whole signal instead, one of the first things we might notice are the spikes that occur at each transition." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "import matplotlib.pyplot as plt\n", - "import myokit\n", - "import numpy as np\n", - "import pints\n", - "import scipy.stats\n", - "\n", - "# Load Cell 1 from Beattie et al.\n", - "log = myokit.DataLog.load('resources/sine-wave-data/cell-1.zip').npview()\n", - "\n", - "# Create a figure\n", - "fig = plt.figure(figsize=(16, 5))\n", - "\n", - "ax1 = fig.add_subplot(2, 1, 1)\n", - "ax1.set_ylabel('Voltage (mV)')\n", - "\n", - "ax2 = fig.add_subplot(2, 1, 2)\n", - "ax2.set_xlabel('Time (ms)')\n", - "ax2.set_ylabel('Current (pA)')\n", - "\n", - "# Highlight the transitions:\n", - "for t in [250, 300, 500, 1500, 2000, 3000, 6500, 7000]:\n", - " ax1.axvline(t + .1, ls='--', color='#cccccc')\n", - " ax2.axvline(t + .1, ls='--', color='#cccccc')\n", - "\n", - "ax1.plot(log.time(), log['voltage']) # Convert from nA to pA\n", - "ax2.plot(log.time(), log['current'] * 1000) # Convert from nA to pA\n", - " \n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "These are the remnants of capacitative charging currents that occur during the experiment, after on-line filtering by the experimenter.\n", - "They arise through a combination of \"pipette capacitance\" (the pipette wall and other parts of the equipment store a small, voltage-dependent amount of charge), \"membrane capacitance\"\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Modelling pipette capacitance" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "How do these artefacts arise?\n", - "\n", - "In manual patch-clamp, the submerged part of the pipette acts like a [capacitor](https://en.wikipedia.org/wiki/Capacitor) between the pipette and bath solutions.\n", - "Whenever a change in voltage is applied, the amount of charge stored in the pipette wall changes, and the current \"used\" or \"released\" by this charging or uncharging is superimposed on the current of interest.\n", - "In the diagram below (adapted from [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348)) this is shown as a capacitor between the internal and bath electrode, labelled \"pipette capacitance\", \"stray capacitance\", or \"parasitic capacitance\".\n", - "(Similar effects occur in automated patch-clamp, so that \"parasitic\" or \"stray\" are perhaps the more general terms.)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The current charging or uncharging of the pipette can be modelled as\n", - "\n", - "\\begin{equation}\n", - "I_p(t) = C_p \\dot{V_p}(t)\n", - "\\end{equation}\n", - "\n", - "where $C_p$ is the pipette (or parasitic) capacitance, and $\\dot{V_p}(t)$ is the _rate of change of the pipette voltage_.\n", - "If the pipette voltage followed our intended voltage protocol exactly, we would have $V_p = V_\\text{command}$, so that the $\\dot{V_p}(t)$ would be infinite at the step transitions, and zero during constant-voltage steps.\n", - "But that's not what happens in real life!\n", - "So to model the pipette capacitance, we need to take into account the time it takes for the amplifier to set $V_p$ to the desired potential:\n", - "\n", - "\\begin{equation}\n", - "\\dot{V_p}(t) = \\frac{V_\\text{command} - V_p}{\\tau_\\text{clamp}}\n", - "\\end{equation}\n", - "\n", - "Typical values for the above equations are $C_p = 5\\text{pF}$ and $\\tau_\\text{clamp} = 0.8 \\mu\\text{s}$ ([Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419)).\n", - "We can use these values to simulate the charging currents:" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "import myokit\n", - "import matplotlib.pyplot as plt\n", - "\n", - "protocol = myokit.load_protocol('resources/simplified-staircase.mmt')\n", - "model = myokit.parse_model('''\n", - "[[model]]\n", - "clamp.Vp = -80\n", - "\n", - "[engine]\n", - "time = 0 bind time\n", - " in [ms]\n", - "\n", - "[clamp]\n", - "Vc = 0 bind pace\n", - " in [mV]\n", - "dot(Vp) = (Vc - Vp) / tau\n", - " in [mV]\n", - "tau = 8e-4 [ms]\n", - "Cp = 5 [pF]\n", - "\n", - "# Note that pF * (mV/ms) = pA\n", - "Ip = Cp * dot(Vp)\n", - " in [pA]\n", - "''')\n", - "\n", - "s = myokit.Simulation(model, protocol)\n", - "s.set_tolerance(1e-8, 1e-10)\n", - "d = s.run(15400).npview()\n", - "\n", - "fig = plt.figure(figsize=(16, 6))\n", - "\n", - "# Zoom parameters\n", - "xmin, xmax = 1899.995, 1900.01\n", - "\n", - "# Left\n", - "ax = plt.subplot(2, 2, 1)\n", - "ax.set_ylabel('Voltage (mV)')\n", - "ax.plot(d.time(), d['clamp.Vc'], label='$V_{command}$', drawstyle='steps-post')\n", - "ax.plot(d.time(), d['clamp.Vp'], label='$V_p$', drawstyle='steps-post')\n", - "ax.legend()\n", - "\n", - "ax = plt.subplot(2, 2, 3)\n", - "ax.set_xlabel('Time (ms)')\n", - "ax.set_ylabel('Current (nA)')\n", - "ax.plot(d.time(), d['clamp.Ip'] * 1e-6, label='$I_p$', drawstyle='steps-post')\n", - "ax.legend()\n", - "\n", - "# Right\n", - "ax = plt.subplot(2, 2, 2)\n", - "ax.set_ylabel('Voltage (mV)')\n", - "ax.plot(d.time() * 1e3, d['clamp.Vc'], label='$V_{command}$', drawstyle='steps-post')\n", - "ax.plot(d.time() * 1e3, d['clamp.Vp'], label='$V_p$', drawstyle='steps-post')\n", - "ax.set_xlim(xmin * 1e3, xmax * 1e3)\n", - "\n", - "ax = plt.subplot(2, 2, 4)\n", - "ax.set_xlabel('Time (us)')\n", - "ax.set_ylabel('Current (nA)')\n", - "ax.plot(d.time() * 1e3, d['clamp.Ip'] * 1e-6, label='$I_p$', drawstyle='steps-post')\n", - "ax.set_xlim(xmin * 1e3, xmax * 1e3)\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the left panels, we can see the currents are very strong reaching into the $\\text{nA}$ range in our simulation.\n", - "Because their time course is determined by the speed of the patch-clamp amplifier (which is luckily very fast), they are also very short." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### On-line pipette capacitance compensation\n", - "\n", - "As in the simulation, real charging currents are _large_, typically in the $\\text{nA}$ range (see [Sigworth 1995](https://scholar.google.com/scholar?hl=en&as_sdt=0%2C5&q=sigworth+design+of+patch+clamp&btnG=)).\n", - "This large magnitude causes several problems.\n", - "Firstly, as the charging current often overshadows the current of interest, it will need to be filtered out or accounted for somehow.\n", - "But if the current is large enough, it can also \"saturate\" the [op-amp](https://en.wikipedia.org/wiki/Operational_amplifier) used to measure current (as well as other parts of the circuitry, see Sigworth 1995).\n", - "This saturation can lead to a complete loss of information lasting for tens to hundreds of milliseconds.\n", - "During this time, this voltage-clamp circuitry can no longer function, so that control over the membrane potential is lost.\n", - "\n", - "So it seems off-line correction is impossible in this case, and the charging current will need to be reduced/avoided (e.g. by coating the pipettes, see Sigworth 1995) or corrected on-line in a process known as \"pipette capacitance compensation\" (or \"cancellation\" or \"neutralisation\").\n", - "The goal of pipette capacitance compensation is to provide the current needed to charge the pipette from a secondary source, so that the charging current no longer passes through the measurement equipment.\n", - "If this current can be made to match the required charging current _exactly_, then a clean measurement can be made.\n", - "\n", - "In manual patch clamp, this matching step is done by the experimenter, who adjusts the controls on the compensation circuitry until the charging currents have visibly been cancelled out.\n", - "This is done just before the cell membrane is ruptured, so that the matching is performed in the absense of any membrane currents.\n", - "To stop the circuitry from saturating, this is performed with the amplifier on a \"low gain\" setting, switching to the more sensitive high gain setting once compensation is in place.\n", - "\n", - "Some patch clamp amplifiers contain more than one compensation circuit, allowing both a \"fast\" and a \"slow\" pipette capacitance correction current to be mixed in.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Membrane capacitance compensation\n", - "\n", - "Moving on from the pipette, the cell itself has a much bigger capacitance, and this also needs to be charged!\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Dealing with the remnants of imperfect capacitance correction" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Unfortunately, this method has its limits.\n", - "For a start, matching the two currents exactly is difficult.\n", - "And even if the match is made well, conditions (e.g. the fluid level in the bath) may change in between calibration and measurement, so that errors creep in.\n", - "\n", - "\n", - "FAST & SLOW PIP CAP CORRECTION\n", - "\n", - "SIMILAR FOR CM CORRECTION\n", - "\n", - "INTERACTS IN NON-TRIVIAL WAYS WITH SERIES RESISTANCE COMPENSATION\n", - "\n", - "CUT OUT A FEW POINTS\n", - "\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "TODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODOD\n", - "\n", - "additive: noise, leak, endogenous currents, estimating E, capacitative spikes\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "NEXT: DEALING WITH IT BY FILTERING / POST-PROCESSING / ONLINE-PROCESSING, OR BY ADDING TO NOISE MODEL AND FITTING (FINDING SIGMA)\n", - "\n", - "THIS IS AN EXAMPLE OF WHAT WE DO LATER TOO!" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "import matplotlib.pyplot as plt\n", - "import myokit\n", - "import numpy as np\n", - "import pints\n", - "\n", - "from library import ModelCVODESolver as Model" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "protocol = myokit.load_protocol('resources/simplified-staircase.mmt')\n", - "model1 = Model(protocol)\n", - "\n", - "# Define a parameter vector\n", - "parameters = np.array([3e-4, 0.07, 3e-5, 0.05, 0.09, 9e-3, 5e-3, 0.03, 0.2])\n", - "\n", - "# Evaluate the model\n", - "times = np.arange(0, 15400, 0.1)\n", - "values1 = model1.simulate(parameters, times)\n", - "\n", - "plt.figure(figsize=(16, 6))\n", - "plt.plot(times, values1)\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "class ModelVoltageError(pints.ForwardModel):\n", - " \"\"\"A forward model that runs simulations with CVODE.\"\"\"\n", - "\n", - " def __init__(self, protocol):\n", - "\n", - " # Load a model, and isolate the HH ion current model part\n", - " model = myokit.load_model('resources/full2-voltage-clamp-ikr-linleak.mmt')\n", - " parameters = ['ikr.p' + str(1 + i) for i in range(9)]\n", - "\n", - " # Create a CVODE Simulation\n", - " self.sim = myokit.Simulation(model, protocol)\n", - "\n", - " # Set the -80mV steady state as the default state\n", - " #self.sim.set_default_state(hh_model.steady_state(-80))\n", - "\n", - " def n_parameters(self):\n", - " return 9\n", - "\n", - " def simulate(self, parameters, times):\n", - "\n", - " # Reset to default time and state\n", - " self.sim.reset()\n", - "\n", - " # Apply parameters\n", - " for i, p in enumerate(parameters):\n", - " self.sim.set_constant('ikr.p' + str(1 + i), p)\n", - "\n", - " # Run\n", - " tmax = times[-1] + (times[-1] - times[-2])\n", - " try:\n", - " log = self.sim.run(tmax, log_times=times, log=['voltageclamp.Iout'])\n", - " return log['voltageclamp.Iout']\n", - " except myokit.SimulationError:\n", - " print('Error evaluating with parameters: ' + str(parameters))\n", - " return np.nan * times\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "model2 = ModelVoltageError(protocol)\n", - "\n", - "values2 = model2.simulate(parameters, times)\n", - "\n", - "plt.figure(figsize=(16, 8))\n", - "plt.plot(times, values1)\n", - "plt.plot(times, values2)\n", - "\n", - "plt.figure(figsize=(16, 8))\n", - "plt.plot(times, values1)\n", - "plt.plot(times, values2)\n", - "plt.ylim(-100, 100)\n", - "plt.show()\n", - "\n", - "plt.figure(figsize=(16, 8))\n", - "plt.plot(times, values1)\n", - "plt.plot(times, values2)\n", - "plt.ylim(-0.1, 2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Capacitance filtering\n", - "\n", - "Use a myokit.protocol to find the steps, cut a bit out" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Leak correction\n", - "\n", - "\n", - "We don't know best way\n", - "\n", - "\n", - "Explain use of ramps?" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Endogenous currents\n", - "\n", - "(And maybe even gating current!)\n", - "\n", - "Subtraction protocol!\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Reversal potential\n", - "\n", - "Estimate from concentrations\n", - "\n", - "Estimate from crossings? But hard if leaks aren't done right.\n", - "Use carefully placed ramps to avoid leak?" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} diff --git a/artefacts/old-real-data-4-xxx.ipynb b/artefacts/old-real-data-4-xxx.ipynb deleted file mode 100644 index 8227be2..0000000 --- a/artefacts/old-real-data-4-xxx.ipynb +++ /dev/null @@ -1,499 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Understanding patch-clamp data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the previous part of the tutorial\n", - "\n", - "TODODO" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [ - "TODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODODTODODODODODODOD\n", - "\n", - "additive: noise, leak, endogenous currents, estimating E, capacitative spikes\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "NEXT: DEALING WITH IT BY FILTERING / POST-PROCESSING / ONLINE-PROCESSING, OR BY ADDING TO NOISE MODEL AND FITTING (FINDING SIGMA)\n", - "\n", - "THIS IS AN EXAMPLE OF WHAT WE DO LATER TOO!" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [], - "source": [ - "import matplotlib.pyplot as plt\n", - "import myokit\n", - "import numpy as np\n", - "import pints\n", - "\n", - "from library import ModelCVODESolver as Model" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "protocol = myokit.load_protocol('resources/simplified-staircase.mmt')\n", - "model1 = Model(protocol)\n", - "\n", - "# Define a parameter vector\n", - "parameters = np.array([3e-4, 0.07, 3e-5, 0.05, 0.09, 9e-3, 5e-3, 0.03, 0.2])\n", - "\n", - "# Evaluate the model\n", - "times = np.arange(0, 15400, 0.1)\n", - "values1 = model1.simulate(parameters, times)\n", - "\n", - "plt.figure(figsize=(16, 6))\n", - "plt.plot(times, values1)\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [], - "source": [ - "class ModelVoltageError(pints.ForwardModel):\n", - " \"\"\"A forward model that runs simulations with CVODE.\"\"\"\n", - "\n", - " def __init__(self, protocol):\n", - "\n", - " # Load a model, and isolate the HH ion current model part\n", - " model = myokit.load_model('resources/full2-voltage-clamp-ikr-linleak.mmt')\n", - " parameters = ['ikr.p' + str(1 + i) for i in range(9)]\n", - "\n", - " # Create a CVODE Simulation\n", - " self.sim = myokit.Simulation(model, protocol)\n", - "\n", - " # Set the -80mV steady state as the default state\n", - " #self.sim.set_default_state(hh_model.steady_state(-80))\n", - "\n", - " def n_parameters(self):\n", - " return 9\n", - "\n", - " def simulate(self, parameters, times):\n", - "\n", - " # Reset to default time and state\n", - " self.sim.reset()\n", - "\n", - " # Apply parameters\n", - " for i, p in enumerate(parameters):\n", - " self.sim.set_constant('ikr.p' + str(1 + i), p)\n", - "\n", - " # Run\n", - " tmax = times[-1] + (times[-1] - times[-2])\n", - " try:\n", - " log = self.sim.run(tmax, log_times=times, log=['voltageclamp.Iout'])\n", - " return log['voltageclamp.Iout']\n", - " except myokit.SimulationError:\n", - " print('Error evaluating with parameters: ' + str(parameters))\n", - " return np.nan * times\n" - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "model2 = ModelVoltageError(protocol)\n", - "\n", - "values2 = model2.simulate(parameters, times)\n", - "\n", - "plt.figure(figsize=(16, 8))\n", - "plt.plot(times, values1)\n", - "plt.plot(times, values2)\n", - "\n", - "plt.figure(figsize=(16, 8))\n", - "plt.plot(times, values1)\n", - "plt.plot(times, values2)\n", - "plt.ylim(-100, 100)\n", - "plt.show()\n", - "\n", - "plt.figure(figsize=(16, 8))\n", - "plt.plot(times, values1)\n", - "plt.plot(times, values2)\n", - "plt.ylim(-0.1, 2)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Capacitance filtering\n", - "\n", - "Use a myokit.protocol to find the steps, cut a bit out" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Leak correction\n", - "\n", - "\n", - "We don't know best way\n", - "\n", - "\n", - "Explain use of ramps?" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Endogenous currents\n", - "\n", - "(And maybe even gating current!)\n", - "\n", - "Subtraction protocol!\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Reversal potential\n", - "\n", - "Estimate from concentrations\n", - "\n", - "Estimate from crossings? But hard if leaks aren't done right.\n", - "Use carefully placed ramps to avoid leak?" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Discrepancy" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "#### And what if the model's wrong?\n", - "\n", - "Finally, we can ask what happens if we've simply got the electrophysiology model wrong.\n", - "In statistics, this has been called the _model discrepancy_, and some techniques have been suggested to perform parameter estimation even with a discrepancy (see [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0349)).\n", - "The bottom line though, is it's best to have the right model.\n", - "\n", - "But what is the right model?\n", - "The answer, unfortunately, is not as \"simple\" as writing down equations for everything we can think of, as adding more detail to the model means adding more degrees of freedom and more parameters for inference, which reduces the model's identifiability.\n", - "Conversely, there are many details about the ionic current that our simple experiments won't reveal, so trying to fit a model that accounts for these details to this uninformative data increases the chance of overfitting, which reduces the quality of the model's predictions (see [Whittaker et al., 2020](https://doi.org/10.1002/wsbm.1482)).\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} diff --git a/artefacts/resources/patch-amp-1-too-simple.png b/artefacts/resources/patch-amp-1-too-simple.png index f2a88da83d6ccdf0db04e0ddf95c8f98a58c913d..df3efbf942b70c0a7ffa3d41ed34fa0883d98ff6 100644 GIT binary patch delta 4592 zcmVd2|$2+J~RFtAm6^AhIQjEFU0YlU0^c22@03 z0A*A}1k}VNfR2iT8;*dYAc!J}B$D{C2&0I|A`GB-j3~GwxCKFWL()qlQ))J+BARP`q7m06G5 zZUGLy5s{9-PF3A)w-zf9k*+}H{6)Yq;1gB-!yZ-V&3s^~h*VY8{dt8VQU>S?+-a9E zs}ZLs6TFDXkHCwnnrgQeD-e;df%3pM;2>}T&=xkQP=GX5?WU@?s%meb0q_;@xXrpsgqlz9SwQ~+RfB*Tkzz35 zn2bY^27L^_B_|2!iKM;w!J2sR!BzJCOM1irw0y+~2jG+R}jKk1x) zWf}-%2dos4P6ZDZk?Ta{bt{Ah3ddv|f{lNvY79^Tm;_u3thHBCsaOnL zBqFx}b%BKdA`%Pq1A3_H1WY4}F68)&fvYUi8W5ZzY=@vkRZob>FrX>0SVXQ+)oiDHW-}B4BF1 zvfqH}dB2EAa}l}IVqKQtn2bYEqpG`r5x{T}X=%5f^FdWNt7@{U{+O@qCt#O|j1rN* zVD@y5`#ebq<4nd2Vj^(HZ#-~7Revi`?!xTN{C`(f57?nC5Qs>5U@+#rtyI;;g|xW~ z7^SMUEtcmu9FwsC@9fV@Rdt8mS{y=)P%s&9Ppqn{E-I|vd0h%H-rh(S@R;3N92^2f zZ_FN9p*SWJAVefiL>6EUVO|DA*(}My!4{k$>;NDlgMb$~b1MApBGStu39+i0Ytgm- zM1Mq@8HSN*7=~f>wTr^z@qC<G?ngO-Ezi!DNOb!M_q!v(5RWtL-9FqwQ z|HcgF-HrJNA(#`&teUlI*W$aVQ>RWX19!p#W9%xqN+awH+X};^^0mBY=}q|;CLv`v@I31BGpuNk*YTE7DbE5D8n%B zwpCb&^5}9E$_*Pa<*}z98?>)LeH>=q)tW#j zRsGr)p+StQ-cZ8!-+vI?!`LOE4)9Y^jjTphHxQiW2Y@#*+ZoB(g6@gq54>w87P?%n zxMwH76VqIY1l7UGQ9DuNaPMoqj4xDVb_!K4pq+uu6X3> z3|hCp2B3_(n78@|?(Eb=PGD{mx)?LS8d2lf@!C>#-y%_8zQ zyLE&Ds=8WL7x=0VE0gi=oBUws>u%PBdryy4pFEMxm`4XNGwm7IGcPTW)!%8MUmOu} ziO5jk2h4qouYa{fcrd?<5iVZsEd(YwQ+N8bqX`* zZ{-;=cBU(Db^iXndwBf58`-~a4=>Nmq+#P$0!;Zh3-^`ryp-?w=9ro5+GGB4C9CS^ zzOL7^XV1%BE?2wk?Cd!!R;(!TFmEWJs*!B6m2mPh`+o(Os-}57o{)Z8iAZA+*Su;q zF3|~T%Uos4nsYQZZ(L8x?HzC#2I=#@q+#P$e;$G|>EkR$h)A@EjKbX9y*cnGW-!>N z!FaEEy{e{_DN`nRQo#8c5qZVCb|wdnW-c33HoE+F%*l8wfyS!(oT}QDBRAOii~@5W zA_Y}#t*R^R))5Z40V-FHsr>69+S)Cqq-@!|>4J(CD@{9g?C2%WPF^VW8Z-qU`}k2N zk9&}TDNiuqp0l?*I-_{F-(b%AjW|T)8{iRD{g2I3egQ%{l;H+w7~kx#z(AX|ln9T6^m!{@`Pa=KKMt}9d{=2!xp+Fgls<)~}^W)5{q@e<`inw6jWXsM4w6TCWJ=6cDNR?pG`~nUg0@uzuYa ze6wL4+qP`x_x=0Wzi%%G4(#XHQFD;S9e))?)oRs=jlGzLjT+OWSqnN|)tL$vDgrQf zRtD21KTqfDZYJ>`W6pWK6WPa^lkps{Palih?dG9JM{@r^M-Ua|3>*}L7er*8uhbY} z&PdFxh&$~T66#oDGC4UpyubWi=DjhCk3M*hW5-N0F${y4sxg0l>NToOc6K(|$A7c= zeeXUtW_`=Tx8DFDDk_SOS9Ydl+jdNSaXgKhwqeBBnYdiOW7l7Q@gWmOr?6w&k6hcW z2hTqLGPN$PW5q3p3t7O=c8jnBRc&IohHxRECZnpn_3v3scy=VackST9N*B_#S64cB z>%!%2TTs7IeTv%AbkD9mtpDO`GJjWQvSi*OR%foFT8&G1?v;1R8>%kIf!}}QmFI`E zV)1OM*Qm+l)S2AUFQh_F!NO|bkliBeKtw(Vo>0}5c54ZB0%kJ%_wD80qyc1pu#!6U z>+-^DljzmAH__#yOLU&NT5-hHisObpJs9@nLnQVY$^(z55_3_Fv)cX{*nb^Aj6(+x zaPw^gczWCEQ0J?VFhR)L_2)>;vMPG-uBI zcWK?WeW|Y*;dI5meYNdoKYwtd$W{(TQY@1>aUz?;hl|&1&N+FKdj{UjuASRSU+@}T zdtO&6frU{iMk!zMBpWvzz;Hz~C*wKhq>sbpa+!hMhaM-YOc}plHv$=jxy0fPn|0X1 zB+Sk2BQhrCPyGJ6G<(LYeEIoW#=bHxAO@3%JL(jTnnSl%SF!Q?uYYLYu@f()&fwD8 zbpv+I2xK=<(u{IpfvVQCTSK_u_hkjIPJNO1=8YMUGN|arrNmw46a$Ceip%AqY4aA2 z!T6v55TPrPMdTw9xyEiC;ecOFX6sLz*s*;pH{IR`tM(`#GxEBjXqRYJW02cWeV7zFE9KPg^7n;v2AgXGA7&3p9TN#@j8zCN=|y?G_OZ z_|;@`a&iE0M_D_O*zIyVc@J3r9*}cX@8%Tl(bG&Q*F@X7YxH_ z>vFl)B_$=LCnO|PELg9qajLq|4sGFvU%MQQ;+s;gTzNjtTuZ-%+x>ZJpXBD`@a38> z=+?crCD)5E-T;o}{gRxV9E-W{&s9KmU{^{?N&}m;l?qj@E+Q3yJKb*gH7O}6Q(|Ld zCyg9A(gPqO%YT7!s`{a=Lc;*RnoOAsE}&DFYgw^qIY$m3p+dz9{ycr5tXQ;yqeqT% z&2=T(BFLe5Tn%gkRwpDR#JSz>4XS#PoQ~?(Qq@g1>hlK%P{-r(JoU>jztjQ#;Vpj; z_|-O5VT9j-)HtfU-}AtIFusT&T|wpfpY1vn<-U?od1XAz$L?r)Wvw@svFGfV_ipT?g zQRWa39FuXd6jj{_e2&?BK}6~TZGbuFdCJz_k3*0mKrLWs5$#%eKj}rZTc@h?{2|OC zd=ZfZV2G-=7Ln1wWvY6cx2`@g5vU7n2Y((^)h!}25qMiw*Lc@XeGjz6G_2~tL{)v> zhTCx{0yj{eqMrmV;M-!fi^zPi4khMIV3LT$19t!^`Rd*Uo>bL&B617xj)+_i{2!2i zRI0A3o)D3zf!>b61TSuH_qD6tLL4FoRXrjiZvta5A7HBhL?jj{m)9Fs)wjGon14%b z6YEfF&RB&lB4sgya3$eORbA&lNe&T02CxRR*W&S(mE-Kaj&gyr-kcK+F4ONZQt#dd3aRS`Nu!++zApE!N`^<3j7cS0THkQRSGI1 zQbegc3f9CVXsuhV3syjJ1F0w?i6s66#3~}P2n7`%BZ_<6jfG;^TndO~4Mv$g9X4};#Uj#7RkxHEiby@+GT<6h z!rVr@o=kKgB98&>RCTCnEv68WPl1L&F|Z9d0_Xyy0B5Ocp+R}ILPP{u4NOwiX%))< z06Y#Hud4e^>3?$*pJ@Re9+k*d)q$#dsbjb&0G|MN8`f1T)V_Mp1cq0t8VNj`CiQP}P5zw-J%vB7ZWx+=${4&t#$yReeKMFRxf8 zB8^3)z9~&EatrV=@Gsya?AwcMRn0Z3>hQ_qz%A1VAiumqL?#2{fi&PX5$Rj`U=cZ2 zM4od)Xr%B=CJGUeej@U!^Sn?*@_@y_IuW_XsJO`UH&smm8e#Y5jsxB^E2&N_1dbMw zOMte(e18BDNd>L|2CM2sY$Hkza{MvCnJ#IK2%gDACzYob6sl@p;Bw$51A1IXRrdn7 zV#i|^#%eE4Rrdk2fGNN%Ro&xMwFB0uYKhbC165sSNM=OwOeQv1>HJ-TeT7d5oDrI9 zfi}RsX4TY*9AE)3wL;l{fEML1M5JrH_EJ5QiGL0DIe!ywQj@A~07e71i^!>_^&AeW zx>{AURP|pK%Ki;(5RtJW@>}el&YqBO62o{iexrwJ1ivRwB(4Ou1}UG49h3U6yK*AV z?}1U+v6)G#y3nwmh~Sxw3plesk5kokq1veGstPZ+1LebJI^<( z>d43__3$`shGO@~g5sG>gb_cq;srB65LC z5>i#Q%%yYviimWvEUUn>EX(b4S4SWacz>s~v~;j(9d$)Sx&W=6muo5plcNCC>mvpg{ve5)NhV+_@}SvZT3b z9bw`oAl-RB0l34UmS7A5{tC1&FYrtz0yq<}#yK-}rvtr#VpV<7tTK1F=%R~g+JCfZ z^$lI3sHlh~OO}|Hk+66s6G2q0p6?{WGEGnHwOBz(IFk_(pEHBB+IGML!0o`R99TaT?|&Q_qFksx zJ6)(_qXCQR^*0Pr?yteIk)i?i|2`N(bo_>^yd#PRfvzDUjes{q!C*EJ=<5D`m6K6U0}R4mUeEiJvdnq#|T=i`k!L}ZPsURq6?=02aV2spY@)jefp zWqFZPSEZ&u0%Jo|FIH9KLx0#JG6Cossy<<2GEUFrIp8;hzA_*p#{dODH=tJ{84TDt zbpwIGFyMWss0^40N5)c_wC=mKfkKuJgO#Ypm-cqnBTRU9~-E(V|6BwXC41C};+WDiM*5zzw0& z7kJd1xHcIPIT?E$(SLgE0PfyO_4To{$6w&odta!7xdV6$J5@zZD6=eUM|ygC)W!N# zHCQsNs>lGMam(N-*em{Fm`Qd!-2$MQG>HrdNi6J0Dn$mwu6$hMcoxA95`zx z=KFlUv$9Ig9(m^@Hb6b~F=xd$T+{bt*^9kR=tArOZ(JsC4im3a>8g|{sOlM}HN+5$I56em z5aO{X^C)oP$bam67&P=irb<<{p3i%DhKRfXOjOk?LK%#c)o!LUXC{$Z3v8~gQ9L3d zt3~AZrgg*us=7i|=Y^_|E0b~dO}?eyxfg5tpAL*v@7r6#_&cv=M(zW?2Ogg%E56d8 zKO7P9iO3DWH`tl;&v8X~^fDf}%(MtY{1y1jw1{}XKYw)S&`c5eYX1EBF`WfpLK zyLjT^TNsgj4_9Az=ype2xBSGE3AdHLvH1C0IgW4B z?tj>IYvXRevC4dbJ?l5&5Ror{J5=?bhNb*SjBn`Y2k6kT%kO{@hPBj+Kp?<_iSg6Pq<|eB@X{ zFQ*Smk6vez04DPU@CGo>v=9S4ggpRY6n|A+3M@6Pqb|hkJel!h?qJEHd0c(nT@1Z6 z>yY}&2J_vjFPS(li?2R=kCQu}!i2et>E82nx8%kueJii^N+9+FiTc^Yit8q`Z|`0{ zEBuHrJ};zr&1!z$vY9QLH?ei=7IyEl2Wk9CNi=KTg4EPw=x|aePVUl;K4rD%ZbzCu-($Z4iSo$h+UYN<-Z@$j%-L{!nmPJallwTk1PCA~Fk`hYx zl<@PW&3s$*CG%f?0f3~WB>J4ukAG87JDsVIP2i-?JsJJb41B)OvFlGidW%WpvRPOB zFU}b_m9rbU=QRZljpAzp~6$*3wX{bME*9~{HR4eL0v$&n1W zU;zCF_UDw-y3zim_Efi{=?@!z;IofDrQo#!7R_0}ih|`dZ+R?_JoPH&Lx0tk+4}Q; zcUCtC)1(C_ee% zO*)?3mDzJ&rN?Q#>U_=wX8`8*)i%u*U~jdpJgTKeCbM^M2|ISwtbf;Bx^ExXjkuT% z>(`Pu?->RRIk!#%gV7{KY1nul-+sOo%a_dT{70Cb_b@)6&kpR~b~j1&>V^Hh3CLLN zB^ECj)?tFl*qhrYWK1fYg#C7D*0WFZ@rUp6&{Gdb#9+$tC++8?t}w9snSA^8C-mym zm&bFS<+$V9M(msk$bUwlwi)H(0#$8iT0^`L_GJZ6PkoGzT|04g_Q>iR*Aaic{fxNb zQhYuiox67P3?}^ilLTFfEFy1<$l0cK!~CoW@dKF z$jE44MU!W-a|?OYk+7Of%T}oXe81k^fc%EF8%Rw}^h}u%VFz$Xiqh+^zrI&yW@e$P z<`~cv7A(s;&41_f6=r5;=B1~nH?CZ-s%fe^--Nb!BdlGHlR9>$L4$_8U+^ARq+c1% zw+=~JX(=DC{D^^rhPraT1mgu@clnE~tgKY*eSgjbS^yiev$Ibyq^(YHRCLx7+8^>o}T9S`#)FJqvb$UzqP8aGN>;cSU{UVAaLK0 zKmOPT__I^~I`ES*RdGbvgC`@?N3nGA0%kuwi>%usJ20WDySVoa77>xcmya@)$c9KdMGC+=jogr z`wmc5Cz(|kKllOm?Ah(#yeYJ4@7%GS{bglT)ux4Iaw%|^ zFMflf&%fdNn{H?O_W!!NlyBG0#2a|X%gfse41dqa$T-!qtUTbjsZ*zJFsEFMuykb73Nk3?4kVvt?O*s%lhNSlDmVrcM3M zJAd!Iu{C{TRTUA5cxExT&Jzp~`F#HT`5%}#w}(fpAT`OZ=xlg)gQ|9lyd)0~k9Z>H zw?y%X9Ykc1h+Gk(uDghgc3YE2KYN51iY-OE1k7dUw;Fq zVjETqV3MjnYT)B|RD&OANcC3&AMj-j+9hN@SdUusA~0D*Is#V#*%j(u1@2YVIU;fi z@QR3>0{kCPaa5|Us_qq$`+=dJ!9*{9r~5j|61U z*tb)IDjc&1hega6B42KwKaqJ+Nardw>Fg6_GUT z1XCZV>b0hHdxWM+lM#{M0m1f{{Yq86tYIDzLqwXW>b4-|mZ~P|*10iBbtV%k*UWHL ieLiBUJUl$&hW`Oxjs52{jE?jG0000 diff --git a/artefacts/resources/patch-amp-2-with-op-amp.png b/artefacts/resources/patch-amp-2-with-op-amp.png index ef4bd844d7e2584af7870cc874e254fb040bd29b..65fe13245804b6bcf4da633ae3b2dab6753249b1 100644 GIT binary patch delta 5705 zcmV-P7PjfhF5oSYUVm*#L_t(|obBCtm=xu;$MNs4XK+Ok5JeCNFcB3|i5gTe5u+&4 z;0o@bgUp~YDjLDuEUqLj$xU*(q9}}!7!$<}+)We_&Emd97L5Wf7h;TCSX7)o_m6rT zo2Cb5>FMgJKA-2A=b=w^SDhZ_^r?EQ>TQ`Bt~ez92Efcti+?v0S58uKC*YiGU0&qQ zC7c(za|u@&cLL=~(lFqA;o%m*N5DUTpPJeK#g>>$953?t^Z@!FY;o0+XF z!ggE&`0jc$Nt!@3NLGxZ&b7kK+Q+b6*9LhfZ@#O6Pk;%)_CN*EIqqwVuvbYPa5Eh~Oj09Qb2ikycll0+7HFby~<9B(420yv2hIPNsFdf>l+7lE0QHZ9_| zzaY9>F7p9+9I(*LUVvyYP|~rIE|GLx7=IjeZCH|v{7#~Y91aMNhX85d;n*_D5(eGQ zAi7QU;v#Rp4){*EgYHz;{@|NR^kMh{a3b)(Mb6C?SYnIZ%vKS78%d^PjRNXI7cB{9 z_73m^qRAV-R>bWt1fCbOkz^*?;^Z zZ0l=8gIqfSOMuf~W=@H${upd&EJ%+4urZUqs}2X_bF3M0$SUC$Ok zmx3i$SgXzK?Lw_g(jmZZz{@_||($t*GTBi_o@6kXHqFw$6fa?mFS3}Z8*v5KYaKQz1>((vqG}frAt7E}} z1&KXN;##iBMIOGh>vBm`hzff!u-MEVEOc_E=+skBWsg1bT@oLUIdkT)V8Md8({LrM z**B<|*?YjTlJ+L*=p`*&`G3|ESmxJge@RkL-~%6AORg60=9$^EW>(U7FG)HWc$?@< zz{irV&Rp(Vt|aXxX(6x(_(;-Ck~WDuFIRYp|3jCw9dHMbIgqXdE(B_0ODbmJ9Qj>D z7YP9fI1T@9^hBzZG$ZaLTGBenD<1*g^h5?%bzacscF^=edflk0=;AUVv(Y*xSi0-E`RmFim)vUu`fdGlbqN};NvFb@0!BQ68!^o2L4I(N0t3^N)y*J z8n72%e9=nDuwlcjqN3s*YubC+7+8?!ck+X#tjB-a#!upp$<6HFL{mY%96~FA@n#m+ z>@cx2v(JdWpz)~?`W(0>{KTfVwl?2km208I7de2LJ!58j5P$7vjx@7a|CmdGnJqN4 zK}1tTUu0(Etp%6;wp49x?T)}-M~oOz5mydLRTf2_C9_-VzLcf}t|XLn6VR(ehYsh* zolDXrb+*=4GilN!w%KNzxYJl;{`|IooA>C^qldI>*KSu}<5Vhj_8DiKF>UJ9sUO6h zS5kP9H_^0dv42kyw+>0McjD$6F=9kT$BrF;ZDyTAX#2{_%3l)AH|DI4JCCGk*RI`7 zW_F929hgq1@2IG#=m$_)S?Tu|6o?nOi`BK)Ub`G%>=W3r%K;`&p8R3F86{7-jjlUa zc9T959DmM>ypbgBE~#`bT6eCIyvQ4GAK>qjM#PAJW0pJosV-H;ziy>mtJ})Pd)XNcHD8tPDJ1S>0RBGG%xaI*l)l6 zc!d)`rNs5)vH&pPQabs)m^FZA}^qU0|)ZTE3d5S z>J=3g-ql^~yvPeI)777N;tBQa*)zMVci!NOoofYNO6n!w=J~Teq!&d%UZ= z)>4i|ey5~#Q-6RPvsZG>HP?_zrPlki`G4k{uQ!oH4mpHZUww5=R}aVP!&>vryYf?R z-=K0N&415Bfi+*NJ7UBL`uFd@-WOCZ zZN!zOa@<`nS(&c>;DZm+wQJX{fmz{Ly<^QzYx$DLP^)dBtU{Ba))Yzf?5 z{FK%*4LBmtDbVAAw}3wvVk2hu3h*p&LUvqIC2&&6V;x@PZ9sNc-*($=yMFS}*c8Wa!YL z%%4BMBB!f=EAG6CWEyZv=8s!ad!kdKbafJ)bYproG@b=so=B<(=7+dja|7D<{&RLG|j{jp~bOE&?wHnXQC{SJ5|Ux9~po&fBe zXVJ4}cHesP`ytVX&_=)`VO{%$_`bjgz|Ft};8FlHdz6alLHSt&}wUI`SjCIpH8RKdzjf(Wm4dn*)zbq!2bYunb}8S z(E#9&X0|9io=j8#EzuF!F3-=F>v_#gpgZsb;HIqqmqEa7X7;|BrHMLiv-1mM0Chxr z3cCX{vKAi#On(i}d)Umr$m!l+06#Iaa_Qc=>WGfb56p^f3}pW<3}~12@61gE z?HjuhGrO*-iJ95wl5Qc|Ek7qGzb_iduep8$Tn5yc*@~QXulZd_>g}DpKtBBNLl!Pv zSn&0}`R1Dp9y~bHy`L$!?w!lber;wlvpHGezXF4^rhnBsnP`_5qTSkl;osT!?XLp1 zYK8p9nOVxrvIq5^1df!nX`cL=yPaibwvm~Q%~|vpqJcOh?F-!DMP4BH+;a~@h72j# z`pk?QZn%Md{raWn&Yim&xX8=~n%RPaZ6qPg>>os96HiKdj_AB`rjuR_+#=~kqFw!^ zA^r$(T7NMvi48YK%>bU4^b*l2uuEHMbKe8bmh?BG!g3_t;^uy^tu<(~YSk)M ztoU-gWBKysq|<5MfB*gL?a{e&<7QvZ)4fM!&V4%95@l87z#`Uv3Z&5{O`61n2@}?d zcj@xwSCwzF$tJ8?wf^fy9S6 zqJIj2(@#I0!w&oM7Uz5Jxrghozn+I4dT327m0JH!!{Wt@sjjZJ*|TR$(%;Q&l$pKQ zjNF`SP&pR)5;J?bsV|bWPxj+3UAnB*wV!+LIoh>r$L_oDzQJ{6y7&3#pPyd2a%CF$ zg_-@hp&JaGYl(6!@>nfdvV`jDYJ2Rl$A2X0)zH10dy|E88|0(wMUojg@7uR;diLzu z^}tnTwzn6!Yi-`i3uMTUA@uIuJMXe3OO`Nt^k{qZ(MOd^rRJI0NH16~@eS!<5H)9Lh2O8s1}OBOHkRo z`SD}&Rxo4640hRNm-PMj-(L@0l}@L><^}HJ;+?#JmM&dNZEY?0-g|GRdyg`+=i<)G zl{fF?1u|pC40hdh*Yth&-Iv|Hy}(`Uypy-kvSrJtt*vF&tXZT|sRf~XKO1*m&SiKf zZ-LCQ_r3Sto87&=z+Fl4PTma5mSins?AWo)oH>(JDzz|-oO^M*lH{GdiEh31R{Hem zlb$teRy{B=olfuR1@20jck(8>`s%B_d%HHpJ9*Q50sJhTPWSf$cWs4t^2U1;7-(is z$DN3CP2ok}NI73q<6N8JyX%wL6(4_jkvo@gGPAgIC>lv01E-nU-ErsQBDJ-(=K$Xi z4|@O$fX#u!r%ajhN^FTGNXd3`NoPtrs)1$0C7qLRnQL1rD=X`OdZGdc*co`u3%qbj zw#b2wz|SQq&$7z^pVl}5uD$l!<-paz@(_AAl}eovV@ior%0*rWQ~?9BgOY#t4e>w3 zk(4XE8*aGadP$3bFC@*p?z-z1$DLD>lx&fk*)rfE;N+Y|qktJ^_EGV(a;?G4OwyUa zM=MvZ93OW+NmH^#9!vv{m(&hG(ni4W5N|X|+ex|^Xb)T=>Cl2_>RRNw>#lps%mz-M zK7B>pxg z%)S6_;mck2kw9Ihz$G0d=~+oHNV-E(`#h@|%Gw1EAmDOIyG!aJsXDB0n56!ax=9)n zVn;~YThg|YY9ys34VCnDNnJv$ouna>_LI~p#5R_6u%tnfI)vC}k_LZE`i7(}LTroh zcyL%=6P`aXynf>l>lD^ML{eplbqRS4Riq~5xtF9PLjGgI`{^%fScp}J_r1HMqeJX8 zNj)X?m2_N)ohqrfq+KK(A7Up-`l_UzB%K&yCrH{^(vFf&39;c}UA-iY3bA7(^^>$i z*6;i%Nqb7_F6k>R|Eqs-xk|dogK5B#lB$4j0XGALg1(jLymx=#ZK4l>T%8+O?^l4Y z1HFI?LhKY^KVSzSb8L75FbLQ_#43mm8NLa04Y7@YV}OHzE+MuVFbp^Z=oDh@fy0SD zcshhw2jH*}Zy#cv!|R3tn}*mnVg1L1?TruH|7OUiBE+^2`JR6m@*ht$P`Ph-e}wnj zJG}ogQK?Y4Hz3@V}RX(U4ZX~ST)ce*a^s-?i>Z| z4eSVv%le%U0D7|Shp{VwlHOg7MBRV<+rZSUm=R5^2!Nz5C3VStp_#o#)TOe|t3Lu<)jFvCvr5i4<&sUctp}FU@2c7hvs|! z+mcoTuX};Ja?)mt93b3ia<`<)FcR;a%h6Vj$=AyZ+_?vaC8Efka}AR@5+Q%COTXJ>Vvn||qx`nTIuISoSCwI;@ogb4smvC)y zcYT8-T}J-fPE$maP2}#rd~Rkw^^i-XL>4*Gd}vLX6wtqo#U+;St~-}-i5z&7)Q@O} z(pqA=Mv6~+>fGsxgoEICpuGKBf#krD^m`?7T%P&ct zd<{wG0!K9AC7+ww;jNXAb8$*!kpmrp9h&fbpBcs_mhY}RmvBk#Ms^8hb$mw5jVWbkqC)*<-bMF1zbKd97EA}b9bOOF1jILOR=WonLU0P!V89}<`N_X8($AiL znh5!<7;r@``4_*P`5AvUqeO+|q_LHgn|_94JA@1Rj{4&(bUnIB!5U6Se~5Z}_s;nA zMYuzN$q{ob2FnNd$>Q>h7Ay(c6Af*>STili2ln*Kz6%_0IIXC^UHDIAddF7`!T$oLQde7y|mhe3Of!s2B z!0N3)I_CHrF=o1VK^!EC5*Jj3*|N0tnmxl*v3SNN%WRwuyQHK|nZQBgixg30$>O^6d@_@d{#^{TLDGj;N zrNWfH|2~r&#I|BgbLfgl*Uk1f){X;YJ)Gb46Ho5F*vOPZNXJ$^k6E$jo%Lwo4F5u?X5K~^&Ja{LWe7xBW#epU?WwsG%U;Ts;vKSTIR>6#Rb zqW2Fyhk(ORDxY?};CVR_5(q*;EQiPEkj<(a9A6P@u0MblKwOLW;2z($zcFCF;~I#- z!gjqDeMF?G^VhOO&zH&U{d@JTVsUu(XEOT^gykVCtT^^|qHKCF+vy&{bVnsNsi|t6 z)!g$T=?|}C{J8{CnGNBX)R#Na^IG%JPm@g;MP?eSWuKke^GEsfvS z^>nFaRgw3sk8??-lA*#|>6rT6gzDrgBG{;ZymTk#Vs)SUu7x8;?cydcpViA9JQDq3 z?t-l4&>L_=Hk__e_vadM8Wc7j`p!crCGgcC2^)6{yTaJ4*;o49a{$r^fqMB$l3osb zzDTNOx-~f8oJ}Mw*N$mRzpyfhceo!k5USA-S-$O908kBQy9Y)+UQY-5&fZGPejqD$HjS1$G;Bhm)(#>ro zlbKj8S>JqaZ-`#5KxE!(MV<~4d%ViGfWvx@%c~)JAO@_oi}+gRf%4cc^YYWN8N_u> zNsWKBboG`1K6tA3+s0C|D?J%NCe_ZNCoiaeXa%j+^mZzc_l;6nbA+IC#Vu zI)Zl}ZW_D$uA}4iA$=vg%Z}f+FxQdtUAGm-o?UJ!)k2F%$ILpfQcOoYO0l2Gk(;7n z=e&ro_463wJ=l1K`-)(3DzM_@(!W`6LPiD>RJ`z_v5v=Q4HOGE|3 z{8ev2?Qf}Oe9+#CEVT0goytc6nvbPyOmoG;_6U+~rAALH)Cs08vLm|yi|bw8_;>9_ZcyJ!y?0qffvu44amu+37 z{DuA5M%qv5C(?fW5g19)`2}`X!B^z|6mvLj!*3y*^Uz+M|Ie%C_Wdf3muB{ev9|ru z$-6{P7wr-17q|QE;-?)mbA+W8zVSNkN8C~3A|%x)a?}nRuD{ElG~IUI{=xnq5#T>I zRJ+;TnRxQP4zToDetZqQ*TtcHS6)cNJIBV_y3K7cQaKMqr~kI>n9kolu=;g?_^sNE zIcC*j50`&-2b7&d+W6@vpqz|+Cd92LbhQ9Hkx(%Cb$onDw=%Hm)qxbN3chsE+plnz zI5v3Uo5Aue7bFMKTVmwQNOmp>27F5zh_SE0;e6%htEI2Kc)sZS$w(ntY8XvY4Dl+K zM=7wuX4!YBGtKn4fEBdbrOn51iyRe{PXkGoruHpI)6Z!}{=?M!o9|d`ZEQAJywRq` zL;61|@g;+I4aJ*BtGn$f9gy5cJupOf1#xTXd6*HPPu zjSpj%jwmX5+_8)<<%fF8t@9k}9Hpub_|7z~<6<0UBDAv^7{S3fSENJGpt|1AkEhqB z8~tqOabL#GBG!<$@ol~K)cL18%f;DF{j@c@jHqy!(~w(uI`nc$#V`hbJ^E;e%Km$( zwM9XIQG3-~2q34J!zFdc_QJxh)|O-}Gy>(;azX1f|OYuokwx&~#0t%=T?^d;ySPaGKWRK{_tw=M=PYr6Kbm6+US6hfQ9 z^Z^-iL37djBk!)K(Tp6U>xvW?h7?(*A&Wsz(YGW!+E#^_k;uyi^XM5jv<{O2Buns7 z&_JxX*_8fp-Mu$c#7hH?^TtjK@ti_kf1{|cuZMWvF8iv0=1$hFma1SqS~*<2#5u{| z)OsLa<$B)V`t|KMbEn9l6Nl$1Iivvs04F4p0uY&mKFPG*@bpF|l4JC=PvZugp0%ap zEYXSiHOR8xE?8&F3~q1tyYkylxp_s2{f31xl>FOiXutKm8lpdmi{%tWv}jk#?|MA9 zXn$J-{d{1{(Zu3Vg-Hpd6nWAF3z5=+-J3&aBF?qE)!u<|Wm)H~xVzAT4EX>nCd8M}B^Y7~w6i!m|H(`jfES=5YM@q0@~!rC-nzjBC}~Ho2B2Hv0n`Mm~I3RGJvd zHlZu#^+b%>vbO5C;DM08%9e~j2jh;nN4k-amlRCN#90qC849-nf*G@2^zkPhP`>v(s-ewy;HTDt%m&yez*R)*% zu4H&IAsb9bu|~j*6@N&zde58h>)lI6##%gD6GSqHc&sxVp9b47Q@gyEQS;gg*6xOc z)4l!LQ5`9i{j8z{l%Ht}x0ZmGra~tiMNbT^SOLwc;;p*s0MW^c1B#9Q|1m?0432lk#tCi&F61}fFkfR8fhOls zE+g#TSr9^(f1^R1k=x?W2Ke#T3P6gri zT(?6hyA#eUUkrKbTUvB39a1t%N2xnxWT+43j23cFZA)XTldw6s{-JJzKDS{goKk!3%Ck($mLQ zpl+B?mRx6+uX`CLLB9a*wM z#Nf|0eI>HwkbXb$k&z^>7Z|yo2CPgTXRWpclw>%u%qUTr3muPPk|(_W*Ho1fxiqg? zu)faNks6V&QJ8uZG{-WOxuaRwoi)hwfV$-%_JB1M01(zX2k`*01cWdZF|`+ejc zp08$WFQYw5F&6H#uHVdm2e_h=Uz zB5BPDdA==)tYYCV78f$7wk*2~jg#M(mDOGskG4ji_#&kT*W&~!%MS1QYkQ%2B(uTj z^rB_mnUBOsNeqC`P@9 z%;1zxvttLG8NiUxsC(GQ@9E{$yiblq6yKs>-H%aX)Y-$IuG<#_&e<*a5!u)}uwYIjFqwaO5Br;76@4h%Rkg3U7xcFCxk;He}_sMy)?d=4| z^|p-0(a~bFvWg1VWg@2NR@vd(NQ2W1egNFU<^1A^wfmKJ_`23c##}(2(dD~PM~fN2 z6whF$m!`#n&(edGeQnWg8Jc6FVKY}cV~$7R?nB<7&!uD3=r)3*Jl&FPKH(UK4fC78 zG3>n_Mu{_)PMqd(?OT@Q;A$o&;(A%r=>VK|TW{XKD_)-YUYb#1v(3-w8Pb9hfF)8e zjN4e6YB9zivt>Rkw^4_G=5!_7|Xry_rn#au&cn2!_h}hH6J`T8Sp((sE?CHV=pq`y*w_k~ z=*=y2#|nlyDgrL7;xqL@;4eeN^jnn5_`{jB28gtbV2D4UUX!e@8i2?H4MSSpOZ2mAks3T_XW}$^pipR9%yD zwjaW>Wt~N@(bp0tZ=&}yK2#OmL&zfxVbONC_IWGNYIjGkpj+Z6WzpOjYBz4J@J>67 zN+6umKLEzhefY$9*ay72>8#Ht{P-U@b|0~tm2=k8B-7p|eT~=K&kVNi1%ks3j$vFJ zwYggz`{-cv=UBk8YD_vopdJJgifkS@zEQvPsK{dws;6_Da7fpo)cn4DRCnf_spW*v#xJR#&6 zi9?!O)a%H~AZL~%5HxPGjBtgJdU<(r%g9BdCi=Mzujs`nfmOZ-qOq>1YVfR~caY0j zf2}X-A9cXj`J=ajxod|AFL^i5JeckJ!D#K`CN=IK_rz~F@;Ce$e`&=CT6|kyo&vA| zBbS#@-;epoesfzV*W)J-2~ft2E|*s~d-c^fGGUchH*eK2h{whJc?!!OB$T;B;DP#O zz{Oo6s3l0IM!e>8j=|eeG9h3m@SY~V5$4FHmP2w&(F0gr!2a6)(YIM?`Cgk-8%C+fy^bP3Fc0D$ z^M(5x0gpOXI^{jow|JEOZ7rvK(wUwKThOwsg|01NgS4jxR1aAqP`VRMMrT->gKz;( zl#F3MaiG4!YXW8i0=zz*-vbMR6|p*=ZB{GmQ+a#gc3xgM-^h~8Y0Jj#-5~+T)-}pd z^D^D_@4!@_hVy$>q|gUUdn7%oOPra_9wrh?#R3yw^-9U*@>FSOK#m$~n?7#OhOF=_ zr8D`V_hfS4s!d=C!?B%|kHW4}?u%&6o& vj>$tUTkk~Wz&8e4ReC?0W1&LLW^I31%PH9wBcF}BL5vS!Y-xnM>hk1&_(y^t diff --git a/artefacts/resources/patch-amp-3-diff-amp.png b/artefacts/resources/patch-amp-3-diff-amp.png index 68927a3f8a6bac36be186660e7d531050eb7ed9a..8365e2303b4c061f4ca935249b1e2096980ee346 100644 GIT binary patch delta 5469 zcmZ{Ic{CJm)W0#dEG7GrM3(F{L}M9AF@%z_4kj^S?CVIHnIhB|8Ck|MQcBj+FvdP8 z#EhcQ*w^eKTNrxh_xtlb=Y7t3{<+V+=ehTO&gY(c?@zU-YR^3|;!yJ|4(R-~@z^GZ z$oj6$uhFNXUznO|cKvx0ZAJSrCv*!yc=v`Iq<0yKI9PTLRalJtv#4_GKXt8A3y#v7 zoBUh&(^>3Z7N!~^fcb$hW?S~YFT+CDWBO!U^$cP`z}T-IAQWdwdCBRb_rg^C46r%x$-U5O|TKPg@N}M#*ld zAwm*%TRAB=20S>2YycA#H_vDyOK*5X_beOUbT`!Fkq#K}TQh|njwfv8sx`fbxM=M6 z+)tq#A^ag02{R!&);{vUEi6efvd{BEDR+pfHYoia;$!jT^|X7qRyGVri)V5{9^>Sc z?Nq)}c_*OtA}L$#bmB8lSK)mR1b2v4#{4}1iXIR%f0Z2}r~O#a^AsYB5Z6Z|J7_e+C!!e5Mh(iCiYK z8V4fNdx(d}D^F`)yJuSY$Xg)Um7&X==avK!f^u!b_mC)@HLetIVn03pb*32-wg4c} zD*&bgDtJfWmZI_br5xU_UslgY%rB8Lsk{hGK+WPLHU_!7_vz8dAV1=?wzd5s@9#a$ zDITbWFt`N?v|Q#LJDHC}cJN?)AG)?t;K_K)IX&um(UIXBQ)BOB(3;cPx+)Oh(7e_M zZK(yd_>PedRZ+_yN`-u5yy_qlfs5;X1}= z%4^Dy$_DCHl7>Y--@er@n;o3XX!2#8Gvgks?%x7WX&^j7v1-+b@w2sM^49)ZOJPvq zS>6k_{aTYoQ{3^M-_)_i;fjGtXS0>1yv=9zQsTge+7xjp)g5G!KOzSygr8 zJK4VDfVez*g=&V&fNA@kogL&Zrq0(z|D|}*NRtn8T|vSNmOMiLjx(Y1QHPJ1VLPZ$ zd28^CZ!0k()9?%N-zE8G1u9?4Aa@Hze}2_`1%ZnzRj(ueLO|u+=s>4}k<1NZ2anTc z#22?AVF?Lq^TxVL_QMOm&LM96J3b;2ZoKsei+RMZ)i%f&Mj2&CoY8c_XxMs#Vs8$x zPhD)k+`R{Etr)txLecPOq6AT~0BBci(GmNEqFB<-}-e)P5A5{Q9=|adc(& zNO@rxEkGs2J>#<)*6&38EZn8oBppCom8NRH1W=?5+Gv-Qr86<%n|{K{{0L;4uux2L zf~0xAb&9hsq*hT{KS&|ti-Jt2?I>CCvi~5A&u&gkO2^B5KyKB{en5^AA0w|ndDj${ zs;o~M&PZ)j>=mvj&;mG%>PG9!z9Yen`PLvi?*)6RFr!{%!#qlp(kOEj5GoPQ;{yRS0WB`WC!r3BHtVOYHV>cnOAR zExjn74O^N`h9m2jnR$~;C8q+}jpfyuU&(N}*Vj}09ST;Kmxs`in5fWA^QxE3<*`=G zzX{eSI-BOUSf_j9`UIB&9f$vl*Qm1CVJr0E6)H7yWk?4>W&1@Vbht;4*N*B(tWOja zGUt>VfBUE3V18ZC zR*zy?0#6zs>M+4SW7nJaDE;tAqOPA%-FVcfGgLN1cY=0SUB`A&F6 z9X0vH)IS^_9S#GzXq@*Qrox-~^WA>Mtvdbw+CL;aalJ~O6`B`4SC60+xS#{=5`FB0 zSF-*yS$A<$i`+AJpSL z<2cY=JnNqzjuXb-Q;dT>jNWyQk$0+QC8@-&@FA5QT4yy9uI0KD!!N;OBrwLKo*v=* zQSMEma+pAOc^_U^F5^o@)^_Poz-@aA=xuBq_5?mPRe5BF768;{rwB@LRBVvLjhK8D zr#UfYTODs47ZyM40;c?5p4aAg)?A{XUr%HbeCPpOA-Wq1MQh9)r-dOu)IvIHVzK|V zORYZ)IrAx*Ml_B!b9Wyv#XmHaSR8-@akp%;BH5}kB4PsCTJB{}fGXAj1^8Op{iza1W}~r$^O@$|(5dHM z?{_Sw6J=e8Un&#PkFGrC@)6gB^W1S>XhFXHWrFR%nZbguTa&*(ItrW^U#RX3T)2z9 z*R(cv@%Y-{^T1KEj!%!slan(3(w73zKnWD`UM^!rgON&TW`o!d-B(XV~n8zLBMTy=q?ouW?gw(X_w0zb`i3{lrlRM%cc z4za<{FI_=gDgvjaVi;L6x*z}S zrU`e=D29$2X3OAHFBD)>V4(^}SF1Kok-d_eB3B&qjAA|x4i5UT3grZclETrxFmpl} zI@fe0%c-s$p>D#(pXAqg)i7f5LuvH-#Hg*obbMujVf4egyIrYj*okW%5J~4jZx^R_ zA7Y2AsPzD3j`yFb8ot{jA$tF}kj>nMC(h*{%(q&W`g?dt8>fI_)T%a!`&RE~vSg*=x0z_5zL5?|uwmTytKr6}Z5n{OZQ05R&!Hpjb``2j@CTL+;*^4Kn-NWf z9bM96w*xlYv8EVMn$aK2*KsTccY!09w_g!N8%2U1Zm)-!u|vF(@69(0IB9ZBfPt9v zg;E)9p}zqWmy`g9!1rwH>?^{-5E!LE1SMS$b^9wff_6jePrB>Xq<3N1F=-DsO8_!% zjr6Fs5%=Nx@CBLMCAPIOTfZ28H)o|4^wN7ZhxJvqZ-;L4(m9n;+*#iu-W#=(=DdgZHqvH$rYv$rs6m8fqxl;a~Ur0f*-_9b*%#b`Nm~kXfsZC^IiQ}?R}9zr+&sT0-|gOHYx6`dZ$caWPG_k zm_rzML~QECr+!#JJ9Bsc*| z1chdQ`diO<(E>C#6ko~~9T|$`S3<_^+M_?Hr@ftf~?anh#2Q&$w6>C$YN<`Q{C8SKDZJmC`lX z-^>0VG~g#a(%o&6%QooLrFc~)ft*jh%#zT%%iBw4V3hPSTW{#vYn7W0-bVoZZdnAp z>f0pkMR_t2QH4=3$hWGH^P5RS^N0 zKA^wt1f;oA`m?PGO0d6rh$N@!8P@B05*DPm*0ccv|1dFht+T+VW^OA?YYInON_l2k zx~ua#OrLU#(5O z`u0vqA+5q=pdh|uWvm(Z75H156iEO1>5J()dvA%w-l?$pVLv;qBv#0#(IbnC6+`b1 z%u{I`#LOQV+A$+b@hbLg&$rur8E)}@*R~cAs!`v`aXd~rls~;9ZgPH}X6%JmD9XcM z9!iXd>7{ogcOMnc$LGBZ#Be(1F+gm$V9mh4mp;gDBo*I%g}NpOryQO9ww>yKn^xfx z7%&XR^V-H{I3>gimTidAje5dtewfQ!1Sy~K2v&Aj%;&?Ehi8jg-6!5mr~~FO3Kiqz z?qlrQ$2up|h>aX*(Ns?j#XoQ}cJ}qzr;*to?uK2UuNKC<-xqH`swVzNJaz8Bgnyt7 z!8>ykE!6RczlwZ}(%s=f%43q#JByP?xc`cS35>{olr2X72GzvbUKt_z;ZX>EznY4k zs-tSDor$GynMZ}@B9V1-M9TxCkdop^K9*p4KHRTCD}}VU+jXn&Df5Grdfe2$0n~TqU9UIVqb)4dGbVy zGC8JAKJN)Wq4g!5#VC%+Qoh~UsXKAo&<3a^n5q!IH!;FZNea0_ufr|iVo&UewD6;a zD27t|b>h>myUA21tTe9BO6v4ziA|EMYh&0bhCPB_cw&%t$@;$h!M}Aj1sjeb(R$wH zJ!)J`cGa;&PIg@jXhRP)dSQvRDL~)}mFYEHIp!1!SNl2>4RYhFc(JY1F8e5#N&1{F z@W?f#w2gdo3<@#`@%XWoQrvH92z|pmCZ~BFT-!eW3T%XTc%WFA=eL|+vNy}Cl`R6U ztV$sNQTQlw%P+tjKRLW{Es8x=Efnu5$8h`BH2uMDzr&l(8&0!o0;*u2jKP>cFICZ1ZQs~<7Z0}CjN)3e6$kN z)M`*1{n*#!z0eI^61QtLCi~@L+Gp^~+aqsBDod}TK%-U@H70$v-x{ff7bZ?SlKji$ z4HtOJOP`Lx@tVNSnkkJudVqKROlZ}ZJ)xW07GK>+-$B;1hgJza@Xiibc|P>?f!anR zd+$BqFyO1M3$g6%jp5xIOlhAcbQJehj37H&pF5v8)b|F<;+D!(@+zDcEpM33PVD}_ zMbC5Pa`6xQVug#u!_RH--g%lmez}jve0sqNG!W7M$=Wo{xCt2tsvG>UkZs^(Y=1kw z0M$F-kRJUs2r__|gphL_8HD+#UG-tCO`mX3);Jz|;D2ljtP0#>k=*`VrD%*Y^z{X! y)03XG)x*qGmZD{xys*+VYpU-pd6gOB(f2TLXaj(@6vqy-uvUtym>pb|LmOao3p#$cV^E%R~T1Fcg1=kOs?BT6s#6T zci4Kh^}KznH)Ul6Y`bV_+hODJN}|og)=<`G`Q441sUmr?+V&T(eO{U$wh%Pl&dF!D z_I`O`5h|_7@*x%HbcyLxLaMN+PYFJlzNRFlZ8N_QfA|woDdsaxP|9a0T>iqPlgaW@62Ca%MEo-#fF4H2QFcLUoo}jb7!xu zFfr9<_G3Z%VtUR|RjDq}`uER>GP+v|)MKe!RX#0e>m`~A;s`)tf+eA1m1HdsT|zqm z$1Dc|LH?IHH5;@U0gM6+92L z<$FM1?0{e7jfMGsBX`z!oiR8^%$_N1(%MK21CWO90@H0l1w1tQ5*{Q?1~L!A51ITa zW+`ApAroh+(@Jr@+f&A2Lz!8ctPTN~eG=V2?p{!A^+$>a8lwyx0=hjX=vy90sFgz( z5=#rOmR%HWuTJSig#%CS(!ynf2;?FF0m}7{z@E{fH`yg1NaC>{Nbg+8s7HU!MSMwVPJzy zlQOz(pUKQzJOA^Bx|pH$9R`x21`~rHl>Cn5SPkYR+Zx3Rn@cGbaukSlgGC4{w+Ig>aU-T;_b{ z(IwOR^t03>jn9Vn{VbSa{i0kXy0(#IKzZAfjk&9O(p7ks@7&{b{xOI}_@_MkNKeEn z)J5rXaY-&09x?n!8kaKsrzs9wT{5oH5YFLZWgykaPXWplDtO0)q9pDSvmX<$s5d+r z@NaqEidnNgChO72!cQy|CrlI&AX)pLJCd1P!W1JxSdntFf36;a!% z?U-iu>jM4HF9j6w?y$PDb}pRsFWj0o$_c$x@^$-?k=v!XwPb5s=U+7J%3I6ZgGwd_ zD6p(m7}tRTOrbBrpQ3wN2Ckp1l0>nDJMq1|E@6s^=J?dxeVv*YdvaK2Q*OISNp4El zoO#sns=9iuo4guCfCfTHbZLSxAotwiUk2RA6{yOw2MrOM-}8YWRvG_~$OJygK?2m0 zpz$7&_gJ)@_RkwpQ2G ze0flTcR0)#@H4ykTM&d;#r1GV177M{PdtU#U`zoKP_ zwT6cgy5greUxzMtrD#s~K4#(iG1*cReNRpm)vKx!Ja2Aa33f` zw**YZ9<8nw7McV>dXmqe9H?GXcg^X@VzPfog#bACT;KOXH$tezNZ7TnPr2H9)uNVW zPWM_;rhlW)vP`w6TYmW4sx&`hDL+47{VY#w5F|JK#`;u^+gsN&LLOc51%Ur7XAq(O z#(n9Hpaba*46sF#nH&30Rh1VN2c!{UPovl0gtushu1^;hpRC+#f3sNEBQf`wOUXQN zytah3RkHOnZCZ)i`8a(?d&3PA7GC}-^gE@>Uu63(CwA!OE5)$0$kUUf^}U2Q`_s{B zM2)B=M5gS69`W$x>>UJRZeS&YX*G003bSa&#mhKP_3`zs!a68A)KU+3f8F7`paLGJ zFt7i5$e5DKt;5#Mm^mBh!j+`qDWcu^>b}+k9!si-Ng+_b%*~wnnHH&8zGm8eKYEb? zRzK!&XPHCSn&rx5A>NxRK;X5Q$n*ISk9w6Xq4)Y(II_%I*<6&^=u*Y7V=yyV5HsoP zgAxi#^H*iDqdNO*-`bUH4M$c9dsENtV|6*WnTtRHtU2b|YL1x|Y~Wy)^w~nFx6xTm zTv=|Y>a(#nL)hSA@EnBH)Sii{JbIKna}Y#@-C?#aME3yn4}X2cQV-|upjFczn&I<8 zT7}y|X}L9`YFY?pNuqNz?lVM;R*uQhOJl=hhu92-qTy1r2A{7LUp_n&AnHZ$#hqQE z4fG^Y<#SmXH#1z_@)uz0ujQ@rj*o;m%7Wmeyr#Inpl?PZu~Ga^v|8L zi&-xuuSqrseDgW$Efu5UkT%I(>d=+_2E7Xo7hR47gUqXaPkLh`zP@IPJY1%TsNKIE zHH+XS>`(pX(IQ@iF{5*yLAr+YkiZ7SWon5LafI z8@w}YMyY9A6dhUKQ5F*wO;u@d6V5Ltx?njjvfW@FWMw5fLNXB^(4_FaoK;~4ABE<< z(`B5%UH91(~)Vd>32OAX~eTOR2dH<~Ukwk~8BF@7quCvgMPcSQ zy7uM#?~LTpwe>d!C41h42pmJQI0ia4gu5&t{v%vVQtx#n**X%VmkJxpGh7Jv{BRW^ zqs%I|fVKtUvzb#^qv=2KL1(?=eTJDt8?4GKO+wMJ-ZM=^+2wWCgSn4o|5m#=gLEzU zH-E8AIPT`reGpIZmUspB>EGC+##t-0zeO+g997Z%A#k6U?X+sM`>bhty1{N8+w*6d z6Zx~8l23lPJmS?N5-`(Qs8HSdczKi}RvTX%HkQof=Nppe2ntFeo8jop>$>of-&X1! z{uw);>#ZfIybLna`nOg70aC#0zEs_<-hY>KxRGUQJf)ze*P!*vIHb7Z|e>uFCfR2C*&T~+0u9Dhr-#sZv7{7Ry$$H8l9#}?zvzcg7+NzKNqD`aC5JdN|LJi5 z^x4~YKC=gNX-hAYuu7kzs)xDwBy`8$1ZDKi7J{?!;rbHeGUeEukoWk1*?mbu@R5f{ z))IoM@WJjgB@X}CMTosA)YH`-8D^T25pEMOeD~f9xgdS?`A=YcSbJL-kIFU6Lq7(k zs$P1TukC}RUte5@|D*;rAD?NUtjx)&z@xQiSoUkB$ECQ1xP)#wJWzE( zr_uN+FDYvGXVXcX0CIe+Eo5n5Cv2sa=|}e5VIQ>ut^jv-P ztV@y0OQTf3#IHIam;Zn_&qVY7-<6dxSVM}K<^&ZF3J?A9dG_?;{toL;Tq$g%ld0oI zV~hn+y}3(Dwpo*iqRqh86(Z!7&D;SzGoN(@OB&&UX4W8)f9 zU}xK_n4>+w&e}K9Sp|e&fMICfgb5P;XuvPRcq9-Pf?Fz`-^LMz%dbkx?8=0?Nc=EL zedg7lqZ%;Py3?=x7A5W7NleiUq{-{hR!k8sSSA>ULK%v)!^Y6wr9Eu5Vz7|Zd~0`k z^l3su!X9-xF5f%^12o9k5r4slo_$}VR+P1)%79UwsVA6bX^UT;nEp~MKpMRt-NcN&r|}N%1CUc4k+3v_(}>Kgi!?0p zZlU(WsIRo(oAD&c6LBQ}Mk%IQwSHaEop+Xv;ox3E4x^egxI`jvF!2I3nASnR&ZiYy zT$Jk;mDWs(mn=*9??PDMmri3jm5AA-0L7h2_s%vF@MEei7fa)&W`Ee z?{QRpP4gn}%c(t5uNOWr-@z^l>$HC%yYxoZdvtRSYRx6@a4B~%>VmLDX>Y~rA0(No zfl8VU;tv)A-|3xdX>YX!7LpNER<29~(MX;PhKXsA4;y?yHefW+r1T4K;vnT2^P16M zX5HN$f<>7I2uvAWzJ{2bTd@#UencSZW&UG#Q?)^-UdGM%KKl^_0$=0S9Yt`R~(oYyb(4O0xq=5`Ql@?1Pq zDLZ<~j+%?#&CoPB=ddQxwr~v6>Y=S6Ciw5&k$=b4!VHT}t<`Yc-Z21TKM^`b9afD3 zAeg-*vVTy$&5A?o`LpMmkY!WEtk%W_dbzoj^0AcA1uifMyWfj6Jr_Caj34E2J=pel zq^7i*g~=yyD?gH7iznS4Gs^j*@5E$!ZE!!g@lN?_u!W@>JW4_Z909uEhq0M(dNQ_l z$rmE&&@)3B;Vf;sb`PKZ$Sxr1- zEk49%%qTSv%TjRJd+Ec_-xV=op#f>;+V&%`I2YM9f?DUL9PPUFZZ7Q9%eGcznEdr# zK9Dm$6E*?|e8aKrhc=L1hj}Wt{KiyN=hnr(1*uMc|MUy<8RkMJTywb#1J?Q7>k%p1cru^Gh?Z za$qGA*IPNw@(4UG8G#y69Y$HP3$(N_4TRg0JTOSzo&a8;+_m zKps7s+D5%Y^RxU|eutyK6!6zFWjJDL5xf7dK*wgY$K+4XvODmk9p|`VNAT;!xe|iz zRg8v#Riq5?j6~MJYAfCYH^0APWwA~v!Q2?~!u>!R;E+hH~xF0!W9NU^+*xH&| z3+r+oo&n*q$m@A@o?&&lbHeVDisb^e+z!|CLShwFBo4$kD$h^4Zl2+_h!{+ZdT06$ zlo5bv!t7l_-#CsLUOwR{#kT7QqWKt4aioEj3WBo>OGNUDAP=mauT|{8K1+=`PjUG# z{qchpB}52*j)Th_^-Wh2aTy^1)sylrC$~ezB?@&+MjjH7xjR|p9w<+?n$d_9f(x3G zT)isookJd#Ik`R}KtL+&ghL_X^-d@ zj#)cj%D5l!HKsbw2SbADiJ$z51|S6R6Bip^oC0+7R3GkAs2$1Mh4{dHIxC_E1O{c& z{8Gs3RGF@MtSI};@6EH{4~NUknQ@Yj_0;R{rA!zrXGH$ z*J9=UTgDl`o|Zl#+kRx7`-a0Vwl;g5$&o4Kr)xgeaNOPhzCwc-ZvnXJk+iqkXQVY` zW@azr=G)}8Kj&-vFYZ~qk6-!Fw-0r(NOU|pFIqd8ir!6&#*<#c%Z+h24Ml(0xX1pH zUgl;58GSuEw4cb;@^SfL?IiP%{O}129E6qOcDfWNnNNRdpXJtMuKoN~rPnFwz!xqg zjL^eli!giE^L1&@HTD87E;K>^O;kb{b9A|gRL${?- zPLNa~cr{1`y%JyvVvvQJG!y_qY^>~ zr#$Zh!wK? z_RUOLSI{2)z4|YwygToUlphpqmH6n^&oz2g^hvMFOvK6*3mG)$(TUylJrADWwBsb! zI)>>d?bsb7LCDFEm1F-yeEJ#L%F``zT^SSRU%vXFz~eS_*GN{eYhTiFq!@(iMcQrr Y@y*qN-`%(y1~?lCQ>00?k^AHS0s|AORsaA1 diff --git a/artefacts/resources/patch-amp-4-Cf.png b/artefacts/resources/patch-amp-4-Cf.png index e001664f26bf7d136f3de419d33dae4c93c27469..b7ed6723d248f66b3b2a8a4413bf2df72adf263b 100644 GIT binary patch delta 6799 zcmYLNcOcaN|3A*o2pJg}8KKTNqs#_zMn*>J4xy9maW;pNP`ESCtlS3~hm34T2{}%e zb&ed7k#X4)e%JS(-}|rk`!$~L=kxh`J|Blv$+p_vXtioAvx-mncTSf2^cv|Vi3 z{JmMzT1R2G;uU#$>m~4YQEWkFA5_-l?Q2O%7Th({i@87%2R`s6VYg9e#^aZ*d_m9Q zDss2|NU!6EUQ6RHJ)XxmJQhJwW52T8!9N8ul6I#J_CS~OR!b8rrtI2^iT5MxR%aSR z^~Q$25ifi{Ms})!p(iG-fCC1akqZw^*FOd0^Fm$4VU*9Bbp&OS$EnMr%g)Jin^6|O zjgBxnpReS*m0Tc!jsW;E{2+LT+>d0h6$=|7Y@}S$by#SZULvx&=+GWVlwdRfKpV*A zl}dEB=^qG_YOA!J2m&D9pM>|yo;%x5*u!@Gl~O?h%ZD9Ya0lT=!^JYJ2OkwyeqHyY z>QbAdm=@=|l>$$yfN~JiTt~I&1g!OSc^3^D1I>Xdame#kK&htx5G-+t6=dm`8GOlK z%Rdh@#wYh46E58*xSd=e4{x#~*}9Zs`Qv)8hq`doo}np?(S80j|kKGl?Z z5lVHWg20pup8mM!=dkFFH9&w^XWT@o3UkT4M<>^IIXPs--wP7Fyok2%ys0Aw$w8oT8`n) z?->S-`V(o0wcgXe?=c%T6lYP_3fWa=dk*~a0d!rKmdK`0 zc?2;wbC0cqH>u1@D6V)?gNE7{Il+|NnlKxgj+{+mFnMVy;?LAXVx=yZ$%i2yur9 zpd&oooC9Q6HqljI-@`~i^q04(M1c?9b_>7poAnJfSKC;wszJF~Zv!vJZQeegsTA{F zN~S9$myA5}tPwJc;1fP;cO7)$uh_Ml=m0sdDZ;Lm2-7*k3so z8wd%K?YOvd#+qd{IYr|Bl7mIF*qOgrf>42q2)Pxeesh@BG z(8&m8pXQKUxkQztUZN&`O;}Dw$p;z|)-0$INU9*jnX!pqmw8u<8h6PpsJD;*+D-VL zB#l!g!-eH#M7$COnWhGEh@$I;JOP#ujp(yCi(Qqf`PzJMz+Rfj>@-B`bR8hXj2j+N z@Gt;6b;!#Kq?u>#4w)fT8D%76$X<9a$CNMECKQhfFPdpvkT_hPF#Qe=RtB63B9Lcs z2WuAY5SXkUK=;fJkURNu1@{+%zG}S%J)Dv)6=JbUan6j!+m3?iJ0BjEaN^F+ zgiw46f=LkT#JY(*4X^T=8sgYSBv8vldzc_1QhmgJQfu5jx#XIIsn)CyVk^oY3MST1 zCcXZVaAY1|ij?Ew4L*My7*T?CVuG1V5Io&+y=r@FYW2nh@B5uk2LzB1A=3!aWhO%Q zjvFLd8+Qrv2aQEs=W%JpI-5={g}8@d_n7>RN``8fPF&$$+x*o!>64*fIVD)5#IAz8 z6@k9;%hqF3kP5(0hO%cCg|VAJEqK_GIn@izeaEX!%{!F&tt|;=&5CmKU`TX-e2T*I zKd39dd7-!DZB|zw7#Vv}tsxC4vVZ*nQ@f?VYH&7|0sk9c)x@+KSdvLC3Mh!iCG8D2 zY_v&aB-{&Jbh*C|%Uk7$@c+RAHZzS#r_v$>yAQn6a>?8Ph&(V9;4HaZdUOj+8Be|k z70k>dr@FL!^J(lpH;uJ>@p>X;!TTK!_j9=C zQ*nu7ut-t=m3=lEbo7S1E4ZPK7Wjx}^W=iTZ6!%?c=! z%RGs^fuO*m{_35PTRheG@mgu$0rYy7siGtw3SfP%byBnp=1(%;@U+K%g4Z^0r{hXx94N$HX#+C2&dV zVDH@<@`2#(?VBo?Nmno>eYX5Q&VyK_`}-5Wt%F0*!Cc}#YUjO!N6s1M!u~fHya^<+ zOr!_$#oiz!0ffFGJJW(`&~uT~Pd-H2L}E`HvNLm3&WGdF~YIC})fstTsaflnxBJhXBJl zwo)rrF6!O7?M5pHKhiwDzBQ_-sFq#Ara}%lo?^@5nDO>hZSYZ!=9-%j zP3mYkYuYA}5jI}qOoYf6e$v~Y{@AhqowCL<(~3m6jaAqUbuO)cnEkn0S<}>;BBX>e z8I{WzFaZ}IiY^`Obj?$P%h9e-k!*_U0JJvn-4Q_|*YOtkC^voY z`*>@*ONuLY7xxCbW&;Oy9y2=zm-`vZ9NJ!<(Y5Zz586#id&q&nWeo1%G)rIt1 zcXD=2!#1WBRwt)ctRXz6t0D-=06X<0B&Q49Y^X}IOmj#OVxFg-G&ME#>Gh4Lrm+fW zr}R;I`uYki7Rw~;Y-97@p44mI$8T(u)h|B4E?T0Xa^F916Y*8oM#Y<$5VmmuKfk%%XIldc4r=oU@U$gorVrx8<=Ly=O8|L&mOTFE5 z9TB^$ECiG-?6GC7z(vai>92-}7;BqN&9zF-FpdKQrc>5b)(fa$&ybSgwfHk2lbT_- zo}eY(!-KVE#nPkx)Ysa|NT>FD`?E-cyJdHdJ-`nXJf&*SJ@(uzx*PXRtzlMnC9{fI z)KrG=X!o9(-O?ba?PU_bd`RT}Oz4tn9<#Y-{eHdpt!cWIZw#fo%dJbo7Es1JTZ76u z3_@pRmNo=8-o86y(FbsQ&+DcQ_S!lnCkQ#2z+kZX=c2wYe|A>fkTP7EJAQEcjP*?ToNMiWx&0Ssx|0yi1ULXdqWkT^SUwCR>{2pwZ~{ zAD>_8P(vfP2IQ+9YMdf_?p4HH4CJ*G6EZLcN$>9NLg^Tx8NTJNf4GpL4b~YXl;$~_ zDX9YBd!QSkV?5uGc}qZpA$WVWhI{|n)zwu~o2B6-L)U8Tob_kh%ettPPgRcf%iqc@ z1H0pyx$v+7_rTc3A9EbwN|rm4%eP{lW4N^HE#IH>%}1<|5-KVb1wl{~BO@a%Dm%n( z1!&fMOvkE$RB@fjR)p91{sU)c;tSr3!a5gxk)xTMEDKbU<%<%Cl$DMVGmgC*K8BPf~M&b^m zHe<+ex?MZVUrU5)`zyCSbWvwMm0IKrYdksU)|a~Ylt(|Ty2N3w6dL^1=oPWht3&%+ z-Nr?r4*B%EXJz(i^uhzbj@{VJ_3s^RjfMzBBVv83@doKa{87&%>(i?DEe$*RfnGz! z2D5bFBLigO6sfi4U0$|k#=pq2^ujVr6X_kP;165vJ^20O+GhLq9tg>S<_9XmFkQ^g1O)+rMh@-@MNGeR@MGYJdyf5PcT(w#v} z)5b;7tNxPF=(&F^gEQIJs&5ke*~Zx#vTjPx(e0l@C6W6ZeT*p|l_-fu;`!JT*KYtwQOC`)&2|yBch9 zPIk7w^vdVb&(h%fF?{9qQq(=gID=gBuqG?tE+j%k6O@hOS7P#f`wma4Nc*>+l}6FNohej1vSlXKdV)sJ_Ug{ z^Lcp#?_Zx9Dsio>$(!8MIN>ztmZo=G8Wlz%e9kAM%YOvM(W$(ij*OqQx(+Si#3DTi z=^kV|Hk4A^#?PPhNs5kiGZZU(`_DcfX2v~{Bd>2PL9{1D^aP*4DYAN|D)q~x+7@&Z zaXVU_ChAf|cQzqUBgG5XB6oOlw0S1C$Xu?=3$F7vb#T4XEC;l+F_Uj79fuKT*^zHP zJ9dA@%*-syWGxc&uDx9h%s;~3zZ11@P7Q!e@N-6lX?H)q1bB9f$=O6j{-7(Lj6|Ag zr%{*Z255R(fcn_VxDuJ;*?yk3t(Nqsw|))fYf&EMz_PVZBY?ufyZe_L{kBVe)Mlzmoi~SO45vLPUFWsH_(@j1PDmaitf_|zt=tGTO z-^ANmS6CA$^!tLpdsP<^OIUv+vn*d(@R+c7s`5tWrEo+A6 z;^K19sxO2@01ia_xmEOi5V9&?<5AAprmZhtYilj~;V*zA`}kY9n!J(Z9>fH!Z;f0J zk>L{^T2o9pEU@i;vb#EFYi}>-fP-Sv8~O>I58<2cZnJc``=$}=bBPi~)9>zpJDJ1nV;>9*Wa zQqZnM|4u*41^Ya0gd&~H{Tyjn(izt8J&O-~e6D0=Weo@@*~`f2xxpPgDmgq-FnWb= z4*T#($R9m_xf_TRaJStqMMeW4&qv_rrs-SBt?*hR7sersUA~VWHhSI@x#%)P}}{*EAba@#5gwt#>U6hV*Va1Y%LD* zqXiS~!rVp!8nc<*QVsInbX+LsarT9HowCw+Hoa!(xASN60dwSf51!B&gpfy3I6QFi zOO_6j?PqFht#C%OUb}SL+eY}1h@z6xUAqG#aJ~y1nL@u?h8cwz$=f9y?Qa%CTLKq- zT`DlqEhTcQ|HkP-qw!M0K)=PC{QeoX>)Ad{$D6V2-;C5cbke@+9;%`TsFws}hM{B; z40jmqG2|l7UqsgNTyplYW=Ng21Ja?74*lz64$gK{Ews1@OT?uFCzM&7Q2)rRy4dNm zC&%A3lvmsH=g&u5YOgqVMhO+$J+z#^&7sXs@UFzlvlY#HPjlh;a{b_&jqc89!x87k zyCEgPEmjT=eRdA8M>XwR$)w=~y|{dQ5!6e+g7jp&5&Gc{ zz69+{GG`>{*i59T@DePjM?NHvz73=5#xi?29ExrW-8?zhJVw~q zWAbf@w_F%8r^-PDm_C+qUR=J;z19(NT!RmxYQgvHrsk3uTS1N(RhPiFfFB0WPw zd@^giuRN47%ClG3RO(c6$g;s`ZxNwAAbWgOBG^{UfR{Gx>>Ou#51| z4W8ew9`Ah1prft@45dGj(R`d-DxFWp`G=h&ci#1?k{7lKwhpTaWAI0S_j6L_rM8>N z%>fseiXw3x2lFX0paP&#MnAxUvpPtk*2+dJ{-G7Jsi`63#b7agO)8xLMVrZljcp|o z+0HhpAPM7>9;`|0ijzO|W%Vlf>&gcNP=91O;S8GazJ zGM!{3-PQw#(;IPTLwkeArmjseS-R7^m^{@mdlQi}!CcN8GPy<%5r6PBbOf+0k35Z} zA&~Kj4>ivcOu{rqUQwg8qgT&`0*d-U4`Ocmr=4tGzjh;(>?U(OP4H!(4Faj~<|?h6 zrH04raBVVv0J^bhpBxy~+1sEvdx{0nAq*viwb(R+)^XI12u%rmL~Lj}6D#tXM5qw$ zI6dbgJ~BYqdj>RVe+&=(3EpU?1tHyY!0;xvu(JnpvDg%uV^h+=Lmj5Va6w+eu8uXy zPh`{B27@g&jj$&DLJOLToW=dR;`tn#gkF`Y&Q!N0U4m4~R-=O*557C0;btPrp4C_Z zH15kGk2KHUk*UQgn|Nb$hE%EC*O3?fMY|vFF8I#?nSRtC8$XYp=H_ISLjojt%|rHd z;&Cmo*Z}+urjpOlJ1S4-z;nM)ct6=8*(+RSebh1G-_i z?O=_)88+ATZsMII`0nOu`ByyuuUIJ`t|}`!*0txz#@x^~!V9^&n-`LoB#gm~{ zWRi1wcKATr!FC_@vFmjTr7k5XcH;5To6&XnUa*e7L6c13?Gaw4`0desg7<@x{a|1# zy}dfOxup(%Ac(~2upf*NG_~qZkc+Eo6_zUJFg|HqE1PvFfn75+k5gp(ml`Fmv0@c5*=)WSnQid<*tmM0HSz7m2U!^`MP&6y3g@4dcZkc29 zgTUr&QRVf5LDsJ01t{mIEip$Dh6x4~tz_9?%GP=^>jO8MRlp;lb><7D2iyH196i)p zglUE$AeIbeMk(a~-dw*K-L=u^mh>4q6zg7a)#0Ia#=!Rs9N7six-Y)Dz4YTF$cz+B z32qF^^9C8oY6_5BQZL^T2v>#}06^ZoauOKwGPL&xl-_$qvC9qWzY*9xl{5~xnj`eT z&i7V=&Nd1w20Xedy74z)mjm%rL}_+ zv1@O?yx)J{`SUsFKF@RR`?;^{dajeD5Ucpc0mgF+tgU4cUa&nM@s{nV{pRmUnLG0w z3Hvj2+c(N5{+fYDdBxlSiK{X;A@M)xr0BdtA7 z2-qDU4B)_UNpi|kPaV$RHi}Vr%$+Ogl~hjdVE`~9yHC ztM~3PpC(Tr%U+(F5``Q}%~KjiV@NFlJ7CY1j3EY!4Uxt?*FUEkXH^nV0t#rghwmj9 zXl%wM!C9c$hE9T>fN{pBx1wk+Z=LF1KMMXjEE#x>Ay+1`Mk?wnv$atx&10sRMH>+j zLY<-q$pvE27NZF^$SY)4>{m@|h};wUQ+DrP&P9U}uFxAnPu2jw0QNoEJtH}KzFqdr-Ae{7DD7Os!-Ncu|oeu(zG;(D}(r@*!>#TCybY} z@nfMj6H_dAAgPFZgC~jlFQ`r*3j06!fl*kMEMf!i;x9lB$7c_++R1pbn8_(cegCQl zT3C@FUYK-~t5RyT|jpW1DjYY_o32IABGC*sq!?tTq#W;l>!K z%yUtK1K7%cT9BXx-u{$6#@;$*@y9N%*j@6C!m=%HFyH|%7iB6*52xFc+S7={H zPyuAOqZC2>y^{rLd-&k+gGOX1oN@G9&kPHAK8`-t(sW=s*{%f`dW)1FGtslWRR(vw zm6L|fM?1w{z(@cgZ|>_(sK9%;+}_u=xo(cXP_Mt+t!0*km~`{Jd2Y%M93aymD$Og$O`g$)5f;PAd8_Zpz?t%L;hWk^&sb#V@$3%LN{fS_!cy08^y%Lqq>Qvf@WhBB*C9)(@r z|0-`@tNgDj>vcPal8|n(=^@L*!uEh;) z_0YKb?KUzc!Mg8lPd$xSQpNm7L1c-n3bat)7RV~o%3rEM`#=iQnsBOH%S zQj>3Z942uQEAO@Y6$maAQKM0%KO|*Lma0@3#;D7qMokBnl1;>8kyWuyq`C(YfllHC z?}*nQrZCvyFZiZpq(6CYx^g;IwH&I)6h^)DF-y70k%U2b{kJJcOARS>Rq&NFp%0*G z?HD1#rxKc~oZY>KK|C@tGBOtR&$&-?iotNWKMhmpv#19J{++Ik&?m+C@B}0vL2(sm z0!8ntz-zg-hrt)ytwH-kV!C|a9KCmIEmyY_;{csFSF$6#vr zo}qxmS*DrW#-H#E#1_p`o&Z!cQzB&C=%%3-3<)7Ls-yH@k9euv7&KY?35 zxHk;j8t`B<(6Ra8r|`G(2l#MN#05IT?Pe*TgG_+go}o~@LLfj@1|<^LF?Uy_&7IfX z$>V*u@aV%e}sImX@E6Y9pMVi z$+y`iRY;KsU6A)tqPHn%RR=NZKCW2=3eNV@lI9RHb>mq)KRTAthUvF_&G_Tg@tNH2Y=+gX*=-E~zqmIalIq8K+vJZZovwjrKtCJ)<4@6ATNTc* z|8Or>tTC&UnlWpTi~$xdq?m~`2Q+6WGvej`YWsEcq4xt+-SKqEVu1&T*@qvI#gQSQ z3H_|?2mg~}lt;sk&A&i=;M$F-z6vQN4h zr5zp0vd;alSs;NznNOD{r*(!{+Y^R|hr^eX#LBCykuC%2Ww3~lP}6;Fzmo5tbWwiu z9sPStU(6?`#|j-5B81$vY;5kJ+{cV9-8I5OBk)NDIu#pHgp!<6Yy86^uWw(qwZs~c zFGUIg;ww$X@E!nRFlt~jx3N)<*tl7ag-&!;D5ja6z|!}u2*`f37v#wm`%;tG$dKwC zn?GjOEs3{yVA+m^W68=uP7}()!8FVmeV?my%BYV^%qOpoo%zm#KUpSbHa0^`i2@4- z6C;j=4nVeGp+qQ&Fo3Vfi$+ZRMlsnnec@;O!T5(qtl=S{51ue2bupg`KwNES6DWRs z#HIs2a;z_Ot5{lE>Q`Z7cD5QzajerAIC^*bWUqr47T(4s=FFq^;(nD?jXndH%s(MJ zU4~|h~WN=WM~v6&~sLP{22d|NxiMLSfz7nB2H{c zk^x>sx<)=5yE(e$WLuwMixWOBlx(kHq*V6(T_2ZaqK=5%?asN_>8$&Ht0S23xze(V zJ9=!^AJ#i6|I6Mbg;Uy!SfngN(UDOf9r|VO+u&Vt#r$c3gChj+BH42|_YS%>?nb11 zoJ4Pf2Dt-*c|b$bwp^lSBJ(#{;?Lqp+fJ8L2s6#zpLl}T18|=4=93XKO`db%D{0+f zJ9B4^$jG=^%od8{+r|txNHUqygULsvd@vDK)+{ZUTOFw~E}2+-!-rPz`3-5`U(5HO zi6te6$AWDs4~HgCz!#3Y4#k&FyhqFNJ!Qdff@S~6EV(G~enX_vzy*epb&!VX zpFchp!d4S|#lpg3@9>Ao4`OQp(LUgJ3C9$6vc#!aZdu?tMUH&>dS=K?$Zz!bV7PFc zDLBVXaRUSFIbA;7P*qk9<1fk>ORsY7$k?K(JXrpka)c&ll5rb`y_L?N)(6wamS~zs zo)l0O6n(hz1D8SX{eB{p`MYkwwo=(C=4{n4SLVYAaR({tP8dSqi0C)lT+3M2b{Y{D z+Q;d~AAQaV2u|wdHh=sMam|q7$wA3hH z!FGZYem#$=Y~+LKR_jCycRuCV9~VT5!-tTT78buhB=Xye5kNQ~?!BR`ViPl9ON@eD zHM$-3;KFmm%*?FOW$SkX^`)PQ+R@vi_3thwTm~Wm+Q8+cm1X>(4zjfLm4S5kPf?@1 z{<7j2TVJSq{xloN+e?HBjad+0uRS-lJy6BDPp7uFQ^6Q{^+G~Iz#DT9GWDvn?n@2l zCMSpmX68N!677C*q>nAu=w)nEK^PvRtW@ovLEoWiDWV6*?xUU&aW?z2Z-a56vZ<+Q zUpyuKT}jDJGF9;kp=bzgtA@!Rspa$lGFapWOQle-duZ-x#g)8 z$gXITNgQ%ic_51hITnHrw1JxP^75%%vO;2(ZCtmw@4w#I*wF6YxNI(8kpL78QbLJX zc(^mKVqNORdT|vYoLV1Cq9q)HOk7M`Ab4rN5=Ycm~e! z#l2yxThpkD%1Y;Nsbh&V>g(^n4NS~-MSy-eM&1ir!w3>gs>N;y{qt8>O{olvy~%s!3`y;@W3ZCL1zbhpm|ySbI{ zJ@3EWQ1h8!P%9DG5pl3)1GBN2=AZEhIS%@xI@{<*pW_gEhnRwA1L=H3D7B}@CZzbK z?mU!p9b}%Wv9rFJJNsO|GR$f6M^%5Gvk2sFypbI21o9qw)-&=x2#+Q9s7%<7eMji_ z3#odguEyx6KO4Zxwr0gtQ_WeyrbBPqiE13aHFD3E_i+XYR23${CI1+LSk;9 zDqyFiGKk17##Jcf0zAgl*7m#{na{(Wg27mk*O4I}JBw3adq6vFdG&sZ5zg!fm%i4X zEBG(?e0rQFhla5v^(*7H%6nlJ2I|02dFaLQw!-t}{-0yZM(<(f%j)A~QQb(S>j{zj z%~hU#{`b%G0lwC%=!;{!IBA8wYwx&L8uxJ8*>phLy_rf`jPQ*hK4{2V$lA(kCR3N+ zMogUcl6#%`C@do|NjcNJva+(;uGNolQpnVtedDD35$4btDli{`FN{3t_5KCqk%6Fh z82OYhOX7T$Z>v(rINHu0p$+b(YN2INEnxx=%3A#9heLij3=o;nSST}m7V`};4JWc? z+_NvQ8eSZ2^tbjL-Gh$i$(v4afrH{sZ0kF-h~Fq^UTQc!JKhr=>DPO897@D`4X24d zt6f;b6VR+6K{g(tlk;kHwJEA-_fy;=S&&*L%9YqS_L1AoLrm(Yn&>81xidA;?41yM zKPRHv`RG1Y1Yn`XY{|Tr?n@|N+px8@t<-j295Lim4n|`rn#kqU7S+hZA5Pq&c*$R+ zCBscevc%k%!;GQ57JwdWz_DUVFTy3FU}HvTLmW5mF8D@q|4VHY@DFbM#YpzO(6iN? zGHmYx3GnrKB*%=|-NY%PKxkBtKL2?eQ**?&N9lcbQ?er>B2uijKPY?=_3d|q%L#FB zJPQ$?r48rf(vlGx*`1h*&VT?>2Q-ZBPSlK~JxrIX+tCKGFsdH1E_&Wg_I7p{6k9Mv z%xBIVF*TKRiyqKoZN8k@Uqxz>Z6^zn?b|K0)wQ)dtT<|@!szE}4_Z)_xVSh%HFWED zB*#5lq+|CBPa>8S-?M21*jQVK841rKkkoN?Rc-I}3u2jIIz*arg<9KT@JI=(D(kw^t}fN~z}4Y@kqOXaBT%Fm&qZxj zRj0{>cZTZzATo4&rm%}hqdA)!8!>)R9>#jYE-gGD3(@^%YN7D`=h7|{nK%-PcdBl^ zT}Rq}r33ttsgXPFVwMTJCZbsyjbvP#!`oGk>oE^6a!jU{CD5SO8lrk`c}Mk{Rza~sx}vWGG7ofWi#|n(s~DwtFi%iHe7~H?Imv|PZ5QixU{s1IpM&(ch{4pDp&*L>W4AMu1{ft^;4LZoz{kww2cj~Z)rU4bv>~2 z$_Z=&)6d9CBk{vzd%7;oSJ$OWr@CWLaJ{MaBa@SpCD;Vtv;EcPD8~e~q)qX4fDFnP zUIYk_LB%Mx1wx7t-HUId!7N&^$sV*h--x& zTg|lG61nh;FVnT(kv|>(Oibw=jhHTCFKWXb>BbVA#@qv*KVeHEdTn~=^Z}GwLcMQ0 zV0Q^D@tG20qyx7xUW>31%*N+YPNKxReH0prLX2W`FMS<6JqhfZ7l#TUm;W?;z?WM? zGcz+5?AMkvW25qqOaX!GTDVz?6+a^r@7_F!>L)xYphisTq>fw$H41-~I9u>ohL@0` zmh|c18;`$C%w>4w^%-7-uv$-7m!VwiY{kIYX$B=PBXcK%Wt24wQ2H2m%b=`@$AiOL zqdpagBY;u?zYFwaRnXBo10fr-VS!azN&PcXVqk8K96JE`b$_fFgj(@1W(duOd8OT= zc$5re*kvE1uJ!zL9cZfR%CDHO;Je&)fJJdSMSUMj2zu|qa_4>Ahmaj=4!weL9pz4<$_L4!(%@LqYob`17pFpuM5cWCQKJD3Yh%1jEE8HpaM+lUG6&i7vW z;D6SEk*P?h+-cvXNG!Q1Nf3aKOw}ZyY)K8r2)VB{Akcev%1{EXb&7guc28yk!+3i7 z$(tWsN7}1^y3zOU_ z+S?}E2?=mc;_kMfXEyRVdm&Oyk@!&7g!nrC0 zq4+pHcY_&jY~|CYE}>5Q3koHrEovr;t2J6YsRBw7vvmt1R= zymv1r-&;5Ug*=Eerzr}R1lu`{;7!nzuVAH)WzbQ>?wgetloBr%|py*UrK7(sPY7?V$0XUv>!kn_9m~wZAOyRmj-LcrgZwe{={pj+ zH1?wph{F6?jq-w#!l$BgTGr@=(eRsed&*^d_0w%Jyz@WV_(3>UXr241lSlw(eV5~f zA4u}tn6!2~*-c2XzgRc)`D)@+)(2|V|9q_f?EkD{YFZd`J}?h1K}+T7jp3vb<(v(P zJvov^-Hhr(u&Y``REf%hh{k=Z_FV3XVv)7Ba5m2@^d4EZ$UK1`lH=e|pZx|z+OVZ6 zcGXsjXc{$cvF81~W(s0U42oDvoFe`*u$SC-gj12_K%XA9AeuuZSn+fZw}?-wFKmLE jq(AW<1+hG^X1>;tdzSJj`F$@N3Go8!7;D!)gv9?3$!L)N diff --git a/artefacts/resources/patch-amp-5-Cp-Iin.png b/artefacts/resources/patch-amp-5-Cp-Iin.png new file mode 100644 index 0000000000000000000000000000000000000000..b94e40997b69813e0cdb1c36ce22d95b6dcf50ef GIT binary patch literal 7587 zcma)BXH-*NvreIfu82rgq=~dBy(5Sas!COAKtfk~FF_DgnuZ#>5>RSTkWPpN5C~oA zC4e+(kuLR~_xK4d6YI zjs|%4w`gn!USM9j4}3u&M%{lN;4{DP^}v^$e%h9PCQnd)fk+=GP+(x7r0ZjMUq_^u zljIX0=j;s?E)a+pj<|ixG$?0%DmW+gXWH4HzB;a3R8;4f61lJG3BDYt7m9YdJ-hUr z^!&UCS2`MPgt^Db9-&_7ebmtL$d4zkKvzbIo=f1pOF!$?0va!Fb85{CX*bYwgWm_k z7n6@Jq-wLbnEf>A4sNyG#e~!RLd{1I4U@=0$n|qB^3{35>^hcj=B-Mq-Pyp5A~|#QwI^CJZ`Afx1O8252>4l zSLmdzF4zTCrV7n56B|NuXbljE2vFwOght$>trQvCK?vexo^CKr7!R`fpiC9SKg29O zL35>qi6TwrCWby^=t)!h=TaH8^ta;TLwg1|*J%1C+WIdAFlEZ8z`2+gQ<=JBXwOFx zqC5@8=ebiEqbo;MX&5o4xsCS*{}3f&P&p063{?6b^RWgG6>y`&{v`@M1%rEoF-rA2 z2WQH(M={JX^`+g6WbS8IMO2{mqoOpN$u?vp87y6vRwD(UAu+$aVPNqTSd3YM^~I!0e;MG}|)MsQXjI;1&i#F0LHyrPOE+@_T<<%=*P9eKbl^ldbkg1<+CyDHZil z-=Yd}wkuVcluzO6UkR5%+@x}scQP42%rVJ>otlH#7^K&ecHVd~PbVy>hlK7V_A@p~ zfi|REG!o_0sxG}|I2Ck_!ac(bLs+0^4yY%@y_aW(2;VuTp|q@z2I$vEQGA#XA$eV; zi_6R^7p+X0q%Q@D`bXnRGaW=}>L4Q^V^BrZEGm7R5T=HF(KYQ&wWMb;HjToLJw)`e zT_@DN?fHuCAg!ewTy=ld6a~WsKqZAHH+aQYpk#6BBbni4`b|#hBc34h!8l?ehTx8p z(m#}hgGR5dcu0rdoW5%#D!N3^s>vP{g)6;=JvOOfLWCmRL{_Snz2hAYZT*Bzm72is zKw6}=yY>vT87&UjF(|Q`_!Tn_Vt}bGx6-0IIJ3o6+jjKxS%zSCj+e;>_Ns9C@jw`y zp`Pm!14(>vf+XLwR=7M9AI0oVVwK!bpL6ckbfbzf|@`ZcCEyTC#qrVRi7L& z4pw*FJDckGg_rW*`I_(&UM2=~9%Gb`W5z~F9dO)>pO!%WxqriT(pYg^(?cMu^Lm#KEB+ZPV2 zg-$M$)$AE523oy0sxKEehbuZAv=bkUX1rVP^InqJ7bYItPtgNQjrpUF`#b`wXNjD(#pjtMnAk z#Mh(F`Qd&W4NL939RdFS{sx(F@Yc?S7#xzQDQ%UCoYAn)#@> zPm;mLk4C2<2dtMSQ~P!;HtZgpL9)i=q?>pZ(!KPpGrGT7xp=ogPNjGBr12;UjM+1qh@hGEE?40Ds^OdVH3b$owt=*Bk_JxNlP zrxY2CNW?zhA@a1Pu!M8{-9Fo23!4zre4CwCdlEr@hiS|jbNk{&dWJH8o&+D!kU#@~ zbeqrVXkqnsaO6}&l}`FP?LhsX@18!a`h+#D`3Pnur!m6Neivz2H{>;3>~Bv@XK-ZN zq*Muu7d!HP94FBbRV#F&B-uB&@vL)+L4jw~VEKc7I8&l>twSVu|Iq=#lO{xFOUY@7 z!NAgp|0L9bxL?f^DOvTrKa3)ZR1l1@{lx;~eN+K!X&;djz8JZ%Xg2JLM%7+8;8zZ@ zUqw&QNq?)iIf{&FKgMy{*I*@*Me`geaHMwQuLMn+<1eIBvF<-ZbdDrEnC}z3L@Y*; z?;Uo`3RU~!F8`_H^xJ?3Y5ou@c(o2%a$q6~CD;2_{i~$1^aS0G2&sMRhF1ahL}~i{ z96S4~43LOUc1ZTvs0~l+GfIbCIB(WojM+Ly?i}hQfZBWy2FK)>;90c>y6)`6&8gbQ z{|%Zj-PAcdDFAoaLU|le_+k$$P|n4k`^-qbE^5VuKH0ltm9V*&9gY60nF`(xlSmH> zE34=H>rvS7TbB&e8rO?lTCxDVXMLPP%PxMcE>}b&Be2W@kJ?!t@Q%A@N?OxH$g{>~ z5;y`?(z@6Ry6gBaY!<>l7)iRL^1=CSoLWWORLfgkB=c{)(Y|A*dLqw#d)L4HcY!Z| zYmCMaBvvT(^IpAa`M@Wle@yU?9AC+Idi+2kwzduWA3R_o4JQBq$G_YqEc=S9UM%}y zPCQ_i1zmJmY3W6MF4n*+y+r_<*s5%7%#yTeT!R{9?6@OV7|awo7>v=9n|m{nk?9gv z>6hdm^I&2H&%bcOgwY3aC<9dK%HF6W%j1THi>SW5ib|WN07%|W?JW*@V>FXsNd>M< zNFsH|0#PlS2foC`HI$uDU7vLeR5u-#%3=90^&<3zZbOldxQa>d6uj zGNhK=`=n#dpQa6=lH@P{@Gw7?)Eyy(Jee?+XEomd=&87^s@n&rA7{d4QvEwM+pC%5oN`U01L`@{$kWSgLB5NX8 zun%myK4?XiMV%!~Uxs*48&NY;qY%IOB$C#-_#ZIk`SvO%@F;#9%93@D;Ml!j_Xx#3 zm(-UQ_fZ#3j2x)4CC9q3gk{yPKeXqkq~et6*pZRs5b~Bw_y`Vm4`L&{;z?E@Co8_J zm=i4fT$499fZ8*i{LnCHAqk_egdy%5e(<9kL}-{xM<1D z2za-cmms=p+Pq#h*39Mi_x?qo@bk_5j6j&hP$^82Q1XAI&7lHZUdtf+BpejB9?Oum z75v!QmZ{NNES}2pkx{Bl24$I)Su-(z|5GwiBUZ) z*D>WZv`k#zzP}bwZaF(WGEUQ%y;cJ4?;+VHE2sM`_JJ3NEj8PI(Z7#8I~9NMQ7}9f zJLxnYv<7RwzM_PXm}f4w4u+8UrLA01E6M%!5MA?VX%wlTu`%TG7XkeuwU>|N$yv9r zez-e0;7x0i^ztTH&rN8B1#^+LIG0O!MHZ1Vm(;^v=Tk;wthjJtyvB7%n(KjIE^cZr zsU+uu6C`20-wOKa`NxJXR->rog)xAn()Ni=L zv z93=9JOgAWu`yd(@?R&|`JermTwK7=r0t}@w1<#*<@om+XNzVA5I*lXBM9>D-?1b87 zLi$RUot_+32mP5V?Urmx=u8n|F%mrAB4jAS=<4ylm3B{eVmQ6(;D|wyL2mh#tE0ca z>`<|xL~R_DL!(+Z zerEzc^}^F|<6R~|x!q}szKdSJUh51`g{)R&j?DwqDo$SRFZlM?wa3&LEuK|$womRc zmzdTB*&XmP9OxIvN=r(R#|M9QR)#vv@wP2|3LPZENKTP_kB3TsVn5&LPf}%XATGXX!aw71gJHrm{4WEA7nO60)mTaTtQiZ2Ev8t=ULtBT<__BmI!U zvZD&xGc=>D7$bhtuN=dh17|ie-@`2OZd7|J!bm??*Q)y#Q%#I!8&@m4J9h`i4~N-= z@4SCcyWHYgH4A_uBoM44N3K7cK|9_we6guV%u)2cq!t!5)s-g3Mx00O^`UAdH|pmw zYodIzZmFu~Wn!64P#ZyPjAji*T=m6zKR*m4N*IVdN0BGdyz)?4 z2dr5pDgC|{%fww9J^?^iYd1r0u5t$QYi#h(5h~0j)exDJqkUrl!3S-_{`>hTq0y#JwT49(i z=RM^=A`}`V&l(bx@1kd&wowqx?663}W+`}Ib?it|Z7wb@zB)nEeWFOrMvpV%Gv*k( zWTz(^e5Df?DZfS-JKeng%(cBRF;ByPjm z+qHgseojEfeYo5k6RRIWX?SlzB$T zQ*8JJ2|F(^C8Q>pjPw3&qc1S%c9G3!x!6m=w+C?I~zi?!;+S$j}X!;Tz@j+C$7 z#Td~%#ExwiI#yEgQMZFa^&3C46~sTY2rm-wY~D4MZW_%}C@II`BM)Zd;+;vEpE;d# zz%e5CHV8)>o)T8IVV{bNg{|V+w_}`xjD-yD96iVWygkChvO^m|&%;1U+c6~HE?}Y@ zEpwfLn(0Va{0fc%jxBZ#f2gOUwrDO>?PO+VR>#Pr;C1}|Ug3FwuP$_olCV~d^ybj+S=n4 zjvat%KL!xOI%K72(tpGTh=vBuo(dO~iR-WD;?h>^pLSdFYu%|ShGgS`gEL1u&)9Wz zB?$8n+DW}ucW#_Z72J4iRpW9l{-VUw{)in%{NYOzQ4+90A8Pd2#QrUgHi`{h2m5L- z4^mHiq!Fk|3me!o$MF3D4wihaTaGU)Yf?BY+k9zI()ZpI)rU}GQs6rffcJf|Y-qzI zUESf55;To*?26XkV-=2j^_ z!WNwVZWA2&JnyA(pado5OYA&-XBi=Oo60gz7<>etK}=n94Ftvxt>;GE5HcR$*;|2R z`I|7FNQPB00)w+Jsp=fKbtQ&_r@TW@C!rF(K`HbRF#k43{LbCBw=ohdBTTo1hW;F( z%L8?foDhRC4~smwX(pebJ~1hr4KAmZpEiPx^~RO!kd2F7cXxMpO7X~MHe8r0Gz^h> z%_j>N4vYVO{61Sj9w;j?$s-yop|9HcG#|_Xxr`kHI)I1#(7;>2eZ$2pDknjVNg+Y1e`QlF z&fE!$hg>--*--j=NPT2(9oU@VZLogHD)qM3&Ub zujSD~!IO8m?n1{iaaa2&0&g%N2sF&?ocA)=+5C#eL#3c>QTtIh2HaJVck(+qEC1*h zNFyJ2fHkcI0o9_NrDH37t; z0q9l=TFd0(@VLRHxZ`_%O(#@v6%IR>96(KInES!*vO)RQ%KA7tIkB=X4{ua+n1}tT zeKrjVb3obM*_1y=k9!0O8zHF~Dh4Vl_i;P`28@1{-*rnNA#9JevV9XdV6F3obla-a zofeTxbux1p&!Oo+DmfWhg2OD}hO7Ld{3T+ud)2&@GL-d(JMk8=wTLvY0CJi7wz@7= z^5lYZs68Axg~cq^5qsO76az%=y1Tq4t*)K)5sq`@m^FwiNN-S|I7?h5cDjmD%l+UW zN(r_w>|Cu8Q5#P}FBVANowOtzN}l@4iD zrZ7ocU0Z32c{IYL06wt#;eCG#h;|S{E2=vyD1`gVg~Of)^xKz=(M4i_AhG7|-GOnB zV6X<0)^2?fIM*xN=1k1?rhUy~`>$kUfo2{(9sOoC7LXE*#LZ-m^>EfITbu_v1VOMW zcd(Ja8pONu?y=&(aua>O&`(J8O%L@KaMz{hj7R2+vR9Y3NXoY+CAIrlr(IFG^GR(D z*4scz{eQ%%|0V9IU8sDD_|4pWw+|T#;zhIkR@_KN$*FL7D_UGrrZ=jMqPn@i*&rieh&~>K?rT5+m)L3PyY)s C&b0*q literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-amp-5-Cp.png b/artefacts/resources/patch-amp-5-Cp.png index 4318aa120e35fd5260599e1403a038a6b2581f2b..328f7cd03e06e5d9055a8bf3708222b8c184f856 100644 GIT binary patch literal 7458 zcmaiZS5#A5)NTp{0tyJyn_>V35ioQ_P(TP(K@8ICruSX~iD0D~q=YWU2GR^gsu2&M zo&bUZLMRcWN$*I#+jC$3hkM8WkYta&M&_Djt@+LQ&4<^`434u2u|Xh^;}}Cd3kU?N z13pt(5#VRX3e1^}TE&cW`Gh=_;_Uj9BI zuFiq(7XpGk@|G?MK_DWP7`-buBl8zWqw;U|la5w<>V>Z8ojh?oMYuw*p-Qaf!;E zQ0?{324?pg`TrgJllkqveJEc`u7%y0vCL(E=;-G2ZZfRsq&fmRs;Ns48h_ zS3oy}*Q^j?ub%M)CI#PvVBzb81A->uIWVBzv0``EhbIEktvnG!RVBc?e8XxMO)>ux zu#%!K!1^S6kJa0oBT%)$2kZEUf}c4d=ot9y6SFvChhgrS*8w6|8o&JFEtZYggUkOK zb_Vhws4z$zEcSTh%h0C{Ml*kxj_a77>9k|_Wx58*dnB4}%wdkN7?FcUPY<7-Gs4`5 zQ}8_mep<|?9Xb*gI<=(8@Ws@Uge67cBUe2;NXS@!Ks_L{;NMOjP*xgL9xjg&Ld~gR z_@Np2YCuJLY{gD;eFkDbl<}S8-N{+bQ06g&7(z^e5{+qsQ@VVM1U`oHe&Q)Eiv(R@ z{70_J_)Z$0eS)LSN~{u65MuSOtj>&G{~FWmmn`xV@&PKWyE=dq6VrJj`#(+d7a`~2 zhmxJG2CS5S)COG&|N9S5aY%)C6fCscO3obL-B3D({Hl(vy#&>mDb14pPu{uJQ5DQE zyPDLCwDH!*2bvg-m#D|TRN863UG`%KjX+&oQ(5AeV?{oCls!I(fo*3>q@O?MB<TivPbXyZ>;K1YOtblAHvb>h zdZ3NLw>X=1mOPfGp_*ALBH2pd?n4(To7C4)TlO{+fp##^E&q!_GB&U$HTTKzq%d4| zEHO3HP2C~DSV%A;wqlK!0^2{aBCg-uS|%0)Eg%#SM)6Jf7)eoXpPLmEl52d{eW!_8 zdh@s4mg%~E7MQ)x6L~(5(`XC2Xj>1UtZRXTVab>eVwsk)mEbv5g(kRf03b?167cl5;f|0I%e7)VpZyyaaOcNlE!ML30}81H z@pOVk3~^bJGPZhmPR7(iRtLXF5FG_{KSr30s!%Lev%KtqieyEQBNF$i)C*3q4zS#0q_HS znq+}HVBRiVT86?@Ku+Hz@Eevst`S{H>s3K5O1yqXPAKV=BOX!=nb0wi9l4b%G6&Vp ziDO06DLTKp;Y-Fw{6+?H+*O=$%;~rSdN=~9aQvN+0JBNvO&){vilIZ~ER$UTFwdG) zSE)j&UA;FYV_L#fO!9+?N!*N^fp3#Y?jmo$(-65sQTP)?vaI+r_wbPl;IBm4CM-ji zG2X%kP8S3p4Iy?Ee%_MwpCo9*=umCi@U}(AU*c-ilFpFIuVh8aLKd4D(h`v7%PO9FQ8!!l5#->myW zYD5KyXtC(ZTk7stnT!9 zabwVgfPX{{#3FKrGJ{xtPEf31N)&U{D93)j!Y@x22KuT;8z}B(Y2C;E#N{%94p=8d zIZ<0;lX|jEV9LeT{!Wi)>UaO9#TOwxTB=KGDn6c`P76P(uajS%LLEm;=ML?Q?Crwy zXm3O`SPMu~u_uU=ywu>f^^k%!(fP_|?T>L(iDC}5GfR;+J6idi&Et>Ok3zrSFu1Kg zROOs-qI6C3jr;hwxZXdb>kIM6A}@AssZe96_^jB9_iRUB_V~ZjR7gXM)EkMm6Zfu4 zjaei`yBL3=6+)w-s?d3(gFnm`x@|@Leo*%VRr-OBHl_*i(=J$1%hL9?k`g*-2agV* zGSbGg%_}W5t-`Wn*B6Z8f3mjTwZM*U>ZLh&@6WEC_G)@{&h1i_tUQz-@3GQ}b^u|dikE+Q7erT=7E+>xJnfa7oDh^kxGJ*=y=(>Qr5%Vj8K`amr7 zGX+;xlu0UovLWGoYb_6%v3@Ag;%FhxkZB`xSmN_&zOGwgc8}Xf*)3`OfeKZGuddmD zgic2IP8~|natjVZ=#UN1=`ikZ>?YP`r}sQus1fTT@fQ?|zQ$IiDu{4jm0ycVQe@8;Bw>Q&b`8&QQeTGl@&&DA4wswC{A zubqa+0l-ng%nl||ae5GvW|Q-sm!aoGB(?Yh^GMza?UQoe+1L`EQ+SdVEHr6sOO?{g zcOV%4hcwiwA5glfa)#y8-9!l}KLOxIZE4^z2V0-K4DKsFj}RS_uWEV=vZqLCqcl=k6%Le!M_U1GE%^z{9+Z}}d zM-_E-S^lGqiwKjf4P(p@yOlaWJ6NX7ZjFSP^T|8p3u#3w5fLveUbhot*&_Kh!8KI) z4`&wthAF-m=0z1tqfHUe!nL|9{Ho@7Q@*EUOF@Fz-7syciw&*{atk|%{4MtZt@bq6*h5CF7{;6vCZxDt@W z#@wM%Iksk_#hPzs$)cKBU>?eTs(U~Dc0=%#B~gs!!d9(;-8o4KC@h<6z}nBma@zfU zcGaQZ3t?8-hyrh0zRE(mId+B(=KUwL+=`%=h0!wXeCG}AgW7qMg;o9WWFAzC5@q}! z(Y!JaOat>}v01y~!R!}jvr&v###r*NY!$KDrO%a9ef^B}9&Ph_|Iv$dgl4B`o^h)l zO6M<#i}TH9wg7=1PFSb7)SiR33`b{j*@xu15BkKz%S-R<-h#?yJ(%D)H3!Q0t9}I{ zezLz~ZoV$1#p8tt~6{@tOEm)NMcy!<3Ig*-<*JU{o{f+dgXWkGl@HlYH=-HViX zE&a9i@yBr3Ww?+n@18Q69-B-eksaauM$w;WLKjm-ne2}5V>jaB8SD%cL&qtX3@>S~ zwnu}ygmkOlcm8-U?61!PN(v{EXuf_Us|{|3dN8KLyce4=)%I>`;~T$0SP0>zXVn>#yHpTx|Cw`?+^_`jCs+cmH zNdIh_DsFefdFZV>{gU(7;wvMu>s{*G?za+j?{h4^_3oFcMA#=q!Ol0^;=QQ+w?(Bg zz4;W#yfcn)k@UEbKU3N3tDWKj>xl^*8n1ej3d4uJ&fC2U3{lQ8dTy4kG(wZAmAHj< zzXavGLKI6)^*_MYY{X*tGaf_swwK3uizVi~R~9Hez>g|t`&;EUo1qq^SHQ9X*X8Rl zQjH>Mvsha{W97||ecFoKdmH$(Z9x!V%l4YA=2>|}o=4TDXy%aDn5=bxIvVY*_|qWC z^a{jF6Vg>bzeWEnq?by@3S_Jk#!Ep$Y;pCm{wwc4IA6(2-`|u9w(*kF)Ql* zM8O>SWK{|5!|<`FnFa4ai<`$)V%#+_EU@>|O8-J);X5tl12%zR2RP!GQ*Vj>>$rp6 ze#kS+*WWKyB5Ys25l>L0JS0{yIjB-P?p3L0W5-)@x2v44bh&5ScO)Wt5M+zjV?-&Q zdrB((<4{dEKln0!1F)+CqM%@pXzOl*NXHh1ta)Y>tG}{iM8;0KS)}XK#}1599qYqPZYf7rVH3Krb$-Xkqw*u_xz(KY-fK?|F}39MAIT^0mAI z3rW$Ke?w7Q{fDPvsd1hyxaCbrB5`66wSKe$4{8lV6#6y zDb^;|UJ}}4pJf-Y%Au9YXBw4DZmit0>d!U@quX<7J^5nk2kjW;clr|C#iW+}Lz_Oa zUuO6I4EuofVqXc<^rH(+zso8sD}R^D7eV2OK$>DF`9kx`)tH}m`J07I&=kEEyfl)t zPGxiReNd-ew>+b%Yd^|=b2x0!Uas6AO)Nz$zE_|Bwkzms-;LQ`sn<_myqFH44h0>( zVcQQG7p|fQyl?3((hpHmQu^_u+O-@^J)1<86M#a1@bvmFb8~s671jh) zBI{%|OU=rDledpg%!_f890fwpWqRKi1@G@?IW!j(5S(JoQS`!?rG_r{?tJx(4+$(W z{ev)~fT9Z%Zg0!4yGyo^VaDabL0$* z#2Lhlk;cWPA4ZaM$Uv#Uh}6N!AjDLz|H1Aic%tu3rfH9jMbDSlQXBZLh3v=$5I`WR zcJ1r~Q)1zi(jz?!ET&~`!AE-TBu2At2R`ASxPgs&k6$Nj+XfxJd6ss0c#WhHR@!p7 zJFmXom81BPLydutzB=(XCJil@xK=QUF<*l<88v?3DNeeJ#buuH zY2KU!!mDcoEpB5Ramy{+d?uuecfXlyi?W)RjNrCM^M>pE_KfW9?OQogW{^EAg?c&3 zTPM(ENfqjdS@wMaHMX2{bAb%CV)#natWZ2>Gpotz(Bl0zumV3@WM$`HR8&M8qND33zDN3-@j zT{cE$6gN*Izh)+b>ORW}ksTNubd;Q{1;ZBq9`trh{NbM&%J2F403}Mju=*y{30n1| zwRzWG)ychMF9Nw3yE65(itg_C^>0tGIX@UO_dGjz&^{unA3JTNTwg-cGD914XVJu=`PKBfs9g`#R%`q+O2NYp4t!$R9t;}2t}dx|)p9?af%*vx!s zlw;dVi_3FePa^~o#h6l=$3VWwo_*h%2xZ~YFnmx~pRw@(Jba;GF=48!`?0;(5r+k2 zaM%3MF=l<|sESl^{B6FDFLLRj0#64cFFciq9j6JQHkr2mb_R>DK`=u8x6iBH+_pA0 zoNd>xKL9clM7RZAp74Lox3OPVEu^X2?WX%0xX84AJG`z-mdZp7g?x1a z{ta1}XWLMq%so$|WfHNtlyx=*QY(D_aixhGZbQ@}q zlFROWsb9P-XGjpS4xooFlhP8wrwbp^!vDH1zAa1AN;QQ%S(*{Y)_?IA-KGGF zZlBWcsgB2fD{F%rxg^;o*q=TD%A=E26??acXWHr-Nm6Rg;u_$mQha`9EJbLy^PxRHa zCM-_>7xHVv#gkUCh5L_aF@(Q__kZN|U&dGQFIjnzY+7_8%Rk=_5C=rIO^Z8d4v)r) z5F4k@A8N4(7)4qiY`q#BSAGok;S=9D1lVba%ZP9kt?}E9K11}LRp>}mZ?!yTSID`P zvRC5A2^j^u4TK#9Y{f6cX}By<%a4fuyL_g8(IPm{K5X9_D8H$Qvkvh(m-%&Nu2A|fMs9f{FKJRL9@2@fjf(=vGgu(Wl+lkaS8&G7hJjlaF4u!?iX#?*0O^M|*NpIBt zrWXL!4{1TxKP_+QNJ@;K{D@rF8vC4m)D_^{AsIo79v8Hx_w9VS3&!tDA~@k#N~HIs zQsr%e8Y&@skfTDyG*|6n9D8N`OLE3DwFm@)YoOq@XB_*2-Tn)sVZB#x>&}GY^L+vF z+KJp{Xb;5PI2x%Yki(LnUTfiGi9_t0^wD+Xuf|L~D}PXV{u6Dc(L@6?^KGM_@iy%A zrF_jM-qRZ@NBZM5@*lKDRz6a}s#V2W)$rMUcWlni<1>R;i zU)IUF`d-=CS!@nj75p3|$#IfP)@)q?gffsYGmJ#FHGrJgj`7HqlL^@W1~$CKa20Kp z)#%pIUk(CuSRgzkb+Oxu3G6IPb$Wn8OWS0fd|BRjj=yuAW>~1#CUr=?PTV}FCd4xp z_wOU2$07RD1*iP;N<3JXl_(WKofCFX|Mw{hh$#QBL5(;YwjCu3Y5w}jSrbx#j}TU0 z;sjL4TtVtsnahG#!|0Hc-hTIanHy6>Bqw?Qn>{hl;=5#@XbsZ7#km4Ux056DWtsm| zej6zK*1#I1hP?>J5ZufG+L({BH?}^hMb|^`xjy_DRB;w0#9|Q{ds&f+4CGhIwj*fK XSWNw}Zo3^g--KZF&Gag+I^Fp{D3wXq literal 7713 zcma)hcQ~8>_jeMzR#j2EG^ib7j~dkyirSQz6O>2)7r6p!j zirTgJsNLWFd4AvP`8|I;&viY2WL&xL_kGU$beS!Z5f2{?64q=9G0n3Qjxd{2uF6x1&8a9ApM(z}>ZJyXN}qy6lWJnh}P zd7n;B&udL}>?{ZDtu#O7|5>$?Z78fTsp(nXoj93@bVsS!P@tbc(U3j5dQdr5EY`lq zH!7*5?vj`(mY9Pu1f^roVpn62$gyNivMYR**Dajb{^^-IXmMqmYPx~^8C5Ou^B|V+ znw*DYfs>B5A1@l(32%V%%R6bvhZ*y9SbP)fM^jNP#5Iwgv?2B6t4Ob%3;i4oRIxVl z>oAfu2=^1DMb%96(6YAQp>+4(OKQbsg>L@TO5mHZ(nl;`Gg$#wMw{q@`##TYjQFC|Q-lk0G07RrWdoV5l0NpSX2XB0O&v!J_!y;w5T6jDj`U4%I` zxRKC}eNOg-=dq*1i5W*2EO80pP2<3@N23N7grtC3^Xw`JP1rG1bxe{={iS(^{!9(P zZs{rKXl7hrY~mbCYoUkjXn+8~IQ6fw1KWp;KZ43X`L)eQkMArw9;9e^!Q*3Wt!h*$Y13&Jg zY=G|_!4{0>NmzQYqmJ-5-w*iV_QNA0UISczoKJtsY0KN@QC@g<0%GM9PE}xVRxXyT zl6uk?raH^euh{+;HB#CyDB)!@oygDkp`Vg`-BJUAW4W4iH&CQ^{Qqv4p7X|lh?8nty@_a>P43HVerS!=0!L?du4bnfU{%R!fpq4C0~$5ZI#x3_ zGL{a?&*@`HoX=d4t|GKSo46`G(wc!lCyMZ2(f1`HZYY!bD82>w3VBjPtZeLNJAaO( zpF18DOB27j-pX_m4SFX>dRZ{&Fi6Bb%rN1^^$Ih^MXGPuI(SKTQ+uLllc;F#k4DLD z-PNJ;Ze)o6^2}jN41t06WPZF9&U9!4k7TU2K1CblvY~T`LmBRnBn|4|^r35YI)ejb z9di2nTf?w3>~bkD)auJ+Be`0tT5vdzCo_{gY3N`fk>1GiAnBTlO?}saQa8ogFam#f zyNTUTfL_B3Rn*C47dJtdl=YE4Kx8I#6NC_?^2i&jw|ynq$VFK*n!bf70+|{d7vh^l zmm{?Woht|!${IfWX2@$ozN9w2)$|u!*~|MoeFI9+b(zu%!p)bR(h18R1JCa+uTkeS z?J1MuVvXZt);PK;0<@0Q0_j7vIYvu5e>Gv!y`v7x;%{R1j$qHXG!G!X5Nj&U<8!wt2K_64#Il|kr@kMRMW{QoRw(cmOS?2D{+z%#LR5eX_#@!<% zwZ@f@RH(EP=^Pabc8-VI`6>|}BI&LZwOzO5O3u(0E4ShH3=ahl^~}3fAeaTAB0p>j zo4?hp66YcNOz2Lh*NM40&u`FV>~op~$zje`t)(!x!?l<0C_(Tw(puzWn7x9z$eD3K z-B2<0^-|3(cS|$HXZSO8`l~J>c%N7;CcDe4U-YU@v1I_nX& z><6c)SRrj#E}o!d{26Rv-A8yZ%m^y6_ zilVHc>*@m(hBm;hhrcF+LXb6@aC`nRCZd90Hb~?XO*9?ftt(iT{tMwqb3d?a#303A zg1iVi9j5sA?B5bO<6APlQp;Krx6lHO!msvqO-I4i--3$1U&WyyV(t9;oMCxmp91M- zW=Tkh0!bD+NppH>lzWusFrgn`)eMs3C|eKUm^tZsIzWD}%`uZ=APvKBT`_8|kRW>~ z+;;8L{4;d4SR@$6XFohVEEloo`SrWSMI0>z)8iZcBq}Oa8fDGIAKy!nZ>ImY&EqUS zb5wUQmb`B>Qsg42+vm?5U_G&|upL=TZ#$N>>!g0X^r|AqccONH-s)&_PQjr@9#TGe%F8J!MU1+Ot(U5GlisF8LzYkbu`@-2?g47=|3) z<8VK3^kl!j-m)wJIX3ut&F=&s-}!zve5lL)?xt)tzCw;9Wc_l^^p%T02Fr}gGOVvn zEkdL6de%7Md5(VvzT6x%F|+t;>)huV{UxEuS9{R8bxR#vhof~@@x3sU_Ih`)nw2`* z4aK|5RpOKM;k;X>6kf8vt{!jrMsn*P!gn|3cM3@$BFbKUTZpWO7~Xz-xpL{xZ=GsQ zt$KBsQf{h?B;%ikl#8OX28H9X{ZRR8*g*XgPod@H+PJT;t}(O_N~urH2Y2P#EevIP zs{F#HT@Wwu&w^X{zNE7@@f@A}W%Z32m(+ckms@=%H2oG=pED-k8bGN-SwBmL{m_=i zqC5HZ1l&(Lf28f4H)C9~rN;lf4V+6GpTC;*a4fy!Ody!@A*!J$6EB^;C*fkfGftnr zd#+aJWG*|c)=FSW{}-<C&FIQqIM?x7iuoC}>b&6|7V; zUR6LWcT62sU3gdM+~U{EP`k_!2sMn<8QVKB-i%UeeW}$ZqYk%c+!LjA>~L2V;m<^W z{WHtKk!k}HyOkG_JGRBo*YbR;Qz?o+H#FXA9XG;`It!(-K45_1@+~Sa-W=$|+xCnB~}tWh;_ov9hyCC4&HhKX6AS&Lw}Y^Obnb-mbb>J@-F= zYCRyPjSw?XQ`k_+$1J>EV!sMYfTiSOdKWCkq1uc2-HiW@{r_1}--rtipO90@ej%ov zjeaHaqOVFf7xR9Xdg(%mlag-9~T$?l0@>b9)QaHyQ5W z_IMG?j8>{#iMm!;I#3PQ)~*BFm`72R?MF{D*Y;;@1}jAdP)@{-_%w1&L#Xc2sCzcD z_UvFBujH3oAG|!s7Tz&Vi=5B^E2R>UB1l;;BB8}FSEAHuA}}+T-Bcs(!R~L7CoKF4 zgy`pbt|c~cGBWORg2jZ-@rsTlgy?Z1l;5duA=(SY`<*z&l0)+j;!kZ2=>*R~L*z*( zxtGHq|MaVwyrLPQuvipxBaV)BqxRv^jtA5jB=hH!zJt&g9O#ut+xZ1PR;ixP1ia0~ z5!(vWo4?&-uvO=NWqAyeVFmuM-8p&QELIFblFo>RNcf3;YX+4CvemBZES%Qr1X(O@lv}Hb84&O5avp zp61cQ?GHS6ym%kl3~F`oXG@|3Maayk1M9tgU1vdrv|GaKl2h&u4I}F~*`_^nz{p6s zPPQpA1+@-Tsj$=H#7zG~hrj=NK3qv+S|&+&M|6gb;3 zVu-S0p3Dz&U_A}nJJ)uG2V4k%!K?;#24+WzY`Ed+2a5%rBH0dufk!(FeYZ8gWaGzj zYv(WvC07~xDb=8&*M5a22GKFJ8|dHqr>xAYH2%**$@L%olp&bdMd+mQKlG7Q%3vG) z)dtZQ$(NRd5t~$6dYqydEH0vOu&R#LuU)qYj3W z4~)u$p=1O~sp5Ijd!{QB*qbLHICNd#Q#t>8MjvK;*Smk=o)qAH#Fy@rP}3eVX(ev7HSmElAYqpY>9crX=hz_?$H`$YCVW)=aB+PMS{$wr=FV{6Wv zGYOP+vZXWT-cv+rE)A1+$B{CKPGrgYU}8SlL%jhK3_P7E^3V1Vtwr(b3K+3i_7 zs(lprTC9w=e6Z{@DGy_e=mdYGI~2?gzO#F6^8Lz+cVXm)YDbEo>3FqYi}*4#q9$_O zd6&Zvk|e5Mn+%Kh7YXocWUDhDA|f01yaQY7vFThRbbisLtqnq?q}$>cX=B`=JlK?AS`b?p4bPPOR~2eFopu70J%H#N*kNn+YI)!yFTS5$+b zO%eMa0Gz9!?S+#zl|7b?;k*pNA|L5Og2G(k&z5STLP6vwYbnESNjhe{y_niV+LlyQl5h*QeAG+V&P*hY@a&4zix3sac z+E<>QsEx5!J+IrO8%2gqT5a~dlv`O@@!eY*7J*#7z}DgR$7lBF4{$KUOq;ot{rQ}tK2>D89B$3<1-7#>@u>u?R!1F-c|7IH zSH*}@(Xs56RWd+<4(4vepN)drd7RPOu#Hp2l6TeGrDA+j#_2uW*G8$=c)MQf*X5u* z%G&Uo{OewRt0Uth@3hVj=US>;qD~Hi*MDYOm}-9d-_mqyZGCD+*J)_6`Mgb;6J;*PzdsX{BaLAeg?n(BVhGMrN{i&wEXbd=Lgv>r|!%F z&5sB8qPz31ee(_g;`9;7#7Map!f8VY^AxF*dk8h-L89?Fww71u%xXe*TG-hg-dhwx zk?XWovUqdneb}!DVsp*haMY*{9lxc~5+65faMH=58M!FZQB7xszCUEK3Ifi^ zUq-&nl+DT#+38JsH(~>7Gz{!Q>@UcTI18!-A=A{?KYmv^-Kx}TE4;TajjSIkZ-z)% zDqdf{jtHyv9GI0uw2`a=QA+ILT!)KH4RnweIZ}`Di#C9Ml7M^k-VtnD^^2m(8d1vO zv&bh^>mag4FFhXvQn22Yj0JOrt_&@YSXbO@k}Sn!SqRV`zeUdRlD){azuN&yhl8f_ zIKlJ=fDtFwR#uZSKfM&!R(BGDykn#`c1MdDDEIy0<$`&zz;<&!jhMC#t=-0Q53o640=uKZj zsS#!Rf|7KApc=g&PTUNFM@j%sKTr!@GpO;OR0vtIr2qa`kVfIP+SI?z^|)qU!)~v- z8%i20QEjY}w<0vHgSbX-ba9Q<_Y9d9ED#{E6+^D1_#2gfENw8AyXr2A|bh)R|J%mN8i%LFu(C0kQqeA$wmX(s5?t~>YvimZzCn! z@-y7OEa=k*Zup}V2Nd-oO|eA~eJZ#}6t9YaC)MeV`VK8fB_y4J|9T5x;6hh<^I%#^ z9Y>F^8PIdD1KGf@djD&xUbYM}9I&9&hlhLYW=Y#WS)XpahnSx3U=kQ@0wH?(OS3ke?5Zo^w_0Tm|`;VUQr=BqLqJT>C%M~R! zf>~)JL!8s1qV^kl$vYW;1lfNcO|RZ`2{UXd1}1?pAUPJhBrE3u=-n@?{L10&e+!$P+`Nv8QYNJ^_&rM9nQ-0HB<`eLm8k@X0n_L&VyZiDY$_eU*@fbKM6(@s zd{_XEwH&q#_g?()v}P!rX3634RvkypB1bWX!x^Y4OgswL_qizv702cefQ#I_cA=pZ zNwPe2`D$@5B?~qBgJB7U`hGzWFA1$YURmUDesFwa>*-SIVX!hpOWaq5nA*7Fqhg9( z@RF)h-kKbGfeRIdc0T0^tjN-9d*ZO2r|6T__s=AKA*eeQUje;ygbDmfB*j*aDrw%e z#+5td5c1+C;sWW~h3oN$qg8)T*mGmV6UsJ&xV2Omh6T zQYpEWM9>W4ed9x9vT_QmJm%?8*XjDfNTzMoOJf&Vzh$fUTc26u?8?WfY`7c19OvkYTtJyZG#ba16Ni^zHeBC|*Ob8r4&g6{pq4=7uAUIE%YA+uS zQIdfnosqki(Y$CC!1ZrD#ZE(QtKTZ|jS#6AQ#Q4mD1xs&%bHAS9KIqgm@l9d&-^VQ zn%|k`*>`tX-SNUMAt z@$jA4UTx%XHjuXklDcMJ7Qe~%HFAyqZ+3hNqRz#RPzP(>8QbGH>J$)KZV^H9^PhMiq8Rt)zDfe51jpND zvf03or=TfnN2(5xB-UlXN73bWQ6M*Q+o+h`#lQ20Ht%$yr<2$;PceT0%a#D_@m-ff zx<->)O}ZuRE9}wKE>DW>v0_mEf<&}}$-f~rQ6v~Ac%z&^MUXs-uh++wDNg6t_^8|& zo)nOl63LzX6sRjy<_Bo-?cA}4t$Ut+v68Ro<~t#DZ>8RSN`tW+eP0Sa@p8T^(>$Q^ zfVUX)rIfLMV&-{_(1d^>KN79zROGpT4PKtu{EPabKZ^I|pH&7Lb9r#ICu-HaH!5X) zF;Pm@5v*o&A8G~GWT+|Wsp}|@kD0qkzpMGH?Z@99w0FBmm~rG3*!KI-VZviTvq^{t zBp#Xg@xE$uWnN$%8gxSS7cZaUCRiN8URjeuuz0014nCt_?afeVp$V0Vwt)HxS=ym}Dve2IijHXPDsT4fq-)`|GGOKl5= zGJ}ZTv&(+;7E8(=;*?h!;4I>OfLKPi^BWP5qyuPRBz^i6J(VAqok0K6lQ)1O45&On z;G9?8*f;Nw8~=Ws7VU$oaUb1qKmZaPjyf1UuR450-(IJ?z4#xAEZdSXKhNdlc|1a$ z&SSI&TFIuG?eU9YHuQSLlPv-G>g6!0-i2HsrT)LfvHzje{-dOo1aRCL)FDd(#0O)) zz+r9S+_@(zE{H7~^LRF0$g=u{HgP1>HtW@@iVY0#957;oWf`s49W#2Nv2F)HseITz z=O7dg2}VHb6?XDj9z1KSd$j%EqSl3ecj)r%)|X4m9eHC#7xb@8JeM72vVK(p*>9DHU1^Inpf7%VHyl}1nCqdDrC^%a?-v|&0sKWTB!IZ40BxYHO zDx=EqwS*p~xSH97L+v<9l(Vd}@uR`!2McgwbLalG&LL_nvQc$n?=8-acnc+S6MuUv zX(842>N*G;Y@*77Mxj6;IlOy<5DKDA9zi+g?z~Zc9Mb*Oi1s^IyGDF7CJZ_WhVC-l z0Y7D%IFI$_mW3!=A1o)NCWjeT(8;_=7w|-e9-bp{X_@|$h@**tt!3_*JDXB$DV&sY z_0RK$@nSR!=YAFvmr#vH)7O`jDM8NCn}W69NJVK^G_AYT|0z5(c(+h2zGRPL%?Y^_ zz_=Lb;};3a^RFO1Pd@bKzi7f~Md`pf?5JC_#xG~T{%HIv{P z*xj8KA1tCxR?^mjMPFIBK?H6=DcE2)l0b4{aCKTFM?iHv+)J!H11H0`wY;1S62g&0YB&?dgHidbz6yM0W0ZRM2G!fmV9rP z{{ttgEPO))uKhnR%+g_>zr>{@8{fXX^#havIuBcorm=aMMMR8mLMaGl=q{}vc%43W zdLR(lNT*zp5OXb<11l-%C^Uz-1Yd?OX8E|hR!@n)U_A6 zJHnBKQ&pHi?$cw!)mb3MP9phin0**SUNlOlHZDvgt51F6x&qiVpMq&)6_i<0&`o)D zb2Ag;Amr^_T^U&r9~_B9hI9N7J7B3*{}X_~Y@_0XZ^+?8iQR!czp#092%Ss|5zlz& zhIE!LSq`52YZqxzHHRpO;0Q=6;c~-`+N*H^DJOihk8cC?D>4vFmg*{oF8tFs5{YW znoM6V?<6fhNEN#)5KpCLR%Yg9#6Cb~lnKJl5ynaU*r#8bjR7moIf!k|3WJ1lT(P=oz#ABq|&u^ zGlvgb%dg1clJ{~lafJ|J?xs5%twzh&m$czYKZs+T9*iL%RD|zh~jEcBXaF6|cksR9*5PcMrDz1Z98~iJ>Ub&lg?IiC{<*o8Q`hK>+>Y<%AItL*)0QavxLEf!OB)71!eY2q9y$#%i6oSf zd|W5mWyGDr!J4GoAe1T%dfXkeS1>L{9L_e`V2|p4)R~)A*~Su)^EBA@H~bZ3@ad>* zJf}E0c4d%}y2%jc#G3|OSofD!BEW*2IlS(yj!i#fS|9WOHb!_!t0X%Bbp?>?DZ~Co@xL*#1_t6 ztsKemMf<)#g{Jdq+=552Km3rtg!&U1reCzaTZcJ?7VxC~k!)e%WnSg=U8kFp^>k3$ z7>O6_TP3MamDzHnbkV{jhwsmsl2-ExPXe~Kpqb;X#9d;jRAOmRx<;c;9-Wwixjd>fG+T&gv^SP=i z`PbF)_OM}lPleYkUa`riBSd}Vo=4-xn%&(btpEkhL8*dK+8&yVn?nel)CursHk9X0 zO6|KQqkAty*f*tN0t5Nml9G}?)z%5KVQm}j$900XE7G=giG|@ae7f*g-M#jP{MzWF z=woA7PN)eZcft5#{aRuC8|?;+#&8A2!77sa`;Hzs`!mgx_!4STPWC9OnEoaWBRfM? zRsX^%{vC&`Vwv|c-c>%pu+G{hH4E})SwDy)#~Z-tK4;{4Ql;p+vYiomRHTijGHWLv zS2MqTc28cTpEUDrA!gE$tc3CtB~8c0rdSR#KJ$UWN-)+0T?ji250ZNw7(IEQn`&)QOcl_* zrKNp=(tmGvKor?{iBOnbPAat=V4$TIz; z=2ChFprt69CWENfW}b?7?-t2B_dcjt-8cI4Kvm^aqanqfXyiF5MvFIRuw!Msq=*l< z=c(vTLK)K%K@=&<5&I!KmWRV#!Zy}?0D?_ST+5q*XUkA5K_!;FM6OMVQndt ziXQKPwi)wQoiTROCFhCl8>uo7n8vsd1U4mWM89?1H=zZc?^{I^o;)kS%|cu+-5&w} zRK!=Nv&tx<)U?ml0*RB&KY#gW$y5 zq*X6?25mq1lvFvsJ3db$aqF)cjk0q$sC1F6x&^H&OP2HR-4kD;#-AbgYVM>{Eyxy~ zln=4utu3|l2z_s+5nY-7k5DsB)XFXr+dbsQ9kbYW;nDqd$mPE1!49MRz|~0Y;`)Tg zvNX4#mUd?=}AP36y#7pc3eorFtHCdB3Uxm^S( zjty5d2YR|oS5{cD_B4X>pJ3t~Hu(0NcUjt3UmC2o?a!p~-YBu{=`YqQF7R(x>A9z} zfY`de3yuBxqZ9a8c4^^0z`SHG9PKRK8m)GS zhDY5e@1h#*vPPSgfs77Z*x%?sIo#%S#|9?H3yW~1G^5V0trM^S@j?`ZtWD%VI7I`f zr~5-~(fdWj(itntZ*C)w%pDCljO;ih;vntWqx>MqBy0EXxV>gDA{Hw@)S89k*Ac8gTAe~O7OBD#rBHZsc4v4R=8+05@{g8gQyflmaL$ZD&w|K6pr^&0 zBx(BorTy*(#}3_*x`{6@7l5`w)J4k+FfqL5i0*4o6vY(1-@Ncr(&`q$HF*B@z#rBLu#Nsi$#Au;X7QVq z;WGcsVHRbE13N=ngBHOt^qc6sH-K~^=Uoc0q{U{Rad}xT!p9UCWG6SoWG7V3Ib3(Q z^ER?ksl7He3loF=)VqJBUicLDDdyBYN90p7i|fqCNJoi)NG|t{SLa?yzLuuwQ9u+k z*s(*}jb>8zUQO>y;0Eo->!tQhelt(L%pY0nfpxQGxoQ-tqEGQb!5Y=3I1@V{>!y}o^ ztFNC9$cn5n8;dDKtkaRQ>*JDDJsAyD(VvTlIf;#l4_htU;!;_Vdv?nVuwyfFmNv_# z@x;~wNbE!Njb_=}0aE)_Po_;V=MH4tH9t;&$$&#MHaLh#`eSgU5|D(8W*X6BAThoL zU0~Dvvi$pb-75{F?I=b?H$IF2Mix|rvS2g@DS^sp7igVKjlOp<%Hj2)zLMo-n6|v6 zv2Um*E&?J|5+cPg^QHEbhxnSfPg*?(@1XI!oFoc#!{cYdE=i-EGB zF_=uYj~6pVMn*=M`4z4Q{;+kw5xP8_uXi;t#6lwC>iQTWPy)UDig^Ou1UF>$o8U(C zxT;qlR*1Z~ymO}7rZ*9|mskHzQS8pFl+w6=$Ei(2#fMH_Y~0x)BH%xIzWvaZA1_+h zTb`Gjm&yB05vHvwZMM*P=MdgpKERqIQSEmlDiAD!^QF(8f3_taBXd7^l{1|Rc~~w% zE?4}RQV{#WWsUgnXm>?GV}S_1;PbZyFnHXo_TS?{g7LHIpBfMl5fI5oMy{!0!P?5| z44VxNnD8ufyC(Ij-iRI*1uBN&RRVkNe|se1ndkeHj{CEaUUPYMH4=#1l;!2+Il|*O zL;j252;X6r2spVLb?zvk0S!Qots649&&OdZFuN28;Of@(67SLKR(4TW>}Agaf~)rv zR^kY%C`I)qLFymWr2NylKGmFJZmOXeTEF#*FzZ!y4_p{oVW4 za4?kRa=wRbREPi6hvv?aw&?J;cMI(}-aKAj_WDD@WbrC^n5pTOQ$*m5-9pyon^3a@ zW;Bhu@nVq5r3k@;D6CHN@66}-brhZs_6<(9itPG(V@<|m_NOCv2K5zPI_XryR>z#k zT2O~;gu+J}ZJf*Dzjv5|i;Q@~Ob0TAyoY`I`F@VFPN`!3hG;hIb0L1iVqz|NQY8@3W? z1y7EJ!f;2bqW6!JTjZLA-jj`z`BYWNFc6Au$Lp=eSmhh*WSj{qoFj3ZI7OKK^51@_aILju|C*79)4`*upZd*h5)u;JMG?PKxSKMx z&c*=HXRg(|`XN*9(8(w+UNFg|S2kC;w^t^2&;DXCmYW5ZtP8 zfq3uuu<`UV3#S=TGsN8+__!XSL`lsHng^F-j`ymHl>!kqBWHc-eSu=>8G1Clh1;$a$C23v#@Z?|%@cv7{ zd?wz)steQyzcilZ_h)G@5elkW*WH4QIFGenB~(XNZ<-V+y#O3N3=nfDjd4XyO-F5m zrB?U%Xf{RDaVQO30}_fhC;9kJw9^G>24rB`g!CWT%4L5xqRmOP<;xp9(B0NNv}ZSr zK5{bV(>%S|Wkml%vH+^h`*3$<@n^Z`aXZ6Fc5QsrJ$bx-mXpy$q1^FlGE`}g{~pEG=huvO@u<+>dE5^;pt@4xq{s`mTyeyfVGb@cf|dc+t| z+*Ub8uQ!*8gf5Zae4ULu8Y;FkuN&Ox$aGQ&#%dcK5*|xC&0BDQ(9G%*p87C*8n(XT zAdUcw%9n1V+>*A6w{&(luWQquvD8=0E4?&0X|}F$Ecn6KzCRN^P6(WRdwNYjeJUA)=gN<_3P@_j9RM(rW+L8j+OE zE4jbqB$MbV+w+`81dbqMJ z;g{v<4=^~*#0#HpK~{cWU4lAC>zWo!$G?vUL*69Cfo4gSABq%{7HKXI?UeWbQDYlb zZD?pXIq1>aE29cd{DYa*4?XAVEZ9tjru#@|7#An5O)iQp%ziq5CC>psbvM){P`i+C z%P2`Rt$l{)fF?k}#pa}qXyDAYsjz8DNl{OpL?W%ey}_aa)*43ZHrg>fsoO2o6S%J= zuSQh;ziqgMO3zV{|I_$5hRIudM+v{f*pdZlyAmFy)E!olJOrescGArcwq1AEB$XT5oXg}|LrBuS*%v|Aqqe~){@g; zMaGx7ThP-ApcG++FDTZ3$-rnpNbcKDyers=(!SzV+1?!JyS&OHd=XNQza}1H{#r5wR3v!U8t-XzAzrU}?{YkZK58 zvi$YnQ4{T_p$AhKxzFY0wjh4XTsV=m9DghR7HoJcI)jhreB6<&dh>NIgJtQZCQh-t z#_C&LoNNBg^W1HRe+q<-_STLMS%p+jOu=QRvb9Y(@cqU@R#Kgnv22YqZ?;Q;HVPyC zT#aD?^>Mu;K*Wgt3FC#kY5amGt70~eLXz(YNn?COqs$*MJ;Qn;Df5hQ@-l*>sVT*L<`{Z@cbFZyuQ;1vQtxv&XnDLJI zGLb^<>s$(RCeEdpHlA=e#iKL6()bC+&UFFEe2kjj^NQhgjt_&m!>UJ4mO3IHZZBy~ zC$k91pmxY}U$gWrtgQABtzm1GEiEm@nDJv1tSUxMXKn?#XZUTeFkv{D2OsH>Iqd1$ z=rxe81_6<7;AzGQnYgdS#d^RMj8L&O?{3AKE5oNMqh0_Q0A=7~ar3v(;9i z*Y2S>QRE(v?&%|=?~&$Yai3GliD&@ZYkq^o{>qd@KDH=YgJmYDyXX{?g|-Vc!H56C zs_8qbU4jp>D0qPQ?tk_o9a zPKsRtM9X=L&I+#OTYJ3UvxM1`{wUtkG#+mkv~kS6mR#5?25bGssr}2g!Her3#*DdU zxNZIJ?uE2@x7(&cUP&8PL(RUFxJ-o3Ob<6l2=GHHqcKYT{LjDRM6i zk0VT3+y$5^vRG|LvS5H`v{D3(AW2maCzrP{ABm;K{ z3Otn$g}Ff5Ej~fsB>p+D4T%ln{(PE1%^JR<_N&|4XC8vZGpwf`*Se;> zfDNuJDft}^ltE;50I@kU2SCrKuESk{{-Y=3QkSs3 z;z5WZ&X18Wu%(|Jadiv**+)l5F?|AY__t)zOs>L3G#ysBssevLKr7(R#MW1_GS$nW zu{$g{#oq=KoV?>*r&{`pG@DkOJ#FZD;UmW9JhC$exn+I4sv*~b?wezi^M8+Z5?frY zK_z9~<(`w=@D|PpZL$?M?mI`Qw1o_(Qfa|tz#hR*?f3#n|4z~`guI2P}UPv zE8v1n3-6OQHV10`?~1UEmpR~qqQugcA)g=Nns*GYzffUR=Hngi3S6mUH$!1G9D#cM z6rhU&K|p=lsI@b2Yw}Sa(8ky*6+Zp)quQaBKcb#1&&JdPx9{~-T^^suw1%kOtfWmo z9E$U6z^Tq%ATBSi+)mUSg}ZaSEg0+SwCj)*XnloKOw4KI*O1})QDtx47P3?tTde>B zF~I-L0+0(>)mCf$H|mG(dLQpBxu$geFsH-Vs=5tDdpW$)HNLh?NNXJnTbq~LO zFv^u0XL@a^&%dcG z{4HGtI<_*JC{^~B4bd3GMVl>MuW~!GwsRpygQrc4M)_jI;{i^8=&$Wne%NGY9>pjT zXz3!bjbhnd#Uk}8e){O%aznBKtW`|ak8YEF6F3N=q3ZLVjM5yy%;?pZAg|`tA#-RP zor@Hmb~F(x5^YkI7Uia5z5W?Jt`36Jo^c*z;Z8|D378jmRl=MomM}F*)mV9#<@}z% zmqH<%(9CEC(p6*g0hNici?v@!tm)Dc3+F6$oOgbr+QDfwGC3hvHX$y=151NeR|^W!G-dFo;V3h<%an*@w&50Kn_L&{hs>t(Uu!$u+&k~CBC+`i*0miFC;}5QoE!&nQPcY@l)p`1kt*@ z<}jtGDu!wil56hze&W*_f3`mcE37A1Cz{T?Xs;Z3P<3?$K7CKbZQ6xsCXTawhlb2* zs<-@{ep5@viY2Ja;p0HEb&;ySZ3mSW5TC3#FXbzx@ITMv{MM0B|d=J7yaVT zir+4|!MFi3WkEc!R(wC|*fNj0drKFYk_mB})>jLEd9Qs{@mb^AgXu zAwv#44Javy-ucfr72R$-V#XhIW_|7hvd4Lq(Pth)3!j+Ge>Cm!uR%uCyqQc_HJD(+ zxY+e7Q?;rO>HJG8RpBB#HWFSUIdml#s4EZ_17Ud7YvS9`c5JO(POuCZ&Nax~)dUtu zu%8TQo@OdNjdMlfA-;h9S=RfHb-J2B0;wImL9`T^mN%nIho z32x>JFVL>8bPv9U3ho;z8hC;e(ad|UjqS}t@rwa7Gu3W}4M0!S`;j&;D0>}Bkt8PZ z?X?vRJ;V0?n}NAqa_ONx%UQ~u+P$0j5@FssglY|I(EoQP<^MITVij^NS!C_}i8bfb zHOT7KrSGEY(Gw)UFLxgTBRG#zWgsAj_!`B)G$#BrrO>Hwm3nijxwvZylljV(umN#9 z`^FpL`Qrzbbbk;<7ymUc783k`DH6laWe@#12)Aup(t{(-ho65lm|!3flFBsvkRlCt z-UWYeuo}#vBm103Omqe>i+@NeaR@V5))}(Awd5y{Zw+G42tG7hg;T)JPq~ZmqC5!% zlo@2l@0W^05Zlv#8b@@NpoMpAuF6eI!R#|PA^?{So9%Dzu3!q~<- z*2%t;Jxk8}J=gC#f1LBrnd_SMnfH0`=f3Xe{(SDwbH6sbtIv9l{~QPeVuc&rHV1*g zF~DacBR%jsBQb3ayfOJ2So?!OEC&BQ!Dj)#YJng50`#l`?)#tuf}NhYf`WsCWjr2x z`nx#!y2|)Gam(D$VflcjyMvh745?3UWnV;3t$h@9JD%8d> zOQ2RBx_(_a|7d}K3c3BruGI5kP${VYcIo>{BR?DUg?7JFb0vbpwLwlDl2+TuM0~yJnw5huI=a;H) zfN=gMDT9|n-ftbKgRMX;AOg)kZCe{}mSkWyElx6WT3qvV(-T#k=UrxGL$7&l`3cPS zfUE;7d}MH@Au0il{A~h)R7neyW&7L$Du$79Z98a*=?j5Hpgkgf1IwnL*)_|FLL@5eFum_F>vPsSu60MZS#g zp?S;@>5TGe=g*S#n37vVzJpclp7-2deiNyRJ61U#Y;*9F7PKj3;ka1-(w+X3hCjNhp$8TQU{g3H`|G>3+f{Y zPeV9;3AKBWDbO9aQ5CM*{PN$mPiEmnwMB{l%)%8r{?8nozCCs47XIIXzfvE2lx~r; ziT~s$o87pMX?K$Yp5#93pk~i;rUPCCrVkZ|Ah;|yBq|FGS0Vd!kEP6{iatln5UX|U zSPp{KW&pRPVLeea%n|qD5lmNK!aP9PauvCBEKDFcP0ZrC2#56WDKRR}pPQ5t{XZ*F zLwo~PyNIOQf&M=OPjS)y^60@1(y*f6FMg_%qtnZXCa1k#JyeY;uCOrCs zN)Qe!4NmlWIQ^-Sc2VLDf;*%3lg}4j*8xUIm3j@eIMMy2@D7HH)+y#2- z27cVqLwdb#qVPLqsm`K+OuELgF3-y!277kvfvB3Ag>pk?E-ft4R7d zA_22KcY-m?5*Qh4Cm4rtUUTq|z7g%jx1RvESF9L%!P+t3S46zyXg?8COI~38?%GLO zfu1s{Cdes)&o6ui-=%NnL3j~{)C~;@iF#TKQiWlb%gNYBRN-z!iY&pB@+fcKq z0tma|*f2>eu{!HECSdK)=*K6eRp3LPR)(`&VhHsiEL>_H(@!)(4KHcl?s1)g=e)&I zQqWUkntx3bl#@V@6=Ctxaw9f-s33>k(^K?Hbc=yHiL?M$2VbOJlUR0zWpX=wA`FIP z>ilhZVI~@j&teqjskyxwZmFHS(QtBmB$owX% z^#NT|^Z?Vq1*ydSQ%DHQCpt0148i+v3EZR-uqw@{KrNA^1+fHokxFESsmP8$P_qS+ z7oQy0CvbDe!lPV#9BEC8>hAaoAHgj6(Q|B-_+vK#RSh~+-ztKhX#`pCD93claUY8I zfkQ;Rr@cV1;&escC5A?u(JiD4Y0ZNA_0GQNI8*Y2att!`542Ag9VAy_p0(ylp9|f> zW4(>XN0jD|H;4>>8FkFvK(J#);gR4T*Y@~#-LEX49dU0xo%r&wi=>=EVT3ivf8Ssh zUBAAp=*U1_Mo!s&yG9kt36?2a*P4B*`!$$g(FpCMg)0$c@+6zFx6!3iB8(Hy%*^IXhsgJ)dUV>iZ7qps`Y___B|_O62=Le(Eh)ICSJ7s8n27 zb*$Dst=luk1^njFgK+J7fHj|yLBV9qt-L82Q9ZIAQHA8?sJw_kAX>uLzO-*V*=#yk zQ2n|lZT&SiD`<>K7oIcJWoyXKsL9}!>EZPZk0m<81X8M-VC5G+$yYE`oST||ufn48 zjbxcg32~G&+dgv=<*uA_`<6hAiM{E$${czo__@ufdpYBH4Ra2MTjNfff$A0={cgNH zZ_}Nk!;GQWqAUf11u5uV3-}rRFg(nfj5rs1b`6{DhVr?v-Rv#uAqiLESlF0$An%~} z<24AiaP{MpcmVfISuA?R8Ib*`OZ9A`dL zI;P<&18W{XQK55(xRr0`t50qOLkbMTBwmIXzF=e$vGbv#;6By6x)v4|cA44|%IyYY z)!gkL-aFYUZLwAh8o~H5nF@VRXt!Qrn^NpP$q08UFo9@dDxzC{3K?)LQGV@bF$M0) z!1@oT+p~$vrL~p~)p02*8sz#jhDm-(w*5g8_SA^X9NWLra@p81B=kuOJ})Ldx-_N* zbhbKl`vrmk2RY_qg@l67`=ta3I+1RDrl%^xx|WxyPp&RsqQ+Ks2NK_*hG&+QJ{K2H z(*Rs` zbNz8s;Y9J&Y!JCoAi|FP%%zv+sDSmt(@Pg6iX_6K1f909l5(;D)PtCLWgd3EzwQ_J z1#V~en@0pzVH#~puAUUGLfjBvj%Xdcf(^S=rwKqLGFwSeQIV9Tkv=wt7V^RHqLl5; z5jpYLCf;Dp)J_1cLQ$yp^I~MlJJLlx9hvS^mmPT3?f}@|Rbh@(?6qL?xft|+2!ItF z!JwC5h~>0)%P}-}xix{9f5NA?h?BI)&!$~auITHEOYqWW3lU+zthR`RUtfkM8$db3 z*QL+^j|6%5lq84O6?(~1qqlQp7RA&OM)h%WlW8`x6+Iw(n75J2Byhbz}weLRh zMRl?Bxe7~qnk-krzrdb496+W1hX{l&ta3Iu1C*rhq(PsIH?+?j<(36V&b&1cyGmxZ z78ZM7@mFUO+v^_uM+KvsBaX?Xwl!1e?Bswfy!7x~pbXpp9stwOmpguY_Jhw2cbR(p z`nGd3{_L%W%p$-Q5F(THfi7e`d?I){Vt1)Ok9X@;4R>wrPc$tw!j@c8IbsY)7>#Kq zVvsw~z4rm7_;k@GQID6}-PKcn+J$>h{_b#9RaHSAalQT_`EPS+hI8cA?`9oY>eu() z;}Bj*9OSvnt0FwS{`{v$Gs&0wM*s#${^3{Ly>_O6Y>|~?2;r}dE=N-D5sl%67)k_1 z&mN1;fA;%(oPQgi+pbN0!17ge0f-kv`HYgKA`^e8dH;9<%gnC7NnR!9q3bg#4DvrM zK1b?yS(eq~v8P?p(rBH^bw26^ScUsI?4Cv2e6)Cs6x4{0*WsyrinuAk1j?yz#P5wt z`YDuI%<@w?xNn1~PUguElHRTpv@5(E@f^QF>?b13Id#bM#5TdnhAW~*bnU`Xnr=A1 zJ7un2X`w|#ZetugCkgNQadRBp!10+^MxmnW&kKtT(psLTeY0%t>Cy+jjn$T7K|Zag zs%6bbpVQAFZ~mlcd^)#u$DLUfJSwdEgA~c_Vk`s8j|dD!OL0$xZ#D&NPECfNuWO8l zF*Q1&W=U%e#BW3issudK8C@BN_lG7ta!!>?kMcSH`St$Y>B}Cx@adF6`LL)$yb-kf zouVyyky*$~%^>YuBg4C{nvd>Wauw?ELU1of7~`oi`7Y5|_xWNX(F=a;`Y9zS8voq= z2z~?XWnxfmJ9u0;>WGppd@NVN4!r>$gqY?FMX~u_$rMOA1~YfB8KGZ7S{U}d|FF*} z|EY!`81>%Kf=FK3_zxh2L4Br~$wMN=OtRr*W$+pDocXR{L|jmz55HH!r^;F zs3VQNH>V*#%YF!)mPE>MJ#(|S|;5J%VOhT7MC?=d@3q}CrTa`;=lQ|58auJSroOCD*7aBWrP(6r{6~@Pm_j! z3h9d)rk&ep+__sHxLPggq|gROSHIDyR$c-jrI(97`9JTH2hvt5HY0<7q4&cF)%o}L z&GiM?6Ez54tU~JV6NNO`-tT@)Klh|JMdw}0pb1$APV-u9EPiT}E7*$=vbWU#e0iWS zU9Tvopx|Zb&VndC`=yCQGl>v;a*SWQg^VWC1#KD>n4O~@c^7nvrkUT(jG#D|_uzcD zQ^5V*eHl2-7{8l;(73nCrO-JJlMKbzceB)4lXuzSBLFjCycScMlrT=)<(lsx}kUS1@+{tNC8 z6V0MsSzTS74qX%yGtCwZz830=VnsLWV=COT%NAF^4&~{y-+6oS8wm^Z-dh=JiuM7O z@-a;|yj!PX+POb(Uf31{PcU(Dm7V$*BS>oCa%USDN7?G4rK zE&oa8HSB!dDdRfxhxp^gPn8J3-d3)=s^4bz`}Kvby}e7pX$DXpPO&r3^|QQp{bzZD z2pV52&$6Ls;jADp08&i;Az4{jl|9JN)rmU)COj)qNv8qXexD%g!*xwsJd@(-$MD;* zmxf(arzP@~A6SnUaqi{Im;X@vzC7s}^e4*mr+28%Fe3-IkB*I{Io_H~@6YcX-5oI$ zjyjQ_ZA+M~olSJ1e07bF&XX!xI)E#W#9wM|r)i-19zBKHuRylpq&IKA7Xo7Mr`O-@ z`4a1tAM}NZPRgw*rzqkIQo_oXR^`!Jk7jDCQ2UM> zSNyxxPPV?Q9ZdN1X-7W2OE>^f59ZWL3s`G><((Dn+m4~QsG_Y)x1|^1IZr2krr8}R z)YV~6HwO$xG!Z8#66V|J7njv^DQh&S0H7#HjQ5af(GKwc`|@xdb6H)X1x1)`X0iQ8M@b?M`dTaw}fm(E9v0r2m&HSl8m0 zgMwEJH-;sUC3X)C7Rjx?`GXk&!ijs<#>W!gL3#uQsnMc&$}{5 zr@TAyJc(TH(!z)>3PLoM7E}O9G8mg8S%Plw6yg{K`8*%i?O{_(Y;rz@g2r-t7AVSXPNRj@mbV`fffAdwThA6O=>ihk_t#z8v&4_o?%Bi^rw+?ChF?ic6Jy zhm_s>a(dS`C+c7Th^pLr$>OnZV}I~LW6JXUgBjJB%$Nc5sU+z8^PNMJIuS2N68z}r zZG76^^6jpUlxYc{{*$y=seN|yIlaYP?Xx!$Ot%Zb+G&I7TTUU2PIgFW7NeKZl1GK4 zFSjo!w{nZ!u@S2@R8eqolKo+Z*50x%!_3$F2t+%3}~LFnS@-@v520z_W}hOyqw8mX;GkOMP3O|~wtqHBTk9rS9)0KMgk`yk6YDE2 zRN6nCV9wl;Spvnrk+b-@NXeT3g*GKA>*`Yl9%;_ylVPf;Mq?}Yl({r4-K3F%9{Zg zK?}bMy#bQyok1T5Zcf>`v?oqhI<1!0EPU;f@r+t2Dr8H+?{18vsvnS9bbH&<^SY<$ zBQxfchPV#7eH|#GV1Q-uf;8au;MyOp&g;^CLYc>dWnzkKG>)jN3faGr0wKL0$o);> z!TV#LvfbG?1ROP}BFYHinl>3B^?=cjJO#i#G1f}CFU9Wf?L45Wpd%TdX3N30COfjw zcP~F+m!<=#88@1q>&~s-H;I42fW9DD-h?milwdO8zxheSNS#a7jGUe)kt1f^`Qynw zvBw_UzJ#Iw@=tK{PS(qx@x07YN3xIDZ|t52Zsi>UC;^%3_*99nYg>yLZ{l)c>7Dpq2aRz+H+7;3PT!hWSFMi#IPLo~gxVz!dbm`(_a$mDfk?25n7Z0zd=U{-+5G`@EH3`GYbIml z{K`LEmyMmB5Ksj^9IN!`C_MYp5oUuxZvWZ+@EUvi&P;nc!{0tVK*3f^wS`U4;1fOP zZoEX)MqBI#nFV~&*{BGBl5iWq3|$^2{a?C8GJ5W`!yzU%da zZX+IU|K0rn*f+wGDGM&RGoYkDWm(&ScmrRfyC zW)AaA9%Ns#u!MtjXPKNj85Z9>!kuGSxoWyFuN*vp=ch{=v^x;_q(M zZoht^_Gvi8prQyW;^9NR;K=L9u@w#rhY^S~Jf&L$yi0GyyUZ224;%24q_xtywxxrx z3s`XhFJlG;Qr*m(6z$WRyAWlQTSuDZyydZSd#z954)OBU&r$~Ok||m0fi?yg?N2Xk zO|Go0oRt8n)7rcYb1OjlA*vVbPuYHbHcs?MDc96_8mtJiesAF%H6?eU_q!r0Wq41P zwKbUF?cj9CbCMSxYv+L~grtL2;A84j=b3Mr*RTnxYfj`FrGGF5#Doze*`^=ld?V{h zcaECr$nY5=z@$QSjm%V`}xbHtkK8l9-BYpXHOMiM`W z2L3V$kwKukGxW~>oeBVi<}KF5$K8Dikd9t!5X?oWHvyR{>kn4QT%UT8nmgA@S6W{l zz}Pn$hK1i?lH6?^Bl#U*C>Es&xk~CmYpTV;n^O*vOSy4lKur@Kf4aA~hxGkM7xbM~ zr33(w$bQn1IOpqnV2_E9lsQC&K1#ji0-aCtTEF&0Qs@ z&VFhBzK<5wW7|n}F>=rC?sF3Bc?8qfZ9pdIN+I8Sg?FW*kr^!KqEpO&Wwlfe$b z%lYYJyUPR8wr(FHf+B!?V+j(k6){$tfNex&J_+NnMA#U+PuS55@_X}c zDLSb&KW8*O$Rqch!gn>`5#3iyDE!C0mK$Yr(?9U=6e$ZkyR4z%;S8t|l(UO5FVZEc z=79sq2ePR6wL;j8J0j|Wuc|LyZbqTXmI)O_we>p_DLu)p@faaY#^vcJ5gZzVN~`{x zZFkv+ z0hLKfx!!*EjkIwU`kWQD-@?Pw5kj|gzwg&Ls^w~;>XrU4F6}rwUmyftA8$02b&_P# z2DROIgX*?ybw~O#&8wThYnO{|MB~d0>%YF+S@md)AkI_PC>4Bijyhi#dnFvoP(ZgCDD%KRW?syp|>q`nT;9=_mB4B_^e|0u5P`0 z9EjK>&|xBWQaD(1zJQ-d%6@f+WfL4gGo?_$t{~`BS{vlhTAyC$%jLaV^#1Wavuxv5 z9#Ay*w?u7R8dLEaCBEU7=k(xnyMre?UfjdUiZr zQ{_2Apuf~H!@0_ouxr0=ri0qo%+2cJ{*X%a1?X|v@PoJ$dMlxbmREg?>dVHh0bH3@ zR;vfI1v1w>Se^1q#ge+>0#}EJr0nW<+in4DB~xRgc6JOX6l|`1nZIxoHQYnue0}?4 z|Lw|0LlR8@ow5M<$tPQY{asJ{d7%n8yBck(T2w<2NM4uA^q#K*?eFqaqu! zh+`J^23M2zB~{uGTpyRuh2J}C{IGGeWNKF|aPEx6Rg1p=@jN-1D{~!4$Ek^7;>&|#fnD{??98?(44Vf&V$y$Deu>m_WTAVyXp*8hDr8x z$xv!b^t92~2nh%P`RnZ)G>s2YZhGyeqQ-VR?%(ocXtHUyd!yB2J@&|ab`;Ak6s8*g763Vm&~q5mWG#FAMleFHL=h;qL8LWdqQ6&mV#wXf zZncrxq1dT0Noj7iZ5ODG?xb(y#FSVH3tCIQqKmY~t;@O0 zhy-*mMlSY^RG!`g(i#n{AzrSk=Tr3==Fj#@PHPcewkR}avrRDGKR<_k>#jiAAD-Mt z9wJVuwMmC-BEy5LWek?LY3#tmTa+)ie8$`m)<_-9Mm;{&6)s{U*Iaj9@?0m0hbvq0 zu>$Oa?XNnq+pDU=hM2Efn6KYkoO^lAb0X)zxUNR~D#0!k5)dCG@!z;ZrR* zUum6`T~!o`xVF5hr(C)-A3O9J=g(*G`3*{>nRS$Lg8Z^;-SFc288a-?$UR18V!RZ+qA_fchvU^&6vPn{Jl4ZV?{l?MD?hW0guW?%=1hj@ramAWwqBc;g&1{v zMKVV=f8-73#F$!5ef9Tes5WyMvK1QlO$v=AI1?(X#E;Kt_F|V=zTVK@H+TQ?=FXwA zMrsYVDQo-mi7tEY+;N==Zbau|0!|{dCD3EU8g6B-^7kK%%k|ENfC`Ji z$$yE#-CkuV_8^%n9^#Ic1$O--#!*sS-CmFWeS9L*GV_@0SNfjU0U4PgY>8^+7u#_n{%I{gqt2yMKEGF69-n106u?>j{es1gqkZTj>UUm6{68#?BKvb6M!RbNC_8xo+&C{HTcGJ8M=D}7=8 z$t`o!j52=1;5_UkHYo%r8Eb9|*`yh-+QumXo=JW2D92Q?cR3?Y6(@;76W9#Jmmjop z#w;%YN6jx1+tn7|*wu-~s5@q|G&FmoYIxNzA;zF~`U~W3pa-L_?~iIa`+OQLJ^F9b wejv>D-cktCBc<|^d%DvIytxx2$$Q40D=EooJ&H*K`oKVNy}P#wx{lBO7ahp*aR2}S literal 9928 zcmaKScQ~7W6mN)?7By;99YJjs)LzvRqqH`$s$%a=trjg+G*&{;l2)lzF@hpLrP_)j zsI6&Hd)1z~Z|{Ac`^SCmy?b@8JeM72vVK(p*>9DHU1^Inpf7%VHyl}1nCqdDrC^%a?-v|&0sKWTB!IZ40BxYHO zDx=EqwS*p~xSH97L+v<9l(Vd}@uR`!2McgwbLalG&LL_nvQc$n?=8-acnc+S6MuUv zX(842>N*G;Y@*77Mxj6;IlOy<5DKDA9zi+g?z~Zc9Mb*Oi1s^IyGDF7CJZ_WhVC-l z0Y7D%IFI$_mW3!=A1o)NCWjeT(8;_=7w|-e9-bp{X_@|$h@**tt!3_*JDXB$DV&sY z_0RK$@nSR!=YAFvmr#vH)7O`jDM8NCn}W69NJVK^G_AYT|0z5(c(+h2zGRPL%?Y^_ zz_=Lb;};3a^RFO1Pd@bKzi7f~Md`pf?5JC_#xG~T{%HIv{P z*xj8KA1tCxR?^mjMPFIBK?H6=DcE2)l0b4{aCKTFM?iHv+)J!H11H0`wY;1S62g&0YB&?dgHidbz6yM0W0ZRM2G!fmV9rP z{{ttgEPO))uKhnR%+g_>zr>{@8{fXX^#havIuBcorm=aMMMR8mLMaGl=q{}vc%43W zdLR(lNT*zp5OXb<11l-%C^Uz-1Yd?OX8E|hR!@n)U_A6 zJHnBKQ&pHi?$cw!)mb3MP9phin0**SUNlOlHZDvgt51F6x&qiVpMq&)6_i<0&`o)D zb2Ag;Amr^_T^U&r9~_B9hI9N7J7B3*{}X_~Y@_0XZ^+?8iQR!czp#092%Ss|5zlz& zhIE!LSq`52YZqxzHHRpO;0Q=6;c~-`+N*H^DJOihk8cC?D>4vFmg*{oF8tFs5{YW znoM6V?<6fhNEN#)5KpCLR%Yg9#6Cb~lnKJl5ynaU*r#8bjR7moIf!k|3WJ1lT(P=oz#ABq|&u^ zGlvgb%dg1clJ{~lafJ|J?xs5%twzh&m$czYKZs+T9*iL%RD|zh~jEcBXaF6|cksR9*5PcMrDz1Z98~iJ>Ub&lg?IiC{<*o8Q`hK>+>Y<%AItL*)0QavxLEf!OB)71!eY2q9y$#%i6oSf zd|W5mWyGDr!J4GoAe1T%dfXkeS1>L{9L_e`V2|p4)R~)A*~Su)^EBA@H~bZ3@ad>* zJf}E0c4d%}y2%jc#G3|OSofD!BEW*2IlS(yj!i#fS|9WOHb!_!t0X%Bbp?>?DZ~Co@xL*#1_t6 ztsKemMf<)#g{Jdq+=552Km3rtg!&U1reCzaTZcJ?7VxC~k!)e%WnSg=U8kFp^>k3$ z7>O6_TP3MamDzHnbkV{jhwsmsl2-ExPXe~Kpqb;X#9d;jRAOmRx<;c;9-Wwixjd>fG+T&gv^SP=i z`PbF)_OM}lPleYkUa`riBSd}Vo=4-xn%&(btpEkhL8*dK+8&yVn?nel)CursHk9X0 zO6|KQqkAty*f*tN0t5Nml9G}?)z%5KVQm}j$900XE7G=giG|@ae7f*g-M#jP{MzWF z=woA7PN)eZcft5#{aRuC8|?;+#&8A2!77sa`;Hzs`!mgx_!4STPWC9OnEoaWBRfM? zRsX^%{vC&`Vwv|c-c>%pu+G{hH4E})SwDy)#~Z-tK4;{4Ql;p+vYiomRHTijGHWLv zS2MqTc28cTpEUDrA!gE$tc3CtB~8c0rdSR#KJ$UWN-)+0T?ji250ZNw7(IEQn`&)QOcl_* zrKNp=(tmGvKor?{iBOnbPAat=V4$TIz; z=2ChFprt69CWENfW}b?7?-t2B_dcjt-8cI4Kvm^aqanqfXyiF5MvFIRuw!Msq=*l< z=c(vTLK)K%K@=&<5&I!KmWRV#!Zy}?0D?_ST+5q*XUkA5K_!;FM6OMVQndt ziXQKPwi)wQoiTROCFhCl8>uo7n8vsd1U4mWM89?1H=zZc?^{I^o;)kS%|cu+-5&w} zRK!=Nv&tx<)U?ml0*RB&KY#gW$y5 zq*X6?25mq1lvFvsJ3db$aqF)cjk0q$sC1F6x&^H&OP2HR-4kD;#-AbgYVM>{Eyxy~ zln=4utu3|l2z_s+5nY-7k5DsB)XFXr+dbsQ9kbYW;nDqd$mPE1!49MRz|~0Y;`)Tg zvNX4#mUd?=}AP36y#7pc3eorFtHCdB3Uxm^S( zjty5d2YR|oS5{cD_B4X>pJ3t~Hu(0NcUjt3UmC2o?a!p~-YBu{=`YqQF7R(x>A9z} zfY`de3yuBxqZ9a8c4^^0z`SHG9PKRK8m)GS zhDY5e@1h#*vPPSgfs77Z*x%?sIo#%S#|9?H3yW~1G^5V0trM^S@j?`ZtWD%VI7I`f zr~5-~(fdWj(itntZ*C)w%pDCljO;ih;vntWqx>MqBy0EXxV>gDA{Hw@)S89k*Ac8gTAe~O7OBD#rBHZsc4v4R=8+05@{g8gQyflmaL$ZD&w|K6pr^&0 zBx(BorTy*(#}3_*x`{6@7l5`w)J4k+FfqL5i0*4o6vY(1-@Ncr(&`q$HF*B@z#rBLu#Nsi$#Au;X7QVq z;WGcsVHRbE13N=ngBHOt^qc6sH-K~^=Uoc0q{U{Rad}xT!p9UCWG6SoWG7V3Ib3(Q z^ER?ksl7He3loF=)VqJBUicLDDdyBYN90p7i|fqCNJoi)NG|t{SLa?yzLuuwQ9u+k z*s(*}jb>8zUQO>y;0Eo->!tQhelt(L%pY0nfpxQGxoQ-tqEGQb!5Y=3I1@V{>!y}o^ ztFNC9$cn5n8;dDKtkaRQ>*JDDJsAyD(VvTlIf;#l4_htU;!;_Vdv?nVuwyfFmNv_# z@x;~wNbE!Njb_=}0aE)_Po_;V=MH4tH9t;&$$&#MHaLh#`eSgU5|D(8W*X6BAThoL zU0~Dvvi$pb-75{F?I=b?H$IF2Mix|rvS2g@DS^sp7igVKjlOp<%Hj2)zLMo-n6|v6 zv2Um*E&?J|5+cPg^QHEbhxnSfPg*?(@1XI!oFoc#!{cYdE=i-EGB zF_=uYj~6pVMn*=M`4z4Q{;+kw5xP8_uXi;t#6lwC>iQTWPy)UDig^Ou1UF>$o8U(C zxT;qlR*1Z~ymO}7rZ*9|mskHzQS8pFl+w6=$Ei(2#fMH_Y~0x)BH%xIzWvaZA1_+h zTb`Gjm&yB05vHvwZMM*P=MdgpKERqIQSEmlDiAD!^QF(8f3_taBXd7^l{1|Rc~~w% zE?4}RQV{#WWsUgnXm>?GV}S_1;PbZyFnHXo_TS?{g7LHIpBfMl5fI5oMy{!0!P?5| z44VxNnD8ufyC(Ij-iRI*1uBN&RRVkNe|se1ndkeHj{CEaUUPYMH4=#1l;!2+Il|*O zL;j252;X6r2spVLb?zvk0S!Qots649&&OdZFuN28;Of@(67SLKR(4TW>}Agaf~)rv zR^kY%C`I)qLFymWr2NylKGmFJZmOXeTEF#*FzZ!y4_p{oVW4 za4?kRa=wRbREPi6hvv?aw&?J;cMI(}-aKAj_WDD@WbrC^n5pTOQ$*m5-9pyon^3a@ zW;Bhu@nVq5r3k@;D6CHN@66}-brhZs_6<(9itPG(V@<|m_NOCv2K5zPI_XryR>z#k zT2O~;gu+J}ZJf*Dzjv5|i;Q@~Ob0TAyoY`I`F@VFPN`!3hG;hIb0L1iVqz|NQY8@3W? z1y7EJ!f;2bqW6!JTjZLA-jj`z`BYWNFc6Au$Lp=eSmhh*WSj{qoFj3ZI7OKK^51@_aILju|C*79)4`*upZd*h5)u;JMG?PKxSKMx z&c*=HXRg(|`XN*9(8(w+UNFg|S2kC;w^t^2&;DXCmYW5ZtP8 zfq3uuu<`UV3#S=TGsN8+__!XSL`lsHng^F-j`ymHl>!kqBWHc-eSu=>8G1Clh1;$a$C23v#@Z?|%@cv7{ zd?wz)steQyzcilZ_h)G@5elkW*WH4QIFGenB~(XNZ<-V+y#O3N3=nfDjd4XyO-F5m zrB?U%Xf{RDaVQO30}_fhC;9kJw9^G>24rB`g!CWT%4L5xqRmOP<;xp9(B0NNv}ZSr zK5{bV(>%S|Wkml%vH+^h`*3$<@n^Z`aXZ6Fc5QsrJ$bx-mXpy$q1^FlGE`}g{~pEG=huvO@u<+>dE5^;pt@4xq{s`mTyeyfVGb@cf|dc+t| z+*Ub8uQ!*8gf5Zae4ULu8Y;FkuN&Ox$aGQ&#%dcK5*|xC&0BDQ(9G%*p87C*8n(XT zAdUcw%9n1V+>*A6w{&(luWQquvD8=0E4?&0X|}F$Ecn6KzCRN^P6(WRdwNYjeJUA)=gN<_3P@_j9RM(rW+L8j+OE zE4jbqB$MbV+w+`81dbqMJ z;g{v<4=^~*#0#HpK~{cWU4lAC>zWo!$G?vUL*69Cfo4gSABq%{7HKXI?UeWbQDYlb zZD?pXIq1>aE29cd{DYa*4?XAVEZ9tjru#@|7#An5O)iQp%ziq5CC>psbvM){P`i+C z%P2`Rt$l{)fF?k}#pa}qXyDAYsjz8DNl{OpL?W%ey}_aa)*43ZHrg>fsoO2o6S%J= zuSQh;ziqgMO3zV{|I_$5hRIudM+v{f*pdZlyAmFy)E!olJOrescGArcwq1AEB$XT5oXg}|LrBuS*%v|Aqqe~){@g; zMaGx7ThP-ApcG++FDTZ3$-rnpNbcKDyers=(!SzV+1?!JyS&OHd=XNQza}1H{#r5wR3v!U8t-XzAzrU}?{YkZK58 zvi$YnQ4{T_p$AhKxzFY0wjh4XTsV=m9DghR7HoJcI)jhreB6<&dh>NIgJtQZCQh-t z#_C&LoNNBg^W1HRe+q<-_STLMS%p+jOu=QRvb9Y(@cqU@R#Kgnv22YqZ?;Q;HVPyC zT#aD?^>Mu;K*Wgt3FC#kY5amGt70~eLXz(YNn?COqs$*MJ;Qn;Df5hQ@-l*>sVT*L<`{Z@cbFZyuQ;1vQtxv&XnDLJI zGLb^<>s$(RCeEdpHlA=e#iKL6()bC+&UFFEe2kjj^NQhgjt_&m!>UJ4mO3IHZZBy~ zC$k91pmxY}U$gWrtgQABtzm1GEiEm@nDJv1tSUxMXKn?#XZUTeFkv{D2OsH>Iqd1$ z=rxe81_6<7;AzGQnYgdS#d^RMj8L&O?{3AKE5oNMqh0_Q0A=7~ar3v(;9i z*Y2S>QRE(v?&%|=?~&$Yai3GliD&@ZYkq^o{>qd@KDH=YgJmYDyXX{?g|-Vc!H56C zs_8qbU4jp>D0qPQ?tk_o9a zPKsRtM9X=L&I+#OTYJ3UvxM1`{wUtkG#+mkv~kS6mR#5?25bGssr}2g!Her3#*DdU zxNZIJ?uE2@x7(&cUP&8PL(RUFxJ-o3Ob<6l2=GHHqcKYT{LjDRM6i zk0VT3+y$5^vRG|LvS5H`v{D3(AW2maCzrP{ABm;K{ z3Otn$g}Ff5Ej~fsB>p+D4T%ln{(PE1%^JR<_N&|4XC8vZGpwf`*Se;> zfDNuJDft}^ltE;50I@kU2SCrKuESk{{-Y=3QkSs3 z;z5WZ&X18Wu%(|Jadiv**+)l5F?|AY__t)zOs>L3G#ysBssevLKr7(R#MW1_GS$nW zu{$g{#oq=KoV?>*r&{`pG@DkOJ#FZD;UmW9JhC$exn+I4sv*~b?wezi^M8+Z5?frY zK_z9~<(`w=@D|PpZL$?M?mI`Qw1o_(Qfa|tz#hR*?f3#n|4z~`guI2P}UPv zE8v1n3-6OQHV10`?~1UEmpR~qqQugcA)g=Nns*GYzffUR=Hngi3S6mUH$!1G9D#cM z6rhU&K|p=lsI@b2Yw}Sa(8ky*6+Zp)quQaBKcb#1&&JdPx9{~-T^^suw1%kOtfWmo z9E$U6z^Tq%ATBSi+)mUSg}ZaSEg0+SwCj)*XnloKOw4KI*O1})QDtx47P3?tTde>B zF~I-L0+0(>)mCf$H|mG(dLQpBxu$geFsH-Vs=5tDdpW$)HNLh?NNXJnTbq~LO zFv^u0XL@a^&%dcG z{4HGtI<_*JC{^~B4bd3GMVl>MuW~!GwsRpygQrc4M)_jI;{i^8=&$Wne%NGY9>pjT zXz3!bjbhnd#Uk}8e){O%aznBKtW`|ak8YEF6F3N=q3ZLVjM5yy%;?pZAg|`tA#-RP zor@Hmb~F(x5^YkI7Uia5z5W?Jt`36Jo^c*z;Z8|D378jmRl=MomM}F*)mV9#<@}z% zmqH<%(9CEC(p6*g0hNici?v@!tm)Dc3+F6$oOgbr+QDfwGC3hvHX$y=151NeR|^W!G-dFo;V3h<%an*@w&50Kn_L&{hs>t(Uu!$u+&k~CBC+`i*0miFC;}5QoE!&nQPcY@l)p`1kt*@ z<}jtGDu!wil56hze&W*_f3`mcE37A1Cz{T?Xs;Z3P<3?$K7CKbZQ6xsCXTawhlb2* zs<-@{ep5@viY2Ja;p0HEb&;ySZ3mSW5TC3#FXbzx@ITMv{MM0B|d=J7yaVT zir+4|!MFi3WkEc!R(wC|*fNj0drKFYk_mB})>jLEd9Qs{@mb^AgXu zAwv#44Javy-ucfr72R$-V#XhIW_|7hvd4Lq(Pth)3!j+Ge>Cm!uR%uCyqQc_HJD(+ zxY+e7Q?;rO>HJG8RpBB#HWFSUIdml#s4EZ_17Ud7YvS9`c5JO(POuCZ&Nax~)dUtu zu%8TQo@OdNjdMlfA-;h9S=RfHb-J2B0;wImL9`T^mN%nIho z32x>JFVL>8bPv9U3ho;z8hC;e(ad|UjqS}t@rwa7Gu3W}4M0!S`;j&;D0>}Bkt8PZ z?X?vRJ;V0?n}NAqa_ONx%UQ~u+P$0j5@FssglY|I(EoQP<^MITVij^NS!C_}i8bfb zHOT7KrSGEY(Gw)UFLxgTBRG#zWgsAj_!`B)G$#BrrO>Hwm3nijxwvZylljV(umN#9 z`^FpL`Qrzbbbk;<7ymUc783k`DH6laWe@#12)Aup(t{(-ho65lm|!3flFBsvkRlCt z-UWYeuo}#vBm103Omqe>i+@NeaR@V5))}(Awd5y{Zw+G42tG7hg;T)JPq~ZmqC5!% zlo@2l@0W^05Zlv#8b@@NpoMpAuF6eI!R#|PA^?{S0kmJ^B~(R3k=_YXA}EN2 zjzFjpln#cDAaK|7zvtX9_uO+oB-!ll`|iB+?#w*%%p~5uqsK_kO%DQr7!mq9rXUa) z1svmPse$iB@daz(ht6N$ItT>1r2p>&z6k!>0-U@OtZNl~-wzoa<{bDC6c!dH<>BiU ziAvKcTb_i19EL&#zw--vs5x=f zXufX8+DxH4uUm zas<*;s6TQ^9n4bj;|i_%j3pW%;wf?{I3aly0-&pOZz)%7j5Qui;dVtm!bo@EGn(60 zb`d*(08}p&u8& zGPgm^SZleNn}`{Z8}lO;b$4Wa@w;vx+Ta=H7sA6tf0G%+0|@Fy#nT8d}0Jo@jZJ86!&g}KFW6pmCBZTf0Q+(ToTPo4b;o!sBQwF_g~aVkQt!;;wo4{iOR?|4)NUyT0`#-nboj>19Lfhy$Yj)k z!6oFO(e$Lrf3hV3cq%Q=;ZbKTHPR2^9uoJY6EdT?`a-;nKU{zGCQ|YKs1hm~--LF6 z*Ng2uTmP1tL&=M8*OEp=>Q%>s!Z1W}1RwO^Wdp9{7Bs|TQKL%Pm$DRcr0+>%1Z!Y4 zf*MJ0+0{p|UK2)e>T_QSI4Td!A(dz1EXYt&?h<>~wG~H@QZDh94-Q*m z44Z@e<(uMjUpQng&%;J}BMq0*y0ZlQ*b>r9)MJ@O`jrN}5&1jv&d;z9o7ftXN?77N z-)(Ds5I*%r^!{2p$6<)uU&4!m6Ll8V;Tz_gM?R$j7$Rc;&5y+;grEZ(ofnN3Ms+cr z%f*90PpZs!Q&=cMb)%lfUN4TS24!%!7ZYHtiPMGZk?Zf@EavmM+B-)7frZUSh*lVX zj$k{m;HLiDO_(PHK4vDDVbu$NABA3DLEsho28?XMFLp5jHR?H03JvlyD`)1jGU9u(+KF=nnL9+L-QEIfWC}FPiU5Up%wL9h+!7OC6{oT~nGR-j^ZS%$2E2r_GB|^n( zf0ov4U?$V;tN)FtL3kjX5Y1$T;^VU<88x@{->!~M=n}>y1~G?wQRf#mp#v%Wc!A>e zb_Ier%$f4U!(-Cd7_+*4q)RuUV5rLx0OO<0T+D2>Wh;F-xa^N`lt#*Uv{De~Pwf!p zNqk0$%tBz-b*fpRwK>V&x({V#Yq_TtQ@mHM2Ta?Ex)LoJ^0m2)3wm%cnkT9 zkXx`(!>xDih0I)81;-VN^sL^uX9jyNPG#duztBUwsZ%$rsSbrColJdnmSP0dz&wp4 zhplP;JD(#J-Jmq=rqBK&&@()X0lg(x_Y~~a{jD05@79k` zOhnUqXADj4t}?+tY8-i>N*GWJt}5eJoC`CtuSb5LFXJ7HPdBgd@qh?IW_nf7e%FYq znl@wn+fosYE10aNQ@Y2h@#*-^QHL1%{0TJWU6il$oaz}hX) z7d-eVVBpR;0U`!3lg=$tPmmdnHE5gTlE@>%@JlO6x?Pv!C|6cGcH5C}|?WfYK24;rx{$ zXVhvGGMvkGCgv%gD9w3l> z<>(DMv%;;|>K?whpsE`HwD=!T1gIe-(OxY1t+UnWC;X&bXfZcms%fE-C%ECsVE&#$4); zK0%4D&k|S8V@ESLw zU@5KKj5l(#w(b06BeAtr6&#YN^Wb?7y`9~nHyZ|tbcDQY5FlTxaX!(OLbKxU;923x zAv?(if@PXG8uS6RDuO#}sSSZVe3X6E!miBJ!V%6sMSm>jUsH0GK(OHsgBfJeQ#0{? zCAt;O1)cx>^TLoj`A9HO*Mc>O21}GjtbmRXxz5O#oCYvinan?YYcw9I2%XpOpHJ7S zx*Qf;fQnWb{6lLcN~f=SiHm8|1L;??6+;ycQKmfB1FS2!%=8nxrQIittjjuU;%650 z^GB8*mXfeB8E+(8tR{sEGz5Go@;OZ8wc%PY0+!zJZR$m=@m_=R*T9Rh*uFJ75T2j` zQdEMQfUHXlsAB%~JFZSt<~6go6pLe_%sA#%iocYY+g2CB8vfEU^}}B07OHStB(mt2 zhb{Kk^-v0={O-KNm-KEt3W8Pe%KkSnNrLV#y_H{q9^4k>&0q(CqMWq^NOYt-h*T+& zO@rr)Ot11I%JA&Ak_bE@TTzjVKqTN5 zD#ntfhn4`|rjCAyWb|0MZgQ%c*bI{wGZ*KQaROb{OWVY`j|fY%x3rO=cXbJyy4)9J zYOT@c*hzx7k;H-v6?w_s>)Q`>m9UvQpUJ8uDUeIvo%!ta$RrFy!=`8 zg-L3PmW4OybfArp4gjg|Q$0eQsE}*1e`w6kVW_gWqNA%wMX}}ZK;o^@{0X~jWws|9 zbD=Y-fT7Aq48U^iy58nEH z-e}Gi$tuzq^yi4eUt?HGTs^a{lclE|z&;mXMZ@-v!)Y&TT-{olZ{$|iN2Lb9&NRYV zttM%^W>wYSObRfsH`#+R*67*xmk&4x(i`S)AO_5BZBOryqVc3pPxn|j?h@ZpAk=BE z4!HI38NwtQS-NYJT5qILcTldQ$_Kn7#w>9b7}{CpFy<`R;a4{axe5}p?!&LfTb{(| zu~BE&`{LyhmdBa0&+Z>;PT_)9mWPnHA;lr!#vzXqp`Ip%2f{gcd!Z(9+v{t>U2VF9 zSp_$rQjb(Sc7BdnDd-irIPR_UllZr(LmH=>(fv8nF}kA)_*9J-R_B=^Auq87ceq?L zac9ypAJbjp!54&pg+Am&#e-XAFB|k4q`DQg+z_X_Gt>dS%SqLMe*?A_MZ+H+Yph6g zwe13RjQl!2m2n>yL=NU-R~I^C)U?Z-a!c9)&-MUg{lzEFK@@PFdg+}jwkTMfn4$%^ zh$0Aec)e}Nm9!ENqnXw4eSDm7zO2;g5nx^8genLgMNnG_r-xr&UC3|YnTf4t^_07#vch_1xK?M9)?e(dAT%$%II@9aU2gM8>dwV`OlAyo;d!dt>3$_N6W^XXI zZl-H#By0vDIw`7X6e-VLkhs!le{<+}3;%80$}Z{YXlxbLUA8#=G-dpZVU zYte;$wlz0jc&jxpL`U++{0&IuQ_D`4?r8a4_ptw*{cPLuA+mgz_tuv^=v`}HSW3v$ zlNyevK^v>{?P_j?5h5>c>?T~dZ*33-GV|zn%j@62o}a~DpW!97sw#vEnu{xM)^xLW z$6ubzFwsyk7`=ynZiQ`J`2ysERI>FYCFywoy{cfT?!_<3R}?(|bLmMDO4ol(c?n+M zYZG`GaVvJgZBvm1g-1%6ye^$DmnQ!%9o#X!ITMkm^-Q+jEw;5~$^Y%IAMpaECPf;3 zvMxPbG9p-`?fFqk<|~)~I!3 zPpE=oy9d1E6zb|6r8rV3Lj@&SX8H-y=|dP>3BacS@v%TPWL;v-5$&5G5+9rjU}-x7 zhm_q{KtH?D3pX^*s(T6Z3iWyfZ(oh=9BBt}cNIUj_rmBCklHWhO0}AdlBK|Wr2&G* zHxt+ITfjan7biYrnc=aX8$pCAmUtM(#{3<_>kykVQHC@%jT{q zBe-2;UKq2zLEy`j)}R?_wN=QX1oePl7)}!i9AKTjcf2MT`-a>bG(Kb&K$NYkbA{#(R6{OhjKXmHD)WQhl#&kh71^)-I!> zm71k(XY|>G$~-Q}EtL3>lSzGlIR9w3_2TbN)00=+y>zqS{OrR=w6EjtHbVI*kPG*6Rw{{gM#dV|b{Xr~hhrU8jU^i}z%FvH32 zwxnLXL)>UrXKXtAiR%rTW1p+N2GK&t29}PFL%(tyw@ogn8qN-9_CB+jWV)6K=9YfU z!&XjSH367dFZ|xbH<(Vj6di_0frz2HlNxF)UvE-e9Y!?>W)i)WTtu5swNFz>bjwdvuD1woIQMcjjcO9Jj9Sm`+EMp){8|1;93>EF@=xT%kH7mQYIAB>MNZ%ySSNW zu-3@m?QFE|{LMaF1Ld`GtedK^Q9huu%zA`96!JniR zI_)usnmsr;Na2!w^5%s`E&v4xZ&QQLTb2#8=!;bn4zG>#>YxvE_WP%&rz4^Evs1#F z$U}Luh?juf7$bPw-O%H$O$Pp|meMN*vQAH}?nozf$x));HEp{=*@#`&&>0`4>eCnZ zuKG}2e6zH@2_WXL0Kk0!?3UDxr4;y`t&umq2Yjl*;r6Bz&w^vsP;mHz*(8~swX~+s zrdhzdz#V&rg4B)g*j^G+SuweQy=Mj@;tb+s06>7OCh7-fr)t|RxBNQKLgO`}PP|86 z--^HR`B}FBQ8S!|`z&0+!;yy;5)WRMpzc2Np%6nh32t-c8}77TjAn_m^FCUAod}HZ zTpurc11%6+b9Qo;@Wtr?p2TtH4M-M?}GSy@H}Y`xz}z> zUL)IMsG{cGnf05im*mP8(Xl0Cv4*Hh=p4o0VFHKJ-mW7XH8ofgCeH+MLb@T_yKsu+ zLd{=qY@2;I+x7-?RYSz%RGJPS`WyrpdXE8O9C$jbhHfTczq5L}*O_a+fJBR~(cm?- zOq>Q(7twcw%`Okn_{!GnqEFav-!e%Y#PPPgsJXO-L`U1*1M)O%Tx)%15UL$^7G_2@ z4mHf<6#e#jz?grqaq4GZ#-G8kj*NV@uqyJq3-saYn-_Ax3V-SeL}h|RFhmvPp@-Kt ztnBwDvEDIiv$pqN00;HCqVxPZBFq6Zt1q{x^xUjp|FOCd9cRt7tynMpCh{Dl{9ga0)|sC*#rCFoAzCDmMuC z@Wz?tug4d^9`vDMc7VyI@TqYi7*MM4ys7NSTw+S-*7RKP_&q&)J4;K;v2sgk{>S7` z=F**icay%CTNcfH4k?GFitc;<74bKk{sBiV4Qy)S>(ObjOnUA;#hPh?M#@L1zp}HI z#eKMC(`Sp+O-;7rx~!wwOW}!))>Al(y>}P8=|k@>jDU5u8jUstEcGy!RaS1{9{<^O zT1z99;!tor@uoiLmTev=zdz?)Q8c&q z(Nb-n>u#Mps8~Yw+2fNTPWY*9_M9eVkM4I}M=A$VLLm7Hx%-;zroOv8_3?kJf@||h z1{BDqyQ4SbLZ1)N?s+`s4YI2G2FvJk6;40ChBaaWlrd^n@$N!fw!ROI1YPK2rk8Q= zgZc^Yw?a&uxSeA+AHxlAd!-#!yoZ8b@5IUcdKv`#MCid1{r;|X%4c(N|7( zcp`)7d?-OhC0mZPk`>=rx(C@auxC?jT5HyIu5|yx_t&d0T(@U&40P>YccgkLhdJ0S zS+6}Dk=dgD?YnN*CjM(pQ=koc*X|uNQaWVt3%=-las>unu`>tW+f9HM$uD=eE;)yE=)vFP zWn_fcYBTFv6u}gln-J@w-2uuLWUM#8L6u%d~+I{+k`mcON>>6SIJg6Qufgbp5yNe z$KIK^0eLn73y+W7#);M*%|{kZRM~%=gz-7NI{GcP21eL31YC%Rs5#Sh1iP^s&<*Tl zte%WZrbL2bvd4uqf8ZWtJe5@GW3o2Ywo0=;EH3#;0~??R)uTjONMeo2^J7=Fjgu ze@-pczHj>RSGH~TFT^jm4He39Mtyc-OEd8WE@ zItZ5$JZ#VV1-y~f`qMNRdT(O>S{`gbBo`&y&DP+nD>f--kLuQY!EbDDZ$H5%xBT~y zGS(=KeEA}~3}&R#>Hx86_-0`njVY&vPbt8_74AI|mG%s;5NJgzsSRLjSjsJ{=Qm7Z zPVNiaQh)$%>PKmw@2J}Ewz1bh!a>6uI^~>ra&l6&)cZp7&lgTdUdc5cm3fLk{2QUiZML|Uy>PwnOl@QOjxpapfIY04^4*bUW7NFk z9Z{#x)Br@C1Q1@MB1Rae%8>IvD@qMslfEp&LcNjmkQA?Rb46JJTPANVGWLB$oChYRI-3m^Ok>#I=)GtP2D}Xb)!fjM z>H3m_DJQAw^MP}qc`7OIpH2d=L{3ipNlqjiZ3Po)k{OAKG!qbW_4?mZCC|yKxp%kX znS87|9^)F#qoUe@R0E1iSDBy8(Av4E*0u6qQgyPJKA%G=jXL?hkuM6Ml71c)jkvE#kHrTo*w-b%cmqWkhBn! zx~ZRZ?1g@VOLK{uqk|VuvBtvCr{>5*LxyBm0;oyC^QTXJuTVTMdUdMSW!^U&;3i=r z#<^xLNK5@`bw8!hKjfIWs@Ki$wjyb!?wLk{5WR@js`L#W_qHfJ`_y>ZeSo&}`>--} z>?(&Vk67}XAI~LzM5j^oP~_;8uX{5ZQ49_$YaaX@2bB!H=txSr&5KZ@aHCJ$`UC>e zMEvUo_!WLJK&K9x?+chWj5s&B2nW1kdEZ{c6+OO?|7Lue=aK+huGW0LJ(U%^>Jgyb z)1iXira2d1yF!|YTQ|0Ru-$R$>*?vK0q$@?#-yqh8r)}aLYnAZeI7=s2%d-6o7Cm| zU2h5V>uSyUJ8O4jY+!6959gMXx$|Y5r#g5G{I6b zcB*i1Js#h>)vb$MRTAl;xvr5aevF zv&P1hZ&%I9WB-6xyJ#abh;Gcd!r8fU;fwLy-BGzN16$)lOIitw3NHDW^S?7pH^}(= z&9j01zuF^@nsgqVi9I1y6kvg(kj|ULRUr8tw)JZ_ulM}^;Ur7Kve2~>Q#?VKrwnyp z3mG&QhvzX8($vxnCO4r@0I3*t1|tVfZ`5tbvP4~8$lgDT*jwgP@tbe+LFG!m1M~pe z_S>|G`*h2X?Y8BqP2C`_?%u%$0N!cvt37Fkt>CFiLe(_~vq2S0Uh^WL_P>)pjvbCt z`o*B#n-o);^8t1MvA_@+MmAhfkl1&$>N|tNj|=CVkmX6NkDmeAXnyioPaOJqFHW&H zl{QEvBr`Z0dnTspM@tqXKPkDOI5*<@I|)9946}q!vuX7M|rdz;2_tA z_uyM#)ik40y)_6;`B>p*}=V~2|lLeLSVFGxSUI;fSwE$griW!by)!}dP&M?Z{%gp z^_9Dl9o`R6x}C#ry3%TTd9f@3Uw+zQ!=KA^OT_g_hEWt!_OSJgrzAAJ#izza5ai|z z!jDfloYNY%@k4K1Y}u$As#4O1L>gqhb@l11bti9O=16whO50D1k0NVWEph>3gA#ct zO@d9_(fe!EFr+fs@-{BMRH3+M@mbs#?0jPl(<6w?B!L5o>$M^+x)VN~;x%dOuarFY zMOtEsm$X^eXze_aa5}cj+xaX*RLH|bthzqNW~HJjCU0jKDA$%j9H3BC(1WxuxWF1d z@=c!KJN&ObJv6(-1Bz<51t<1Tt0_5jA@<=VLo%_91BpRF#8GdIwYiWhwmijc?hp=px!l_7Rq=x>*tSvUc`aYk6+@$S7 z+^|bog}E7Pg2vw%V|T3+vr$b$Z=02Jx^i=smAaQ^Yy(=4m1?+K>KU77vSp?(VhEe& z+P1T@TJJ38{b&%5$&0g@!@hS9_qfe%LWYk}zf0TC>B*dkr~z+lBiTx4WJCe&5RQKL zNeQ*~RbR%};N#(x^_=WSIWpKKSHut-cg{OVq@m}4+X5>o3_VYC2<8__vApK>_9f*b zMC)~vBURb{j(NNQY@k1|LZw67DsLi77QqRAY7_Bi0>6wd^!asAluN{0IM}XffDcU~ z+yBE9{OZ0?)$Rj13BNp#3AkoG0sTiJb<@phDG3rdPF0-!ZH%)|Z|GUwl>KF_on`io zwd>liYKpyq%>(4)7?|`nl&tC;(Lad0<6YYGp;@)*hdPqAH3_#nQ8;nIB+pz5ci%dw z&CxbAm+w+qF`GvoMo&*N(5c6nRy+DEwnCld16#*WE5Ei3v38+b%O*FBGOuje8el{^ zAG}9XSKRK0IAqnHMmZfRa!3>enH!DX#9toR#I(5dH5(XG@Wn1LXMZtbp3un(M z>kg^$*$&7FbCtt>DZ`Zvgx2GDt^` z62v`I@2=!~Rn|$+xlS>+)IFPomeo8fwvX0dzq$S4wNW#c2zi#!i+gbG2uhZ%@nER7 zwn)&j(|u3X|S zgcd2%BMJeesYn%gkJ6 z$X1WYRfjENS_`S)zH<$DGc2jbazt{jQ51^JL+4kM{MV;|(W*t*;c|9dhWE=B2<9B$P;l&M3O3806; zW#AF8BJsA1=~vy5(qVd(3iZ4$nVkr^83Bt@_c)d&B8g+fG@>VB@_NBFr^;XMD|r&! z@MZ`-IEyBL&EBV5yz$dxlNRQ+eo|AmIE?D}&aetZMUBrXp5)?mXQ%7@tP z{JWC3faFMsRwxOtF~_N$Ym8y8^pg;c@mHQMQ=Dm!yGZJN;N6~%;$wbN767M!>wp)KS`D9 z*#8?a3*7f`DQ~!m9j!#q@=`9Yw@bsu_{jC0aAiO-Mk?v&iIW6i7q_{}GRG+SYVCyY zxMP~3rRz>CmaR^%j@~u)j!iLOaH==kt`mLlnUJ@KNV~Qi-j9jXMD#{&Q{CwHkKmEx z|6f=BO%a%IbO9y=Hz69Pfafsf-xJ+I#Bah-6Rjx&O;8|6`eW|oq_Z@0v za|b~Hspyckh}R5PMH%po_6=$QL0t<1?<6w2^BKAffiL}%2-eIOdyA?WAK&_NT;Z&86` zFr0y-;qoq0b=NC$ae!j2yH7z%*3_3~d^`s7^(Pt!^(`6Y0*Z)lHHE%F5g!|Lj%t(zxYOiiyNA6ndzSm_Z`1C{qtHu% zMcBF zp2o2jB?r-?-*pl*(OvW#%yX#?wdc8cC)hN=2NoB8Hdz@noOl>pC^W z<<;#twbxIKXAAOnld#fp6R9@TbyKJx<}Ff{YTxUCz-?qtOZL>f4W-dHJd!BNkd@|5 zoxXu4T)p7FdT!Te^Uq8RGS>Pmw}sG`hCw3BAnzGD#81gNOmH7oM}|E8Y>-Ch#280? zMUGL|_DVE+r;V|8uFp`l66?>FfA4gYy#gsFcXe3>|F^pT;ajoq_vC>WI zcYK&C`{_HC7#_ztsNv$Cj9W}^rHw576S_X$f3&~tY+0g!YhB@eTUpsZ*aXejw*@b? zUN}AiZIU)lJ33mC?B)pEkSu;dwPVu0hYT%={lO69kucEdqemUiN7lBjSG{WaW~dms zyV?PT^(-}%vkeepuD30#@g6vJEqrN8OhJTtz;za~O62g*5PP>MhLm^F-OdtLx(CxA z1Ps|L4Ub{-wiFlmJejahrv~}^0~oC~tV$21bwzJ+p~c`ca*NwYL~YJA^zd<^ z@9mc{DmynDy$i70eLNO&ug6&pC)s1H$pPdPIlOmV>FdXNgtsz*?UT{ZXcvYfe)x(qj>NLz799)?wEb#VTu6rHDU=3wMlBYB=A5* z_m@#YJGiLr?8}9|w(N(6cI;{6U~cvZex7K2YK(*a@0KO9@_WL3tOImNCRA2$>BEKD zDpWnXj6hLqx5#rw(MGpF>yCK-`f&T#rFAaVE{+!6d%#|uzwv^O{t;w;+L+QLGI+P*v(nC#|3_OpVJWnOa@PDc-ze6zP!#naZ*#R>s;7Q z!ANB)#MW6a(8kG_IO8zlYeJO$n_Ltg-4pZf6I+)8lF|EC#GcWdjwrk>yRPnmm|%{b z@2%g^BpULu)&hO9EIvan?y@?Z>rQt?u+$C=h72DxA)nk7%v&IKuu#Un;w3Gox! z>8?|8bY?tEbE0dJl-b)gPViZph)dQAg?il6k*YgC5C+@9#oPfrDpS1gQBO)c9`mVm z)rd8Gx<<)NpL&bZk%GG~i`g6dao0(=G9E}2J&{ZkFL5)V+0U8w9NUAS`bZm!Fyk$m z$(PF>L%<7GeY-j0vGAH{4@2X8@ZBf%>3ShcM@EsCTbRG7Ex&IWlE-Ts^0Id5d9_YC zC~7W$v4?+SC>^~=mGEc4KE7*j8YG=$xd$MWfr((3Fb8vYZ%)udVTY08)sbgID&gmf z3V*Jp`+bgGK%*HqRCy zii|vUJ$t&N#VrtbwSe^MmUfTq*Z4$#mt!e++(R%5JC70>+8D6@0AMA(ku*DYjI1jS zpKqNGK3Q|C<(rR9wO7L@;#QpfvwwigV+a_b`u+8-)w$N98|pvaR{IWyh2)3$$7qd^ zJlr^41ML%!S=yZF)$eH0}z#Bs?S zQ6uDygeSl>?q?_nZ5`wdHVx;iPi+xq{RLwApqlG73O14&m?krtRU_MOufo-Oz+if} zv6^h}m%PE&B&C^8_a7fFe|W(z;x&bvXTK}qhiWD}&S_wld!Hke)Fb~+kxnmkFazFt zlsmPDU*M6C%eo&4*96iN!<>+JF?Mq)d8o;$fb{Dod5Xcft%D;%QaTFFlDdn+wxYFO zhqhyDdj)LjR~I_fKbM&r6UZ#)q8DME87oUm%hkc(#NhoG_i`cX5I5BE5>sWg-jDA5 zu@A0`=Lne!#V@~=vjRTXEvcfz&%k!3Of^SsOU$LyUCi5p zVo$jMYuEHRHfNOZIlJ6aJUvDL_gl|Y+%4f&^8NBvQBe^FJ>hH_BS~%@I3E6X&*Y21 zOg{mOc7o?_ti`~hZp=(FA1Kzz?OYB_IHMoAzZ)_{t*LfHWe^3tw;d$BodJZ_w=Zo6 z+%whSO6B8|BdCM7`0biT)^Eup8OqzRK{;4^!p_x)xmfA36?Ie=V}d&i=;*`c0%Sox z0L7}6VxEgPl>J#9ds=Bxe)Ph?<_-|(Z&PuFo1a$0q(&1)<<&`~E3YJErzD$h>don- zHw+9I#b4m**r& zx=S5~IV+TNl>wc8prM@9Wyq)*#6*_&0_$4u-_+m3;$Ft|! zggWEk=Uwm~3}1;pHK}kum_?jg&BVD&3>}jlwI0b2!eDP->i201trV+8CpFZck7P*RM1Odsf$ymUhy2(y)q)5kj3 z_sJENm6&CbD^9qF@)C&_u`!Gxqo=NjkZ;W8--krg*^s0$q7IQFmK-JXSs%tHwI<>s zG|FnL?`7)jk4U)3Vk*Ff2KnSX>{gO$%9v~pSWJc?NITX!gN)_~TDF)U(Q;cV1J5uq zG>HKl*Tt9;Mui^!xkk-+;*~DBLL2wrP(K#*i>3uWY)8HDBHP)L?bTblh=mlCO znmsxEz8mxK$6gXA%|0Tk3u|FvFfJH6s?*BAR56uB&{6$U3ZDTTWMVXVA|#iqq0Ky8 z+0&O0d+C_Y^k23)&8v4~jB^(+fWzBM)@Bb{_d&XZptmg7e}*|*bWJUwblKWCex=)N6=vKdy?oevld!0wbXD@l z*gbQ0x?<7eof(LOK77v$=J47>qh95J^0cP7_%SW?&3*BM29H7B6XA$e~9uM z{*Q!To!wuFd(aJvGPF0T7?+dj`sB#ZyK1biwQej?X&qF1zq93dtNqfg_zSM2Y&poK zmmkK^W-vTFsLz_k9!=r|;y9#fOEyP6ja4NoA_y8s`eD4T@;}rlgk7_{aC*ICnGwq- zji*HjC9&ndHH>Kv&uOR%$_v>;bOqURZu&=iMm-~eH+J;me!bgg6j>{trw{bapsH7RRX0v4s9%wKdwn>NYB!O!MPyf7hmm6-f3R?6@Yq=(q`kl z-638Tk)^SHlM+eU^VLR`tn-fC*&pg!#Y_(&!fziz4s=34$-p+1@nT#r6!3?KNm@Y3 z`G{h-UiLVYZp#$MMV-N!#CX5Fy>u5oOW3u)i)nayGq~#A6zUkdneqNL-*~yXAYv#d zgIm@Gg#zDoo^gHla65PcojEOyCL_;>g9=7uM>c$Q8O0gGHtFCq>@E3sm;zvLkd4}h z)B<8k>{F6&IMdP*8hfKhhAKy_@WrKucQT`z5QMU(sbDE`;VADs@4@RjY;p)_qrUG- z$H7v>3h$R7W>wXV$o;LM zFZFJlY35pM=Qa!u&~tO@YO~69G4zxj{Y~(5WW66J9cz&oqP78G3WEV5tr&xbje zr49S6HRb%gp9?Bf3O5m{XiZs;8j)9G5lFw>nvy}1Lm>#TM+BX1Om4hK>SPR^N}zsor2V6D5+Zjxv}g096Dk)baINw=wh!7Vxi-0)bpgJZt^g;8!fTDy z)HXYAJk#T*Z=!fo?5`d5JiGcL}dDT?E$)A&S(+jrM!E-3voq@~uO+5d+m-C&kX^VM7N+1Q!e!u6no z$Al-W4rklrv|j|jNODafDVj>)4YaYe_t@g$J9@!Jsph$0fv=Nm%tMDJzJn=wQeZbk zA>^~g{Um>bA@>TNqy{@qHhm%aHemS}fHexS*b@r*FHwh;Y$=v8A^V`1*pLsG@~6s$d)B$6%t#$Pw=o1+ zr(VdS7$gA9%I^QH%uK$E@yma*`IKhWggFmWGAEJUlz%eqj{9Lcup#%6?te0M_nUpA z^Ylybpj7Kt+mGPjP7Voc+315n{bYV1Gdy7bDs58T3}%v=&I$+KP;&Q^Ge7D`;aS{% zsfYDzcd^Qx(bTg#%>2~&&mj*)ghJg(NbyHLiyMm_`YnFSL!=eMBk4f8tErnTyYl#^ zyV}m{3`0|l5aat*purk*pU$T1EaeVLF&;VYnyw5>!e^lu&3OHxK95JG{Pgt?pV5p1@{{Kp2;V$Yh2# zVrq~SKS$S&+u18)*?VzU#|Cp%{9Vn2gH=;!c+(nR$ljCt;ei}@*-YlOS#Rdabw@lg zdi0pJX#G>X_t` zHKvKabTtmP{Gv;kK}_#UGP6r@nw-#?E?AAd$_PCnmD(n|P!;v@ibV4Ta0p8vGnC;#^C`OjsTY2)cU zac_8gc$grM^V!kV)a)X#n<>ru!Xo!JFpqsS7nC#Ay<@!RXMCJzBKsw8{A?hT?)UHg zhYl~oZB5f7lq8OK+&ZQ(_&HUD~upda!bXntwi>{?~T=?r2Wuvvu+5t(+U+x+R ze5|&^U*vKO)bWwnq$2h#=ju6hBL_DSx>iYAH7zTcaVu3p<_o?bo7PjYo%;*9CCO?x zvads-@N>Za(v9{dJS)Km^mh@%_j+19=rN;yi_OYW*6| zr?Qgmrt&Qj);3hA{p4PKBU#jse!~S}?)ha3tit<{M9Q30Z{;!CQWCj2QlQzoOEzXY z?LtoBq+Yq}ACP~F?Ic_{zH;tVhfiu0iFlHz9#8OD9R-*L58T>jO?{8r(P~LTea0m1 zK?>HX`t&GHTj+AZcIM{COXzSD&bcr>iB488$({FJ(vI^wWM#&u;r=p~F2m4GU{V`E z#rea}q5c6a5C|~6KfwG#z_gi>+Uft!uoE5Z^N4p2JOhaH%aB?n@G48`8YfC@L2O1`pXy{~OyRzb~ ztNGrU<_GF7=PNn&tV=xlH%@y1;_kH6;5jcAl|cJnTg)d(FAm4wXJ)@F9i{!X_dYEN&?b8U*C9Ex$57?`f_ zi$?2jGHZxb(qCN*E5_Y9aV4I_S;-{R$A8Sf>_~^$qjUXW{tM!&8VJ-<1>viHapc>O zSn;8alJpo3;+u;1F=~NtF{X*b)2f9(+X+|wuuBg*0;;{FoZ8Z1BN}O1F-NjbPXDIF zZf8$prp4qzuqZ)pa&v&Q`r-F9IegTRg`kOCnpsy z4(^u2V-Vp?u8&t;lj7tAD-`jio2YzLwOD2AUpahKany7I>M1NkNFEQp`*uTjoi!q# z>x6u>r78Qb^8H(+WuoJnaX`|<`1nI)wP9&9l4O(hI%nK$0-TBQS&r+8dhnm~B8|xR8Nx<7@;nnt!6aGT z&&Tf?Hr>iQQy1r+wlqe{ERnmXrmt4#nz*4?oX$b{5`|w{?}iFGBO9p zTKjV$rh=9(0Qa(Ue=ewn;QH=A+Lu3Y?JN{$V9WPB{!U%mT`froK3Xd;C-e@>5Okao zd(rVjw@^W20_8C%Dp0(@nPQ93TSAQs3n|IYCKbU4hLQ5e9HTR_%x;VV(XXGg+QINZ z2SCnmrqR*4El*N3(a{7T>4YLrHDt?2!L7eu8;WvHPEJOeeiY7_-SsrRG6)3-7;iiFpryir11E$3_M-NRw7 zUH9%L_(X5IAs#P|sZN!p8zUvTcuf$9Of8+}Rl}JqS#oKn>^p#*9w=z;U6*K`O$3dh z6{pz5KKp??csXXI;+n4iZUzYIH$Vm*nrjV_@cLF$Z~4p!fn<=XSgYy0qd;B`nyh6a zh)+*&4)%U+3^aQdGTda{pcwuwNm+Q!qC=5T@Si9Q=Ac)>n4N&^ytYP9oFMMSVfVBt zyN-_CJojZrk5vp5_**T?v|Qm1piWfOUw@rPxhg@z<@w<=SvB<)m#uOGl1gv%&n6<2 z;N${gE#t>98_aZi_WNLI!M$^*SG@->e5@G(cljYA`q;80KmkdL&i$OcN_buvpC0!? zkk#Bzl`Qcyv1+v-$MxQd@saC|zH*aE#Ubk7CDpQ&FNvGEPdP=@@W2ok5K3JH!X972 zCtmA@eo26NhT)&|3Oo-vbU`3dvv4~r4RY@5yqxL}MHC-Of!F6~xHwheP8O{H{Jz1J zN20%}BWIUYlw1tQ@L5&^i=~^HczTub3`2_@c@VMJ z&EL0f|L(ZA0T2YV3VR}IjUzb@*aMTW%qT%Nx+e;K^*jVC@V@bptbuD=yp>Ye&G@c* zFe3a3_<;l;&?~oWG&xvZU3NnQ?6AYP#Qu*yk~<+ie+K}DGEGq#Y6YQQs!Hsp{VP|a zd-RG9r^@$GDuBHm%R+p|yh$46J=U`<9Bo30$wzf~q^ro7nk7wl(Ke&h)aUI0ZC(KeL3wjf zt1YP30y3*CwE47(whi>0<`(1PlGEBDy{mVj1IZWOSflyoJHGz+h(eSGS)0rDgdKdT6S}^f`;Z57lQ@bAio)DA|<)V!2ocuo_OzRvsm>*t2 z3At8uf5ibUZK#S^^b$mOvCQdRYpi=A%TdndDrA17Ta9DrRK{xF=;C2yh^(i&~a?(itR<49v(DQ_&c6pb)e(C+CIANgMbacsFl%-_6_-5$In&$O&NJ1s(*w> zMIyTt>oj*R$X>{%=V#Z{0!h=xd$#B|=uJTm&hK0av}&wj3Z&bi-3g;C63^)hOgS+s zYMyZlv|n}InM4qk;)Ew`jMS}2gY z&;S0Ya8WSV&Tltb>gshdn?75P6O5s%QW&b=*QMRMm#e)2jvxlzqz#N&?_G5mJ{?!= zcaUtO`Z8;xo{bg3c-76wGrn$KxZA=(cl(kBy3cx;WE%N29?CkkjnBJo&b7jx(lIan znR2eJ#^JQ;0G{hNLrDdvrIl5T*ji6|KtN4BWE5fFGpu;~p1ST5X6_a8#pt#Y*Qy6% z9wiqy(vA(2YH^=h&4D}Ip(`C7@K*RHP5gndmT}FVHmK>?+a&fTZPW_Qy)7`EZ+>Iq z!#AC!2zRgq9?CsCyGO+szes?-`dng=LYJ}#^ryMCA4e}kST*W`7Q139tEwIhq+fT6 zXAy|(2U^a1X`zmmMf0jt@_a_?MhCu|bdI-I%X#NtA^mTA%_k}2Sw)-Z&5BQHx&V39 zjvHlf6-P>m4RK(1zI(0QeX<~ML>0g+J<*QJT%s|hRaHLgK!5kk@?6M>U-xG-^|AJd zZLtyczdP?$|L(LwYr_{~B7i>Q{NoYL{l8a=EDnbM>TNns=e+(E&9S2rXgKhoN($(2 zK%H3U#Jz90QhLu(Mk}I9ORZ@C)7jSa-zP=ZxO-eszM-PgYy<=}{)FUvS7KPk;ckLt zrPAknGH5jrBg{d%^5SZ?hBPmhP*t>CAnIrCReCZ-vNqV>rxkkh6cckrV2b67EaoN@ ziW(+fbkU}`>i+QMa;e0`%&m7vvtKLEoW4bLh6?y1%mIN?$=<2Hp{)$tV;xhh?v3{sqxUM6gW#Lrt1p&3jrT47bb1}V%JQmPRJL&FK4|krg z;o(YZ7mutPGzEW!?w9Z@l>fCHcypmxI<@qAt#-iDoN7|X;!OJH4~CZ#hi#k(7lfv* zIQ?=bEIUfEzGw_)D0SC{Vg`ST5@!s#{@A=_G1_C`YsiqV1`2xyK9k3DpzjN%mt5yi z2hF&pXa)e}3=uZ>3*}{)#@eSpv{*Iu%8{xNK0^zuE3q}@^MQC^rx;Cvw;S9#US+`wSnI>HZr$v_A26 za9!!PgYcS^OWN*G+?oo;pJM@u@VGnqsmatMgLH3MHq{l;$vZ3KZPDY1*Fx;s;})7# zt!wPVW@?%jjv6s?4|gc9=EG-etfm|q+!<}0!Z=tpciEbnnx>Rv^z}zB8vSlL@HIMd zpX4s&S~aqtPDJ?Pyl#G?yDsuX4J=V&_Sv@M8JDEU94(#N@DiVlqpn__XaG!h)vgR~EaNJd@jgb-XPAW@X6 z=PEj9tFG%xJ!W{SPe_pyqvrjdO~mQ-g16bko+rqDINM*A6&_c$nE}uMBH>2$u6X)| zr?SEFvo9wAJOBd(90|8WX38$EtTUU~Rn4nXAAIYtegU7+Cr3axxg#XKhtF*(&A#_nGr-qr|HihBmC?}AXup)I zP?(&26{$vjWuGgdkjHxcS^T2#1*?FX8kCg0ud~VC#Hu>TnZ7Mj_3bZ_N+#Lbm+vpe zMJ6THw9@Z1U<6*L5%VP23OzIV1{s*1t_-{*%JC3JL`j=INg1YsOGcx-lu3T4A1C}y zeXalf%(P=iH*)OIy>9z3;Nv0)ixMfQ0BV_skK`rpq1zxfkmoeDpzdE|PjRiHn#`eo z@2;n0Prr`-_|38F(63k615s;`;37?Dri`~)O771{hbq)DAR)Z=-vIe81wiZa?ub61 z?h1MK{ofz)wUoHj>a{H;y!v^8l#s)JBYsT@d=Y=Y$HgS@BIFPdskX{{A^=(O(~!dz zj+_6V(%}E2$Nhg5#m+Qh`eX6}^Sr8}U|%MZxMbHCHW)rXm?sy|8()<1l zQ%wjuQocxa%w3WCAMvpRLQmMfti+Sa?C=xmh$?XfkSPO#-dBCr#AGRz`-kU007~Vg zMMUWTh@P$EMDrQ=0X>unti5RTrwY}v9zdyikq`*X_X+nu&2)(Osh~=FfB5$(tP&u9 O2f_8sZsT-a{`+64$EUXd literal 0 HcmV?d00001 From 5d2908cfd5d8125b0b061893372909e00a4cd0b0 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 14 Sep 2023 15:25:07 +0100 Subject: [PATCH 16/77] First version of artefact notebook 1 done. --- artefacts/appendix-B-Rf-and-Cf.ipynb | 82 +++++++++---- artefacts/appendix-D-non-ideal-op-amp.ipynb | 2 +- artefacts/appendix-F-symbols.ipynb | 114 ++++++++++++++++++ ...ppendix-G-liquid-junction-potential.ipynb} | 2 +- .../artefacts-1-modelling-patch-clamp.ipynb | 65 ++++++++-- artefacts/artefacts-2-compensation.ipynb | 16 ++- 6 files changed, 238 insertions(+), 43 deletions(-) create mode 100644 artefacts/appendix-F-symbols.ipynb rename artefacts/{appendix-F-liquid-junction-potential.ipynb => appendix-G-liquid-junction-potential.ipynb} (99%) diff --git a/artefacts/appendix-B-Rf-and-Cf.ipynb b/artefacts/appendix-B-Rf-and-Cf.ipynb index 95075f2..80e975d 100644 --- a/artefacts/appendix-B-Rf-and-Cf.ipynb +++ b/artefacts/appendix-B-Rf-and-Cf.ipynb @@ -15,42 +15,72 @@ "source": [ "Some values for $R_f$ and $C_f$ are given below:\n", "\n", - "| Amplifier / Source | $R_f$ | $C_f$ | $R_f C_f$ |\n", - "|:------------------------------------------|------------------|---------|-------------|\n", - "| HEKA EPC 10, default gain | 500 M$\\Omega$ | | |\n", - "| HEKA EPC 10, low gain | 5 M$\\Omega$ | | |\n", - "| HEKA EPC 10, high gain | 50 G$\\Omega$ | | |\n", - "| HEKA EPC 9, default gain | 495 M$\\Omega$ | 0.16 pF | 79.2 $\\mu$s |\n", - "| HEKA EPC 9, low gain | 5 M$\\Omega$ | | |\n", - "| HEKA EPC 9, high gain | 50 G$\\Omega$ | 0.02 pF | 1000 $\\mu$s |\n", - "| Axon HS-9A, standard | 10 M$\\Omega$ | | |\n", - "| Axon HS-9A, \"large currents\" | 1 M$\\Omega$ | | |\n", - "| Axon HS-9A, \"ion-sensitive\" | 100 G$\\Omega$ | | |\n", - "| Axon CV 203BU, whole-cell, default | 500 M$\\Omega$ | 1 pF | 500 $\\mu$s |\n", - "| Axon CV 203BU, whole-cell, large currents | 50 M$\\Omega$ | 1 pF | 50 $\\mu$s |\n", - "| Example in Levis & Rae 1992 | 50 G$\\Omega$ | 0.1 pF | 5000 $\\mu$s |\n", + "| Amplifier / Source | $R_f$ | $C_f$ | $R_f C_f$ |\n", + "|:------------------------------------------|------------------|---------|--------------|\n", + "| HEKA EPC 10, default gain | 500 M$\\Omega$ | | |\n", + "| HEKA EPC 10, low gain | 5 M$\\Omega$ | | |\n", + "| HEKA EPC 10, high gain | 50 G$\\Omega$ | | |\n", + "| HEKA EPC 9, default gain | 495 M$\\Omega$ | 0.16 pF | 79.2 $\\mu$s* |\n", + "| HEKA EPC 9, low gain | 5 M$\\Omega$ | | |\n", + "| HEKA EPC 9, high gain | 50 G$\\Omega$ | 0.02 pF | 1000 $\\mu$s* |\n", + "| Axon HS-9A, standard | 10 M$\\Omega$ | | |\n", + "| Axon HS-9A, \"large currents\" | 1 M$\\Omega$ | | |\n", + "| Axon HS-9A, \"ion-sensitive\" | 100 G$\\Omega$ | | |\n", + "| Axon CV 203BU, whole-cell, default | 500 M$\\Omega$ | 1 pF | 500 $\\mu$s |\n", + "| Axon CV 203BU, whole-cell, large currents | 50 M$\\Omega$ | 1 pF | 50 $\\mu$s |\n", + "| Example in Levis & Rae 1992 | 50 G$\\Omega$ | 0.1 pF | 5000 $\\mu$s |\n", "| \"Typical\" values in Sigworth 1995 | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", - "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", - "| Armstrong & Chow 1987 | 10 M$\\Omega$ | 0.5 pF | 5 $\\mu$s |" + "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", + "| [Armstrong & Chow 1987](https://doi.org/10.1016/S0006-3495(87)83198-3) | 10 M$\\Omega$ | 0.5 pF | 5 $\\mu$s |" ] }, { "cell_type": "markdown", - "id": "1ee50dbe", + "id": "13a9abfa", "metadata": {}, "source": [ - "Here \n", - "- EPC10 data is from the HEKA Patchmaster Reference Manual 2x90.2, page 76.\n", - "- EPC9 data is from [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4). Fig 2. in this paper also shows that the 50GOhm resistor is always connected, resulting in the 495MOhm for default gain instead of 500MOhm. This is presumably true for the EPC10 too, but I can't find a reference for that.\n", - "- Axon HS-9A numbers are from The Axon Guide, 5th edition, page 47.\n", - "- Axon CV 203BU numbers are from the Axon Axopatch 200B Microelectrode Amplifier Data Sheet (accessed 2023-08-16), pages 4 and 6.\n", - "- The final reference is [Armstrong & Chow 1987](https://doi.org/10.1016/S0006-3495(87)83198-3).\n", + "#### $\\tau_f$ might not be the relevant value for HEKA\n", "\n", - "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", + "The HEKA values are presumably compensated as described in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 2.3, which is said to reduce a $\\tau_f$ of 1ms to an effective value of 4 $\\mu$s." + ] + }, + { + "cell_type": "markdown", + "id": "ec291149", + "metadata": {}, + "source": [ + "#### HEKA sources\n", + "\n", + "EPC10 data is from the HEKA Patchmaster Reference Manual 2x90.2, page 76.\n", + "\n", + "EPC9 data is from [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4).\n", + "Fig 2. in this paper also shows that the 50GOhm resistor is always connected, resulting in the 495MOhm for default gain instead of 500MOhm.\n", + "This is presumably true for the EPC10 too, but I can't find a reference for that." + ] + }, + { + "cell_type": "markdown", + "id": "400e523e", + "metadata": {}, + "source": [ + "#### Axon sources\n", + "\n", + "Axon HS-9A numbers are from The Axon Guide, 5th edition, page 47.\n", + "\n", + "Axon CV 203BU numbers are from the Axon Axopatch 200B Microelectrode Amplifier Data Sheet (accessed 2023-08-16), pages 4 and 6." + ] + }, + { + "cell_type": "markdown", + "id": "90782f92", + "metadata": {}, + "source": [ + "#### $C_f$ is a simplification\n", "\n", - "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable.\n", + "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", "\n", - "$C_f$ is often called a [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance, where \"to shunt\" means to move, bypass, or divert." + "[Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) discusses scenarios where $C_f$ is evenly distributed along the resistor, and notes that this need not be the case.\n", + "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable.\n" ] }, { diff --git a/artefacts/appendix-D-non-ideal-op-amp.ipynb b/artefacts/appendix-D-non-ideal-op-amp.ipynb index 0a79238..42318b6 100644 --- a/artefacts/appendix-D-non-ideal-op-amp.ipynb +++ b/artefacts/appendix-D-non-ideal-op-amp.ipynb @@ -313,7 +313,7 @@ "We can assume a constant $V_\\text{in}$ and take the derivative to find\n", "\n", "\\begin{align}\n", - "\\frac{d}{dt} V_\\text{out} = A_0 \\omega_c V_\\text{in} e^{-\\omega_c t}\n", + "\\frac{d}{dt} V_\\text{out} = A_0 \\omega_c V_\\text{in} e^{-\\omega_c t} = \\omega_A (V_+ - V_-) e^{-\\omega_c t}\n", "\\end{align}\n", "\n", "Then, for very small $t$ you could assume that $\\dot{V}_\\text{out} \\approx A_0 \\omega_c V_\\text{in} = \\omega_A (V_+ - V_-)$.\n", diff --git a/artefacts/appendix-F-symbols.ipynb b/artefacts/appendix-F-symbols.ipynb new file mode 100644 index 0000000..79a6abe --- /dev/null +++ b/artefacts/appendix-F-symbols.ipynb @@ -0,0 +1,114 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "d0146af1", + "metadata": {}, + "source": [ + "# Names & symbols" + ] + }, + { + "cell_type": "markdown", + "id": "17f1b74c", + "metadata": {}, + "source": [ + "The following table may help when comparing publications." + ] + }, + { + "cell_type": "markdown", + "id": "2eb50569", + "metadata": {}, + "source": [ + "| Symbol | Description | Sigworth 1995a | Weerakoon et al 2009 | Lei et al 2020 | HEKA | Axon | Other names |\n", + "|:---------------:|:---------------------|:--------------:|:--------------------:|:--------------------|:----------------|:----------------|:------------------|\n", + "| $R_\\text{leak}$ | Leak or Seal R | | | $R_\\text{seal}$ | $R_\\text{memb}$ | $R_\\text{seal}$ | $1/g_\\text{leak}$ |\n", + "| $R_s$ | Series R | ✓ | $R_S$ | ✓ | $R_s$, R-series | $R_a$, $R_s$ | Access R |\n", + "| $R_f$ | Feedback R | ✓ | ✓ | ✓ | Feedback R | | |\n", + "| $C_f$ | Feedback C | ✓ | ✓ | ✓ | | | Stray C, Shunt C |\n", + "| $C_p$ | Parasitic C | $C_\\text{in}$ | $C_\\text{prs}$ | ✓ | | | Pipette C |\n", + "| $C_p+C_f$ | Total input C | $C_t$ | $C_t$ | | | | |\n", + "| $C_m$ | Membrane C | ✓ | ✓ | ✓ | C-slow | | |\n", + "| $\\tau_f$ | Feedback tau | ✓ | $\\tau_z$ | $\\tau_Z$ | | | Transductor tau |\n", + "| $\\tau_a$ | Op amp tau | $\\tau_A$ | $\\tau_\\text{Amp}$ | | | | |\n", + "| $\\tau_c$ | | $\\tau_2$ | $\\tau_\\text{clamp}$ | $\\tau_\\text{clamp}$ | | | |\n", + "| $R_sC_m$ | Membrane access tau | $\\tau_a$ | $\\tau_a$ | $\\tau_a$ | | | |\n", + "| $V_o$ | Op amp output V | $V_B$ | $V_T$ | | | | |\n", + "| $V_c$ | Command V | $V_\\text{ref}$ | $V_\\text{com}$ | $V_\\text{cmd}$ | | | Reference V |\n", + "| | Corrected command V | | $V_\\text{clamp}$ | $V_\\text{clamp}$ | | | |\n", + "| $I$ | Current of interest | | $I_m$ | $I_\\text{ion}$ | | | Membrane I |\n", + "| $I_\\text{obs}$ | Observed current | | $I_\\text{in}$ | $I_\\text{out}$ | I-mon | | Recorded I |" + ] + }, + { + "cell_type": "markdown", + "id": "e291c44b", + "metadata": {}, + "source": [ + "_✓ indicates the same signal is used as in these notebooks._\n", + "\n", + "_R, C, V, I, and tau indicate resistance, capacitance, voltage, current, and time constants._" + ] + }, + { + "cell_type": "markdown", + "id": "ed2ae781", + "metadata": {}, + "source": [ + "#### Software can mix names for measurements & corrections\n", + "\n", + "In the PatchMaster manual, sometimes $R_s$ is used to mean the R-series estimate used in correction, while R-series is the true value.\n", + "Conversly, C-slow can be the correction, not the actual $C_m$." + ] + }, + { + "cell_type": "markdown", + "id": "37e5f4f8", + "metadata": {}, + "source": [ + "#### Leak current is still tricky\n", + "\n", + "The pClamp manual 10.4.1 defines $R_a$ as\n", + "> Access resistance (Ra) is the sum of the electrode resistance and resistance due to current-impeding factors near the electrode tip, e.g. cellular debris, etc.\n", + "and defines a separate $R_e$\n", + "> Electrode resistance (Re), also called pipette resistance (Rp), is the resistance due to the electrode.\n", + "> It does not include resistance due to environmental current-impeding factors near the electrode tip, e.g. cellular debris, air bubbles, poorly conducting solution etc.\n", + "Later on, they write $R_a = R_e + R_\\text{debris}$.\n", + "\n", + "However, in figure 4-1 they do draw the leak current as being connected directly to $V_m$ (and the ground), just after $R_a$." + ] + }, + { + "cell_type": "markdown", + "id": "fcf0dfc7", + "metadata": {}, + "source": [ + "#### Shunt?\n", + "\n", + "$C_f$ is sometimes called a [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance, where \"to shunt\" means to move, bypass, or divert." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.4" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-F-liquid-junction-potential.ipynb b/artefacts/appendix-G-liquid-junction-potential.ipynb similarity index 99% rename from artefacts/appendix-F-liquid-junction-potential.ipynb rename to artefacts/appendix-G-liquid-junction-potential.ipynb index c3b26d7..3b0892a 100644 --- a/artefacts/appendix-F-liquid-junction-potential.ipynb +++ b/artefacts/appendix-G-liquid-junction-potential.ipynb @@ -4,7 +4,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Appendix F: Liquid junction potential" + "# Appendix G: Liquid junction potential" ] }, { diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 5b62dde..4167c4b 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -403,14 +403,6 @@ "Indeed, separating leak from endogeneous or otherwise unexpected currents present in the cell of interest is non-trivial, and we offer no solution in this notebook." ] }, - { - "cell_type": "markdown", - "id": "2e508d25", - "metadata": {}, - "source": [ - "# TODO NAMES ACCESS RESISTANCE, SEAL RESISTANCE" - ] - }, { "cell_type": "markdown", "id": "968d5bf2", @@ -424,7 +416,8 @@ "id": "65c7e3a7", "metadata": {}, "source": [ - "We are now in a position to formulate an ODE model of the uncompensated patch-clamp set-up." + "We are now in a position to formulate an ODE model of the _uncompensated_ patch-clamp set-up.\n", + "In the next notebook we will extend this model with compensation terms for the effects of $C_p$, $C_m$, $R_s$ and more." ] }, { @@ -442,9 +435,51 @@ "id": "843ddc45", "metadata": {}, "source": [ - "For the node at $V_m$, we can write the sum of currents as:\n", + "We can use the sum of currents at the node at $V_m$ to write a differential equation for $V_m$:\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off} - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "\\end{align}\n", + "\n", + "If we solve this as an [initial value problem](https://en.wikipedia.org/wiki/Initial_value_problem) (i.e. a simulation), then the only unknown is $V_p$.\n", + "To find an ODE for it, we look at the sum of currents at the node at $V_p$:\n", + "\n", + "\\begin{align}\n", + "C_p\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f(\\dot{V}_o - \\dot{V}_p) - \\frac{V_p + E_\\text{off} - V_m}{R_s}\n", + "\\end{align}\n", + "so that\n", + "\\begin{align}\n", + "2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p + E_\\text{off} - V_m}{R_s}\n", + "\\end{align}\n", + "\n", + "Now we need to know $V_o$ and $\\dot{V}_o$, for which we use the equation given by Sigworth:\n", + "\n", "\\begin{align}\n", - "\\end{align}" + "3. && \\dot{V}_o = \\frac{V_c - V_p}{\\tau_a}\n", + "\\end{align}\n", + "\n", + "And finally we write an equation for the observed current:\n", + "\n", + "\\begin{align}\n", + "4. && I_\\text{obs} = \\frac{V_c - V_o}{R_f}\n", + "\\end{align}\n", + "\n", + "This gives us a 3 state variable ODE model of the patch-clamp set-up, without compensation.\n", + "\n", + "The model above differs subtly from the uncompensated model used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", + "A comparison is provided in [Appendix E](./appendix-E-uncompensated-models.ipynb)." + ] + }, + { + "cell_type": "markdown", + "id": "2e508d25", + "metadata": {}, + "source": [ + "## Names & symbols\n", + "\n", + "A list of alternative names and symbols for the components above is given in [Appendix F](./appendix-F-symbols.ipynb).\n", + "\n", + "Notably $R_\\text{leak}$ is often called _seal resistance_, while $R_s$ is also referred to as _access resistance_." ] }, { @@ -452,7 +487,13 @@ "id": "e3528d0b", "metadata": {}, "source": [ - "## Conclusion" + "## Conclusion\n", + "\n", + "We have presented a step-by-step derivation of an electrical schematic that can be used as a model of the distortions incurred during whole-cell planar or manual patch-clamp experiments.\n", + "The schematic contains mostly passive components (resistors, capacitors, batteries) as well as two active components (an op-amp and a difference amplifier) and a mystery component (the non-capacitative currents through the cell membrane).\n", + "A simple 3-ODE model can be derived from the schematic which is similar, but subtly different, to that presented in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", + "\n", + "In the [next notebook](./artefacts-2-compensation.ipynb) we will add in equations representing the various types of _compensation_ machinery typically found on patch-clamp amplifiers, including fast and slow capacitative transient cancellation and series resistance compensation." ] } ], diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 8363805..abb262c 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -7,7 +7,7 @@ "source": [ "# Modelling patch-clamp experiments: compensation\n", "\n", - "In the last notebook, we introduced an electrical schematic that can serve as a model of the patch-clamp set up, with distortions by leak, offsets, an unwanted capacitances.\n", + "In the [last notebook](./artefacts-1-modelling-patch-clamp.ipynb), we introduced an electrical schematic that can serve as a model of the patch-clamp set up, with distortions by leak, offsets, an unwanted capacitances.\n", "To compensate for these unwanted effects, patch-clamp amplifiers contain special circuitry.\n", "In this notebook we extend our model to include the effects of these compensations." ] @@ -33,7 +33,7 @@ "id": "3a492bbb", "metadata": {}, "source": [ - "## Series resistance compensation (R_s)" + "## Series resistance compensation ($R_s$)" ] }, { @@ -57,7 +57,17 @@ "1. Use software to calculate the LJP, from the known bath and pipette solutions\n", "2. Correct for the LJP either by adjusting the protocols before you apply them (so that the cell sees what you want it to see), or in the analysis (so accept that the cell saw different voltages than you intended, and account for this).\n", "\n", - "A detailed description is provided in [Appendix F](./appendix-F-liquid-junction-potential.ipynb)." + "A detailed description is provided in [Appendix G](./appendix-G-liquid-junction-potential.ipynb)." + ] + }, + { + "cell_type": "markdown", + "id": "4c9cce05", + "metadata": {}, + "source": [ + "## Filtering the output\n", + "\n", + "Filter 1" ] }, { From 6e021213e220a5d7f0a187df4a7c6f2228524c61 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 15 Sep 2023 12:29:39 +0100 Subject: [PATCH 17/77] Aligning images --- artefacts/artefacts-1-modelling-patch-clamp.ipynb | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 4167c4b..40bde6c 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -68,7 +68,7 @@ "id": "630cf0d5", "metadata": {}, "source": [ - "\n", + "\n", "\n", "_**Figure 1**: A very simple current measuring device (adapted from Sigworth 1995a)._" ] @@ -133,7 +133,7 @@ "id": "3849ce4f", "metadata": {}, "source": [ - "\n", + "\n", "\n", "_**Figure 3**: A difference amplifier buffers $V_{out}$._" ] From 88439a9751d5c2e51606c80a70d549d1eb4b40d5 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 26 Sep 2023 17:13:27 +0100 Subject: [PATCH 18/77] Artefact notebooks update --- artefacts/appendix-A-op-amp.ipynb | 2 +- artefacts/appendix-B-Rf-and-Cf.ipynb | 4 +- artefacts/appendix-C-tau-amp.ipynb | 79 ++ ...b => appendix-D-laplace-and-filters.ipynb} | 4 +- ...pynb => appendix-E-non-ideal-op-amp.ipynb} | 6 +- ... => appendix-F-uncompensated-models.ipynb} | 8 +- ...symbols.ipynb => appendix-G-symbols.ipynb} | 4 +- artefacts/appendix-H-strategies.ipynb | 135 +++ artefacts/appendix-I-inspecting-noise.ipynb | 933 ++++++++++++++++++ ...ppendix-J-liquid-junction-potential.ipynb} | 18 +- .../artefacts-1-modelling-patch-clamp.ipynb | 31 +- artefacts/artefacts-2-compensation.ipynb | 177 +++- artefacts/old-real-data-1-noise.ipynb | 871 ---------------- artefacts/resources/patch-comp-1-Eoff.png | Bin 0 -> 12155 bytes artefacts/resources/patch-comp-2-Cp.png | Bin 0 -> 13678 bytes ion-currents/basic-simulations.ipynb | 4 +- .../more-protocols-1-steps-and-ramps.ipynb | 4 +- 17 files changed, 1345 insertions(+), 935 deletions(-) create mode 100644 artefacts/appendix-C-tau-amp.ipynb rename artefacts/{appendix-C-laplace-and-filters.ipynb => appendix-D-laplace-and-filters.ipynb} (99%) rename artefacts/{appendix-D-non-ideal-op-amp.ipynb => appendix-E-non-ideal-op-amp.ipynb} (99%) rename artefacts/{appendix-E-uncompensated-models.ipynb => appendix-F-uncompensated-models.ipynb} (99%) rename artefacts/{appendix-F-symbols.ipynb => appendix-G-symbols.ipynb} (98%) create mode 100644 artefacts/appendix-H-strategies.ipynb create mode 100644 artefacts/appendix-I-inspecting-noise.ipynb rename artefacts/{appendix-G-liquid-junction-potential.ipynb => appendix-J-liquid-junction-potential.ipynb} (85%) delete mode 100644 artefacts/old-real-data-1-noise.ipynb create mode 100644 artefacts/resources/patch-comp-1-Eoff.png create mode 100644 artefacts/resources/patch-comp-2-Cp.png diff --git a/artefacts/appendix-A-op-amp.ipynb b/artefacts/appendix-A-op-amp.ipynb index 681389f..17b4af2 100644 --- a/artefacts/appendix-A-op-amp.ipynb +++ b/artefacts/appendix-A-op-amp.ipynb @@ -160,7 +160,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/appendix-B-Rf-and-Cf.ipynb b/artefacts/appendix-B-Rf-and-Cf.ipynb index 80e975d..ef2720e 100644 --- a/artefacts/appendix-B-Rf-and-Cf.ipynb +++ b/artefacts/appendix-B-Rf-and-Cf.ipynb @@ -41,7 +41,7 @@ "source": [ "#### $\\tau_f$ might not be the relevant value for HEKA\n", "\n", - "The HEKA values are presumably compensated as described in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 2.3, which is said to reduce a $\\tau_f$ of 1ms to an effective value of 4 $\\mu$s." + "The HEKA values are presumably compensated as described in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 2.3, which is said to reduce the amplifier's pole at $\\tau_1 \\approx \\tau_f$ from $\\tau_1 \\approx \\tau_f \\approx 1\\text{ms}$ to an effective value of 4 $\\mu$s." ] }, { @@ -113,7 +113,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/appendix-C-tau-amp.ipynb b/artefacts/appendix-C-tau-amp.ipynb new file mode 100644 index 0000000..10e3833 --- /dev/null +++ b/artefacts/appendix-C-tau-amp.ipynb @@ -0,0 +1,79 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "e7fdae0f", + "metadata": {}, + "source": [ + "# Appendix C: Amplifier time constants" + ] + }, + { + "cell_type": "markdown", + "id": "22f7aaad", + "metadata": {}, + "source": [ + "Here we give values for the op amp time constant, taken from [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419).\n", + "\n", + "Three different notations are used:\n", + "\n", + "\\begin{align}\n", + "\\omega_a = 2 \\pi f_a = 1 / \\tau_a\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "0626bd26", + "metadata": {}, + "source": [ + "| Source | page | $\\omega$ | $f$ | $\\tau$ |\n", + "|:---------------|:--------|:-------------|:---------|:-----------|\n", + "| Sigworth 1995a | 96 | 1e7 rad/s | 1.59 MHz | 100 ns |\n", + "| | 98, 100 | 6.28e7 rad/s | 10 MHz | 15.9 ns |\n", + "| Weerakoon 2009 | 3 | 2e7 rad/s | 3.18 MHz | 50 ns |" + ] + }, + { + "cell_type": "markdown", + "id": "199fd3de", + "metadata": {}, + "source": [ + "## Units\n", + "\n", + "I am confused about the units used.\n", + "\n", + "The equation\n", + "\\begin{align}\n", + "\\frac{d}{dt}V = \\omega (V_+ - V_-)\n", + "\\end{align}\n", + "needs $\\omega$ to be in $\\text{s}^{-1}$ to make the units match, and correspondingly Sigworth always gives values for $\\omega$ in units of $\\text{s}^{-1}$.\n", + "When converting to $\\tau$ we simply take the reciprocal, again suggesting that $\\omega$ is in $\\text{s}^{-1}$.\n", + "\n", + "However, when introducing $\\omega$ he says the units are $\\text{rad}/s$.\n", + "And when converting to $f$ we divide by $2 \\pi\\,\\text{rad}$, suggesting the \"Hz\" used are really 1/s/rad?" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.5" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-C-laplace-and-filters.ipynb b/artefacts/appendix-D-laplace-and-filters.ipynb similarity index 99% rename from artefacts/appendix-C-laplace-and-filters.ipynb rename to artefacts/appendix-D-laplace-and-filters.ipynb index dcf55d8..0fcbbaa 100644 --- a/artefacts/appendix-C-laplace-and-filters.ipynb +++ b/artefacts/appendix-D-laplace-and-filters.ipynb @@ -5,7 +5,7 @@ "id": "44ff9bab", "metadata": {}, "source": [ - "# Appendix C: Laplace transforms & filters" + "# Appendix D: Laplace transforms & filters" ] }, { @@ -1809,7 +1809,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/appendix-D-non-ideal-op-amp.ipynb b/artefacts/appendix-E-non-ideal-op-amp.ipynb similarity index 99% rename from artefacts/appendix-D-non-ideal-op-amp.ipynb rename to artefacts/appendix-E-non-ideal-op-amp.ipynb index 42318b6..fadb2fd 100644 --- a/artefacts/appendix-D-non-ideal-op-amp.ipynb +++ b/artefacts/appendix-E-non-ideal-op-amp.ipynb @@ -5,7 +5,7 @@ "id": "730357a8", "metadata": {}, "source": [ - "# Appendix D: Non-ideal op amps" + "# Appendix E: Non-ideal op amps" ] }, { @@ -13,7 +13,7 @@ "id": "11447cae", "metadata": {}, "source": [ - "In this notebook we go a little bit further than [Appendix A](./appendix-A-op-amp.ipynb) and consider the _speed_ of an op amp, using some of the concepts from [Appendix C](./appendix-C-laplace-and-filters.ipynb).\n", + "In this notebook we go a little bit further than [Appendix A](./appendix-A-op-amp.ipynb) and consider the _speed_ of an op amp, using some of the concepts from [Appendix D](./appendix-D-laplace-and-filters.ipynb).\n", "\n", "Analysis of non-ideal op amps is usually divided into two parts:\n", "- In the _small signal_ range the amplifier acts \"linearly\": its gain within this range does not depend on the absolute values of $V_+$ and $V_-$, and there are no history effects.\n", @@ -651,7 +651,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/appendix-E-uncompensated-models.ipynb b/artefacts/appendix-F-uncompensated-models.ipynb similarity index 99% rename from artefacts/appendix-E-uncompensated-models.ipynb rename to artefacts/appendix-F-uncompensated-models.ipynb index 46bab9e..6e3c19e 100644 --- a/artefacts/appendix-E-uncompensated-models.ipynb +++ b/artefacts/appendix-F-uncompensated-models.ipynb @@ -5,7 +5,7 @@ "id": "fc24dbb4", "metadata": {}, "source": [ - "# Appendix E: Two models of uncompensated patch-clamp" + "# Appendix F: Two models of uncompensated patch-clamp" ] }, { @@ -13,7 +13,7 @@ "id": "aff7c8af", "metadata": {}, "source": [ - "Based on the discussion in [Appendix D](./appendix-D-non-ideal-op-amp.ipynb) we now look at models of uncompensated patch clamp, with leak current omitted for simplicity." + "Based on the discussion in [Appendix E](./appendix-E-non-ideal-op-amp.ipynb) we now look at models of uncompensated patch clamp, with leak current omitted for simplicity." ] }, { @@ -836,7 +836,7 @@ "- Starting from the Sigworth 1995 equation for the op amp instead of Weerakoon et al., we get a system with a faster step response, but this is invisible in the normal traces, which are dominated by the membrane charging time.\n", "- When $C_p$ becomes so large that the system becomes underdamped, we can see a difference between the models (Sigworth version shows \"ringing\").\n", "\n", - "For \"normal\" patch clamp experiments I have no fundamental reason to prefer one over the other. (1) I can't find a reference that uses the same equation as Sigworth and (2) The Weerakoon equation is perhaps a simpler \"first approximation\", so more parsimonious? But (3) the Weerakoon equation uses a $\\tau_c$ derived from the Sigworth analysis and." + "For \"normal\" patch clamp experiments I have no fundamental reason to prefer one over the other. (1) I can't find a reference that uses the same equation as Sigworth and (2) The Weerakoon equation is perhaps a simpler \"first approximation\", so more parsimonious? But (3) the Weerakoon equation uses a $\\tau_c$ derived from the Sigworth analysis." ] } ], @@ -856,7 +856,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/appendix-F-symbols.ipynb b/artefacts/appendix-G-symbols.ipynb similarity index 98% rename from artefacts/appendix-F-symbols.ipynb rename to artefacts/appendix-G-symbols.ipynb index 79a6abe..2380a41 100644 --- a/artefacts/appendix-F-symbols.ipynb +++ b/artefacts/appendix-G-symbols.ipynb @@ -5,7 +5,7 @@ "id": "d0146af1", "metadata": {}, "source": [ - "# Names & symbols" + "# Appendix G: Names & symbols" ] }, { @@ -106,7 +106,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/appendix-H-strategies.ipynb b/artefacts/appendix-H-strategies.ipynb new file mode 100644 index 0000000..8b3b252 --- /dev/null +++ b/artefacts/appendix-H-strategies.ipynb @@ -0,0 +1,135 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Appendix H: Strategies for dealing with experimental error" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In this appendix we provide a high-level overview of noise, artefacts, and imperfect control, and discuss general strategies for dealing with them.\n", + "\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Noise and artefacts\n", + "\n", + "The term \"noise\" can mean many things, but in patch-clamp experiments is typically used to mean unwanted _stochastic_ or _periodic_ signals that are present in the applied input, the measured output, or both.\n", + "The term \"artefacts\" (or \"artifacts\") is sometimes used to describe _transient_ signals that appear in the output but are due to the experimental setup rather than the biology." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Imperfect control\n", + "\n", + "Many artefacts in patch clamp data arise not as a distortion of the recorded signal, but through _imperfect control_ of the membrane potential.\n", + "A major part of the \"artefact model\" described here deals with such issues of imperfect membrane potential control.\n", + "\n", + "More generally, we have imperfect control over experimental parameters such as as temperature (often quoted as being in a 1-2 degree bracket) or external solutions (especially with e.g. fast wash-out or wash-in).\n", + "This type of imperfect control can often be modelled by replacing scalar values with probability distributions and using [forward propagation](https://en.wikipedia.org/wiki/Uncertainty_quantification#Forward_propagation) to estimate the effects on the measured signal." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Strategies for dealing with error\n", + "\n", + "Four strategies for dealing with noise, artefacts, and imperfect control are:\n", + "\n", + "- Avoiding it\n", + "- On-line correction, i.e. correcting during the experiment using hardware or software\n", + "- Off-line correction, i.e. post-processing the data to remove errors\n", + "- Creating a noise model\n", + "\n", + "**Avoiding noise** is a major part of experimental setup and hardware design, and can include [shielding](https://en.wikipedia.org/wiki/Faraday_cage), removing sources of electronic inference (e.g. monitors, lights), using special power supplies (or batteries), checking for [ground loops](https://en.wikipedia.org/wiki/Ground_loop_%28electricity%29), and even cooling part of the measurement equipment to reduce [thermal noise](https://en.wikipedia.org/wiki/Johnson%E2%80%93Nyquist_noise).\n", + "\n", + "**On-line correction** using hardware filters is common in patch-clamp experiments, and includes correction of capacitance artefacts, series resistance compensation, \"zeroing\" the current, and low-pass filtering.\n", + "A major downside of on-line correction is that it can only be performed once.\n", + "In addition, some patch-clamp hardware does not provide digital readouts of the controls used to perform on-line correction, so that information about how exactly the signal was modulated is lost.\n", + "\n", + "**Off-line correction** includes leak correction and removal of any remaining capacitance artefacts, but may also include removing endogenous currents by subtracting a second measurement made in the presence of a current-blocking drug.\n", + "A downside of both on-line and off-line correction is that it invariably \"complicates\" the recording.\n", + "For example, to fully model a typical patch-clamp measurement it would be necessary to understand the ionic current, the way the cell and patch-clamp setup contaminate this recording, and the precise way in which hardware and offline software has attempted to remedy these effects.\n", + "\n", + "A different approach then, is to simply leave the noise and artefacts in, and **add them to the model used in the fitting procedure**.\n", + "The most common example of \"modelling\" the noise, is using a root-mean-squared error when fitting the data: statistically this equates to assuming a Gaussian model for the noise (so that the recorded current at any time point equals the ionic current plus a normally distributed random variable).\n", + "More complex modelling approaches are also possible, see for example [Lei et al., 2020](https://royalsocietypublishing.org/doi/10.1098/rsta.2019.0348) and the models introduced in these notebooks." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Modelling experimental error\n", + "\n", + "A central idea in these notebook is to differentiate between the measured current, $I_\\text{measured}$, and the current of interest, which we shall call $I_\\text{ion}$.\n", + "The relationship between $I_\\text{measured}$ and $I_\\text{ion}$ can be captured mathematically in a _noise model_:\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured} = f(I_\\text{ion})\n", + "\\end{equation}\n", + "\n", + "The simplest such noise models are _additive_, and take the form\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured} = I_\\text{ion} + I_\\text{unwanted}\n", + "\\end{equation}\n", + "\n", + "But we have also seen more complicated forms.\n", + "\n", + "Similarly, we can model imperfect control by distinguishing between the true membrane potential, $V_m$, and the intended membrane potential $V_\\text{command}$:\n", + "\\begin{equation}\n", + "V_\\text{m} = g \\left( V_\\text{command} \\right)\n", + "\\end{equation}\n", + "but we also have to recognise that the error in the control depends on the ion current:\n", + "\\begin{equation}\n", + "V_\\text{m} = g \\left( V_\\text{command}, I_\\text{ion} \\right)\n", + "\\end{equation}\n", + "If we allow for clever circuitry that uses measurements of $I_\\text{ion}$ or $V_\\text{m}$ to perform corrections, we may even want to write\n", + "\\begin{equation}\n", + "V_\\text{m} = g \\left( V_\\text{command}, I_\\text{ion}, I_\\text{measured}, V_\\text{measured} \\right)\n", + "\\end{equation}\n", + "\n", + "so that our full equation becomes something like\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured} = f \\left( I_\\text{ion}(g(V_\\text{command}, I_\\text{ion}, I_\\text{measured}, V_\\text{measured})) \\right)\n", + "\\end{equation}\n", + "\n", + "Clearly we will need some kind of simulation to deal with this." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.5" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/artefacts/appendix-I-inspecting-noise.ipynb b/artefacts/appendix-I-inspecting-noise.ipynb new file mode 100644 index 0000000..1ef077d --- /dev/null +++ b/artefacts/appendix-I-inspecting-noise.ipynb @@ -0,0 +1,933 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Appendix I: Stochastic and periodic noise" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In this notebook we take a brief look at stochastic noise.\n", + "This type of noise is a _much bigger issue_ for single channel measurements, and much more thorough explorations of noise can be found e.g. in [Sigworth 1995](https://scholar.google.com/scholar?q=Sigworth+Electronic+design+of+the+patch+clamp), [Benndorf 1995](https://scholar.google.com/scholar?q=benndorf+low+noise+recording), or the [Axon Guide](https://www.moleculardevices.com/en/assets/ebook/dd/cns/axon-guide-to-electrophysiology-and-biophysics-laboratory-techniques).\n", + "\n", + "Reducing noise is also a major point of interest, but will not be discussed in this notebook.\n", + "Instead, we have a quick look at the stochastic and periodic noise we might see in a manual whole-cell patch experiment, and discuss how this relates to uncertainty quantification." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Stochastic noise\n", + "\n", + "We'll start by looking at stochastic noise, using the model:\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured} = I_\\text{ion} + I_\\text{stochastic} = I_\\text{ion} + \\mathcal{N}(0, \\sigma)\n", + "\\end{equation}\n", + "\n", + "This assumes that\n", + "- the noise is purely _additive_, and does not affect $I_\\text{ion}$ or $I_\\text{measured}$ in more complicated ways.\n", + "- the noise in sample $I_\\text{measured}[i]$ is independent of the noise at $I_\\text{measured}[i-1]$, or at any previous sample $I_\\text{measured}[j < i]$.\n", + "- the noise follows a normal distribution with mean zero and a constant standard deviation $\\sigma$.\n", + "\n", + "This model can be used for noise that is truly stochastic, but perhaps also for processes that change quickly enough to _look_ stochastic, given our sampling rate.\n", + "Noise that _more or less_ matches these assumptions can arise from from the electronics e.g. [thermal noise](https://en.wikipedia.org/wiki/Johnson%E2%80%93Nyquist_noise), and [shot noise](https://en.wikipedia.org/wiki/Shot_noise).\n", + "We can even expect some fluctuations from the stochastic opening and closing of the channels themselves: a 1973 paper by [Anderson and Stevens](https://doi.org/10.1113/jphysiol.1973.sp010410) showed that \"channel noise\" with a high enough amplitude can be analysed to estimate the number of channels in a cell.\n", + "\n", + "It can be worthwhile to examine these assumptions, for example by looking at a \"boring\" part of an experimental result, where the voltage is stable and the channels are assumed to be in or near their steady state." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "import myokit\n", + "import numpy as np\n", + "import pints\n", + "import scipy.stats\n", + "\n", + "# Load Cell 1 from Beattie et al.\n", + "log = myokit.DataLog.load('../ion-currents/resources/sine-wave-data/cell-1.zip').npview()\n", + "\n", + "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", + "# During this time, V is fixed at -80mV\n", + "log = log.trim_right(250)\n", + "\n", + "plt.figure(figsize=(8, 3))\n", + "plt.xlabel('Time (ms)')\n", + "plt.ylabel('Current (pA)')\n", + "plt.plot(log.time(), log['current'] * 1000) # Convert from nA to pA\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "We can try and visually inspect this data, for example to see how it compares to a normal distribution:" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Subtract the mean and show a histogram of this noise:\n", + "\n", + "noise = log['current'] * 1000 # Convert from nA to pA\n", + "offset = np.mean(noise)\n", + "variation = noise - offset\n", + "\n", + "fig = plt.figure(figsize=(16, 3))\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Mean noise (pA)')\n", + "ax.plot(log.time(), np.ones(noise.shape) * offset)\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Noise amplitude (pA)')\n", + "ax.set_ylabel('Occurence')\n", + "ax.hist(variation, bins=50, density=True, label='Normalised histogram')\n", + "\n", + "x = np.linspace(-25, 25, 100)\n", + "ax.plot(x, scipy.stats.norm.pdf(x, 0, np.std(variation)), label='Normal distribution')\n", + "ax.legend()\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So the data _looks_ to be normally distributed, although not with a zero offset (more about that later).\n", + "More rigorous tests of normality are available, but for large sample sizes like these, they tend to be _too strict_, and reject the hypothesis that the distribution is normal, for even very minor deviations from normality.\n", + "\n", + "Another thing we can investigate is whether the noise in this cell was [_independent and identically distributed_ (iid)](https://en.wikipedia.org/wiki/Independent_and_identically_distributed_random_variables).\n", + "A quick visual way to do this is to make a plot of the _autocorrelation_, which shows you how much the points at any index $i$ correlate with the points at $i - \\text{lag}$.\n", + "For $\\text{lag} = 0$ this is $1$ by definition, but for higher lags this should be close to zero if the noise is iid.\n", + "One rule of thumb is to plot the lines at $\\pm1.96 \\sqrt{n}$, which corresponds to the 95% confidence interval, and then check that only 5% of the autocorrelations are outside this interval." + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAyQAAAERCAYAAACKImMzAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAAflElEQVR4nO3df5TddX3n8ec7CXFIQiC/CNMkJDEnslDRyE74oVBBBYEepa5FwLZaqxvZGkuhPSttz25x3T3t+gsUrYEqC7qs6DlKRQ/WokuoKFQGDQqkIQkhZEIgkwCJMc6GYd77x9ykk/mVm8y985m59/k4Z07u9/v53Pt9c7/fbyYvPt/P9xuZiSRJkiSVMKF0AZIkSZKal4FEkiRJUjEGEkmSJEnFGEgkSZIkFWMgkSRJklTMpNIF1MPs2bNz0aJFpcuQJEmSBDz88MM7MnPOYG0NGUgWLVpEe3t76TIkSZIkARGxeag2L9mSJEmSVIyBRJIkSVIxBhJJkiRJxTTkHBJJkiTppZdeoqOjg66urtKlNI2Wlhbmz5/PUUcdVfV7DCSSJElqSB0dHRxzzDEsWrSIiChdTsPLTHbu3ElHRweLFy+u+n1esiVJkqSG1NXVxaxZswwjoyQimDVr1mGPSBUNJBFxS0Rsj4hHh2iPiPhsRGyIiJ9HxGmjXaMkSZLGL8PI6DqS77v0CMmtwIXDtF8ELK38rAC+MAo1SZIkSRolRQNJZv4z8PwwXS4Bvpy9HgSOi4jW0alOkiRJGpmJEyeybNkyXv3qV3PppZeyd+/e0iWxevVqfvzjHx/2+3bu3Ml5553HtGnTWLlyZc3qKT1CcijzgC19ljsq6waIiBUR0R4R7Z2dnVVv4LKbHuCymx4YWZWSJEnSII4++mjWrFnDo48+yuTJk1m1alVV7+vu7q5bTUcSSLq7u2lpaeFjH/sYn/zkJ2taz1gPJINdhJaDdczMmzOzLTPb5syZU+eyJEmSpMNzzjnnsGHDBr797W9zxhln8LrXvY63vOUtPPfccwBcd911rFixggsuuID3vOc9PPXUU5xzzjmcdtppnHbaaQdCxOrVq3njG9/Iu971Ll71qldx7bXXcvvtt3P66adz6qmnsnHjRgA6Ozt55zvfyfLly1m+fDk/+tGPeOqpp1i1ahXXX389y5Yt44c//OGg/QarZ+rUqZx99tm0tLTU9HsZ67f97QAW9FmeDzxTqBZJkiSNY7W+KuZrHzyr6r7d3d1897vf5cILL+Tss8/mwQcfJCL44he/yMc//nE+9alPAfDwww9z//33c/TRR7N3717uueceWlpaWL9+PVdccQXt7e0APPLII6xdu5aZM2fyyle+kg984AP85Cc/4TOf+Qw33ngjN9xwA1dddRVXX301Z599Nk8//TRvfetbWbt2LVdeeSXTpk3jz//8zwF497vfPWi//vXUy1gPJHcBKyPiDuAMYFdmbitckyRJklSVX//61yxbtgzoHSF5//vfz7p167jsssvYtm0b+/btO+iZHW9/+9sP/OP/pZdeYuXKlaxZs4aJEyfyxBNPHOi3fPlyWlt7p1YvWbKECy64AIBTTz2Ve++9F4Dvf//7PP744wfes3v3bn75y18OqHG4fn3rqZeigSQivgqcC8yOiA7gr4GjADJzFXA3cDGwAdgLvK9MpZIkSRrvDmdEo1b2zyHp68Mf/jDXXHMNb3/721m9ejXXXXfdgbapU6ceeH399dczd+5cHnnkEXp6eg66VOoVr3jFgdcTJkw4sDxhwoQD8096enp44IEHDhkohuvXt556KX2XrSsyszUzj8rM+Zn5pcxcVQkjVO6u9aHMXJKZp2Zme8l6JUmSpJHatWsX8+b13qfptttuG7Zfa2srEyZM4Ctf+Qovv/zyYW3nggsu4HOf+9yB5f3B6JhjjjlopGSofqNlrE9qlyRJkhrKddddx6WXXso555zD7Nmzh+z3x3/8x9x2222ceeaZPPHEE4c9WvHZz36W9vZ2XvOa13DKKaccuMPX2972Nu68884Dk9qH6jeYRYsWcc0113Drrbcyf/78gy71OlKROehNq8a1tra23D/h51D2T24qMYQnSZKk+lm7di0nn3xy6TKazmDfe0Q8nJltg/V3hESSJElSMQYSSZIkScUYSCRJktSwGnF6wlh2JN+3gUSSJEkNqaWlhZ07dxpKRklmsnPnzsN+kvtYfzCiJEmSdETmz59PR0cHnZ2dpUtpGi0tLcyfP/+w3mMgkSRJUkM66qijDnoKusYmL9mSJEmSVIyBRJIkSVIxBhJJkiRJxRhIJEmSJBVjIJEkSZJUjIFEkiRJUjEGEkmSJEnFGEgkSZIkFWMgkSRJklSMgUSSJElSMQYSSZIkScUYSCRJkiQVYyCRJEmSVIyBRJIkSVIxBhJJkiRJxRhIJEmSJBVjIJEkSZJUTNFAEhEXRsS6iNgQEdcO0n5sRHw7Ih6JiMci4n0l6pQkSZJUH8UCSURMBD4PXAScAlwREaf06/Yh4PHMfC1wLvCpiJg8qoVKkiRJqpuSIySnAxsy88nM3AfcAVzSr08Cx0REANOA54Hu0S1TkiRJUr2UDCTzgC19ljsq6/r6HHAy8AzwC+CqzOwZ7MMiYkVEtEdEe2dnZz3qlSRJklRjJQNJDLIu+y2/FVgD/AawDPhcREwf7MMy8+bMbMvMtjlz5tSyTkmSJEl1UjKQdAAL+izPp3ckpK/3Ad/MXhuATcC/G6X6JEmSJNVZyUDyELA0IhZXJqpfDtzVr8/TwJsBImIucBLw5KhWKUmSJKluJpXacGZ2R8RK4HvAROCWzHwsIq6stK8CPgbcGhG/oPcSr49k5o5SNUuSJEmqrWKBBCAz7wbu7rduVZ/XzwAXjHZdkiRJkkaHT2qXJEmSVIyBRJIkSVIxBhJJkiRJxRhIJEmSJBVjIJEkSZJUjIFEkiRJUjEGEkmSJEnFGEgkSZIkFWMgkSRJklSMgUSSJElSMQYSSZIkScUYSCRJkiQVYyCRJEmSVIyBRJIkSVIxBhJJkiRJxRhIJEmSJBVjIJEkSZJUjIFEkiRJUjEGEkmSJEnFGEgkSZIkFWMgkSRJklSMgUSSJElSMQYSSZIkScUYSCRJkiQVYyCRJEmSVIyBRJIkSVIxRQNJRFwYEesiYkNEXDtEn3MjYk1EPBYR9412jZIkSZLqZ1KpDUfERODzwPlAB/BQRNyVmY/36XMc8HfAhZn5dEQcX6RYSZIkSXVRcoTkdGBDZj6ZmfuAO4BL+vV5N/DNzHwaIDO3j3KNkiRJkurokIEkIt4QEfdExBMR8WREbIqIJ2uw7XnAlj7LHZV1fb0KmBERqyPi4Yh4zzB1roiI9oho7+zsrEF5kiRJkuqtmku2vgRcDTwMvFzDbccg67Lf8iTg3wNvBo4GHoiIBzPziQFvzLwZuBmgra2t/+dIkiRJGoOqCSS7MvO7ddh2B7Cgz/J84JlB+uzIzF8Bv4qIfwZeCwwIJJIkSZLGn2rmkNwbEZ+IiLMi4rT9PzXY9kPA0ohYHBGTgcuBu/r1+RZwTkRMiogpwBnA2hpsW5IkSdIYUM0IyRmVP9v6rEvgTSPZcGZ2R8RK4HvAROCWzHwsIq6stK/KzLUR8Y/Az4Ee4IuZ+ehItitJkiRp7DhkIMnM8+q18cy8G7i737pV/ZY/AXyiXjVIkiRJKqeau2wdGxGf3n8Hq4j4VEQcOxrFSZIkSWps1cwhuQX4JfCuys9u4H/VsyhJkiRJzaGaOSRLMvOdfZY/GhFr6lSPJEmSpCZSzQjJryPi7P0LEfEG4Nf1K0mSJElSs6hmhOQ/AbdV5o0E8Dzwh/UsSpIkSVJzqOYuW2uA10bE9Mry7noXJUmSJKk5DBlIIuL3M/N/R8Q1/dYDkJmfrnNtkiRJkhrccCMkUyt/HjNIW9ahFkmSJElNZshAkpk3VV5+PzN/1LetMrFdkiRJkkakmrts3VjlOkmSJEk6LMPNITkLeD0wp988kunAxHoXJkmSJKnxDTeHZDIwrdKn7zyS3cDv1rMoSZIkSc1huDkk9wH3RcStmbl5FGuSJEmS1CSqeTDi3oj4BPCbQMv+lZn5prpVJUmSJKkpVDOp/XbgX4HFwEeBp4CH6liTJEmSpCZRTSCZlZlfAl7KzPsy84+AM+tclyRJkqQmUM0lWy9V/twWEb8NPAPMr19JkiRJkppFNYHkv0fEscCf0fv8kenA1XWtSpIkSVJTOGQgyczvVF7uAs6rbzmSJEmSmslwD0a8Ecih2jPzT+pSkSRJkqSmMdwISfuoVSFJkiSpKQ33YMTb+i5HxNTM/FX9S5IkSZLULA5529+IOCsiHgfWVpZfGxF/V/fKJEmSJDW8ap5DcgPwVmAnQGY+AvxWHWuSJEmS1CSqCSRk5pZ+q16uQy2SJEmSmkw1zyHZEhGvBzIiJgN/QuXyLUmSJEkaiWpGSK4EPgTMAzqAZZXlEYuICyNiXURsiIhrh+m3PCJejojfrcV2JUmSJI0Nw46QRMRE4IbM/L1ab7jy2Z8Hzqc36DwUEXdl5uOD9PufwPdqXYMkSZKksoYdIcnMl4E5lUu1au10YENmPpmZ+4A7gEsG6fdh4BvA9jrUIEmSJKmgauaQPAX8KCLuAg48hyQzPz3Cbc8D+k6W7wDO6NshIuYB7wDeBCwf7sMiYgWwAuDEE08cYWmSJEmSRkM1c0ieAb5T6XtMn5+RikHWZb/lG4CPVEZqhpWZN2dmW2a2zZkzpwblSZIkSaq3auaQLM3M36/DtjuABX2W59MbfvpqA+6ICIDZwMUR0Z2Z/1CHeiRJkiSNsmEDSWa+HBFzImJyZZ5HLT0ELI2IxcBW4HLg3f22v3j/64i4FfiOYUSSJElqHMXmkGRmd0SspPfuWROBWzLzsYi4stK+aiSfL0mSJGnsqyaQPFP52T+HpGYy827g7n7rBg0imfmHtdy2JEmSpPIOGUgy86MAEXFM72LuqXtVkiRJkprCIe+yFRGvjoifAY8Cj0XEwxHxm/UvTZIkSVKjq+a2vzcD12TmwsxcCPwZ8Pf1LUuSJElSM6gmkEzNzHv3L2TmamBq3SqSJEmS1DSqmdT+ZET8F+ArleXfBzbVryRJkiRJzaKaEZI/AuYA36z8zAbeV8+iJEmSJDWHau6y9QLwJ6NQiyRJkqQmU81dtu6JiOP6LM+IiO/VtSpJkiRJTaGaS7ZmZ+aL+xcqIybH160iSZIkSU2jmkDSExEn7l+IiIVA1q8kSZIkSc2imrts/RVwf0TcV1n+LWBF/UqSJEmS1CyqmdT+jxFxGnBmZdXVmbmjvmVJkiRJagbVjJAAvJ7ekZH9vlOHWiRJkiQ1mWrusvW3wFXA45WfqyLib+pdmCRJkqTGV80IycXAsszsAYiI24CfAX9Rz8IkSZIkNb5q7rIFcFyf18fWoQ5JkiRJTaiaEZK/AX4WEfcCQe9ckr+sa1WSJEmSmkI1d9n6akSsBpbTG0g+kpnP1rswSZIkSY2vmkntP8jMbZl5V2Z+KzOfjYgfjEZxkiRJkhrbkCMkEdECTAFmR8QMekdHAKYDvzEKtUmSJElqcMNdsvVB4E/pDR8/7bN+N/D5OtYkSZIkqUkMGUgy8zPAZyLiw5l54yjWJEmSJKlJVHOXrV0R8Z7+KzPzy3WoR5IkSVITqSaQLO/zugV4M72XcBlIJEmSJI1INbf9/XDf5Yg4FvhK3SqSJEmS1DSqfVJ7X3uBV9Vi4xFxYUSsi4gNEXHtIO2/FxE/r/z8OCJeW4vtSpIkSRobDjlCEhHfBrKyOBE4Gfj6SDccERPpvVvX+UAH8FBE3JWZj/fptgl4Y2a+EBEXATcDZ4x025IkSZLGhmrmkHyyz+tuep9HckUNtn06sCEznwSIiDuAS4ADgSQzf9yn/4PA/BpsV5IkSdIYcchLtjLzPmAX8NvA7cDHgLU12PY8YEuf5Y7KuqG8H/juUI0RsSIi2iOivbOzswblSZIkSaq34Z7U/irgcnpHQ3YCXwMiM8+r0bZjkHU5yDoi4jx6A8nZQ31YZt5M7yVdtLW1Dfo5kiRJksaW4S7Z+lfgh8DbMnMDQERcXcNtdwAL+izPB57p3ykiXgN8EbgoM3fWcPuSJEmSChvukq13As8C90bE30fEmxl8VONIPQQsjYjFETGZ3tGYu/p2iIgTgW8Cf5CZT9Rw25IkSZLGgCFHSDLzTuDOiJgK/A5wNTA3Ir4A3JmZ/zSSDWdmd0SsBL5H7927bsnMxyLiykr7KuC/ArOAv4sIgO7MbBvJdiVJkiSNHdU8GPFX9E5mvz0iZgKXAtcCIwoklc++G7i737pVfV5/APjASLcjSZIkaWw6rAcjZubzmXlTZr6pXgVJkiRJah5H8qR2SZIkSaoJA4kkSZKkYgwkkiRJkooxkEiSJEkqxkAiSZIkqRgDiSRJkqRiDCSSJEmSijGQSJIkSSrGQCJJkiSpGAOJJEmSpGIMJJIkSZKKMZBIkiRJKsZAIkmSJKkYA4kkSZKkYgwkkiRJkooxkEiSJEkqxkCihnbZTQ9w2U0PlC5DkiRJQzCQSJIkSSrGQCJJkhqOI+TS+GEgkSRJklTMpNIF1ENXVxfr1q07aN2MGTM4/vjj6enpYf369QfWX35S71ewY8cOZs+eTXd3Nxs3bhzwmXPmzGHmzJns27ePTZs2DWifO3cuxx13HF1dXWzevHlAe2trK9OnT2fv3r1s2bJlQPu8efOYNm0ae/bsYevWrQPaFyxYwJQpU9i9ezfbtm0b0L5w4UJaWlp48cUXee655wa0L168mMmTJ/P888/T2dk5oH3JkiVMmjSJHTt2sHPnzgHtS5cuZcKECWzfvp0XXnhhQPtJJ50EwLPPPsuuXbsOapswYQJLly4FYNu2bezevfug9kmTJrFkyRIAtm7dyp49ew5qnzx5MosXLwZgy5Yt7N2796D2lpYWFi5cCMDmzZvp6uo60Hb5SZPYvjcPLG/atIl9+/Yd9P5p06Yxb948ADZu3Eh3d/dB7dOnT6e1tRWA9evX09PTc1D7scceywknnAAw4LiDoY+9/WbNmuWx14DHHsCUKVNYsGAB4LHnseex19doHHsAxx8dg9bnseex5997o3/sDccREkkq5B9+ttVLSgr6wG0P+f1L0hgQmXnoXuNMW1tbtre3V9V3/y+jr33wrHqWpELcvxrLPD7L8vtvbO5faWyJiIczs22wNkdIJEmSJBVjIJFGwLu4NDb3ryRJ9Vc0kETEhRGxLiI2RMS1g7RHRHy20v7ziDitRJ2Sjoz/oJckaaB6/34cb79/iwWSiJgIfB64CDgFuCIiTunX7SJgaeVnBfCFUS1Sksax8fYLSZLUnIpNao+Is4DrMvOtleW/AMjMv+nT5yZgdWZ+tbK8Djg3MwfeB62PmQtPzvP/8paq6nh8W+8tyU5pnX4E/xUa6+q9f8f78eP3M7zx/v2M9++/3vx+GpvnV1l+/8Nrxu/n61e+fshJ7SWfQzIP6HuD5g7gjCr6zAMGBJKIWEHvKArTWpdUXUS9d9R4P+DG++fXe/+O9+NnvH8/9Tbev5/x/v2P9+N/vPPv/7KfX29+/2WN9++n1sdPyUASg6zrP1xTTZ/elZk3AzdD721/x8pt/up920E/X1Kj8u+Hsvz7v7H5/WskjuT4+fqVQ7eVDCQdwII+y/OBZ46gjySpAfkPpcbm/i3L719jSclA8hCwNCIWA1uBy4F39+tzF7AyIu6g93KuXYeaPyJJkkbOf7BKGi3FAklmdkfESuB7wETglsx8LCKurLSvAu4GLgY2AHuB95WqV5IkSVLtlRwhITPvpjd09F23qs/rBD402nVJkiRJGlytR1CLBpJ66erqYt26dQetmzFjBscffzw9PT2sX79+wHtmzZrF7Nmz6e7uZuPGjQPa58yZw8yZM9m3bx+bNm0a0D537lyOO+44urq62Lx584H1l5/U+xXv3r2b6dOns3fvXrZs2TLg/fPmzWPatGns2bOHrVu3DmhfsGABU6ZMYffu3Wzb9m9Xre3//K6uLlpaWnjxxRd57rnnBrx/8eLFTJ48meeff57Ozs4B7UuWLGHSpEns2LGDnTt3Dvj8np4eJkyYwPbt23nhhRcGvP+kk04C4Nlnn2XXrl0HtU2YMIGlS5cCsG3bNnbv3n3Q5/+6+9/6bt26lT179hz0/smTJ7N48WIAtmzZwt69ew9qb2lpYeHChQBs3ryZrq6ug9qnTJnCggW9U5E2bdrEvn37DmqfNm0a8+bNA2Djxo10d3cf1D59+nRaW1sBWL9+PT09PQe1H3vssZxwwgkAA447KHfs7dfa2lqXY2+/hQsX1uXY22/p0qV1OfYAJk2axJIlvXfl89jz2OvPY89jz2PPY68/j70jP/aG05CBROPHKa3TmTTJw1CSJKlZFXswYj21tbVle3t76TKA8X/bRG8LKEmSpJGKiCEfjDhhtIuRJEmSpP0MJJIkSZKKMZBIkiRJKsbZxHXm3AtJkiRpaI6QSJIkSSrGQCJJkiSpGAOJJEmSpGIMJJIkSZKKMZBIkiRJKsZAIkmSJKkYA4kkSZKkYgwkkiRJkorxwYgalg92lCRJUj05QiJJkiSpGAOJJEmSpGIMJJIkSZKKMZBIkiRJKsZAIkmSJKkYA4kkSZKkYgwkkiRJkooxkEiSJEkqxkAiSZIkqRgDiSRJkqRiigSSiJgZEfdExPrKnzMG6bMgIu6NiLUR8VhEXFWiVkmSJEn1U2qE5FrgB5m5FPhBZbm/buDPMvNk4EzgQxFxyijWKEmSJKnOJhXa7iXAuZXXtwGrgY/07ZCZ24Btlde/jIi1wDzg8VGrchz42gfPKl2CJEmSdMRKjZDMrQSO/cHj+OE6R8Qi4HXAvwzTZ0VEtEdEe2dnZy1rlSRJklQndRshiYjvAycM0vRXh/k504BvAH+ambuH6peZNwM3A7S1teXhbEOSJElSGXULJJn5lqHaIuK5iGjNzG0R0QpsH6LfUfSGkdsz85t1KlWSJElSIaUu2boLeG/l9XuBb/XvEBEBfAlYm5mfHsXaJEmSJI2SUoHkb4HzI2I9cH5lmYj4jYi4u9LnDcAfAG+KiDWVn4vLlCtJkiSpHorcZSszdwJvHmT9M8DFldf3AzHKpUmSJEkaRT6pXZIkSVIxBhJJkiRJxRhIJEmSJBVjIJEkSZJUTGQ23jMEI6IT2HwYb5kN7KhTOSrP/dvY3L+Nzf3b2Ny/jc3929gOd/8uzMw5gzU0ZCA5XBHRnpltpetQfbh/G5v7t7G5fxub+7exuX8bWy33r5dsSZIkSSrGQCJJkiSpGANJr5tLF6C6cv82NvdvY3P/Njb3b2Nz/za2mu1f55BIkiRJKsYREkmSJEnFGEgkSZIkFdPUgSQiLoyIdRGxISKuLV2PaisinoqIX0TEmohoL12PRi4ibomI7RHxaJ91MyPinohYX/lzRskadeSG2L/XRcTWynm8JiIuLlmjjlxELIiIeyNibUQ8FhFXVdZ7DjeAYfav53ADiIiWiPhJRDxS2b8frayvyfnbtHNIImIi8ARwPtABPARckZmPFy1MNRMRTwFtmelDmRpERPwWsAf4cma+urLu48Dzmfm3lf+xMCMzP1KyTh2ZIfbvdcCezPxkydo0chHRCrRm5k8j4hjgYeB3gD/Ec3jcG2b/vgvP4XEvIgKYmpl7IuIo4H7gKuA/UIPzt5lHSE4HNmTmk5m5D7gDuKRwTZKGkZn/DDzfb/UlwG2V17fR+wtQ49AQ+1cNIjO3ZeZPK69/CawF5uE53BCG2b9qANlrT2XxqMpPUqPzt5kDyTxgS5/lDjxxGk0C/xQRD0fEitLFqG7mZuY26P2FCBxfuB7V3sqI+Hnlki4v52kAEbEIeB3wL3gON5x++xc8hxtCREyMiDXAduCezKzZ+dvMgSQGWdec1681rjdk5mnARcCHKpeDSBpfvgAsAZYB24BPFa1GIxYR04BvAH+ambtL16PaGmT/eg43iMx8OTOXAfOB0yPi1bX67GYOJB3Agj7L84FnCtWiOsjMZyp/bgfupPcyPTWe5yrXLu+/hnl74XpUQ5n5XOWXYA/w93gej2uVa8+/Adyemd+srPYcbhCD7V/P4caTmS8Cq4ELqdH528yB5CFgaUQsjojJwOXAXYVrUo1ExNTKpDoiYipwAfDo8O/SOHUX8N7K6/cC3ypYi2ps/y+6infgeTxuVSbFfglYm5mf7tPkOdwAhtq/nsONISLmRMRxlddHA28B/pUanb9Ne5ctgMqt524AJgK3ZOb/KFuRaiUiXknvqAjAJOD/uH/Hv4j4KnAuMBt4Dvhr4B+ArwMnAk8Dl2amE6PHoSH277n0XuqRwFPAB/dfr6zxJSLOBn4I/ALoqaz+S3rnGXgOj3PD7N8r8Bwe9yLiNfROWp9I74DG1zPzv0XELGpw/jZ1IJEkSZJUVjNfsiVJkiSpMAOJJEmSpGIMJJIkSZKKMZBIkiRJKsZAIkmSJKkYA4kkqZiI2FO6BklSWQYSSZIkScUYSCRJY0pEvC0i/iUifhYR34+IuZX1cyLinoj4aUTcFBGbI2J26XolSSNjIJEkjTX3A2dm5uuAO4D/XFn/18D/zczTgDvpfTKwJGmcm1S6AEmS+pkPfC0iWoHJwKbK+rOBdwBk5j9GxAuF6pMk1ZAjJJKkseZG4HOZeSrwQaClsj7KlSRJqhcDiSRprDkW2Fp5/d4+6+8H3gUQERcAM0a5LklSHURmlq5BktSkIqIHeKbPqk8DG4Hr6Q0lDwLLM/PciDge+Cq9QeQ+4DJgcWb+v9GtWpJUSwYSSdK4EBGvAF7OzO6IOAv4QmYuK1yWJGmEnNQuSRovTgS+HhETgH3AfyxcjySpBhwhkSRJklSMk9olSZIkFWMgkSRJklSMgUSSJElSMQYSSZIkScUYSCRJkiQV8/8BTzm8P7Bb7PkAAAAASUVORK5CYII=\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import pints.plot\n", + "\n", + "# pints.plot.autocorrelation expects an array of shape (n_samples, n_parameters)\n", + "# See: https://pints.readthedocs.io/en/latest/diagnostic_plots.html#pints.plot.autocorrelation\n", + "n = len(variation)\n", + "reshaped = variation.reshape((n, 1))\n", + "\n", + "fig, ax = pints.plot.autocorrelation(reshaped, max_lags=30)\n", + "fig.set_size_inches(12, 5)\n", + "ax[0].axhline(+1.96 / np.sqrt(n), ls='--', color='#cccccc')\n", + "ax[0].axhline(-1.96 / np.sqrt(n), ls='--', color='#cccccc')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So it looks like our noise is fairly independent!" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now that we now this, how it help us deal with noise?\n", + "Because the noise is stochastic, we can't model it directly and subtract it from our recordings.\n", + "But we _can_ write a statistical model for our noise, and fit that to the data.\n", + "\n", + "First, we assume that at any point intime the measured current $I_\\text{measured}(t)$ can be modelled as the sum of a current model $m(t|p)$ with parameters $p$ and a random variable from a normal distribution with standard deviation $\\sigma$:\n", + "\n", + "\\begin{equation}\n", + "I_\\text{measured}(t) = m(t|p) + \\mathcal{N}(0, \\sigma)\n", + "\\end{equation}\n", + "\n", + "In the [\"basic fitting\"](basic-fitting.ipynb) notebook, we saw that this lets us write a _probability density function_ $f$ for obtaining a certain measurement _given_ a fixed $p$ and $\\sigma$, and that this could be used to define a _log-likelhood_ for $p$ and $\\sigma$ given a particular measurement $D$:\n", + "\n", + "\\begin{equation}\n", + "\\log l(p, \\sigma|D) = -\\frac{N}{2}\\log(2\\pi) - N\\log(\\sigma) - \\frac{1}{2\\sigma^2} \\sum_{i = 1}^{N} \\left(I_\\text{measured}(t_i) - m(t_i|p)\\right)^2\n", + "\\end{equation}\n", + "\n", + "where $D$ is a digitised set of measurements $D = \\{(t_1, I_\\text{measured}(t_1)), (t_2, I_\\text{measured}(t_2)), ..., (t_N, I_\\text{measured}(t_N))\\}$.\n", + "\n", + "In the basic fitting tutorial we observed that for a fixed value of $\\sigma$ the process of _maximising this log-likelihood_ is the same as _minimising the sum of squared errors_ $I_\\text{measured}(t_i) - m(t_i|p)$, and we proceeded using this approach in most of the tutorial.\n", + "\n", + "However, instead of passing in an [ErrorMeasure](https://pints.readthedocs.io/en/latest/error_measures.html), PINTS optimisers can also operate directly on a [LogLikelihood object](https://pints.readthedocs.io/en/latest/log_likelihoods.html):" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [], + "source": [ + "import myokit.lib.hh\n", + "\n", + "class ModelHHSolver(pints.ForwardModel):\n", + " \"\"\"\n", + " A forward model that runs simulations on step protocols, using an\n", + " analytical solving method for Hodgkin-Huxley models.\n", + " \"\"\"\n", + "\n", + " def __init__(self, protocol):\n", + "\n", + " # Load a model, and isolate the HH ion current model part\n", + " model = myokit.load_model('../ion-currents/resources/beattie-2017-ikr-hh.mmt')\n", + " parameters = ['ikr.p' + str(1 + i) for i in range(9)]\n", + " hh_model = myokit.lib.hh.HHModel.from_component(\n", + " model.get('ikr'), parameters=parameters)\n", + "\n", + " # Create an analytical simulation\n", + " self.sim = myokit.lib.hh.AnalyticalSimulation(hh_model, protocol)\n", + "\n", + " # Set the -80mV steady state as the default state\n", + " self.sim.set_default_state(hh_model.steady_state(-80))\n", + "\n", + " def n_parameters(self):\n", + " return 9\n", + "\n", + " def simulate(self, parameters, times):\n", + "\n", + " # Reset, apply parameters, and run\n", + " self.sim.reset()\n", + " self.sim.set_parameters(parameters)\n", + " tmax = times[-1] + (times[-1] - times[-2])\n", + " log = self.sim.run(tmax, log_times=times)\n", + " return log['ikr.IKr']" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Set up a simple fitting problem\n", + "parameters = np.array([3e-4, 0.07, 3e-5, 0.05, 0.09, 9e-2, 5e-3, 0.03, 0.2])\n", + "\n", + "protocol = myokit.load_protocol('../ion-currents/resources/simplified-staircase.mmt')\n", + "model = ModelHHSolver(protocol)\n", + "times = np.arange(0, 15400, 0.1)\n", + "values = model.simulate(parameters, times)\n", + "values += np.random.normal(0, 0.05, times.shape)\n", + "problem = pints.SingleOutputProblem(model, times, values)\n", + "\n", + "plt.figure(figsize=(16, 3))\n", + "plt.xlabel('Time (ms)')\n", + "plt.ylabel('Current (pA)')\n", + "plt.plot(times, values, label='Noisy (fake) data')\n", + "plt.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Next, we isolate a bit of noise from the start of the signal to estimate sigma:" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated sigma: 0.047838811700166975\n" + ] + } + ], + "source": [ + "noise = values[:1000]\n", + "sigma = np.std(noise)\n", + "print('Estimated sigma: ' + str(sigma))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "And we use this to create and maximise a [pints.GaussianKnownSigmaLogLikelihood](https://pints.readthedocs.io/en/latest/log_likelihoods.html#pints.GaussianKnownSigmaLogLikelihood):" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "metadata": {}, + "outputs": [], + "source": [ + "# Create a log-likelihood object\n", + "log_pdf = pints.GaussianKnownSigmaLogLikelihood(problem, sigma)\n", + "\n", + "# Choose a slightly random starting point\n", + "x0 = parameters * 2**np.random.normal(0, 0.25, parameters.shape)\n", + "\n", + "# Use an optimiser to maximise it\n", + "opt = pints.OptimisationController(log_pdf, x0)\n", + "opt.set_log_to_screen(False)\n", + "xopt, fopt = opt.run()" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.figure(figsize=(16, 4))\n", + "plt.xlabel('Time (ms)')\n", + "plt.ylabel('Current (pA)')\n", + "plt.plot(times, values, label='Noisy (fake) data')\n", + "plt.plot(times, problem.evaluate(xopt), label='Fitted model')\n", + "plt.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Here we followed a two-step process, first estimating sigma from a small chunk of the data and then using this estimate to do the full fit.\n", + "But there's nothing stopping us from inferring $\\sigma$ along with the rest of the parameters!" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "9\n", + "10\n" + ] + } + ], + "source": [ + "# Create an unknown sigma log-likelihood object\n", + "log_pdf = pints.GaussianLogLikelihood(problem)\n", + "\n", + "# This log likelihood has one more parameter than our model!\n", + "print(model.n_parameters())\n", + "print(log_pdf.n_parameters())" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "As before, we can use an optimiser to maximise this log-likelihood, but now we need to pass in a starting point that also includes an estimate for sigma:" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "metadata": {}, + "outputs": [], + "source": [ + "x0_with_sigma = np.concatenate((x0, [0.3]))\n", + "\n", + "opt = pints.OptimisationController(log_pdf, x0_with_sigma)\n", + "opt.set_log_to_screen(False)\n", + "xopt, fopt = opt.run()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now the returned parameter vector includes an extra value for the estimated sigma:" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated sigma: 0.049966709658859555\n" + ] + } + ], + "source": [ + "print('Estimated sigma: ' + str(xopt[-1]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This probabilistic approach opens up new possibilities for investigation.\n", + "For example, we could replace the assumption of iid noise with the assumption that the noise is correlated and would be better described by an [Autoregressive AR1 model](https://en.wikipedia.org/wiki/Autoregressive_model).\n", + "We can then replace our Gaussian loglikelihood by a [pints.AR1LogLikelihood](https://pints.readthedocs.io/en/latest/log_likelihoods.html#pints.AR1LogLikelihood) and compare the quality of fit.\n", + "\n", + "Instead of finding the maximum of the proposed likelihood function, we can also use [sampling methods](https://pints.readthedocs.io/en/latest/mcmc_samplers/index.html) to explore the full distribution.\n", + "If the model fits the data extremely well, this can provide an estimate of the uncertainty in the obtained parameters.\n", + "However, if there is a slight _discrepancy_ between the final model predictions and the experimental recording (as is typically the case in ion current electrophysiology), the results of applying a sampling method are much harder to interpret." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Periodic noise" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "In addition to stochastic (additive) noise, we might also look for periodic noise.\n", + "An easy way to spot this is by creating and plotting an [FFT](https://en.wikipedia.org/wiki/Fast_Fourier_transform) or [power spectrum](https://en.wikipedia.org/wiki/Spectral_density).\n", + "\n", + "We start by defining a quick function to calculate a power spectrum:" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "metadata": {}, + "outputs": [], + "source": [ + "def spectrum( times, current):\n", + " \"\"\"\n", + " Calculates the power spectrum (or spectral density) of a (regularly spaced)\n", + " time series ``(times, current)``, and returns a tuple ``(freq, power)``\n", + " where ``freq`` contains a list of positive frequencies, and ``power``\n", + " is the associated spectral density (if current is in \"units\", the power will\n", + " be unit \"units**2\").\n", + " \"\"\"\n", + " # Import fft functions\n", + " try:\n", + " # Latest scipy\n", + " from scipy.fft import fft, fftshift, fftfreq\n", + " except ImportError:\n", + " from scipy.fftpack import fft, fftshift, fftfreq\n", + " \n", + " # Length of time series (assuming len(times) == len(current))\n", + " n = len(times)\n", + " \n", + " # Time-step (assuming points are equally spaced)\n", + " dt = times[1] - times[0]\n", + " \n", + " # Points in the FFT\n", + " points = fftshift(fft(current)).real\n", + " \n", + " # Frequency of points in the fft\n", + " frequency = fftshift(fftfreq(n, dt))\n", + " \n", + " # Select positive points\n", + " positive = frequency > 0\n", + " \n", + " return frequency[positive], points[positive]**2\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Using this function, we can have a look at the start of Cell 1's data again:" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Load Cell 1 from Beattie et al.\n", + "log = myokit.DataLog.load('../ion-currents/resources/sine-wave-data/cell-1.zip').npview()\n", + "\n", + "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", + "# During this time, V is fixed at -80mV\n", + "log = log.trim_right(250)\n", + "\n", + "# Calculate the power spectrum\n", + "times = log.time()\n", + "current = log['current']\n", + "freq, points = spectrum(times * 1e-3, current) # Using time in seconds to get frequency in Hz\n", + "\n", + "# Show the results\n", + "fig = plt.figure(figsize=(16, 4))\n", + "\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Current (pA)')\n", + "ax.plot(times, current * 1e3) # Convert from nA to pA\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Frequency (Hz)')\n", + "ax.set_ylabel('Spectral density (nA^2)')\n", + "ax.plot(freq, points)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So it looks like there's no particular frequencies that dominate the noise in this recording.\n", + "\n", + "Because we recorded at a sample spacing of $0.1\\text{ms} = 10^{-4}\\text{s}$, the highest frequency observable in the signal is half the sampling rate, so $\\frac{1}{2} 1 / 10^{-4}\\text{s} = \\frac{1}{2} 10\\text{kHz} = 5\\text{kHz}$.\n", + "Notice that the [Nyquist-Shannon sampling theory](https://en.wikipedia.org/wiki/Nyquist%E2%80%93Shannon_sampling_theorem) says something stronger than that; it says that _even lower frequency signals_ can't be reconstructed from a digital recording if frequencies higher than half the sampling rate are present in the signal.\n", + "A common way to ensure this is the case, is to use low-pass filtering before digitisation (so this is an example of online filtering that we cannot escape!).\n", + "Looking at the [published raw data files](https://figshare.com/articles/Sinusoidal_voltage_protocols_for_rapid_characterization_of_ion_channel_kinetics_supplementary_experimental_data/4702546/1) for this study, we can inspect the meta data (e.g. using [Myokit's DataLog viewer](https://myokit.readthedocs.io/cmd/log.html)) and see that this signal was indeed low-pass filtered at 5kHz before digitisation." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now let's look at a different recording:" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "metadata": { + "scrolled": true + }, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA7UAAAEGCAYAAAC6mLLHAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAB1jElEQVR4nO3dd5wcdfnA8c9z/XK59N5DCi2EFkJHem+iKCCCgKKCiFj4gViwoIiIBRUNvShF6dXQexKSENJDOum953L1+f2xs5e9vS2zuzM7W57363Wv252dnfnu7OzMPPP9fp+vqCrGGGOMMcYYY0w+Kgm6AMYYY4wxxhhjTLosqDXGGGOMMcYYk7csqDXGGGOMMcYYk7csqDXGGGOMMcYYk7csqDXGGGOMMcYYk7fKgi6AF3r06KFDhgwJuhjGGGMKxJQpU9aras+gy5HP7NxsjDHGS4nOzQUR1A4ZMoTJkycHXQxjjDEFQkSWBl2GfGfnZmOMMV5KdG625sfGGGOMMcYYY/KWBbXGGGOMMcYYY/KWBbXGGGOMMcYYY/KWBbXGGGOMMcYYY/KWBbXGGGOMMcYYY/KWBbXGGGOMMcYYY/KWBbXGGGOMMcYYY/KWBbXGGGNy2s6GJp6auhxVDbooBUNE7hORtSIyM2r6NSIyT0RmichtEdNvFJEFzmunZL/ExphcMn7WatZu2xV0MYxpZUGtMcaYnPaL52bz/Sc+4aMlm4IuSiF5ADg1coKIHAecA4xW1X2B253p+wAXAPs67/m7iJRmtbTGmJzR1NzClQ9P4YJ/Tgi6KMa0sqDWGGNMTlu9NVQbsKOhKeCSFA5VfQfYGDX528CtqlrvzLPWmX4O8Jiq1qvqYmABMDZrhTXG5JRwm5nPNu4MtBzGRLKg1hhjjDEAI4GjRWSiiLwtIoc40/sDyyLmW+5Ma0dErhSRySIyed26dT4X1xhjjAmxoNYYY4wxAGVAV+Aw4EfAEyIigMSYN2YHZ1Udp6pjVHVMz549/SupMcYYE8GCWmOMMcZAqAb2KQ2ZBLQAPZzpAyPmGwCsDKB8xhhjTEwW1BpjjMkPlvzYb88AxwOIyEigAlgPPAdcICKVIjIUGAFMCqqQxhhjTLSyoAtgjDHGmOwSkUeBY4EeIrIc+DlwH3CfM8xPA3CphsZRmiUiTwCzgSbgalVtDqbkxhhjTHsW1BpjjMkPsXp2mrSo6oVxXro4zvy3ALf4VyJjjDEmfdb82BhjjDHGGOOKWlcQk4MsqDXGGGOMMcYYk7csqDXGGGOMMca4opa1z+QgC2qNMcYYY4wxxuQtC2qNMcYYY4wxxuQtC2qNMcYYY4wxrliiKJOLLKg1xhhjjDHGGJO3LKg1xhhjjDHGGJO3LKg1xhhjjDHGGJO3Ag1qRaSLiPxXROaKyBwROVxEuonIqyIy3/nfNcgyGmOMMcYYY4zJXUHX1P4ZeEVV9wL2B+YANwCvq+oI4HXnuTHGGGOMMSZglijK5KLAgloR6QQcA9wLoKoNqroZOAd40JntQeDcIMpnjDEmx9iFlDHGGGNiCLKmdg9gHXC/iHwsIveISA3QW1VXATj/ewVYRmOMMcYYY4xD7Q6jyUFBBrVlwEHAXap6ILCDFJoai8iVIjJZRCavW7fOrzIaY4zJFRJ0AYwxxhiTi4IMapcDy1V1ovP8v4SC3DUi0hfA+b821ptVdZyqjlHVMT179sxKgY0xxgTIKgeMMcYYE0NgQa2qrgaWiciezqQTgNnAc8ClzrRLgWcDKJ4xxhhTsETkPhFZKyIzY7z2QxFREekRMe1GEVkgIvNE5JTsltYYk0ssUZTJRWUBr/8a4F8iUgEsAi4jFGg/ISJXAJ8B5wdYPmOMMbnCmh976QHgr8BDkRNFZCBwEqHzb3jaPsAFwL5AP+A1ERmpqs1ZK60xxhiTQKBBrapOA8bEeOmELBfFGGNMrrPaAc+o6jsiMiTGS38ErqdtK6lzgMdUtR5YLCILgLHAh74X1BhjjHEh6HFqjTHGGJMDRORsYIWqfhL1Un9gWcTz5c40Y0wRsvuLJhcF3fzYGGOMcceaH/tGRDoANwEnx3o5xrSY17UiciVwJcCgQYM8K58xxhiTiNXUGmOMyQ9WPeCnYcBQ4BMRWQIMAKaKSB9CNbMDI+YdAKyMtRAbmcCYwqeWKcrkIAtqjTHGmCKnqjNUtZeqDlHVIYQC2YOckQqeAy4QkUoRGQqMACYFWFxjjDGmDQtqjTHG5AdrfuwZEXmUUKKnPUVkuTPiQEyqOgt4gtCwe68AV1vmY2OMMbnE+tQaY4wxRUZVL0zy+pCo57cAt/hZJmNMfrDGxyYXWU2tMcYYY4wxxpi8ZUGtMcYYY4wxxhXLE2VykQW1xhhjjDHGGGPylgW1xhhjjDHGGGPylgW1xhhj8oM1eTPGmODZsdjkIAtqjTHGGGOMMcbkLQtqTdFRVb52/yTemLsm6KIYY1Jh49QaY4wxJgYLauOYuWILLS3WvqIQtSi8NW8dVzw4OeiiGGNSYYdkY4wJnNrB2OQgC2pjmLJ0I2fe+R7/fGdR0EUxPghX9lhKemOMMcYYY/KfBbUxrNi8C4BZK7cEXBLjB4tlc9OyjTu58/X5qA93Gxat284L01d6vlyTHc3hVjPW/DguEakRkdKgy2GMKXxWKWByUVnQBchFrTV5gZbCmOJy+QMfMX/tds49sD8Du3XwdNnH/+FtAM4c3c/T5ZrseG/B+tADOyi3EpES4ALgK8AhQD1QKSLrgJeAcao6P8AiGmOMMVljNbWJ2AVUQfKjJtBkrq6xGbA7wMa49CYwDLgR6KOqA1W1F3A0MAG4VUQuDrKAxhhjTLZYTW0M4lTVWkd4Y7JHrGmpScb2kUgnqmpj9ERV3Qg8CTwpIuXZL5YxptDZ1bHJRVZTG4M4V05WY1SY7GstXh8u3BB0EUwm7MfbKlZAm848xhhjTCGwoDYGqzEypjBdePeEoItgjCdEZLSITBCRZSIyTkS6Rrw2KciyGWMKm3XjMrnIgtoYin3Il6bmFv41cSlNzS1BF8UUIWv2b+KyG46R/g7cDOwHfAq8JyLDnNes2bExxpiiEnhQKyKlIvKxiLzgPO8mIq+KyHznf9dky/C+TKH/xXpx/dCHS7np6Zk8PGFp0EXxRbHerMh1YhGLScZ+u5E6quorqrpZVW8HvgO8IiKH4WJLich9IrJWRGZGTPu9iMwVkeki8rSIdIl47UYRWSAi80TkFD8+kDHGGJOuwINa4FpgTsTzG4DXVXUE8LrzPBDFGvxsrgt1w9q809vuWDsbmnjn03WeLtMYY4qUiEjn8BNVfRP4AvAwMNjF+x8ATo2a9iowSlVHE6r9vdFZ0T6Ehg/a13nP321MXGOKV5FeHpscF2hQKyIDgDOAeyImnwM86Dx+EDg3y8Ui3MatWH+0ftWX3fDkDC65bxJL1u/waQ3uFGsNfL4o1ptJxqTod8DekRNUdTpwAvBUsjer6jvAxqhp41W1yXk6ARjgPD4HeExV61V1MbAAGJtZ8Y0xxhjvBF1T+yfgeiCy82ZvVV0F4PzvFeuNInKliEwWkcnr1nlb+/f3txYQWr+ni807Xn/8+Wu3A7C9vinJnMYYYxJR1X+rarvMZ6r6map+w4NVXA687DzuDyyLeG25M60dP8/NxhhjTDyBBbUiciawVlWnpPN+VR2nqmNUdUzPnj09Ldv05Vs8XV6+8Tv7s5/Lf2/+eppbEofjxX6zwph8Eith3YvTV7Ftl41WA6EsyD4s8yagCfhXeFKM2WIeSf08NxtjcoNdR5lcFGRN7ZHA2SKyBHgMOF5EHgHWiEhfAOf/2qAKuHbbLpZv2hnU6k2K3pq3lovvncg/3l4YdFFMGnYnaIvvg4Xr2byzISvlMbnhkaiEdZ+u2cbV/57K/z05PaAS5Q4ROZFQFmQvl3kpcCbwFd09bsdyYGDEbAOAlV6u1xhjjMlEYEGtqt6oqgNUdQihBBRvqOrFwHPApc5slwLPZrNcv3x+duvj6cu3cNTv3szm6k0Glm2qAwi8z67xx67GZi66eyKX3v9R0EUxWbRtV9vuCjuc7gsrnN97sRKRrwC3AZ/3cJmnAv8HnK2qkXd0nwMuEJFKERkKjABsLFxjipTlJjG5KOg+tbHcCpwkIvOBk5znWXPf+4uzuTpaWpQ/vvppbtY+edy+JNZg3TsbmvjpMzM96Wf702dmJp/JxDRh0QZWb9kVdDESanH2n09Xbwu4JCYoDc0tLFxnN60c9wJfVNW0Oq6KyKPAh8CeIrJcRK4A/grUAq+KyDQR+QeAqs4CngBmA68AV6tqsxcfwhhjjPFCWdAFAFDVt4C3nMcbCGVvLApvfbqWP78+n8Xrd/CXCw8Muji8MXdNa59Uv+7DRY5H+tCHS3l4wlI6VZfxo1P28mmNJpkLxk2gS4dypv3s5MDKYKPU5oeHP1xCY7Ny+VFDs7K+yOPQTU/PYP32hnbTi9QvgXtF5HRVTbnaWlUvjDH53gTz3wLckup6jDHGmGzIiaC2mDU2hy7N6hqDv+n9wYL1XP7A5KyuMxxAJ8nt5ClLcBCb1+MSpytWjT7AmXe+F3rdwplA/fTZWQBZC2ojW3GEA1qw37Gq/kZEPgOeAU4JuDjGmGJS5Mdfk5tysflxYLLdBHje6m00Opk9s32BNnPFFuZFNePcsKPt5y/2i8Zc89rsNUxZuinoYvhGkqTFXuQ0O93V2BI38M0V67fXB12EvDNh0YZ2/eHfmLuGce8sCqhEuU9VHyHUr9YYY4wpahbURvjiPz7M2rrWbt3FKX96J2Y/0PlrtvHTZ2bS0qLMXb2VN+d5nwD6zDvf45Q/vdNmmt9D+WR7PfHka03f1x+azBfu+iBr69te38Q97y7KyQByeQ4nCXrn03WM+fVrvDF3TdBFySsXjJvAsbe/xfG3v8VDHy4B4IMFG+LOn6+/Y6+p6uvR00SkPIiyGGOKgx19TS6yoDbCgrXbY05fttH7YX22Ohk9N7U2+dx9iLjsgY94eMJSlm+q49Q/vctlWcr2KlG9Gr2+aEwUGwUVN01YtIHvPzEtJwO3oP3q+dn8+sU5vtxUScTNN5HLX9e0ZZsBmLp0c6Dl8FtTc0u71h5eWLR+Bz9zmji7MX7WamYU+djiABJyvIjcQ2gIHmOMMaZoWFDrwtMfr/B8mYlqK4OqyczWesMX/dlcZzwX3j2Bp6auyGqf3nyxuS7UHL2+scXX9Uz9bBNzVm1N6T1B7zeJBFG05gB24D+8+imn/OkdFqz1Lxt1ou85fGPjyoencNZf3/OtDLlORA4VkT8DSwkNvfMuYFn3jDHGFBULal3wo1Yo+lot1jrc1pQuWLuNv74xP+E8yzbu5IEsD1cUbd6a0MXvjU/NCLQckds6l2v8coXbAHJXYzM7G1Ifmum8v3/AaX9+t820LXWNtCQI1LbUxU9qtWpLHef9/X027gh2mKxsNY+dt3obw378EuNnrc7K+sI+/izUv3vtVv/6D89ZZcM3xSMitzhD3/0GmAEcCKxT1QdVtXA73xtjAmfXTiYXWVDrgqJcdv8k7nnXu4Ql0UlxIo8P4WbAbg8a5//jQ24f/yk7Eoz1esG4Cdz8/Gy27YofDARRw3TbK/MCWKvxwwl/eJt9fva/dtOvfGgyj3/0WdL3h/e/zTsb2P8X4/nDq/H3jXAm5FjufmcxUz/bzFNTg2mBme1a5GnLQvHLa3Oy24e3xPmgfl7bvLdgvY9Lz3tXAmuAu4BHnOHw7FLTGGNMUbKg1qU3563j1y/OAWDS4o3UNbQdguezDTt52Elu4kai697wRfGyTe768tY3JW8eGq7ZSuWKp1DvxMX6WNnoU/vq7DWc+7f3E9ZAxvPa7NxPOrRic+zkTeNnr+H/nnRfO79xR2hffXlGejWPuZJAqFB/P2Hh41RQn7PQt68LfQiNG3s2sEBEHgaqRcSG6jPGGFN0LKhN0fJNO/nSPz/khqemt5n+5XEf8tNnZ8Vtfhk9XFB0bU6soOqr905yVabwotxc47kJpoPgZSCSzpKycX383Uc/ZtqyzexqSn1M4q8/1H784Fkrt/DwhKUpLeeDBet5NUcC5C11jQz78UtxX8/XmEVc1GCu2FwXNzFdqoIO7nLlJkKxUdVmVX1ZVS8BhgPPAh8AK0Tk38GWzhhTyOy4b3KRBbUp2uZkLZ4b1dertSY0xu/8/QXrOeCXr/JWRBbZ6EzDYd9/fBpLN7iroZ2waAMbtte3XkS3JLi6jVcT+afXPo37WqEesgol0/EZf3kv5pBQExdt4JOIZFyRLrpnIt+IESDH4vdmmrViS9sERzF+Eq/OXsOz07xP1JYNM1fEz8h75K1vcOIdb2exNN6LdwzLltkpJhcrZKq6S1X/q6pfIBTgtu8HYIwxxhQwC2pdSOXiPtasU5eG+rx9tGRj67R2NbXO/6cSZFpubG5pM3TFBeMmcP4/P0zp0jK6L++fXpvPum3hRC9R/XwDiP027WhIGAx4YVeMbL6PpFjjmcu+PG4C5/zt/YyW0dTcwpzV4aAhu8FL5E2Hbzw0mWsfm5bWcqL39Wx7d35x9AdN5zixaN32grm5FDQRqRSRi0TkxyLyM+A6YHDQ5TLGFC47fJtcZEGtC7F+u9HXy61NgGP80ktKkid+amxu4c+vJc5g/NuX5nLWX9/jusentU5btG5H68oTjgObYLm5dGw6/58fJkwClKnrHp/GIbe81m76L56f7ds6o/l5MvjqvRM9Wc7vx89j2cbYfWS9Er0ZFq3bkdL7tyZIegbw4vSVLFoXv4nvkBte5GfPtq/pzlSuDDe0fns9Q254kdd9SiDV2qc2xfdNWLSB4//wNo9/tMzzMhWpZ4FzgCZgR8SfMcYYUzQsqHXh3xN31+LFC0h2NwEODW3S9jVaXwNoaVE2RA038v6CDfzxtU8TlmPGis1A+3FzW6+hXVxdxrreDn+mXLgYD/cz9KsWx48xh91Ktn0bmlpobM5sTFivagenL/O3ttwLi5MEwVM/28wJSZr4PvRh4dTQR5u9MlTT/sAHS3xZfmvf4RR/q+Hf+CfLvd3H1m+v551P13m6zDwxQFW/rKq3qeofwn9BF8oYY4zJJgtqXVi/vf14l8s31bW5mAvHK/94eyF7/fSVNmNkbnIeh+e/49VPOTeN5qHJ+rAl6rjv5rozB2LaVo3N3ga1r85e0+5mQ7Yl+w5G/uRljv39W0mXM+rn/2uXeCz1smjCZWTjBkey7ZEsWHKzhwTdRCqdcXvTFX182H0zzZuNsGbrrjbJrcL7j1+beNLijclninDhuAlcct+ktLKL57kPRGS/oAthjCkeRXeUNXkhYep/EakCzgSOBvoBdcBM4EVVneV/8XLX9vomnpy6gi8ePCA0wbmAfMapCVyzdRfdaioAuPvdxcDui8u0x5OME2jsrjFJb7HRywnzKrvd95+YRmVZkvsnUauqb2qmItl7ktjV2Mydb8ynrKSEP78+n4sOHZTR8rIh3rA4kbbXNzH1s00ZredfEz/jJ8/M5LXvH8PwXrUZLSsVe//0Fa4+bhjfOX5ESvuX2xsSr85ew/3vL0mzdN7b52f/45XvHc1efTpldb3/mbyMH/03lKHdq8D+0N+83ub59HBNq09XN1/654dJ53lx+qrWx/OdgDsXWpxk2VHA10RkMVBP6Eyhqjo62GIZY4wx2RM3qBWRm4GzgLeAicBaoAoYCdzqBLw/UNXp8ZZRiDbX7a7dmvrZptagNpwVOSzWheTd7y7m+yft6Uk52tQSu+jblrAW13ntD+PneVG0dp6a6r7Jb4mEmmnXN7WQbqg1Z9VWhtzwYrvpyza6yyrtl1Qvtm98ajon79uH4/bs5XlZ3poXaqa5cN2OmEGtX4FBXWMzt4//lO8cPyKl9z3sspmw28zOfoquMZ27altrUPu7V+by0oxVsd7mqcg+4l7V1HolXBov9rGr/z21/fK16ALb09J5k4jcR+im9VpVHeVM6wY8DgwBlgBfUtVNzms3AlcAzcB3VdUyLBtTpCzRn8lFiWpqP1LVm+O8doeI9AJyv+rLY/VN7vo8xgsi//z6/LRrTiKv0yKXEZ7u5uI11hzht81dvS3qhVRK542ykhIamltoyqD58ayVuTPUR0NTCys31zGkR03rNLef7NFJy3h00jKW3HpGu9cufyCz4C3ZRX9kYOYmQEi1OfS6bfXJmx9HPG5w0dc4H06yd7210PNlJvvUfrfGtfEKgyEiHVV1u6rGveMTnifOyw8AfwUeiph2A/C6qt4qIjc4z/9PRPYBLgD2JdRq6zURGamqwfbpMMYYYxxx23eqavuqLkJNkkXkfFVdq6rBV4sEKGG24TivedXHLnLxbpof58H1fht+XCh7sQ0enrCUk1IYX/Tnz83i2NvfYsP2+tZpuRB8hePUJ6cs96Q8sYYQ2tXYzKl/eifm/M9/sjLjdUa77IGPPF8mwJadjUxZ6r65d87VEvod1Aa/O7eRY8Xx07Mi8gcROUZEWu+aicgeInKFiPwPODXem1X1HSC64/I5wIPO4weBcyOmP6aq9aq6GFgAjPXocxhjjDEZc9VpUURKReQ0EXkIWAp82d9i5bAkV0xBXM9ujEpElUiseXLpIjAczPpxoexFoPzTZ2a29t1z4/0FoWzE2+uzlzAoWlNzC81R1XXhwGv87DWMn92+j3d0YPbL52czYdGGuOtYuqF90+75a7a3r/0PL++F2Sl9Gw0uWkiEm1R77ZL7J/GFuz5otw3dCiLIjVyl382PvWh54qVcuGmUDap6AvA68E1glohsEZENwCNAH+BSVf1viovtraqrnOWvAsJ9H/oDkWMwLXemGWOKUJEcZk2eSZYo6hjgIuAMYBJwJDBUVYPtnBigtoGRsmDtdjpWtt+M9U3NNDS1tEt2pJp+cBV5cZxqcJrOGrN5zAqvy88DZZAH4ch1Z7sYw296mT161vDGD46N+fr2XYkDbgHue38x972/OGZTaK9d+fCUdtP+/Hr7MZyzFbxMX745pfmDqqh9fPIyNuxo4J5Lx7TZx3wPan1duklEVV8CXsrCqmKOBhdzRpErgSsBBg0quh5KxhhjApIoUdRy4DPgLuBHqrpNRBYXc0Aby4lxmqJ+4a5Q5k4vg4DIfo6xriYSXrzmyZWnRv3Pd5FJvMLfXhDB9aIEY7qWxGivEZ0J2w/FUqPmpUNueY2BXat56qojY74eK7N6sW3lYvu8HlsjIn1VdZWI9CWUIBJCNbMDI+YbAMTsQ6Cq44BxAGPGjLGvwxhjTFYkan78JKHmRV8GznL67BT0Ceq8g5K3popM0OPmmvyy+ye1m5bOtfzzn6ykOeKNsZbhpnVk7ERRsd/oRdAxc8WWtN7nR8Dj9SLfX7CeITe8yNzV8RNTxQwNPS5HojLES+AUeYOkJMUAdsHa7cyL06w4bNqyzZz11/faTKtvSi2njFffV6x96emPl7t+/+6bEe4KFL05l23cyc3PzfJk/NR12+qZ+tnmlN7je6KoVL8ou5mRy54DLnUeXwo8GzH9AhGpFJGhwAhCrbeMMcaYnJAoUdS1hNL63wEcB3wK9BSRL4lIx0xXLCIDReRNEZkjIrNE5FpnejcReVVE5jv/u2a6LrfKY1VZpSj6cu3NqH5+6TY9vubRj5m0eHdOj1jL2dXYzIXjJsQMJBMO6aOwdtuutMqVzJl3vhdzerwL4XC/xXy47n15ZmholsjvxY1U9wE3Y7Se+qd3Y5bjgQ+WJH1vrFpZSfD6iXe8zSlxEkCFxRq2Zs+fvNLm+SszVyctWyIfLNzAkBteZGWSsX1j7UvXPf5JRuuOZcgNL/LNh9vnzrt9/Kc88MESZq9qf+NhytLU9p2wZAFym99Xlpsfvz5nDUNueJGF62L3PfdySJ+Yy8+DY0cuEJFHgQ+BPUVkuYhcAdwKnCQi84GTnOc449I/AcwGXgGutszHxhhjcknCKE5D3lDVbxAKcC8ilA1xiQfrbiI0zu3ewGHA1c6wAeEhBUYQSoJxgwfrciXVYCPdiye/rrmmL9/Mh4s28PPnZrWZPnnJRhqdIXJG3zyel2MEHPWN7RPxhD/fzoYmPnASHrn1yISlLN0Qv8lr9LbLRj9Ev4Ye8bvse/30leQzAf+dsiz5TI7IgKIkxgfINOAoL02+gMc+cl/eWP41ITSSSbLMxF71KXWzlP/NWtNunNpEvnDXh3yybHPKZfnmI+37Hcfjxad/LUYysdblR63gxemh48vHKdYom/SIyO0ism+q71PVC1W1r6qWq+oAVb1XVTeo6gmqOsL5vzFi/ltUdZiq7qmqL3v7KYwx+cRuHppc5Db7cQWwN6Fg9mu07VuTFlVdpapTncfbgDmEmjvHG1Ig58QKkrL1Q4+1nnjr/uI/Pmzz/NGoYOLo297kpmdmxl3X9f+dzkX3TGTZRnfdqeubmvnJMzPbrbdNWZM894PX300qy9vV2NzafNyvfaQ0VnQaR2TQ+sTk3U1x735nETvqmzIO1MtLM2/1kEy4sjJZAN6c4QZP9d2p3hBYt60+4etPTlnOjU/NaDPt1dlrWLw+/k2jSF4E9V9/KNHobW2XX+Lsh34nqIqnCMfNnQuME5GJIvItEekcdIGMMcaYbEt65SkiZwALgb8QGqh9AXCsl4UQkSHAgcBE4g8pEP2eK0VksohMXrfOm6E8snEN5uc6Muk7986n8bfh/DWhZoQ7XI6xG/6MiS7Wk/XDy8W7gKu2xGnmKsKyjTvZtquxzeQVm+tY4gx1c9qf32WXUxvu10dLJblTZG1i5Hd/y0tzuPDuCe2azacqO0FtaEsmqxltST4akCvR++TslVs96Seb7Gv7wX8+4dFJn7Wbftztb7la/swV8ft8eyF6u4TvrST7jadSo23iU9V7VPVI4BJCLaqmi8i/ReS4YEtmjDHGZI+bK88/AMep6rGq+jlC/Wv/6FUBnP65TwLfU1XXV1+qOk5Vx6jqmJ49e3pSllQvT2PWlrpYihdJkGIninK3XL+zzropx9ZdTe3G/fzfrMz6WCaTyadeumEHh//2jbjLO/q2Nznv7x8A8LNnZ/LVeydy+//mxS6HT9u/NGbf2NQDh+nL2/bJDvcdjpboc7hpfpypuoZQlz4REtZaRt9s8MJHSzZy+l/e5b73F2e8rEybesf6GnY0BNfdMZx4zO8EVfHk4g0xv4lIKbCX87ce+AT4vog8FmjBjDEFqQhbxJg84CaoXauqCyKeL2J3mv+MiEg5oYD2X6r6lDN5jTOUAFFDCvgu1Yuh/0xpn0E1a82PYzZ9DtdcebWONN/n4o0H/epVfvXC7Nbn495ZxBtzdn/VuXbA/Oq98RN9bq0LBU3z14ZqtB/6cCnvzl/vWyKceFJpfpzKTvLU1BUxp3/hrlAQvyRGQFlZVup+BWnaVh9qOXDzc7MS1lqO/c3rnqwvcp/8cOEGAGat9LcWNB3RTZX9Fv1LDbcYiL5pZfwhIncQaoJ8OvAbVT1YVX+nqmcRagFljDHGFLy449RGmCUiLxHKfKjA+cBHInIeQEQwmhIJXfncC8xR1TsiXgoPKXArbYcU8F02AinFm+anqfSp9cr6bQ0sLtvB0B41CedzW4xnp7UNlnZFDPniy2fJYJl1CTIQ/z5OjWy8WlK/vqZUh+bJVHhomWNjBJS9O1VmvHy3v8e1Sfqk+uGOVz+N+1qqY/zG2092NjSxYXvsIZkSidVUWVVdlauuoZkdDU306Oj++4tumZGs+XEx1qT6bCbwkzhjyI/NdmGMMYXPjuMmF7mpqa0C1gCfI9SXdh3QDTgLODODdR8JfBU4XkSmOX+nE2dIgawooD61M1dsSXuM2LDVW3fxwYL1rNseChouvneiq358rptBRz3fGdFk0o/NNGlJesOnQOwMwbE+5sNORl6I36zUv0RR7afFK4NX4W+8rLjZDrBTMX355rivXfWvKRx56xsxX0v0vbnJDp7q937Z/R9x9G1vJpynpUVpctFp+K63F7pa5xfu+oAxv37N1bzRGppauPieibzvbIt4FbWtLUpydxfJN1+JDmhF5HUAVc3sJGCMMcbkiaQ1tap6mR8rVtX3iH9tfYIf60zmqY9jN7NMhYsetZ5EbLEW0RJxsRgeH3bJrWekvY4Xp69qHZ4jpbK5/HzR89U3Jb44f3baCj7+bDM3n53y6BWuzFm1lb37dor5mtu+qX+MqMGL9w6/WgSUpND8eOsud0m/komXFTeXb+I+/OHSuK+9NKN9v243+/NF90xsfexVrDbRxfjHP356hquhkf43aw1XHTs86XyRY+ku3bCDXzw/m79ddFDC94S3z/y123gvIrhfumEn67fXx631Xb1lF/e+t5grjhqatFypKJYaBBGpAjoAPZzx3MO7XiegX2AFM8YYYwIQt6ZWRH4iIt0SvH68iGRSU2syMGN5+xvwfieAcsttObbUtU3gE/m+WMu49rFpPPDBkpjLuuHJ6e4LGMcl98XvNxtdq7RqSx1rtu5KuLy4tZV+1dSmUPWVKNu1F3JkV4wpVl/4dGWzsvGKBz5qN83tWL/plPNXL8zmjblreXd+evvKfe8vTljrO372Gn71wmzWb/e2+Xiu9cf30TeBKYSSQ011Hk8h1GXnbwGWyxhT4IrmKGvySqKa2hnA8yKyi9AJcx2hpsgjgAOA14Df+F3AfOPm4tGLg8FNz7RPBuM2L8u785M3lcyEFwFNqotwe3GfSEWCYWiiA9ToTMgx35PBqDbp3KCIThT12uw1NmiKB0RC+7TbryT+vYw4C3D5Jb0+N/2ceW7ud/x7Ytu+uOHPu7kucfboTH/uudxUPZep6p+BP4vINap6Z9DlMcYYY4IU97JbVZ91xr77FjALKAW2Ao8AY1X1OlX1t7onDyW7wHt00jK212fe9HPRuvYZZ+ON25nta0YvsiZHPt5R38SQG17MqExudKiIn7HX7TZsbI5sQp1eoqg1W3eldWMgOhFQdNNgL/Y79/wZtqoQNSZpdp8tP3667Y2yTTtDSaqu/2/iVhC7EiRRc8PrFibFst+IyPHOwxUicl70X6CFM8YYY7LMTZ/a+cD8LJSlaKzzKVtr+GIu6OZ3bhNFuX3fis11cd8zafFG9uxTm9b6EnlyynIamlu4cOwgwH1tUmRQGysLLSS/6J67ehs9h6eePThZl9o/jI+dpdkP+RRYLFm/gyFJMnpDnN9VjG0e72uI1y/7yoenZNT33Y1U72s9/OGSpH3cw67/73S+NGZg6oVy5NGukms+B7xBKGljNAXSGpnAGGOSyZXubsZEcjOkj0lBkL/z8JA4q7a07espZPfCMd2gtu3bki+jrqGZL/3zQ8YOidv1O7X1Rzz+wX8+AWgNat3W1O5qTB4IJLvpoJrebYnFMcaLjSy3m7Il47bGPJ9Odyfc8TYLf3N63Ne9+k0n+lY372ygS4cKb1YUQ6rDDP302Vk+laT9vuH1MTOf9r1MqOrPnf++JHM0xhhj8kkGvf5MrrntlVBN3PJNbWs2U72gTSZ6fNlo6V6ktrRJFJV8/mZnplkrvRm1Inzn8flPVrZO27Yr1J/Qyy2Y7LOle1H+7LSVCV/3q4VALPl0E7fZZWf0eJ+pxW1n9gQO+OWr/NfDBFbRstEDId3vPOiWJflORK4VkU4Sco+ITBWRk4MulzGmcNlR2+SipEGtiBzpZprJTYma7qbr2semJXw9/YvbOI+T5NfZ0ZBZn77o9Vzz6Met0/a7eTwTFm3wNJnNkg07uPGpGbwxN/YYr6GyeH/KeG1O/PV5zYtAJVcD48ibA4K03lwJu/n52THfl+zz/NBpHeCHphZ1Hbxnndc1tbm64/jnclXdCpwM9AIuI5vjuxtjjDE5wE1NbaysipZpMa7cuqB6euryrGfATbf5caqbzuusqfFWP2XpJk+rui66eyKPTvqMyx+IPcZrorIkEp39GLyvpXerEOOK8Eeqi7qJkrPBYoRpyzZz1O+SZ+zOhkLcNwIW/pGfDtyvqp+Q3dGmjDHGmMDF7VMrIocDRwA9ReT7ES91IpQJ2eQBL/pRurWzoYk35q7lgIFd0np/ZO1eEBe+sfqkQqhfajaHHVFV6tLIKFtWIjkTYOVGKfwRvSu43eZudqH12+vp0TH1JGFuRPe1v2P8PN6Yt5YXrjk642VPXrKRqvL0Tgte7yuFvO/FMUVExgNDgRtFpBbIjZTaxpiCZDcnTS5KlCiqAujozBOZXnYr8EU/C2W8k82Kup88PZOnPl7BXmlmI24zpE+CS9O6hmaqEwy/k4mNOxpiTk+WWdhLfxj/KbNWbk35fWUlQvZ6zRafcLPWdkGty7O7m9m+99g0Hvn6oakWLS1/eWNB6+M5q1Lf3yJ98R8fcuNpe8V8beaKLYzq3znue2ev2sr8NdszWn+Ru4LQ2PGLVHWniHQn1AQ5bSJyHfB1QvcIZjjL6wA8DgwBlgBfUtVNmazHGGOM8UrcoFZV3wbeFpEHVHVpFsuU19Zvjx0UBSlbge1yp//u3NXb0nq/20RRt7w0m5P26eNLoBmv1i3ecCyZ+vizTRw4qGubaekEtOBd32Iv5Hu/xjmrtrJ3305tpsX6RE9OXc4VRw11tUw347ludRKT+WXbrkZqq8rbTf/dK3MzXvZvX469jDPvfC/hkEWX3f9RxuuOlOe7XspUtUVE1gD7iEjGIxqISH/gu8A+qlonIk8AFwD7AK+r6q0icgNwA/B/ma7PGJOPiuxAa/KCmxNgpYiMI3R3tnV+VT0+7jtMzhARJyDz7wD0ysxVNHnQ7NXtEh6Z8BmPTIg9BmymDrnltXbTBPHtxsArs1a3C2q99Pv/ZW9s2kLyn8nL+cHJIzntz++2ey26Kfr42atdLTMyAVlQ3vl0PWeM7ttuelmJ/4nwV2yuo3+XarsU8piI/A74MjAbCN85UeCdDBZbBlSLSCOhGtqVwI3Asc7rDwJvYUGtMcaYHOEmqP0P8A/gHnafME2eEKCh2d/uVd96ZCoAY4dmNl7sx59tbn0crm1ZHdUPMAgi/iVc8qsGOGj5XlsmEqox/2zjztZp4c90W1Stpts+tWtdDqnU7GOm4qaWFq597GOuPWFEm+llPrev//fEz/jx0zN47jtZSJyf5/teGs4F9lRVT3ofqOoKEbkd+AyoA8ar6ngR6a2qq5x5VolIr1jvF5ErgSsBBg0a5EWRjDHGmKTcBLVNqnqX7yUxvvCrhvHrD05m8frtvP6DY31ZvqI8/fFyrnv8E3525j6+rCMVfl3zB5Sc2Fc3PzeLoT1qMl6OH8NRuXXve4vZP07Cs2eixgP2opVCpMse+Ih3Pl3n6TLDPv5sM89OW8nKqG1bWurvjvjyzFUAbMhC94wiHPd2EVAO3nSpF5GuwDmEEk9tBv4jIhe7fb+qjgPGAYwZM6bovgxjikG+37g2hclNUPu8iFwFPE3ESVNVN/pWKuMZv7L2xhrz1Os1TVoc2sV++ULscT+zRfBvO9711kJ+ePKeMYfjyVcPfLAk6CKkLFZf048/i8qBE+ck3uRhSwgB3wLaeFTV95racM1zaYnkfX/rHLQTmCYir9P2HP3dNJd3IrBYVdcBiMhThEZCWCMifZ1a2r7A2gzLbYwxxnjGTUeqS4EfAR8AU5y/+ANs5rFJN51A385VQRfDU9kMlbyM+3Lpunf11l1s2O5fXuG35tm1YdCOu/0tWqJqXKNvZPztrQUxEzl5WVMbxG7f1KKUZqnJQDY+Xy4dO7LkOeBXtD1HT8lgeZ8Bh4lIBwn1uzgBmOOs51JnnkuBZzNYhzEmjxXfYdbkg6Q1tarqLrVnAehVW8UXDhrAX99ckHzmPJGvzVsbmlt4dNKyoIsBwP3vL/F1+Y3NdnoI2vrtDfzjnYVtpkX/dMa9syjmkE+5MjawG+Fa0sh9rqlZKfG5pnaekxG9RZUd9ZaawUuq+qCIVAODVDXjzHCqOlFE/gtMBZqAjwk1J+4IPCEiVxAKfM/PdF3GGGOMV5IGtSLSAfg+oRPmlSIyglBSihd8L53JmF8Jjvy2o74p6CJkjTXHzA1zVrUdiipWoLezof1+mU83JcIljQzOFf9rajeE16fwx9c+9XVd+fNteENEzgJuJzS2/FAROQD4paqene4yVfXnwM+jJtcTqrU1xhhjco6b5sf3Aw2E+tQALAd+7VuJjKeyGdNOWGTdrNMRb3xPE6xYv51Y9x8eneTd8FLZ+rlGZ3X2u6a2dV1FF3Jmxc3AWEJJnVDVaYSSPBljjC/sXrzJRW6C2mGqehvQCKCqdWS3q2ZW2UVXarb7VKNaqEPdxBIZYJjcEWsfzPcT+UMfLm03TYFS/4epBaDF39HFgKJs+dCkqluiphXdRjDGGFPc3GQ/bnD66yiAiAzDo6EDjP9ueyXjLlYJ/W/mal+XXyzmrNoadBFMlFiVl4V400s1e4mivv5QQeYYDNpMEbkIKHW6B32XUNIoY4wxpmi4uT//c+AVYKCI/At4Hbje11IFqPhu8memxacNVojBQyIXjJsQdBGKXnRY59cwTrlGyV7z42woriMHANcA+xK62fwosBX4XpAFMsYUtmK7RjP5IWFNrYiUAF2B84DDCF33Xauq6/0umIicCvwZKAXuUdVb/V4nFOUFUUZ8C2qL7IsokvgprxRQnJeQtpC1mlrjPVXdCdzk/BljjDFFKWFQq6otIvIdVX0CeDFLZUJESoG/AScRSkz1kYg8p6qz/V53sQVTmfJrNJNi+xoqstWp0bgWK3O438eHFZvr/F1BDBt3NvDKrMLpRlAsx3AReZ4Eh8pMsh8bY0wixXKcNfnFzZX0qyLyQxEZKCLdwn8+l2sssEBVF6lqA/AYcI7P6zRp8OvA9vFnm/xZcI5au826qafj2D17eras6Bg2iObH67e3HwfXb9c9Po3lm7IfTJuM3Q78AVgM1AF3O3/bgZkBlssYY4zJOjeJoi53/l8dMU2BPbwvTqv+wLKI58uBQyNnEJErgSsBBg0a5NmKrZ9Aapp9imr/9Np8X5ZrCouXu1/0smIO6ePd6nJG5Ji1haBYjuGq+jaAiPxKVY+JeOl5EXknoGIZY4wxgUhYU+v0qb1BVYdG/fkZ0ELsIYPaXKmo6jhVHaOqY3r29K62xqSmCIfPMDnkk+WbfVt2zOzHBbi725BSea+niLSek0VkKGAnRWOMbwrxXGjyn5s+tVcDj2epPGHLgYERzwcAK7NcBuNCi1+dao1xYfPORs+WFV0zG6tP7Wtz1ni2PuOT4jskXQe8JSKLnOdDcFoxGWOMMcUiV/vUfgSMEJGhIlIBXAA85/M6Q3y4IJr04xOoLi/1fsE54Obnfc/dZUwgLCFwfiq2mFZVXwFGANc6f3uq6vhgS2WMKWTF0s3D5Jec7FOrqk0i8h3gf4SG9LlPVWf5tT7fCTQ2twRdCmNMAsU6Tq3Jf6paD3wSdDmMMcaYoCQNalV1aDYKEmO9LwEvZX29Pi13776dmLFii09LNyZYZ+/fjzVbdzFx8casrvfQod08W2f0b79YxqktNNbXyxhjjCk+SYNaEbkk1nRVfcj74hQmQXjo8rEc+KtXgy6KMb4oLZFAmuvedfHBvDt/Hdc+Ns3zZVtNrTHGGNOe3Tw0uchN8+NDIh5XAScAU4GCDGr9yOYrAl1rKjxfrjG5JIiTXLeaCk4d1ceTZVkIWxiKpa+XiByU6HVVnZqtshhjjDFBc9P8+JrI5yLSGXjYtxKZtFSXl1LX2Bx0MUyREoJL0CMehaPPTLME6yav/CHBawocn+6CRaQLcA8wylnW5cA8QiMhDAGWAF9S1U3prsMYY4zxkpvsx9F2Esq0WJDytUnFYXv4nZDamNzRq7aSP19wAGBZir10wSEDk8+U4/L1GJ4qVT0uwV/aAa3jz8ArqroXsD8wB7gBeF1VRwCvO8+NMcaYnOCmT+3z7K6EKQH2AZ7ws1BBKvUoO4zI7our0ixcdZeVpnN/In2VZSXUN1lG51RMv/lkRt9sI2144dIjhnDOAf2DLkbBGdm7NugimDSIyChC5+aq8LR0816ISCfgGOBrznIagAYROQc41pntQeAt4P/SLbMxxhjjJTeR0O2Emjn9AfgtcIyqFuwd2kofxpPNRn/asiynat2jZ0dflju8lz/LTebOCw/0fR2dqsp9X0egslhDFnmfyM2eP/dXpzKsZ01K6yiWGr9IExdvCLoIGSu2r01Efg7c6fwdB9wGnJ3BIvcA1gH3i8jHInKPiNQAvVV1FYDzv1ec8lwpIpNFZPK6desyKIYxJlcV4/nR5L64Qa2IDBeRI1X17Yi/94GhIjIsi2XMqqpyb2o8u3YIBbL//dbhniwvmZIsB7UNTf703w2qJWnHKjc50zL30zP3ycp6Cl1kP1pJ0hLiksMHU1VemnS+aLe8NCetsuWz8iy3+EjXEcO6B12EXPJFQgkcV6vqZYSaC1dmsLwy4CDgLlU9ENhBCk2NVXWcqo5R1TE9e/bMoBjGGGOMe4muYP4EbIsxvc55rSB1iKqpfeGao9JazlPfPoJfnzuKMUOy09d1SPcOWVlP2MJ1O3xZbqH3j7ziqECGfc6KZFln9x/Q2bN1pbKfhAO1At+1PFFRlh9BbaJuIn5ksM9xdaraAjQ5TYfXEqptTddyYLmqTnSe/5dQkLtGRPoCOP/XZrAOY4wxxlOJrmCGqOr06ImqOplQ9sOCdMHYQW2ej+qf3oX4kB41XHzYYC+K5MrefTvx9o+Ozdr6TPoeunxsoOt/6qojfFlusv39+L16+7Jet8Fqod8w8UJFntTURturT1H3BZ7sZCu+G5hCaMi9SekuTFVXA8tEZE9n0gnAbOA54FJn2qXAs+muwxiT36JvYi/dsIMhN7zIKzNXBVQiYxIHtVUJXqv2uiC5oqq8lJ61mbTcCkaJCIO7p9ZnMBd5NTxLLjtmZE86VmanuXMsNRX+rDtZ4iYvg8rIRbldbmWZd/3lLzp0UPKZ8lBzS/7Xct7//pKgi5A1EmpT/1tV3ayq/wBOAi51miFn4hrgXyIyHTgA+A1wK3CSiMx31nNrhuswxhSImSu2AvDcJzY0nglOoqD2IxH5RvREEbmC0N3ggpWPzdey1aX2jP36+rr8IGrTjt8rZr4TTx23Z9u+ZY9/8zDf1xmPH9t4YLfsNn9PR3WFd0Ft79pE9/zyV1CJ2jIV2V86Dw/fadPQyeqZiOdLYrWwSmO505x+saNV9VxV3aSqG1T1BFUd4fzfmOl6jDH5Kfo4G74GLYQboyZ/JQpqvwdcJiJvicgfnL+3ga8D12aldAHJx99kqklw0l9RdlaTLaMHdGbcVw/O+sfat593/UtT5cdnveb44e2m1UYl3/JyvW2yHyfZ98MnXy/Xn6z/cL766uGDedqn5unpunBs+7Fzoy+oIr/b8rICO0glN0FEDgm6EMaY4hU+D+fj9bMpHHGDWlVdo6pHAL8Aljh/v1DVw50+NwUr05raC8dmv2liSR53GLztC6NbHw/Kco1fdXlp1sf4LUSxtmH0Pult8+Ng9/dCrQ0UhAMHdQ26GK3uvmQMlx+ZPLla5L6Vr/2CM3Ac8KGILBSR6SIyw2k2bIwxWRFO3pePLR1N4UjauU5V3wTezEJZckYmd5quO3Ek1544IqX3TP3pSRz0q1fTXynZa37sh8gavdu+OJrxv8xsW6QiW4ffXDrMV/kwFnMs0fukl60J0lmUl0F1Ln2fXsrWvbFuNRVs3NHgat5Ut3W+DEvkodOCLoAxprhEH5fD53urqTVBKrqzvxvp3ml66qojUg5owZtmkV7V1H7nuPbNSCP5cc0bDnaG9qihizO+bzw/OGmkDyVIL+DaL83M2EHzs//rdyOaIedK6wE/ihHdR9qkxs/a1LLS3NjvsujXqro08g/4ddCFMsYUj5LW5scW1ZrgWFAbw+f2TC9x0EFpNNu755Ix3lz8e3Qdlywo96PvbvgOX741W7n9/P1dz5tnHy1t3z95z9bH0ftK5NNhPbOXqTu87b38DnKpia6XstY138f1FGHz430jn4hIKXBwQGUxxhSh8DHdEkWZIBXd2d+N288f3eZ5uYs7/+nW2p24T++MA9K9+tRy1PAemS3Ekawo4dcvOTzzMXjDgU1JCgkGPL8YztLxNx8P83deeGBG74/+riL7wd51cftr7utOdF8Ln7XEaMYXqdzIS/VmRFk+98VIgYjcKCLbgNEistX52wasxcaQNcb4KLoSYnef2iBKY0yIBbUxRI9n+dvzRseZc7dHvn5o2uvL9Brsls/v19qPrH+X7Awh3Kmq3LNlha9v3WSUzaVgJoeK4os+nTMbtub4qBYP4e11/sEDGNm7tt38o/p3ymh9yXj1ffldziC5ScB12qg+WShJYrGOFYcO7QZAeVlxnNZU9beqWgv8XlU7OX+1qtpdVW8MunzGmOIRvlFpNbUmSMVx9s+Qmzv/navTD/IybX4c+faSDL9Rt0GjF5Uh4XW11tS2ZL7MVIUvjtP5OAUe02asR23b/tFeba8xg7ty3oH9U37f0SO86Qf70zP28WQ5uSj889+7b/zA/c8XZFaDH7keL4X7ipdnehDMP5NEpLWpkIh0EZFzAyyPMabARYeu4WO69ak1QSq6s386/B6TMpULvP9863A+uunEtu+PeNyhPGlC68RlSfa6M0OJh038Kp2alV6dKj1bZibGDunGvzKoeY8lyP7Cf7vooHbT/nrR7sDk6BGxm65n+g2nOuyOm99Bt5oK/vvtI+hakzihWKTw7/fbnxvGhBtPSKlMsXi57/st1W4R4U/24GXxhz3N9sd3c/wtEaGxOXRXrAjHqf25qm4JP1HVzcDPgyuOMabYlIo1PzbBs6A2B6RSU3vIkG70rG0b/EXWrlZXZGe4llQClm41Fdx63n5xX+9ZW8mfvnwA4746Jvl6Pb5ejfU5rj5+OEe66KOcSlkuPXxICqXyzpJbz+CM0X3bTR8zuFvr4+jm9l5p16e2tZl5+u69NPk+Ek9JiWTcpBrya/isVD9v67Ek4jN27VAee54MeJ05vERoDWrLiq+mNtYHzuzupjHGpCB8s7fZoloToKI7++er177/OSb9OHkt0y/P2TfpPIkku14Nv5zKhf0zVx3JBWMHJZzn3AP7twvWY6/f24iiX5fMg5xkPrrpxFBCsBzl9Y2Ct390LG//6Nh235QX312iZrHZkz9RbSoZuiNFflfnHNC2qbcXQf2Bg7q4ms9tCwcRobE5NG8RjlM7WUTuEJFhIrKHiPwRmBJ0oYwxhSv60Bw+LVjzYxOkQM7+IvJ7EZkrItNF5GkR6RLx2o0iskBE5onIKUGUL9vc1NQO79WRXp1iB2CRw6OMHtDFq2K186NTIodrcf++Qd29GxfVywDsrq8cxC2fb1+D7L6pcP4EN9HcbMd0t/Xg7jUM7l7TbgGtNbVRm/e6E0fyxDcPd7VsL77/l757dEbvL82jqtrO1eUpJY9zc9PKi5rarx+1h+t53fwcSwSuOX44fTpVcfge3TMoWV66BmgAHgeeAOqAqwMtkTGmqIQP05YnygQpqFvarwKjVHU08ClwI4CI7ANcQGjcvVOBvztj7gXK7xtPmVwjLrn1DGo9zUQcuzDH79WLq48b3vq6l1mI423e332hfcDpZThx2n59qakMtdKLzMZbDMdkifPYr3Ukcu2JIxg7tFtGNbm3fH4Uj1wRux909O93n37p1fZWOH2/S1t/A2ktJutSKWd43ookGYR/lWGLkEz7JUd/pyUijB7QhQk/PoHOHbw7HuYDVd2hqjcAx6rqGFX9saruCLpcxphC1vYgvHs8+GK4gjK5KpCgVlXHq2qT83QCMMB5fA7wmKrWq+piYAEwNogyZlOsmto3f3hs2suLTiQVVpnBUBeDurWtba3woIlfssvaLx+SuMlyuu7/2iHttlGfzlV8bmRq2XHdBgu5FPwM79Wx3bRYCbr2H9gl43V98eABbZ57cSMkXuD7lUMHM7JP+8/mlfMO7M8ePZxxlZ1dP9Os5V5KtO+mFtSGZu5Q0b5L5nF79uTJbx8BwFcD6iMeTy59F9kmIkeIyGxgtvN8fxH5e8DFMsYUkXAwa0P6mCDlQuejy4GXncf9gWURry13prUjIleKyGQRmbxu3TpfC5joxlOqgVAssSotenTcnd31qmOHpbS8eNd3548ZEPuFBEoEvnfiCG48fa820zMZwiholeUlrvrvFqLnv3NU6EHEPnLT6e2HqHn26iPJtA53YLcOLLn1DDo4ycuyHXZ894QRni2rZ21la1+hcPPjyN+tm/7u6XCbhfskj/tsx2pifdSInhw8uKun6wm78bS94r7m6sZ/8ca0AH8ETgE2AKjqJ8AxgZbIGFNUrPmxyQW+ZUgUkdeAPjFeuklVn3XmuQloAv4VfluM+WP+RFR1HDAOYMyYMYH9jO6+ZAw7G5qSzxhDuK9bZA3WOz86jrc/XdumSfH1p7a/4Lv/skNoaEptYNe+nd33rQub86tT22THDZfUi36FY4Z0Y/7a7XRKofl0kxdHzGSLcLmK6C2wZ+9a5q3ZlnS+bLnk8MHtplW3BpgBZMxuzX6c/neYqEIuuha3i8c3XsK7XmmbJvihib06VfHrc0fxqxdmU5/i7zKRI4f3oFtNBRt3NCScz4+KyhP37s1po/owc+WW5DNn6OgRPbl9/LzWZE/JHDm8Bx8s3ND6PI+6OftCVZdFtYRoDqosxpjCF32z0Zofm1zgW02tqp6oqqNi/IUD2kuBM4Gv6O5fwXJgYMRiBgAr/SqjFyrKSujSwf2YmQCTf3Iic355Km/88HPtXhvYrdpV077j9uzFKfvGumcQO4gqLxW+eYz75Cy7l9V2aZ2cQKGyvCTmUDGJ1Fa2vYfyi7P3Zfx1x6Q07EiqgXwsB8WpbUo16IpuTuv3eMZuxQpmTeZanKg2/L2XRn3/Fx82mIsP837bu2nOlUrz2/MPdtdi455Lx/CFgwdkZdzB3p0qmX/L6e2mx1v1tz83rE3Cr2JufgwsE5EjABWRChH5ITAn04WKSKmIfCwiLzjPu4nIqyIy3/nvT7W9MSbvhK9/rPmxCVJQ2Y9PBf4POFtVd0a89BxwgYhUishQYAQwKYgy+qlHx0qqK0pjjg/qSb/DGMs4ed8+lLnsB7vk1jPivnb9qXty42l7cfqo1AJagIk3ncCMm09ufV5RVtImQZMb4bEoRw9If5zLqvLAc4/5avcd0/jz+Jn9OO7ynP+pBkkn7NXL24KkQ+BbTjeAvs5NmGzFUS0uLhISFSV63NbecbKov/b99jfZsiXV4170mMNFHtR+i1C24/7ACuAAvMl+fC1tg+MbgNdVdQTwuvPcGGNa70DakD4mSEH1qf0rUAu8KiLTROQfAKo6i9CQBLOBV4CrVTXwZlTxfqK3fWF0Sst5+dqjufuSMZkXKIlYl3f1jeltxuhrxQ4VZXzzc8NC2UtdHLvu+spBbd6baqbmcGKasHDzYz/GogzHDm4vkGsq2wbH8Y7lXmaKdqNrTfKWA5mU6P7LDknrfUOcREtxh1yJU6i7Lj442Syh1/zczApfGjOQJbee0ZoxO1uB1Gn7xW6RESlRUcqi2ubGaqr75TEDYyYRS7bsVAztURP3tUSriG4B0cXJbtwhotl8Mce0qrpeVb+iqr1VtaeqXqyqG5K/Mz4RGQCcAdwTMfkc4EHn8YPAuZmswxiTv6Ivd1pc3Ew3xm9BZT8erqoDVfUA5+9bEa/doqrDVHVPVX050XKCduge3VKaf+++nTxP6OLW9vq2/X7P2r+fq/elcrEZy6mjkl+QJxKdmCacoKpvCk2W3Qq3gncbhHapTq3ZeTZM/elJbZKM+eGYET0ZnMbYw2OGdGP8dcdw3kExc7/FlWx4mVjGffXg5DNlKFZwmElsFS/Y//lZyYfPSTQcUrv+7zH275F9kreYyLSvVKJ++KncIDh6RCg5X2SLi2KuqRWRPUTkeRFZJyJrReRZEUm9r0lbfwKuByL7e/RW1VUAzv+YTSiymcTRGJMbWpsfW1RrApQL2Y9zXryLucHd49c8BCnW9d2t57WtVf6Sy0zImdYyellLed5B/bnq2GH87gv78dvz2o9hm6lwsxm3SWcqykr4x8W7a6LjHcqTLc7LTNLdImppI2867Bs1Nqub7yXeHKUlwjNXHZlW+Ub2ro3bDP6o4T34yqGDEjY3dlPu2soyTo7T3zxdnWJ8R7HGWh2coDYymXgfrUOCRF6t2dcT1dSWtn0xqPDvptP3jv9ihoUq4pgW4N+EWjj1BfoB/wEeTXdhInImsFZVp6TzflUd54yXO6Znz8xHBzDG5J74iaKyXxZjwiyojaOmopTzDkytRilXxDqoDIm42B7ZuyNHj+jJ379yEHd8af+Ey0pYU5vlg9eFYwchInz5kEEpN2N2o8Wpk0il1ufUNPoWR+tY6W0S8lilf/qqI5n1i1PazZPueMNumjinqry0hFs+v19KicMiRX9uLwOdbxzdvuIr1n5y8aGD+Pc33A3D41aiQD4cVyfaZ0uj+tTGukmXjZjwuIQ3K+K/z81xpphragFR1YdVtcn5ewTXOdxjOhI4W0SWAI8Bx4vII8AaEekL4Pxfm2nBjTGFwWJZkwssqI1j1i9P5Y4vHxB0MdKS6OAy91en8sI1oayhp+/Xl+4dE4/XmkvXiskS5kT3v015+a3Nj2O/nqxGNdXmmecfPICB3aqzso0rykpa+4JGijWcz4l7e5uYycuPF9TuGKsJdDigfO37u4cEFRGOGNbD8/WfHqdfbXiPi7VdwtnGo/vUxtpLUxkqyQ+ZBqWDuqXeHL6AvCkiN4jIEBEZLCLXAy862YpT6yMDqOqNqjpAVYcAFwBvqOrFhBI5XurMdinwrFcfwBiT38LXP7kyCoQpThbUpmHP3rVZ6bOXrkTBVVV5aUp9FBPVEmW7pnZgkgvXgwd3bU0iE8ltc+Lw54keqiWsOs2syfE24e/P3593rz/et6DWzfcTa93h/tbZTnCVqVTKm2qSt0TrS2Wc5cTLa/s8stnx3y46iIW/iTHkTTi5WYyf9FkHhL7H6L6sqf5us3GRkuibG9azI71qE998u+G09mN5F5EvA98E3gTeAr4NXA5MASZ7uJ5bgZNEZD5wkvPcGFOEoq8ztXV69stiTJi37R4LVPRv9PMH9fe8z56XwuXtVlPBxh0NCefNJGzJ9h25fl2qk84T6/NcMHYQF40dxJl3vpfwvS1JEkUli5ny6VieqIZv776dYkzNDa7i1qh5Yt3kSbeJc6RwrOh1Yoxrjh/OhWMHRWX3FUpjfPbd32P7F8NTLj9yCJMWb2yd7nWCKy8k+l6rK0qZdNOJDLnhxXavPX7lYcxdva3gh+lKRFWH+rjstwgFyjgZlU/wa13GmDxmfWpNDrCa2jQM6Jo8uApS+KAiwAOXHcIfv5y432wxGNU/+bi2yRJFCTDlJyemvO5kzTf9at6ZuJ9i7AB+9i9PcT128K/PHeVqPi/OcZcePtj1vBL1P+Y8HmzyX5w9il61lXSvSVyLmKpDh3anX5dqunRw32850eeJ7vfdOcZyE9Vye7l/Du7egQvHDmw3PV7z42QXSIfu0Z1LjxjiQcnyj4gcIiJ9Ip5f4mQ+/ks6zY6NMcat6EOzNTs2ucCC2hQ9+o3DOGO/zJMD+Sl8cBGBY/fsxecPjJ/pOJOL+0K7Ixfushu/plZi9kFuzcSc5vYoj1UF54F0vp8OFbsbbyQr1RHD4ow364Obz96XBbecliT4amuQM+xQvPFXM3XqqD5MuunEtIYcAnj1umOSz5REqsNQda4uT9o3PR1DXA7x9PaPjuO352Xe9NsA8E+gAUBEjiHUHPghYAswLsByGWOKRPjU09KSeD5jssGCWhfOjhjT9fBh3bPW17BEQpmKU1XmdLDr76K5bjyn7Jt8PN1cjGkjv5vweK2nu8xQrCkO6RP2hYMGsG+/Tvz68+5qLqPde+khrueNTvoTk4v9M7r58YvfPYqHLh/ruhyQeNzRNsVJaamxiy8icYcCiuf4vXrz1FVHcPFh7mt581Wsbdw1Tk1vU4ygNtND2j79OnHIkNCY0pcdOcTVOMaR/d9TWX9VmjcRClCpqobblX8ZGKeqT6rqT4HhAZbLGFMkWofyCbYYxgAW1LqSjf5ahw5t31pswS2n88q1qdfmdKup4K8XHci9X0seLMVrWnjnhQel1dQ2lwzv1ZElt57BUSPcZaO9+rjQdeAwp2bvhWuOcvW+irISXvzu0fGz3ia5YB+SwtimL117dNzXKlO42G9tou6Ubd9+nTlmZOIxJW/5/CgevmJ34OtXxtnyNIcZihUYHTSoa8ybUNnI6JsNrYmiYnzGa07YHddEjl987oH92s3rZVlOG9WXt390XJvXYjVVn/azk1sfh8vv5sbKT87cJ4NSFpRSEQk3rTgBeCPiNcuXYYzxTftxarXNf2OCYEFtjnjgsrF8cMPxbaaVlAglqVYbOs4c3Y8eSYbrgfg1JBVlJUmH+8nWsWv8dcfw5LcPT/l9sRIe7Zmgv+jJ+/Zhya1ntGazHdW/M59EXHj7WUF/wl69+NbnhiWdL1HgGh1kJvp63PR/if68Xzl0MEeP6BnxursNcsPpewPua9hqKtK7HtckzccLUWRXg0j79O1EZdnum3HPfefI1sd9O+9uwRFuCZJp/+PImwTR8/foWJm0tjz8lmNG9uRnSYLWZENrFZFHgbdF5FmgDngXQESGE2qCbIwxWWGhrMkFdjc3R1RXlFJdkdsJqNrLzmHMbeKiSHdfMoZj92xb8zj+umPo3Sm1rLed02wiGcnN+8K16v94e2F6KwEe+fqhofW5mbn1q/M/APzqYYP5apyg5vA92vfL7ViV2WHJVRCWZJ4OFaXsbGjOqBzZEJkUrs30qOfxAv39B3Th0zXbfb1j069L8t9cZPkuO3IIG3c08Nc3FzCwW74dE7NHVW8RkdeBvsB43V1FUgJcE1zJjDHFxpohm1xgQW2R8+JS9sKxg3h00mceLClz4c9z4KAu7ZqxphMcx7NHCk2GvVZbWca2+qZ201MJ2MOB4+cTNEX1u4nuklvPiDn9ksMHc+vLc1NeXion02SfLF423lwT3Yw8rLq87b4f79O47Rftqizxprv4YiKLISL84OSRfPHgASk1zS9GqjohxrRPgyiLMaZ4tG/tFW5+nP2yGBNmzY9Nq3CiF7fCB6+DBnVpMz3dbLD5Yvx1x/D0VUcmnCeyhimVsKGPi8D0rR8dm/D1cPPM7jXxh4TpUFHGrF+cwo2n7Z1C6bKjQ0UZp6YxDnRrJmAPyuBXSNur1tvhf3Y3P95d4h+cNJK/feWgNvPFi9HD70vn87ZJYie7s2H3rk19DODommQRsYDWGGPyxO6aWotqTXAKO/owyUVcS568T+qBBLS9IB3VvxOf/vq0TEuVMS/vFv7fqXsBu2vvRvaubdMsOZavHjaY6jQSjI3/fvLEYN07VnLZkUPivn7m6L7c9sXRXHP8iITLqaksS7vPdr6rqkj83fhVUXviPrGzile4TI512B6hhHJPX3UET377cC46NNSse0TEsEXXnDCiTb9ZiF/rXtcQqvGvb0o+HkP0b+rxKw/n8iOHtj7/3okjeff641qHUmpdd3HuYsYYU7iizgc+jBRnTMosqDWtEgVKsUQPCxN6HMwV7B49Q7U6/3daKAD1MpnMqaNCwb4XQ9MkE05SlUyioF1E+NKYgRnXmBdyMHLgwC4JXw8y2VSiO92PXXk4S249gwMHdeXgwd04e/9+LLn1DHomqQGO93GembYSgCc+WpZyObvWVHBARCuN0hJhoE8ZsY0xxuQuq6E1ucCCWtMq1XFAW8d19XgvmvjjE5j605Ncz3/W/v142Rnq5ktjBrLk1jM8bQIdjgfcHLJPcmriIoPOYsrG65WvHz00+UxxuNne0fMM6Nq2ZtOvCuyEWYYzuCGU7DNL63yh/69edwz//sahra83W0coY4wxaWptfmynEhMgSxTl0kOXj2XGisIdJSHWOLlueV07m2qG4qqykjbDl3gtHAi0uDhaD4loemmhbPrGDEl9f/TyXFqoNyLCn2pE71pGRCROK03wed1siUTz3Hz2vq7KZowxJj/EThNl2Y9NsCyodemYkT05ZmTP5DPmmXBAms0D0Sc/P9nTmjC/yx7uS2t3IHNbvOFtUnlvmF8hrV+xctLFJpkhUbmqnf7HleXtWz+oix/FQYNSS0BnjDEmv7g5FxjjN2t+XOT2G9CZ3p0q+eHJe6b83tY+tSleqHeuLqfWZd/RRM4Y3RdwV4PqBTer2btvJwCG9dyduKeQD/b9u+TeOKJeBI5BVNR++ZCBgLdDT4Ula02RaGifq44dzvdOHMEFhwzyuljGGGPyULzLmgK+3DF5wGpqi1zHyjIm/vjEtN67e4zMYJpqHr9nL16cviqn2rt8/sD+7NOvE3v16eTLdsm1E8b/rjuGuobmoIsBZJaoIvqrCmKfPmv/fpy1f/xxgzMR7+MM7t6BpRt20i3B8E/VFaV878SRvpTLGGNM/gqfW3Zfm+TYRYopKlZTazLWJvtxFmOBcicZVKJaJi+Eh71xU+MqIuzVp1ObaX4c4sNlufKYPXxYunsdK8uSZt7NR1kPaTNcYbLfXbyXf+C00Kityuz+Zro3AX5yxt7065z6uLbGPyIyUETeFJE5IjJLRK51pncTkVdFZL7z39qVG1PkbHxak0ssqDVpS7f5sVdOG9WHbx6zBzedsbev6wl/vFTHYcvGZunrY0BQUqDJktyI/Ohdk4xJnNJyA0ofFi/oLHNu2LQkH6bWF18/eg8+uPGEYFZu4mkCfqCqewOHAVeLyD7ADcDrqjoCeN15bowpQtFBbFDnEGMiBRrUisgPRURFpEfEtBtFZIGIzBORU4Isn0msdUifgIKf8tISbjx9b7p0iN900gutzWuK7E5k3sW0rV+Pu4KHayc/uql98/vI4PO9/zs+05Lxrc8NSzxDhrtWusFyiUf7dr7tKiY+VV2lqlOdx9uAOUB/4BzgQWe2B4FzAymgMSbntGY/Lq7LJJNjAgtqRWQgcBLwWcS0fYALgH2BU4G/i4h/Y7WYjHzhoAGAP4ltcklrhug0D9ZeHuTDizpsj+4A7Ne/s3cLj5JvNbXhLL1HDe/uav4PbzyBT352Mj1rK/naEUPavFYi8OS3D+eRKw6lpjLz1APhGvXITZoLmzdcg5tqKwRTHERkCHAgMBHoraqrIBT4Ar3ivOdKEZksIpPXrVuXtbIaY7In+romXMlhpxITpCATRf0RuB54NmLaOcBjqloPLBaRBcBY4MMAymeSOPfA/px7YH92NjQFXRRfVZeHgqXRA1IMIH0MWk7bry9Tf3pSwgQ/mQo66Hr6qiPolcKYxbVV5bz1w2Pp28XdezpGBKtfP3oPvnbEECYs2sjF905ERDh4cPpjN7sReVFQUZbZ/cV0v6vdw1Wldylid+ULl4h0BJ4EvqeqW932m1bVccA4gDFjxtgeYkwR2F1Taz95E5xAgloRORtYoaqfRJ0o+wMTIp4vd6bFWsaVwJUAgwbZUBPGP507lPPM1UcyolfH5DPH4tMx3s+AFvB0LOF0HJjG+KZDetSkvb6y0hIGd+8AZD+gD2pTp9tfvN1ycqDW2XhHRMoJBbT/UtWnnMlrRKSvqq4Skb7A2uBKaIzJKRbLmhzgW1ArIq8BfWK8dBPwY+DkWG+LMS3mT8XuBueOyP58hXpte8DALim/p3+Xauau3kZJ3qZjK9RvM77dw1R5vdzEhyi/D2Dx1h/eN7M11nMmXrjmKJZu2Bl0MQqehO403wvMUdU7Il56DrgUuNX5/2yMtxtjikD0GSOclyH3zySmkPkW1KpqzMFPRWQ/YCgQrqUdAEwVkbGEamYHRsw+AFjpVxmNN6yWJraHrhjLxEUbqa3yLntuNgVdUxuE8InZryzF0Uv9x8UH861Hpviyrpjrj/qx5lOf2lH9OzPKxz7kptWRwFeBGSIyzZn2Y0LB7BMicgWhXBjnB1M8Y0yuaR3aJw/OJaZwZb35sarOICLBhIgsAcao6noReQ74t4jcAfQDRgCTsl1GY7zQq7aKs/bv5+kys9lfJd2xR/NZWWmo6rJ3p8zH3v3qYYN5eMJSIPbdaxE40klq5dX3WlGaWrOAjPvUJrgv/5Mz9m79/CZ/qOp7xG+mYeMvGWPasVjW5IIgE0W1o6qzROQJYDahsfKuVtXmgItlTFEqxpra/l2q+cP5+3Psnj0zWs6SW88AaBfURd4oUA3+xkE4e/blRw3NaDmxPsXXj96Drx+9R0bLNcYYk3uib4TmQxcWU/gCD2pVdUjU81uAW4IpjTG5pWNlGdvrg8ku7VcT3Fz3hYMHZH2dQV0OdKupaA3AjTHGmHTsbn5swa0JTt6msDG5owhbqWbNlcfswWvf/xxDM8jqmy77XjOXKEO1yO7acL+vAzpUhO5fnnOAt83hjTHGFJ/2iaJiTzcmmwKvqTX5r1hr9LKhRGB4ukMJZSgyqD16RI9AypDvxl93DGu31jNh0YaYr1eXl/LNY/bwvO91u/VUlDLj5pNbg1uv2E15Y4wpXq3XCa1VtYEVxRgLao3HnCPcw1eMpVdtVcCFKTzZPF9E9vfsUFGaxTUXjh4dK+nRsTJmUNuztgoR4cbT9854PW5q1f3Iwr17CCS7sWX888RHy1i3vZ6rjxvOV++dSH1jC0986/Cgi2VM0bNY1uQSC2pNxmJdzx49IrNEOyZ4xZgoyi+RJ/xJPz6BqopSOuXpUE/GZNv1T04H4OrjhvPu/PUBl8YYEx3FWnBrcoH1qTUZs9jHW7/5/H7UVoXuNwXZvNOalXtPBHp1qrKA1pg0WBIaY3JT+Ldpv1ETJAtqjckxFx06iEsOH9xmWhDhZWRNrZ2nTCJ2+8Nkw6adjUEXwRhD+zHKW+waweQAa35sMmb96fwT5KatLLd+tPmiorSEAwd14ZvHDMvqeu06xmTTzoamNo+9TnxmjElN+BrFzgUmF1hNrcmYhbSFqXN1OZcdOSToYhQEv5tkiQhPX3Ukp47q4+t6jAlSfVNL6+NlG+sCLIkxBtqPT2vBrQmSBbXGUxbg+ivbzYAPHdo9uysscNZP2Zj01TfuDmoXr98RYEmMKW7xrkWsq5IJkgW1JmPW+jgLbBsXhIL9rRTq5zI5ZVdTc+vjbbusf60xQWttftya/diiWhMcC2qNMXEdPaIHBw/uyvWn7hl0UfJaod69tkyXJpu279rdp3ZXY3OCOY0x2WDBrMkllmXBZMwSRRWumsoynvz2EUEXo2DYL8WY9F1y36TWxzsbLKg1JijR9zN3963NflmMCbOaWuOJn5+1T9BFMMYYU2Ba4owVUudDTe33HvuYdz5d5/lyjSl04V9pfVMLTc0tCec1xi8W1BpPjB7QJegiFAm7DZqPwlmJzx8zMOCSeCu8N1oCLOMXEXjle0e3m14Xo6a2vqmZDxau5+PPNqW8nq27Gnlm2so2tcHGmNiir0SWb9rZ+vizjTspJAvWbqehyQL1fGBBrfGUtUQ2pr2B3Tqw5NYz2LNPbdBF8YX97ouHiJwqIvNEZIGI3JCF9dGhvH1PqX++s4gFa7exYnMdzS2KqvLlf07gorsn8vm/f8ArM1e1zrtlZyMn3vE2D36whA3b6wF44qNl3P/+4tZ5Vm3eBUB5adudeduuRtY771mxuY6/vbkAVeX1OWvYvLMhafnnrNrK5CUbU//gGWpwUWM2e+VWvnb/pLT7Jzc1t7B0Q+ZZqDftaKAxSVnXb6/nw4UbMl6XqvLC9JXsbGjix0/PYMrSjfz2pTkxg5a6hmbGvbOQ+qbCbere0qIZ9U9valFaWpQ5q7ZRVR4KKd6aty5uC4tEttc3sXrLrrTL4of12+s58Y63+cXzs4IuinHB+tQaT5SVhC4EqstLAy6JMcYYP4hIKfA34CRgOfCRiDynqrP9XO/AbtWUCERfJ5/xl/dax66tKi9hV8SQP996ZCrfOHoo3zl+BC/OWMWCtdv5+XOz+Plzszj3gH48M20lAO98uo6T9+3T2ke3sVlZsbmOqx6ZQm1VOR9/tokdDc1cd+JI/vjapwD8/n/z2pWxb+cqXvv+5ygtEcpLS1i4bjvj3lnEf6csB+DPFxzAonU7GNC1msOHdeflGat5aMISLjhkEKeO6kN9Ywun/+VdzjuoPzNXbEEQ5q3ZxteOGMLVxw2ntqqMqvJS1m7bRUtL6PPe9MxMSkW4+ex96VRVRmmJsGZrPeu313Pmne+xT99O/OrcfRnQtQML1m5neK+O3DH+Uz5du43+Xap5d/56ttQ18ubctZy2X18WrN1GfVMLzS3Kys27OHmf3rw6Zw2HD+vOzvpmlm3ayV59ahn3ziL27deJ9xds4OEJS5lw4wl0qCylvKSE9dvrGditAxC6CfDYpM/4xjF7sGzjTrrXVLJ8004qykpYtWUX495ZxKVHDOG7j37MeQf152dn7kOXDhWt23TS4o38e+JSbv3CaC65dxKzV23lvq+NoaykhC4dyrnzjQV857jh3PXWQsYM6co+/Tqxbls9e/XpxMjeHfnL6wtoaG5mxaY6Lhw7iAMGdeH8f3zI9OVb2LtvJ+as2sq/J34GwLvz1zOqfye+dsRQ9uhZQ1OLMvaW19jZ0IwqXH7UUMpLS1ixuY4f/ecTztq/H2ft34+N2xvYXt/EBwvXs0fPGgZ27UBNZRkdq8roVFXOlp2NrN9RT4kI/btUU1YiHPm7N7jiqKFcOHYQFWUllJeW0NKilJQIjc0t/Gfycrp0KOfwPbrTobKUp6auYL/+nelcXc7Abh3YuKOB2qoyGppa2NnQzMefbWLmyq1cfdwwHv5wKe/MX8/1p+xJr06VdKmuQATWbN1Fr9oqPli4nq4dKnhkwlJmrNjCPn078dTHK5jzy1N5+9N1VJaVcNxevWhpUT5etpmaylJqq8qpawglaRvWsyMvTF/FXW8tbP2e9vjxS6Hf4+i+vDh9Fb98YTb3vLuIrxw2mKE9atiwvZ7Dh3VneK9aGppaqCgrYeOOBmoqS6ksK2X5pp2s397AjU/NYM6qrVx7wgiG9erI/gM687c3F/DE5OW8et0xDOzWgZ88M5OLDh3EyN61VJWVsHZbPdvrm3h19hq61VRw4dhBNDW3UFa6u86urqGZppYWVm7e1XpjecvORv7yxnzKSoXrThxJRWkJ2+qbaGhqYf6abcxYsYVdjS2M6N0RgDfnruXe9xZzyJCu7NWnE5MWb+SIYd3Z2djMP99eyLCeHTl4cFcGduvAjvom/jtlOcft2YtB3TuwbVcjHSvLaFF4ccYq+nauYr/+nREJ9UGuLCth664mPlq8kT161lBRVtL6m61raGa/AZ0BWpcjIuxqbKasRCgrLWFLXSNV5SW0tMD05Zt5fvpKykpK+OEpeyKErst3NDSxfFMde/WpZd32erpUh35nSzbsYESvjtz97iJmr9zKDaftzdINOxjQrQNVZSW8Pnct+/XvzODuHehQUda67TpV7/48yzbuZEj3GjpUlHLI0G5848HJ/PCUkRw0qCsiwpqtu+jSoZyWFqiu8DdGkELIXjlmzBidPHly0MUoaqrKnW8s4IKxA+lVWxV0cfLeSzNWcdW/pnLPJWM4cZ/enHnnu8xcsZXXvv85hvfq2GbeITe8CMCSW88IoqimiK3cXMcRt77BU1cdwUGDugZdHE+JyBRVHRN0OXKJiBwO3KyqpzjPbwRQ1d/Gmt/Lc/MHC9dz0d0TPVmWn0RCN3kbm72/turfpZoVm+tivhYd1KeqQ0WpZ8m3aivLaFZNeXklAlXlpVSVl1JeGgrQc0lFWUlKzVAHdqtm2cbY31dYVXkJXTtUsGrLLspLhdqqcjbuiN8CoGdtJeu2pbZdwsFTIhWlJTQ0x75BFKm0RGiOUwt78WGD6NelmtteaX/TB0L778otdQzq1oGlG3ZSW1lGTWUZq7emVztbXhr/d9a9poKG5ha6dqhg+aadrTfEenSsZGdDU7t9s7KspPUGmVtdO5SzaWfbocVECN3MqGtsLccG5ybE9vqmmN9Dz9pKdjU2sy0iu3vn6t3L6N2pkm27dpc5cj8c2qOGxet3UFtZxrb6pvYLjxLeZuWlQotCc4u6/u3XVpZRWV7K+u319OhYwfrtiVuqiECJ7N5ffnveflw4dlDS9SST6NxsNbXGEyLCd08YEXQxCsbp+/XlzR8ey9AeNQD84+KDeXLKCob1rGk3750XHliwzVpNbuvXpdpuphSX/sCyiOfLgUMjZxCRK4ErAQYNyvwCJuyIYT1484fH8vqcNQzr2ZG/vbmAzXWN7Ne/M9OWbWZA12pGD+hMt5pKJizaQHV5Ke/OX8fI3rXUNTYjobJxwMAuDOzWgYamFpZt2klFaQkfL9vMQYO6cNCgrtz11kIGd+9AY3MLPWurWLWljg8XbmD/gV3o0bGCLXWNrNhUx+qtu/jcyJ7samxh5eY6+nWpprKshKYWpaK0hKmfbaJnbSU9aysZ3b8z78xfz/JNOxnUrQPz12xnWK+O9OtSxbKNdaFaj5ZQ80sRmLtqG+WlQl1jM4cM6caefWopEWH99noqy0pYs3UXp+zbh811jWzb1cjQHjUs21jXWsszd9U2Vm6pY3jPjjQ0t1BaIizfFGqmvb2+ia11jdQ3tXD0iB68O389Y4d0Y1D3Drw4fRX79utEvy7VLFi7ndEDOjNpyUZG9qrllVmrOWJYd3Y1NtO5upzZq7YysnctM1Zs4bCh3fl07TZ61VayassuxgzuRnNLCyLC0x+vYOyQbsxetZVjRvZANZTkq6xEKC0R+nauZvmmOirLQjVOg7p3oERg045GGptb2NHQRO/aKtbvaGDBmm307FRFj5oKutZU0K9LNSs317Fw3XZmrtjC0B41bNrZSKeqMkb178zarfXsamqmY2UZ/TpXU1oqrYFCTUUoeH53/npUlcZmpX+Xakb26Uhzi1Lf1EKpCPVNLdQ1NrOjvoletZVs2NHAnFXb2Lijnv36d2ZA1w5sq29i6YYddKgoo66hidEDulDf1ExVeSk1FWWs2BTaP4b36khZqTBh0Qb269+F4b060tDUwqadDVSVl1BdXsaGHfU0tSidqsopEVi4bjsTFm1krz61dKoqp3/XapZu2EGv2ipanBsH+/brxOqtu9i4o4HO1eWMn7WGPXrWsLWukeG9a+lVW8lHSzYyekAXOpSX0tjcwvsL17Nvv8506VBOiQgtqnSsLGNXYzNNzUpVRSmvzl5DRWkJlWUl7HBqao8Y1oNXZq7m5H178/kD+/Phog2M6FXL7/83l8uOHMqwnh258JBB3P3uIl6eubq11r6lRelaU0GXdeUM7VHD4O41VJSW0K2mnNKSEhav306nqnJWbK6juryUrjUV9OlUxSfLN1PX0MzRI3qyvb6R9+av54BBXZi7ehvDenZkmdN/d0DXavp3qW6tbe1QUYoQ+g11ramguryEuau3cfxePRGEGSu20LWmnPLSEnp2rGTrrkYmL9lEdUUpHSpKqaksY8Ha7ZSIMKJXR/Yf2IW5q7e2zv/O/HWMHdqdnh0rmbNqKx2rynhr3lq+ePAAdjW20NjcEqqdVPh09TaG9Khh7bZ6Vm+po3tNJU0tLWza2UiJwPBeHakqK6VTdXlrAN65upzpyzczqFsHqitKqSgtobFZaWhuoaailE07w7W20Km6nE5VZfSqreKzjTtYuXkXTS0tDO1Rw6otuxjRqyO7GlsY2K0aVdhS10hZaQl9OlUya+VWetVWsnFnIwvXbufAQV14fc5axgzpSo+OlUxavJH6phb2H9CZLh0qaG5pYdmmOvp0rkJVeXf+errXVFBdUYYA+w/swqdrtjG0Rw2dqsppUeXZaSu4YOwgRvXr7Nn5IB6rqTXGGGOiWE1teyJyPnCKqn7def5VYKyqXhNrfjs3G2OM8VKic7MlijLGGGOMG8uByBTeA4CVAZXFGGOMaWVBrTHGGGPc+AgYISJDRaQCuAB4LuAyGWOMMdan1hhjjDHJqWqTiHwH+B9QCtynqjbWhTHGmMAFVlMrItc4Y93NEpHbIqbf6Ix/N09ETgmqfMYYY4xpS1VfUtWRqjpMVW8JujzGGGMMBFRTKyLHAecAo1W1XkR6OdP3IdScaV+gH/CaiIxU1cId+doYY4wxxhhjTNqCqqn9NnCrqtYDqOpaZ/o5wGOqWq+qi4EFwNiAymiMMcYYY4wxJscFFdSOBI4WkYki8raIHOJMjzUGXv9YCxCRK0VksohMXrdunc/FNcYYY4wxxhiTi3xrfiwirwF9Yrx0k7PersBhwCHAEyKyByAx5o85kK6qjgPGQWgsPC/KbIwxxhhjjDEmv/gW1KrqifFeE5FvA0+pqgKTRKQF6EGaY+BNmTJlvYgszbDIYT2A9R4tq5DZdkrOtpE7tp3cse3kjlfbabAHyyhqHp6bbd93x7aTO7ad3LHt5I5tJ3d8PzdLKK7MLhH5FtBPVX8mIiOB14FBwD7Avwn1o+3nTB+RzURRIjJZVcdka335yrZTcraN3LHt5I5tJ3dsOxUe+07dse3kjm0nd2w7uWPbyZ1sbKegxqm9D7hPRGYCDcClTq3tLBF5ApgNNAFXW+ZjY4wxxhhjjDHxBBLUqmoDcHGc124BbOw7Y4wxxhhjjDFJBZX9OJeNC7oAecK2U3K2jdyx7eSObSd3bDsVHvtO3bHt5I5tJ3dsO7lj28kd37dTIH1qjTHGGGOMMcYYL1hNrTHGGGOMMcaYvGVBrTHGGGOMMcaYvGVBrUNEThWReSKyQERuCLo8uURElojIDBGZJiKTnWndRORVEZnv/O8adDmzTUTuE5G1Thbv8LS420VEbnT2r3kickowpc6+ONvpZhFZ4exT00Tk9IjXim47ichAEXlTROaIyCwRudaZbvtThATbyfanAlXs52avzjMicrBzHl8gIn8REcn2Z/GLl8fPAt9OVSIySUQ+cbbTL5zptp1iEJFSEflYRF5wntt2iiIpxge+bydVLfo/oBRYCOwBVACfAPsEXa5c+QOWAD2ipt0G3OA8vgH4XdDlDGC7HAMcBMxMtl0IjcH8CVAJDHX2t9KgP0OA2+lm4Icx5i3K7QT0BQ5yHtcCnzrbwvYnd9vJ9qcC/LNzs3fnGWAScDggwMvAaUF/Ng+3kWfHzwLfTgJ0dB6XAxOBw2w7xd1e3wf+DbzgPLft1H4bLcFlfJCN7WQ1tSFjgQWqukhDww09BpwTcJly3TnAg87jB4FzgytKMFT1HWBj1OR42+Uc4DFVrVfVxcACQvtdwYuzneIpyu2kqqtUdarzeBswB+iP7U9tJNhO8RTldiogRX9u9uI8IyJ9gU6q+qGGriAfooDO2V4dP4tgO6mqbneeljt/im2ndkRkAHAGcE/EZNtO7gS2nSyoDekPLIt4vpzEF0rFRoHxIjJFRK50pvVW1VUQOqEAvQIrXW6Jt11sH2vvOyIy3WleF26eUvTbSUSGAAcSuotu+1McUdsJbH8qRPb9xZbqcaG/8zh6esHJ8PhZ8NvJaVI7DVgLvKqqtp1i+xNwPdASMc22U3upxAe+bycLakNitd22sY52O1JVDwJOA64WkWOCLlAesn2srbuAYcABwCrgD870ot5OItIReBL4nqpuTTRrjGnFvJ1sfypM9v2lJt72Kort6MHxs+C3k6o2q+oBwABCtWSjEsxelNtJRM4E1qrqFLdviTGt4LeTI5X4wPftZEFtyHJgYMTzAcDKgMqSc1R1pfN/LfA0oSZha5wmAzj/1wZXwpwSb7vYPhZBVdc4J9cW4G52Nwkt2u0kIuWELsj+papPOZNtf4oSazvZ/lSw7PuLLdXjwnLncfT0guHR8bPgt1OYqm4G3gJOxbZTtCOBs0VkCaEuD8eLyCPYdmonxfjA9+1kQW3IR8AIERkqIhXABcBzAZcpJ4hIjYjUhh8DJwMzCW2fS53ZLgWeDaaEOSfednkOuEBEKkVkKDCCUMf4ohQ+4Dk+T2ifgiLdTk6mv3uBOap6R8RLtj9FiLedbH8qWHZuji2l44LTBHCbiBzm/IYuoYDO2V4dP4tgO/UUkS7O42rgRGAutp3aUNUbVXWAqg4hdMx5Q1UvxrZTG2nEB/5vp3SySxXiH3A6oYx5C4Gbgi5PrvwRyjr5ifM3K7xtgO7A68B853+3oMsawLZ5lFBTx0ZCd5quSLRdgJuc/WseBZYBL43t9DAwA5juHOj6FvN2Ao4i1NxmOjDN+Tvd9ifX28n2pwL9K/Zzs1fnGWAMoQvOhcBfAQn6s3m4jTw7fhb4dhoNfOxsp5nAz5zptp3ib7Nj2Z392LZT222Tcnzg93YSZ2HGGGOMMcYYY0zesebHxhhjjDHGGGPylgW1xhhjjDHGGGPylgW1xhhjjDHGGGPylgW1xhhjjDHGGGPylgW1xhhjjDHGGGPylgW1xuQIEekuItOcv9UissJ5vF1E/u7TOr8nIpd4sJzHRGSEF2Uyxhhj/CQizRHn22kiMiToMnlFRA4UkXucx18Tkb9Gvf6WiIxJ8H47n5u8VBZ0AYwxIaq6ATgAQERuBrar6u1+rU9EyoDLgYM8WNxdwPXANzxYljHGGOOnOlU9INYLIiKExslsyW6RPPNj4NcZvN/O5yYvWU2tMTlORI4VkRecxzeLyIMiMl5ElojIeSJym4jMEJFXRKTcme9gEXlbRKaIyP9EpG+MRR8PTFXVJuc9b4nIH0XkHRGZIyKHiMhTIjJfRH7tzFMjIi+KyCciMlNEvuws613gRCdQNsYYY/KGiAxxznt/B6YCA0XkRyLykYhMF5FfRMx7k4jME5HXRORREfmhM721BlREeojIEudxqYj8PmJZ33SmH+u8578iMldE/uUE1Djn3w+cc+0kEakVkXdF5ICIcrwvIqOjPkctMFpVP3Hxmc+OqKmeJyKLnZfsfG7ykgW1xuSfYcAZwDnAI8CbqrofUAec4QS2dwJfVNWDgfuAW2Is50hgStS0BlU9BvgH8CxwNTAK+JqIdAdOBVaq6v6qOgp4BcC5o70A2N/TT2qMMcZ4rzoioHvambYn8JCqHug8HgGMJdSC6mAROUZEDgYuAA4EzgMOcbGuK4AtqnqIM/83RGSo89qBwPeAfYA9gCNFpAJ4HLhWVfcHTiR0fr8H+BqAiIwEKlV1etS6xgAzo6Z9ObKptTMPqvqcqh7g1Fh/AtzuTLfzuclLdhfGmPzzsqo2isgMoBQnsARmAEMInYxHAa86N31LgVUxltMXmBM17bmIZc1S1VUAIrIIGOhMv11Efge8oKrvRrx3LdCP9oGyMcYYk0vaND92+tQuVdUJzqSTnb+PnecdCQW5tcDTqrrTeV/4nJnIycBoEfmi87yzs6wGYJKqLneWNY3QOXwLsEpVPwJQ1a3O6/8BfioiPyLUdeiBGOvqC6yLmva4qn4n4rO+FfmiiFxPaHv8LWKync9N3rGg1pj8Uw+hu6ki0qiq6kxvIfSbFkIB6eFJllMHVMVatrOs+ojpLUCZqn7q3Kk+HfitiIxX1V8681Q5yzTGGGPyzY6IxwL8VlX/GTmDiHwPUGJrYncLyMhzqwDXqOr/opZ1LG3Ps83sPoe3W4eq7hSRVwm10voSTo1rlFjn9bhE5ATgfOCYqJfsfG7yjjU/NqbwzAN6isjhACJSLiL7xphvDjA8lQWLSD9gp6o+QqipUmSSqZHArPSKbIwxxuSM/wGXi0hHABHpLyK9gHeAz4tItdN/9ayI9ywBDnYefzFqWd+OyHkxUkRqEqx7LtBPRA5x5q+N6N96D/AX4CNV3Rjjva7P6yIyGPg78CVVjQ5g7Xxu8o7V1BpTYFS1wWnm9BcR6Uzod/4n2p+gXgYeTnHx+wG/F5EWoBH4NoCI9CbUfClWM2djjDEmb6jqeBHZG/jQ6cazHbhYVaeKyOPANGApoaRKYbcDT4jIV4E3IqbfQ6hZ8VQnEdQ64NwE625wkjDeKSLVhGpMTyQ0IsIUEdkK3B/nvXNFpLOI1KrqtiQf82tAd+Bp5zOuVNXT7Xxu8pXsbrlojCk2ToKM61V1fobLuQ7Yqqr3elMyY4wxJrdJFobfi1pfP+AtYK94Qw455+NtqnpPmuuw87nJS9b82JjidgOhxBKZ2gw86MFyjDHGGBNFRC4BJgI3JRlD9y7a9tVN1WbsfG7ykNXUGmOMMcYYY4zJW1ZTa4wxxhhjjDEmb1lQa4wxxhhjjDEmb1lQa4wxxhhjjDEmb1lQa4wxxhhjjDEmb1lQa4wxxhhjjDEmb/0/op6iGb1mf+YAAAAASUVORK5CYII=\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Load Cell 7 from Beattie et al.\n", + "log = myokit.DataLog.load('../ion-currents/resources/sine-wave-data/cell-7.zip').npview()\n", + "\n", + "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", + "# During this time, V is fixed at -80mV\n", + "log = log.trim_right(250)\n", + "\n", + "# Calculate the power spectrum\n", + "times = log.time()\n", + "current = log['current']\n", + "freq, points = spectrum(times * 1e-3, current) # Using time in seconds to get frequency in Hz\n", + "\n", + "# Show the results\n", + "fig = plt.figure(figsize=(16, 4))\n", + "\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Current (pA)')\n", + "ax.plot(times, current * 1e3) # Convert from nA to pA\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Frequency (Hz)')\n", + "ax.set_ylabel('Spectral density (nA^2)')\n", + "ax.plot(freq, points)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This shows some very different characteristics!\n", + "\n", + "In the power spectrum plot on the right, we can clearly see two peaks around $3.2 \\text{kHz}$.\n", + "These are most likely from some piece of electronic equipment in the same room or, if the noise is transmitted through the mains or the grounding, somewhere else in the building!\n", + "\n", + "In the direct plot on the left, we can also see what look like some lower frequency periodic effects.\n", + "We can do a few zoomed plots to get a clearer picture:" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(16, 4))\n", + "\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Frequency (Hz)')\n", + "ax.set_ylabel('Spectral density (nA^2)')\n", + "ax.plot(freq, points)\n", + "ax.set_xlim(0, 200)\n", + "ax.set_ylim(0, 20)\n", + "ax.set_xticks(np.arange(0, 210, 10))\n", + "ax.grid(True)\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Frequency (Hz)')\n", + "ax.set_ylabel('Spectral density (nA^2)')\n", + "ax.plot(freq, points)\n", + "ax.set_xlim(3060, 3240)\n", + "ax.set_xticks(np.arange(3060, 3260, 20))\n", + "ax.grid(True)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Starting on the right again, we see two large peaks at around $3115 \\text{Hz}$ and $3170 \\text{Hz}$.\n", + "If we assume this noise is from something man-made, we might expect the frequencies to be nice round numbers, so it can be worth googling our frequency estimates to see if anyone knows what's causing them!\n", + "Judging from the fact that we see these clear signals in cell 7, but not cell 1, we might suspect it's something that gets switched on and off during the day, but it could also come from something like a fridge which switches itself on from time to time.\n", + "\n", + "On the left, we see a peak of unknown origins at $10 \\text{Hz}$, but also one at $50 \\text{Hz}$, which is a clear example of [\"mains hum\"](https://en.wikipedia.org/wiki/Mains_hum)." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "So how do we use this knowledge?\n", + "\n", + "One option, especially when the peaks are as sharp as shown above, is to digitally filter out one of the frequencies.\n", + "We could also try fitting sine waves and subtracting them from the signal, or including the sine waves in our (noise) model.\n", + "But we could also observe that the strongest peaks are of a much higher frequency than what we expect from the current of interest, and that the lower frequency peaks are quite small.\n", + "So it might be fine to just leave the noise in, avoiding the risk of our \"corrections\" making things worse, and to present the data to our optimisation routine as-is.\n", + "Zooming out and observing the whole signal, this doesn't seem too bad an idea, and indeed this is the approach we took in e.g. [Four ways to fit an ion channel model](https://doi.org/10.1016/j.bpj.2019.08.001)." + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# The full signal for cell 7\n", + "fig = plt.figure(figsize=(16, 4))\n", + "ax = fig.add_subplot(1, 1, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Current (pA)')\n", + "ax.plot(log.time(), log['current'] * 1e3)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Uncertainty quantification and model discrepancy\n", + "\n", + "It's quite tempting to use noise models as shown above to defined a likelihood function, explore it with uncertainty quantification (UQ) methods such as [MCMC](https://en.wikipedia.org/wiki/Markov_chain_Monte_Carlo), _and then to interpret the result as information about the certainty of our inferred parameters_.\n", + "\n", + "This works well if there is no _model discrepancy_. In other words, if the model is able to draw a line through the data perfectly, such that all deviations from this line can be attributed to stochastic (or periodic) noise, then we can use UQ to investigate the uncertainty in our parameter estimates due to that noise.\n", + "\n", + "However, in many cases the mismatch between the best-fit model and the data does not look like it was generated by an additive stochastic (or periodic) noise model.\n", + "To illustrate this, we look at the Cell 1 data again, and superimpose a simulated result with parameters obtained from a fitting experiment." + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "metadata": {}, + "outputs": [], + "source": [ + "# Load Cell 1 from Beattie et al.\n", + "log = myokit.DataLog.load('../ion-currents/resources/sine-wave-data/cell-1.zip').npview()" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "metadata": {}, + "outputs": [], + "source": [ + "# Load the model\n", + "model = myokit.load_model('../ion-currents/resources/beattie-2017-ikr-hh.mmt')\n", + "\n", + "# Load the steps in the sine wave protocol\n", + "protocol = myokit.load_protocol('../ion-currents/resources/sine-wave-steps.mmt')\n", + "\n", + "# Update the model to add in sine waves\n", + "c = model.get('membrane')\n", + "v = c.get('V')\n", + "v.set_binding(None)\n", + "vp = c.add_variable('vp')\n", + "vp.set_rhs(0)\n", + "vp.set_binding('pace')\n", + "model.get('membrane.V').set_rhs(\n", + " 'if(engine.time >= 3000.1 and engine.time < 6500.1,'\n", + " + ' - 30'\n", + " + ' + 54 * sin(0.007 * (engine.time - 2500.1))'\n", + " + ' + 26 * sin(0.037 * (engine.time - 2500.1))'\n", + " + ' + 10 * sin(0.190 * (engine.time - 2500.1))'\n", + " + ', vp)')\n", + "\n", + "# Create simulation\n", + "sim = myokit.Simulation(model, protocol)" + ] + }, + { + "cell_type": "code", + "execution_count": 19, + "metadata": {}, + "outputs": [], + "source": [ + "# Simulate using the parameters from Beattie et al.\n", + "p = {\n", + " 'ikr.p1': 1.98e-4,\n", + " 'ikr.p2': 0.0593,\n", + " 'ikr.p3': 7.1688e-5,\n", + " 'ikr.p5': 0.0493,\n", + " 'ikr.p5': 0.1048,\n", + " 'ikr.p6': 0.0139,\n", + " 'ikr.p7': 0.0038,\n", + " 'ikr.p8': 0.036,\n", + " 'ikr.p9': 0.1351, \n", + "}\n", + "for k, v in p.items():\n", + " sim.set_constant(k, v)\n", + "d = sim.run(8001, log_times=log.time())" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Show the data for cell 1, and superimpose a simulation\n", + "fig = plt.figure(figsize=(16, 4))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Current (nA)')\n", + "ax.plot(log.time(), log['current'], label='Cell 1 data')\n", + "ax.plot(d.time(), d['ikr.IKr'], label='Best-fit simulation')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Finally, we can plot the residuals:" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(16, 4))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Remaining mismatch (nA)')\n", + "ax.plot(log.time(), log['current'] - d['ikr.IKr'])\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Clearly, this is not an iid normal noise signal." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Interpreting MCMC results\n", + "\n", + "So what does it mean when we explore a fit to data with UQ methods?\n", + "\n", + "Using for example MCMC to calculate the probablity that the data was generated by the sum of a deterministic model (with an imperfect best-fit as shown above) and a stochastic iid noise model, **will** let us confirm that a given set of parameters is more likely than its neighbours.\n", + "Similarly, if we have two similar methods, and one of them provides tighter confidence intervals than the other (defined in the same imperfect way), then we **can** conclude that one method provides more certain estimates.\n", + "\n", + "However, the **absolute values** returned **will not** be informative, because they are the answer to the question: _how likely is it that the residuals shown above were generated by a stochastic iid process_.\n", + "To which the answer is: very unlikely.\n", + "Similarly, the absolute widths of confidence intervals determined in the presence of model discrepancy are not necessarily informative.\n", + "\n", + "Given that biological experiments provide very limited control over experimental parameters (i.e. native processes going on in the cell), and that models are _by definition_ simplifications, model discrepancy is not going anywhere soon.\n", + "Methods to perform and interpret UQ in the presence of model discrepancy are an active topic of research, and will likely remain so for some time to come." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.5" + } + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/artefacts/appendix-G-liquid-junction-potential.ipynb b/artefacts/appendix-J-liquid-junction-potential.ipynb similarity index 85% rename from artefacts/appendix-G-liquid-junction-potential.ipynb rename to artefacts/appendix-J-liquid-junction-potential.ipynb index 3b0892a..4979a5d 100644 --- a/artefacts/appendix-G-liquid-junction-potential.ipynb +++ b/artefacts/appendix-J-liquid-junction-potential.ipynb @@ -4,7 +4,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Appendix G: Liquid junction potential" + "# Appendix J: Liquid junction potential" ] }, { @@ -12,10 +12,13 @@ "metadata": {}, "source": [ "A [liquid junction potential](https://en.wikipedia.org/wiki/Liquid_junction_potential) (LJP) arises when two solutions with different ionic concentrations are in contact.\n", - "Because different types of ion have different [mobilities](https://en.wikipedia.org/wiki/Electrical_mobility), they will diffuse at different speeds, and so we can get a situation where e.g. positive charges move faster than negative charges, resulting in a non-zero net current.\n", + "Because the concentrations are unequal, ions will diffuse across the junction, and because different types of ion have different [mobilities](https://en.wikipedia.org/wiki/Electrical_mobility), they will diffuse at different speeds.\n", + "This means that, at a very small local scale, and during a very short time, positive and negative charges will move across the junction at different rates, causing a charge separation that gives rise to an electric field.\n", + "In the classical explanation, this field will speed up slower ions and slow down faster ones until the charge movement in both directions is equal.\n", + "But the presence of the electric field, now constant, means there is an electric potential difference between both sides of the junction, which can be measured as a liquid junction potential ([for a better explanation, see Dickinson et al., 2010](https://doi.org/10.1021/jp908024s)).\n", "\n", "In manual patch-clamp experiments (in the whole-cell configuration), a liquid junction potential arises _before the connection to the cell is made_, when the pipette fluid is in contact with the bath fluid at the pipette's tip.\n", - "This LJP is on the order of 10mV ([Neher (1992)](https://doi.org/10.1016/0076-6879(92)07008-C)).\n", + "This LJP is on the order of 10mV to 15mV ([Neher (1992)](https://doi.org/10.1016/0076-6879(92)07008-C)).\n", "\n", "Because the pipette fluid is designed to be similar to the cytosolic fluid, once the connection to the cell is made the LJP quickly disappears." ] @@ -39,13 +42,13 @@ "\n", "The reason is that there are a whole host of resistances and small differences in potential that arise between the bath and pipette electrodes, for example the [electrode potentials](https://en.wikipedia.org/wiki/Electrode_potential).\n", "At the start of the experiment, before making contact with the cell, these are \"zeroed out\", i.e. we find a voltage-clamp potential for which no current flows, and call this voltage our zero.\n", - "This is done manually on some amplifiers, or automatically on others.\n", + "This is done manually on some amplifiers or automatically on others.\n", "\n", "For example, the following steps may be followed:\n", "\n", "1. The pipette is lowered into the bath solution.\n", "2. A cell is selected.\n", - "3. The pipette is brought closer to the cell: this usually involves lowering it further, which changes the fraction of the pipette that is submerged in the bath, which changes the zero potential!\n", + "3. The pipette is brought very close to the cell: this usually involves lowering it further, which changes the fraction of the pipette that is submerged in the bath, which changes the zero potential!\n", "4. Zeroing is performed, in the presence of an LJP.\n", "5. The pipette is placed against the cell, a seal is made, the patch of membrane is ruptured, and the LJP disappears.\n", "6. Because the cell has a membrane potential, we can no longer zero without losing important information.\n", @@ -60,7 +63,8 @@ "source": [ "## How do we calculate the LJP?\n", "\n", - "In short, almost everyone uses [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0), although an open source alternative is available, which purports to use a better model: https://swharden.com/LJPcalc/" + "In short, almost everyone uses [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0). \n", + "An [open source alternative](https://swharden.com/LJPcalc/) based on a newer model is also available, as described in [Marino et al. 2014](https://arxiv.org/abs/1403.3640)." ] }, { @@ -217,7 +221,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 40bde6c..779a909 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -270,10 +270,12 @@ "\\tau_c = \\frac{C_f + C_p}{C_f} \\tau_a\n", "\\end{align}\n", "\n", + "Typical values for $V_c$ are in the order of 1e7 to 6e7, as given in [Appendix C](./appendix-C-tau-amp.ipynb).\n", + "\n", "A detailed analysis of the amplifier's \"bandwidth\", used as a measure for how fast the amplifier can respond to changes in $V_c$, is given in Sigworth 1995a.\n", - "It involves transfer function representations, which are discussed in [Appendix C](./appendix-C-laplace-and-filters.ipynb), while parts of the analysis are recapitulated in [Appendix D](./appendix-D-non-ideal-op-amp.ipynb).\n", + "It involves transfer function representations, which are discussed in [Appendix D](./appendix-D-laplace-and-filters.ipynb), while parts of the analysis are recapitulated in [Appendix E](./appendix-E-non-ideal-op-amp.ipynb).\n", "In summary, the equations used by Weerakoon and Lei et al. are a simplification based on Sigworth's analysis.\n", - "They give rise to slightly different behaviour, as can be seen in [Appendix E](./appendix-E-uncompensated-models.ipynb), but for the analysis of many patch-clamp experiments their influence is overshadowed by the effects of the _series resistance_ and _membrane capacitance_, which are discussed below." + "They give rise to slightly different behaviour, as can be seen in [Appendix F](./appendix-F-uncompensated-models.ipynb), but for the analysis of many patch-clamp experiments their influence is overshadowed by the effects of the _series resistance_ and _membrane capacitance_, which are discussed below." ] }, { @@ -324,9 +326,7 @@ "### Voltage offsets\n", "\n", "Voltage offsets arise at various locations in the set-up, including inside the amplifier (\\~30mV), at the interface between electrodes and liquids (up to 100mV), and at liquid interfaces (\\~15mV, all estimates are from [Neher, 1995](https://doi.org/10.1007/978-1-4419-1229-9_6)).\n", - "These are typically \"zeroed out\" before an experiment is started (as will be discussed in the next notebook), but if this process is imperfect or if conditions change during the experiment, some non-zero offset may remain.\n", - "\n", - "In the schematic, we account for this remaining offset by adding a battery that adds an offset $E_\\text{off}$." + "These offsets are typically \"zeroed out\" before an experiment is started (as will be discussed in the next notebook) but for now we will include them by adding a battery representing an offset $E_\\text{off}$." ] }, { @@ -344,15 +344,10 @@ "id": "50562b08", "metadata": {}, "source": [ - "Note that, because we are assuming all of the components are _linear_, i.e. their behaviour depends on voltage differences and not on absolute values, the exact location of this battery in the schematic doesn't matter too much." - ] - }, - { - "cell_type": "markdown", - "id": "c1764d8d", - "metadata": {}, - "source": [ - "### Leak current" + "Because we assume that all of the components are _linear_ (so that their behaviour depends on voltage differences instead of absolute values), we have some freedom in where we place the battery in the schematic.*\n", + "In particular, when we discuss errors in the $E_\\text{off}$ correction in the next notebook, we will assume it is corrected at the same location.\n", + "\n", + "(*I think! Haven't checked this)" ] }, { @@ -360,6 +355,8 @@ "id": "9a36d864", "metadata": {}, "source": [ + "### Leak current\n", + "\n", "To complete the circuit we add a leak current, indicated as a resistance $R_\\text{leak}$ (usually expressed as $g_\\text{leak} = 1 / R_\\text{leak}$ and an offset $E_\\text{leak}$." ] }, @@ -467,7 +464,7 @@ "This gives us a 3 state variable ODE model of the patch-clamp set-up, without compensation.\n", "\n", "The model above differs subtly from the uncompensated model used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", - "A comparison is provided in [Appendix E](./appendix-E-uncompensated-models.ipynb)." + "A comparison is provided in [Appendix F](./appendix-F-uncompensated-models.ipynb)." ] }, { @@ -477,7 +474,7 @@ "source": [ "## Names & symbols\n", "\n", - "A list of alternative names and symbols for the components above is given in [Appendix F](./appendix-F-symbols.ipynb).\n", + "A list of alternative names and symbols for the components above is given in [Appendix G](./appendix-G-symbols.ipynb).\n", "\n", "Notably $R_\\text{leak}$ is often called _seal resistance_, while $R_s$ is also referred to as _access resistance_." ] @@ -513,7 +510,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index abb262c..5d1f9a3 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -7,57 +7,156 @@ "source": [ "# Modelling patch-clamp experiments: compensation\n", "\n", - "In the [last notebook](./artefacts-1-modelling-patch-clamp.ipynb), we introduced an electrical schematic that can serve as a model of the patch-clamp set up, with distortions by leak, offsets, an unwanted capacitances.\n", + "In the [last notebook](./artefacts-1-modelling-patch-clamp.ipynb), we introduced an electrical schematic that can serve as a model of the patch-clamp set up, with distortions by leak, offsets, and unwanted capacitances.\n", "To compensate for these unwanted effects, patch-clamp amplifiers contain special circuitry.\n", - "In this notebook we extend our model to include the effects of these compensations." + "In this notebook we extend our model to include the effects of these compensations, as modelled in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", + "\n", + "We will deal mostly with _transient_ distortions of the recorded output signal, which we call _artefacts_, and with transient differences between the true and intended membrane potential, which are an example of _imperfect control_.\n", + "These terms, and general strategies for dealing with their effects, are discussed in [Appendix H](./appendix-H-strategies.ipynb).\n", + "Stochastic and periodic noise are not discussed here, but a brief discussion is given in [Appendix I](./appendix-I-inspecting-noise.ipynb)." ] }, { "cell_type": "markdown", - "id": "e35c1d2b", + "id": "f2b59884", "metadata": {}, "source": [ - "## Fast capacitance correction ($C_p$)" + "## Zeroing and the liquid junction potential\n", + "\n", + "In the last notebook we introduced $E_\\text{off}$, which represents the sum of various voltage offsets that are incurred in the experimental setup.\n", + "This offset is usually corrected by a dial or a digital control that allows the experimenter to subtract an equal offset $-E_\\text{off}^*$.\n", + "To allow for the idea that this correction is imperfect, either because it was set imperfectly or because $E_\\text{off}$ drifted over time, we now introduce a symbol for the error in the offset correction $E_\\text{off}^\\dagger$ as\n", + "\\begin{equation}\n", + "E_\\text{off}^\\dagger = E_\\text{off} - E_\\text{off}^*\n", + "\\end{equation}" ] }, { "cell_type": "markdown", - "id": "f2685571", + "id": "29f58378", "metadata": {}, "source": [ - "## Slow capacitance correction ($C_m$)" + "\n", + "\n", + "_**Figure 1**: The voltage offset has been corrected until only a small error $E_\\text{off}^\\dagger$ remains._" ] }, { "cell_type": "markdown", - "id": "3a492bbb", + "id": "5dcfaa36", "metadata": {}, "source": [ - "## Series resistance compensation ($R_s$)" + "### The liquid junction potential\n", + "\n", + "A liquid junction potential (LJP) arises wherever two liquids containing different concentrations of ions are in contact.\n", + "In manual patch clamp this is the case just before the experiment, when the pipette is in the bath but not yet attached to the cell.\n", + "The correction $E_\\text{off}*$ is usually determined just before the final approach to the cell, and so includes this LJP.\n", + "Once a connection to the cell is made, the pipette fluid is in contact with the cytosol and because both fluids are similar an LJP no longer exists.\n", + "In other words, the LJP is removed from $E_\\text{off}$, but not from $E_\\text{off}^*$.\n", + "\n", + "The correction for this overcorrection is called LJP correction, and proceeds as follows:\n", + "\n", + "1. The LJP is calculated by entering the bath and pipette solutions into an LJP calculating program.\n", + "2. We can then adjust all $V_\\text{cmd}$ values to obtain the desired $V_m$ (*a priori* correction) or simply accept that $V_m$ is shifted from the desired value and account for this in our analysis (*a posteriori* correction). \n", + "\n", + "Some systems allow the LJP to be entered into the recording software, so that *a priori* correction can be performed without further user input.\n", + "\n", + "A detailed description of the correction procedure is provided in [Appendix J](./appendix-J-liquid-junction-potential.ipynb).\n", + "In short, if you have to do manual *a posteriori* correction then you **subtract** the LJP from the applied or measured voltage to get the true value." ] }, { "cell_type": "markdown", - "id": "f2b59884", + "id": "431eb7a8", "metadata": {}, "source": [ - "## Zeroing and the liquid junction potential\n", + "### We won't explicitly treat the LJP\n", + "\n", + "In the remainder of this notebook we will assume LJP correction has been performed by adjusting $V_\\text{cmd}$.\n", + "We will treat $E_\\text{off}^\\dagger$ as a small number that does not include the LJP." + ] + }, + { + "cell_type": "markdown", + "id": "e35c1d2b", + "metadata": {}, + "source": [ + "## Fast capacitance correction ($C_p$)\n", "\n", - "In the last notebook we introduced $E_\\text{off}$, which represents the sum of various voltage offsets _and their correction_.\n", - "This means we skipped ahead a bit, and no further adaptations are needed here.\n", - "However, we will briefly discuss the liquid junction potential (LJP) its correction.\n", + "The next compensation circuitry we include attempts to charge the capacitor $C_p$ without affecting the current through the cell.\n", + "To do this, a prediction of the current flowing into $C_p$ is made, and \"injected\" into the circuitry." + ] + }, + { + "cell_type": "markdown", + "id": "ff0c7ff3", + "metadata": {}, + "source": [ + "\n", "\n", - "In manual patch clamp, just before the pipette is placed against the membrane, the voltage offset errors are \"zeroed\" by adjusting a variable offset on the amplifier until the voltage is found at which no current flows.\n", - "Unfortunately, at this point the pipette solution is in contact with the bath solution, and so an LJP exists.\n", + "_**Figure 2**: Fast capacitance correction aims to charge $C_p$ without affecting the current through the cell._" + ] + }, + { + "cell_type": "markdown", + "id": "104506b7", + "metadata": {}, + "source": [ + "In the diagram above, we have drawn an active component that somehow generates the current $I_\\text{inj}$, but does not draw any current from the node at $V_c$.\n", + "As an equation for $I_\\text{inj}$ we'll use\n", + "\n", + "\\begin{equation}\n", + "I_\\text{inj} = C_p^* \\dot{V}_c\n", + "\\end{equation}\n", "\n", - "Once the connection to the cell is made, this LJP no longer exists, but the offset used in zeroing still includes it!\n", - "Correcting for this (so a correction for an unwanted correction) is known as LJP correction.\n", + "where $C_p^*$ is the _estimated_ parasitic capacitance.\n", + "(In a real implementation a fixed $C$ is used, but an amplifier with a variable gain $A$ is attached, so that $A \\cdot C_\\text{fixed}$ can be made to match $C_p$.)\n", "\n", - "The procedure is as follows:\n", - "1. Use software to calculate the LJP, from the known bath and pipette solutions\n", - "2. Correct for the LJP either by adjusting the protocols before you apply them (so that the cell sees what you want it to see), or in the analysis (so accept that the cell saw different voltages than you intended, and account for this).\n", + "Note that the above equation is problematic for voltage step protocols, in which $\\dot{V}_c$ is either $0$ or $\\pm\\infty$.\n", + "This is less of a problem in practice, as stray capacitances and other factors will conspire to \"round\" $V_\\text{cmd}$ a little and make $\\dot{V}_\\text{cmd}$ finite.\n", + "However, it does still make matching $C_p$ and $C_p^*$ very difficult in practice, and [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) proposes a schematic in which\n", "\n", - "A detailed description is provided in [Appendix G](./appendix-G-liquid-junction-potential.ipynb)." + "1. $V_\\text{cmd}$ is passed through a 10 $\\mu$s low-pass filter.\n", + "2. A split is made, and the branch connected to the op amp is filtered with a further 0.5 $\\mu$s.\n", + "3. The branch used to create $I_\\text{inj}$ is filtered with a variable time constant between 0 and 1 $\\mu$s, which is manually calibrated to obtain a good step response.\n", + "\n", + "This means that, even with _perfect_ capacitance correction, we should still expect a rounding off of any voltage step protocols!" + ] + }, + { + "cell_type": "markdown", + "id": "a7a4b51d", + "metadata": {}, + "source": [ + "In this notebook we will assume the rounding is well modelled by other capacitances in the system, and proceed with the equation given above." + ] + }, + { + "cell_type": "markdown", + "id": "f2685571", + "metadata": {}, + "source": [ + "## Slow capacitance correction ($C_m$)" + ] + }, + { + "cell_type": "markdown", + "id": "30e5ad42", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "1c2fa5c9", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "3a492bbb", + "metadata": {}, + "source": [ + "## Series resistance compensation ($R_s$)" ] }, { @@ -70,6 +169,40 @@ "Filter 1" ] }, + { + "cell_type": "markdown", + "id": "2ff08723", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "60f633d0", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "2533053c", + "metadata": {}, + "source": [ + "## Models: \"A\" family\n", + "\n", + "A1: Original Chon\n", + "\n", + "A2: Original simplified Chon" + ] + }, + { + "cell_type": "markdown", + "id": "6cbe65f0", + "metadata": {}, + "source": [ + "## Models: \"B\" family\n", + "\n", + "B1: New partially simplified Chon, should be equiv to partially simplified Michael?" + ] + }, { "cell_type": "markdown", "id": "4e957331", @@ -95,7 +228,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/old-real-data-1-noise.ipynb b/artefacts/old-real-data-1-noise.ipynb deleted file mode 100644 index 969ce21..0000000 --- a/artefacts/old-real-data-1-noise.ipynb +++ /dev/null @@ -1,871 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Dealing with real data" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In the previous notebook we completed our fitting pipeline and tested it using synthetic data.\n", - "In this notebook we discuss some of the difficulties you'll encounter when working with real data.\n", - "\n", - "To illustrate this we will show some real data (recorded by Kylie Beattie for [\"Sinusoidal voltage protocols for rapid characterisation of ion channel kinetics\"](https://doi.org/10.1113/JP275733)), but we'll also take a step-by-step approach to build up a _model_ of the experimental noise and \"artefacts\" encountered in voltage-clamping, as was done in [\"Accounting for variability in ion current recordings using a mathematical model of artefacts in voltage-clamp experiments\"](https://doi.org/10.1098/rsta.2019.0348) by Chon Lok Lei et al., 2020.\n", - "\n", - "Some amazing background reading for this notebook can be found in [\"Electronic design of the patch clamp\"](https://scholar.google.com/scholar?q=Sigworth+Electronic+design+of+the+patch+clamp) by Fred J Sigworth, 1995. This is available as Chapter 4 of the (excellent) book \"Single-Channel Recording\" edited by Neher and Sakmann, but a digital copy of the chapter has also been made available online by its author.\n", - "A great (and much shorter) practical guide to patch clamp experiments can be found in \"Patch Clamping: An Introductory Guide to Patch Clamp Electrophysiology\", by Areles Molleman." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## General strategies for dealing with noise and artefacts" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "_The following discussion provides a high-level view of the issues that will be dealt with in this notebook. For a step-by-step approach, please skip straight to the next section!_\n", - "\n", - "Four strategies for dealing with noise and artefacts are:\n", - "\n", - "- Avoiding it\n", - "- On-line correction (with hardware of software)\n", - "- Off-line correction (post-processing)\n", - "- Modelling\n", - "\n", - "**Avoiding noise** is a major part of experimental setup and hardware design, and can include [shielding](https://en.wikipedia.org/wiki/Faraday_cage), removing sources of electronic inference (e.g. monitors, lights), using special power supplies (or batteries), checking for [ground loops](https://en.wikipedia.org/wiki/Ground_loop_%28electricity%29), and even cooling part of the measurement equipment to reduce [thermal noise](https://en.wikipedia.org/wiki/Johnson%E2%80%93Nyquist_noise).\n", - "\n", - "**On-line correction** using hardware filters is common in patch-clamp experiments, and includes correction of capacitance artefacts, series resistance compensation, \"zeroing\" the current, and low-pass filtering (all discussed below).\n", - "A major downside of on-line correction is that it can only be performed once.\n", - "In addition, most patch-clamp hardware does not provide digital readouts of the controls used to perform on-line correction, so that information about how exactly the signal was modulated is lost.\n", - "\n", - "**Off-line correction** includes leak correction and removal of any remaining capacitance artefacts, but may also include removing endogenous currents by subtracting a second measurement made in the presence of a current-blocking drug.\n", - "A downside of both on-line and off-line correction is that it invariably \"complicates\" the recording.\n", - "For example, to fully model a typical patch-clamp measurement it would be necessary to understand the ionic current, the way the cell and patch-clamp setup contaminate this recording, and the precise way in which hardware and offline software has attempted to remedy these effects.\n", - "\n", - "A different approach then, is to simply leave the noise and artefacts in, and **add them to the model used in the fitting procedure**.\n", - "The most common example of \"modelling\" the noise, is using a root-mean-squared error when fitting the data: statistically this equates to assuming a Gaussian model for the noise (so that the recorded current at any time point equals the ionic current plus a normally distributed random variable).\n", - "More complex modelling approaches are also possible, and will be discussed here based on [Lei et al., 2020](https://royalsocietypublishing.org/doi/10.1098/rsta.2019.0348).\n", - "However, it should be stressed that such an approach has downside too.\n", - "Mathematically, a model of ionic current plus unknown noise sources may be unidentifiable.\n", - "More practically, some forms of on-line filtering are unavoidable (e.g. low-pass filtering and pipette capacitance compensation, see below)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Finally, it should be remembered that patch-clamping is a two-way process: The cell is perturbed somehow, and we measure its response.\n", - "So in addition to errors in the measured response, we should also consider errors due to **imperfect control**.\n", - "Imperfect control includes lack of precision in setting factors such as temperature (often quoted as being in a 1-2 degree bracket) or external solutions (especially with e.g. fast wash-out or wash-in).\n", - "But the major factor that will be considered below is imperfect control of the membrane potential.\n", - "This includes static differences, e.g. due to the [liquid junction potential](https://en.wikipedia.org/wiki/Liquid_junction_potential), but also dynamical changes, e.g. due to the combination of the series (access) resistance and cell membrane acting as an [RC circuit](https://en.wikipedia.org/wiki/RC_circuit).\n", - "A common on-line correction for this is \"series resistance compensation\", but we will study alternative methods of dealing with the remaining series resistance effects below." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Modelling noise as well as ion current" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "A central idea in this notebook will be to differentiate between the measured current, $I_\\text{measured}$, and the current of interest, which we shall call $I_\\text{ion}$.\n", - "The relationship between $I_\\text{measured}$ and $I_\\text{ion}$ can be captured mathematically in a _noise model_:\n", - "\n", - "\\begin{equation}\n", - "I_\\text{measured} = f(I_\\text{ion})\n", - "\\end{equation}\n", - "\n", - "The simplest such noise models are _additive_, and take the form\n", - "\n", - "\\begin{equation}\n", - "I_\\text{measured} = I_\\text{ion} + I_\\text{unwanted}\n", - "\\end{equation}\n", - "\n", - "But we shall also see some more complicated forms.\n", - "In particular, we shall look at the case where the membrane voltage, $V_m$, differs from the _intended_ voltage, $V_\\text{command}$, so that instead of measuring $I_\\text{ion}(V_\\text{command}(t), t)$ we actually measure some function\n", - "\n", - "\\begin{equation}\n", - "I_\\text{measured} = f \\big( I_\\text{ion}(V_m) \\big) = f \\big( I_\\text{ion}(g(V_\\text{command})) \\big)\n", - "\\end{equation}" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# TODO: It gets trickier still: g is a function of I_ion " - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this notebook and the next, we'll show some variations on the diagram above, adapted from [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), to see how various noise sources can be understood and hopefully dealt with." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Stochastic noise" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "An additive noise source we've already seen throughout these notebooks, is\n", - "\n", - "\\begin{equation}\n", - "I_\\text{measured} = I_\\text{ion} + I_\\text{stochastic} = I_\\text{ion} + \\mathcal{N}(0, \\sigma)\n", - "\\end{equation}\n", - "\n", - "That is, we assumed the measured signal was the current of interest plus some stochastic noise with a fixed standard deviation $\\sigma$.\n", - "A model like this assumes that\n", - "- the noise in sample $I_m[i]$ is independent of the noise at $I_\\text{measured}[i-1]$ (or more generally, that it is independent of the noise in any sample $I_\\text{measured}[j \\neq i]$)\n", - "- the noise follows a normal distribution with mean zero and standard deviation $\\sigma$, where $\\sigma$ is constant over time and independent of $I_\\text{ion}$.\n", - "\n", - "This model can be used for noise that is truly stochastic, but perhaps also for processes that change quickly enough to _look_ stochastic, given our sampling rate.\n", - "Noise that _more or less_ matches these assumptions can arise from from the electronics e.g. [thermal noise](https://en.wikipedia.org/wiki/Johnson%E2%80%93Nyquist_noise), and [shot noise](https://en.wikipedia.org/wiki/Shot_noise).\n", - "(For more on sources of noise, see [Sigworth 1995](https://scholar.google.com/scholar?q=Sigworth+Electronic+design+of+the+patch+clamp), [Benndorf 1995](https://scholar.google.com/scholar?q=benndorf+low+noise+recording), or the [Axon Guide](https://www.moleculardevices.com/en/assets/ebook/dd/cns/axon-guide-to-electrophysiology-and-biophysics-laboratory-techniques).)\n", - "We might even expect some fluctuations from the stochastic opening and closing of the channels themselves: a 1973 paper by [Anderson and Stevens](https://doi.org/10.1113/jphysiol.1973.sp010410) showed that \"channel noise\" with a high enough amplitude can be analysed to estimate the number of channels in a cell.\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "It can be worthwhile to examine these assumptions, for example by looking at a \"boring\" part of an experimental result, where the voltage is stable and the channels are assumed to be in or near their steady state." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "import matplotlib.pyplot as plt\n", - "import myokit\n", - "import numpy as np\n", - "import pints\n", - "import scipy.stats\n", - "\n", - "# Load Cell 1 from Beattie et al.\n", - "log = myokit.DataLog.load('resources/sine-wave-data/cell-1.zip').npview()\n", - "\n", - "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", - "# During this time, V is fixed at -80mV\n", - "log = log.trim_right(250)\n", - "\n", - "plt.figure(figsize=(8, 3))\n", - "plt.xlabel('Time (ms)')\n", - "plt.ylabel('Current (pA)')\n", - "plt.plot(log.time(), log['current'] * 1000) # Convert from nA to pA\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We can try and visually inspect this data, for example to see how it compares to a normal distribution:" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA8UAAADQCAYAAAAuwcPZAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjQuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/MnkTPAAAACXBIWXMAAAsTAAALEwEAmpwYAABR4klEQVR4nO3debxV8/7H8dfnTJXSQEmEipDmpMxKhhS6pq7pNl0SpWu6ZM505SfDjXARQoToFk0qksyV5lASUpdCpahz9jmf3x9rnexOZ9h1hnWG9/PxWI+9hu93rc9e7dPa3/2dzN0RERERERERqYiSog5AREREREREJCoqFIuIiIiIiEiFpUKxiIiIiIiIVFgqFIuIiIiIiEiFpUKxiIiIiIiIVFgqFIuIiIiIiEiFlRJ1AKVB7dq1vUGDBlGHISIi5cScOXPWuXudqOMoy/RsFhGRopTfs1mFYqBBgwbMnj076jBERKScMLNvo46hrNOzWUREilJ+z2Y1nxYREREREZEKS4ViERERERERqbBUKBYREREREZEKS32KRURERESkVMjIyGDVqlVs2bIl6lCkjKpcuTL169cnNTU14TwqFIuIiIiISKmwatUqdt99dxo0aICZRR2OlDHuzs8//8yqVato2LBhwvlUKBYRERERKSUaDJqQ6/6VQ7qWcCTR2LJliwrEssvMjD333JO1a9fuVD71KRYRERERkVJDBWIpjF35/KhQLCIiIiIiEjIzrr322m3bQ4cOZfDgwSUaQ4cOHQqcq33GjBmcfvrpAIwfP54hQ4bkmXbevHlMnDgxz+OzZ89m4MCBAAwePJihQ4fuVLwPP/wwv//++7btLl26sH79+p06R5RUKBYREREREQlVqlSJN954g3Xr1u1S/lgsVsQRFezMM89k0KBBeR7Pr1Aci8Vo27Ytw4YN2+Xr5ywUT5w4kZo1a+7y+UqaCsUiIiIiIiKhlJQU+vbty0MPPbTDsW+//ZZOnTrRokULOnXqxHfffQdAr169uOaaa+jYsSM33HADvXr14vLLL6djx440atSI9957jz59+tCkSRN69eq17XyXX345bdu2pWnTptx+++0FxjZ58mQOPfRQjj32WN54441t+5977jkGDBgAwGuvvUazZs1o2bIlxx9/POnp6dx222288sortGrVildeeYXBgwfTt29fTjnlFHr06LFdrTPA/PnzOfHEE2ncuDFPPfUUwA5pBgwYwHPPPcewYcNYvXo1HTt2pGPHjgA0aNBg248KDz74IM2aNaNZs2Y8/PDDAKxcuZImTZpw6aWX0rRpU0455RT++OOPRP55ikUkA22Z2R7AK0ADYCXQ3d1/zZGmMjATqEQQ5xh3v72g/GZ2I/B3IBMY6O5Tiv0NiYiIiIhI0Zo0CP63sGjPuXdzOC3vZsbZ+vfvT4sWLbj++uu32z9gwAB69OhBz549eeaZZxg4cCD//e9/Afjqq6+YNm0aycnJ9OrVi19//ZV33nmH8ePHc8YZZ/DBBx/w9NNPc8QRRzBv3jxatWrFPffcwx577EFmZiadOnViwYIFtGjRIteYtmzZwqWXXso777zDQQcdxF//+tdc0915551MmTKFfffdl/Xr15OWlsadd97J7NmzefTRR4GgifScOXOYNWsWVapUYcaMGdudY8GCBXz88cds3ryZ1q1b07Vr3gO9DRw4kAcffJB3332X2rVrb3dszpw5PPvss3zyySe4O+3bt+eEE06gVq1aLFu2jJdffpmnnnqK7t278/rrr3PxxRfn989SbKKqKR4ETHf3xsD0cDunrcCJ7t4SaAV0NrMj88tvZocB5wNNgc7AY2aWXJxvREREREREypfq1avTo0ePHZoUf/TRR1x44YUA/O1vf2PWrFnbjp133nkkJ/9Z9DjjjDMwM5o3b07dunVp3rw5SUlJNG3alJUrVwLw6quv0qZNG1q3bs3ixYtZsmRJnjF98cUXNGzYkMaNG2NmeRYgjznmGHr16sVTTz1FZmZmnuc788wzqVKlSq7HunXrRpUqVahduzYdO3bk008/zfM8+Zk1axZnnXUWVatWpVq1apx99tm8//77ADRs2JBWrVoBcPjhh2+7J1GIakqmbkCHcH0kMAO4IT6BuzuwKdxMDRcvIH83YLS7bwW+MbPlQDvgo6J/CyIiIhWLmXUG/g0kA0+7+5Acxy083gX4Hejl7nPDYzWBp4FmBM/zPu6u57OI5C2BGt3idNVVV9GmTRt69+6dZ5r4kY6rVq263bFKlSoBkJSUtG09ezsWi/HNN98wdOhQPvvsM2rVqkWvXr3YsmVLvjElMrLyE088wSeffMKECRNo1aoV8+bNyzVdznjzu46ZkZKSQlZW1rZ9BcUKwbzBeYm/J8nJyZE2n46qpriuu68BCF/3yi2RmSWb2TzgJ2Cqu39SQP59ge/jTrEq3CciIiKFELa8Gg6cBhwGXBC20Ip3GtA4XPoCj8cd+zcw2d0PBVoCS4s9aBGRQthjjz3o3r07I0aM2Lbv6KOPZvTo0QCMGjWKY489dpfPv3HjRqpWrUqNGjX48ccfmTRpUr7pDz30UL755hu+/vprAF5++eVc03399de0b9+eO++8k9q1a/P999+z++6789tvvyUc27hx49iyZQs///wzM2bM4IgjjuCAAw5gyZIlbN26lQ0bNjB9+vRt6fM6//HHH89///tffv/9dzZv3szYsWM57rjjEo6jpBRbTbGZTQP2zuXQzYmew90zgVbhr8tjzayZuy/K77K5nSaP+PoSPLDZf//9Ew1JRESkomoHLHf3FQBmNpqghVZ8W79uwPNha6+PzaymmdUDNgPHA70A3D0dSC/B2EVEdsm11167rR8uwLBhw+jTpw/3338/derU4dlnn93lc7ds2ZLWrVvTtGlTGjVqxDHHHJNv+sqVK/Pkk0/StWtXateuzbHHHsuiRTsWjf75z3+ybNky3J1OnTrRsmVL9t9/f4YMGUKrVq248cYbC4ytXbt2dO3ale+++45bb72VffbZB4Du3bvTokULGjduTOvWrbel79u3L6eddhr16tXj3Xff3ba/TZs29OrVi3bt2gFwySWX0Lp160ibSufG8qvSLraLmn0JdHD3NeHDcoa7H1JAntuBze4+NK/84SBbuPu9YZ4pwOCCmme1bdvWC5oHTEREJFFmNsfd20YdR1Eys3OBzu5+Sbj9N6C9uw+IS/MWMMTdZ4Xb0wm6N8WAJwkK0C2BOcA/3H1zXtfTs1nKswaDJux0npVD8h7oqDxZunQpTZo0iToMKeNy+xzl92yOqvn0eKBnuN4TGJczgZnVCWuIMbMqwEnAFwXkHw+cb2aVzKwhQfOtXesVLiIiIvESaY2VV5oUoA3wuLu3Jqg53mGQTTPra2azzWz22rVrCxuviIhIQqIaaGsI8KqZ/R34DjgPwMz2IRi4owtQDxgZ9mFKAl5197fyy+/ui83sVYJfomNA/7AJtoiIiBTOKmC/uO36wOoE0ziwKm5skDHkUih29ycJapRp27ZtyTdlEymj8qt5rig1zCKFEUmh2N1/Bjrlsn81wYiVuPsCoHXONPnlD4/dA9xTZMGKiIgIwGdA47Al1g8EUyBemCPNeGBA2N+4PbAhe2BMM/vezA5x9y8JnuF5zzsiIiJSgqKqKRYREZEyxN1jZjYAmEIwJdMzYQutfuHxJ4CJBD9uLyeYkil+HpMrgVFmlgasyHFMRBIRS4efFsPqz+Hnr8GD6XFuTfmG9V6Vhd6IBVmN+IXqEQcqUraoUCwiIiIJcfeJBAXf+H1PxK070D+PvPOAcjX4mEhJqMofdEv+EJ5+GNbMg8xw4PaUKpCcCsB5yRlUYwtJFvQ6WOW1mZbZhpcyc21YKSI5qFAsIiIiIlLKNLZV9Eyewl+SP6CabYGMZtC+H+zTGvZtAzUPAAvGtmsxaALV+J2m9i0tkr6mTdIyLkh+h14pb8OIsdC2DzQ7B5L11V8kN1GNPi0iIiIiIjlUZzODU55jctoNnJs8k0mZ7Thr6x3Qbxacchc0OxtqNdhWIM62id34xJvwVObpXJ5xNUdufZS7My6CzWthbF948gT4Nt9ZSiVkZlx77bXbtocOHcrgwYNLNIYOHTqQPS1dly5dWL9+faHOt3LlSpo1a7bD/hkzZnD66afnmueSSy5hyZK8h3947rnnWL0653iLZZN+LhIRERERiZiRxTnJ7zMo5WVq8RsvZJ7Mw7FzWM/uADS4cWIBZ9jer1Tn6cyu3DLgUfjiTZhyMzzbGVpeACffCdX2Ko63UeR2ZU7n/CQyGnelSpV44403uPHGG6ldu/ZOXyMWi5GSUnTFrIkTd+7fvqg8/fTT+R5/7rnnaNasGfvss0+hr1XU92xnqaZYRERERCRCNdjEs6n3MzT1P3zrdTkj/R4Gx3ptKxAXSlISHNYN+n8Cx10LC8fAo0fAV28X/tzlVEpKCn379uWhhx7a4di3335Lp06daNGiBZ06deK7774DoFevXlxzzTV07NiRG264gV69enH55ZfTsWNHGjVqxHvvvUefPn1o0qQJvXr12na+yy+/nLZt29K0aVNuv/32XONp0KAB69atY/PmzXTt2pWWLVvSrFkzXnnlFQDmzJnDCSecwOGHH86pp57KmjVrtu1v2bIlRx11FMOHD8/z/W7atIlzzz2XQw89lIsuuohgeIg/a6szMzPp1asXzZo1o3nz5jz00EOMGTOG2bNnc9FFF9GqVSv++OMPpk+fTuvWrWnevDl9+vRh69atQFCoP/TQQzn22GMZOHDgtprpwYMH07dvX0455RR69OjBypUrOe6442jTpg1t2rThww8/BILa7BNOOIHu3btz8MEHM2jQIEaNGkW7du1o3rw5X3/99c788+ZKhWIRERERkYg0tZW8lXYzRyUt5paM3pybfjtLvEHRXyitKnS6Da74CGruBy91hxlDICur6K9VDvTv359Ro0axYcOG7fYPGDCAHj16sGDBAi666CIGDhy47dhXX33FtGnTeOCBBwD49ddfeeedd3jooYc444wzuPrqq1m8eDELFy5k3rx5ANxzzz3Mnj2bBQsW8N5777FgwYI8Y5o8eTL77LMP8+fPZ9GiRXTu3JmMjAyuvPJKxowZw5w5c+jTpw8333wzAL1792bYsGF89FH+zeY///xzHn74YZYsWcKKFSv44IMPtjs+b948fvjhBxYtWsTChQvp3bs35557Lm3btmXUqFHMmzcPM6NXr1688sorLFy4kFgsxuOPP86WLVu47LLLmDRpErNmzWLt2rXbnXvOnDmMGzeOl156ib322oupU6cyd+5cXnnlle3u7fz58/n3v//NwoULeeGFF/jqq6/49NNPueSSS3jkkUfyfX+JUKFYRERERCQC5yTN5PW020m2TLqn38aLmSfjxf31vHZj6PM2tPgrzLgXXj4f/vi1eK9ZBlWvXp0ePXowbNiw7fZ/9NFHXHhhMEX73/72N2bNmrXt2HnnnUdycvK27TPOOAMzo3nz5tStW5fmzZuTlJRE06ZNWblyJQCvvvoqbdq0oXXr1ixevDjfPrzNmzdn2rRp3HDDDbz//vvUqFGDL7/8kkWLFnHyySfTqlUr7r77blatWsWGDRtYv349J5xwwrZY89KuXTvq169PUlISrVq12hZbtkaNGrFixQquvPJKJk+eTPXqO0759eWXX9KwYUMOPvhgAHr27MnMmTP54osvaNSoEQ0bNgTgggsu2C7fmWeeSZUqVQDIyMjg0ksvpXnz5px33nnb3YsjjjiCevXqUalSJQ488EBOOeWUbfckZ7y7QoViEREREZES5Vyd8hoPpD3BnKyDOX3rv5jvB5Xc5dN2g7OegC5D4evpMOJU2Lim5K5fRlx11VWMGDGCzZs355nG4gY8q1q16nbHKlWqBEBSUtK29eztWCzGN998w9ChQ5k+fToLFiyga9eubNmyJc9rHXzwwcyZM4fmzZtz4403cuedd+LuNG3alHnz5jFv3jwWLlzI22+/jbtvF1t+4mNLTk4mFottd7xWrVrMnz+fDh06MHz4cC655JIdzpHd5DrR/dni79lDDz1E3bp1mT9/PrNnzyY9PT3XGOPvZ/a9LCwVikVERERESoo7N6eM4h8pYxkd60CPjEH8wo41b8WtwY0TafDGPpy/ZRCb1n7LyqEncMyg54p8YKuybI899qB79+6MGDFi276jjz6a0aNHAzBq1CiOPfbYXT7/xo0bqVq1KjVq1ODHH39k0qRJ+aZfvXo1u+22GxdffDHXXXcdc+fO5ZBDDmHt2rXbmkhnZGSwePFiatasSY0aNbbVZI8aNWqX41y3bh1ZWVmcc8453HXXXcydOxeA3Xffnd9++w2AQw89lJUrV7J8+XIAXnjhBU444QQOPfRQVqxYsa02N7sfdG42bNhAvXr1SEpK4oUXXiAzM3OXY95ZGn1aRERERKQkZGXBxGu5NGUiz8ZO5c7Y34q/uXQBPs46jIvTb2Jk2hBerXQnF6XfFGk8pc21117Lo48+um172LBh9OnTh/vvv586derw7LPP7vK5W7ZsSevWrWnatCmNGjXimGOOyTf9woUL+ec//0lSUhKpqak8/vjjpKWlMWbMGAYOHMiGDRuIxWJcddVVNG3alGeffZY+ffqw2267ceqpp+5ynD/88AO9e/cmK+x/fu+99wLB4GL9+vWjSpUqfPTRRzz77LOcd955xGIxjjjiCPr160elSpV47LHH6Ny5M7Vr16Zdu3Z5XueKK67gnHPO4bXXXqNjx4471LwXJyuoSrsiaNu2rWfPAyYiIlJYZjbH3dtGHUdZpmezlHU5a1yNLO5PfZJzk2fyWOxM/i/2VyCx5q2FkdcURDnjO8xW8kLavWSSzF4D3oY6hxR7bLlZunQpTZo0ieTaUjw2bdpEtWrVcHf69+9P48aNufrqq4v1mrl9jvJ7Nqv5tIiIiIhIsXJuTXmRc5Nn8mDGuSVWIN4ZS7wBf02/FcPhhbNhww9RhyTlxFNPPUWrVq1o2rQpGzZs4LLLLos6pB2oUCwiIiIiUoz6Jr9Fn5TJjIidxrDMsyhtBeJsy70+PdNvgC0bYNS58Mf6qEOScuDqq69m3rx5LFmyhFGjRrHbbrtFHdIOVCgWERERESkmf0maxU2pL/Nm5pHcHbuI0logzrbEG8D5L8K6ZTD6QsjIezRkkfIikkKxme1hZlPNbFn4WiuXNJXN7FMzm29mi83sjoLym1kDM/vDzOaFyxMl+b5ERERERLIdm7SQ+1P/w4eZh3FtxuWRD6qVsEYdgimbvv0A3rgUskpuFGAoeBofkfzsyucnqr/MQcB0d28MTA+3c9oKnOjuLYFWQGczOzKB/F+7e6tw6Vds70BEREREJA8NbQ2PpT7Mct+XyzKuIZ3UqEPaOc3PhVPugaXjYca9JXbZypUr8/PPP6tgLLvE3fn555+pXLnyTuWLakqmbkCHcH0kMAO4IT6BB38Jm8LN1HDJ/usoML+IiIgULTPrDPwbSAaedvchOY5beLwL8DvQy93nhsdWAr8BmUBMo3NLubb1N/6T+iAxkrkk/Vp+I7o+lIWad/io/rB2Kcy8H+q1gianF1lcealfvz6rVq1i7dq1xX4tKZ8qV65M/fr1dypPVIXiuu6+BsDd15jZXrklMrNkYA5wEDDc3T9JIH9DM/sc2Ajc4u7vF9u7EBERqSDCZ/Jw4GRgFfCZmY139yVxyU4DGodLe+Dx8DVbR3dfV0Ihi0TDHf57OQfaai7OuIkfqBN1RLvODLo8AD8ugbH9oPb0Yp+qKTU1lYYNGxbrNURyKrbm02Y2zcwW5bJ0S/Qc7p7p7q2A+kA7M2tWQJY1wP7u3hq4BnjJzKrnEV9fM5ttZrP1S5SIiEiB2gHL3X2Fu6cDowlabsXrBjzvgY+BmmZWr6QDFYnUrAdh6ZvcG7uQj7KaRh1N4aVWhr++ACmVYPRFwcjUIuVMsRWK3f0kd2+WyzIO+DH7IRm+/lTAudYTNJHuHO7KNb+7b3X3n8P1OcDXwMF5nPNJd2/r7m3r1CnDv+CJiIiUjH2B7+O2V4X7Ek3jwNtmNsfM+hZblCJRWj4dpt8Fzc7h6cwuUUdTdGrUh+4j4ZcVMPbyoDZcpBxJqPl0OLrzPsAfwEp3zyrkdccDPYEh4eu4XK5ZB8hw9/VmVgU4Cbgvv/xhnl/cPdPMGhE031pRyFhFREQk93lkcn4zzi/NMe6+OuzyNNXMvnD3mdtlDgrLfQH233//wsYrUuzi++vWYT0TKw3iF9+Xv8zuSmmfemmnNTgWTrkbptzI7bf8g5GZp+6QZOWQrhEEJlJ4edYUm1kNM7vJzBYCHwP/AV4FvjWz18ysYyGuOwQ42cyWEfRNGhJecx8zmximqQe8a2YLgM+Aqe7+Vn75geOBBWY2HxgD9HP3XwoRp4iIiARWAfvFbdcHVieaxt2zX38CxhI0x96OWnFJWWVk8UDq4+zOHwzIGMgf7NzIt2XGkZczPbM1N6WMool9G3U0IkUmv5riMcDzwHFh8+VtzKwtcLGZNXL3ETt70bCJc6dc9q8mGLESd18AtN7J/K8Dr+9sPCIiIlKgz4DGZtYQ+AE4H7gwR5rxwAAzG00wwNaGcEDMqkCSu/8Wrp8C3FmCsYsUq78nT+L45IXcnNGHZb5zo96WRvmNWL0HlzGp0iAeSX2EM9LvLr8/AEiFkmeh2N1PzufYbGB2sUQkIiIipY67x8xsADCFYEqmZ9x9sZn1C48/AUwk+HF7OcGUTL3D7HWBscGMTaQAL7n75BJ+CyLFormt4PqU0UzOPIJRmTvU2ZQ7v1CdqzOu4MXUe7k15QVuil0adUgihbZTUzKZ2YHABcD57l7QSNAiIiJSjrj7RIKCb/y+J+LWHeifS74VQMtiD1CkhO3GFoalPsI6anBDxqWUu37EefgwqxlPZJ7BFSnjeT+rBZOy2hecSaQUK3D0aTOrZ2ZXmdmnwGKCX4cvKPbIRERERERKsZtSRnGA/cTV6f3ZQLWowylRD8bOZV7WgfwrdQR1WB91OCKFkt9AW5ea2TvAe0Bt4BJgjbvf4e4LSypAEREREZFS5+t3uDhlOiMyT+MTbxJ1NCUuRgrXZvSjClv5V+oIdhyMXqTsyK+meDhBrfCF7n5LOPCVPu0iIiIiUrFt2QDjrmR51j4MjXWPOprIfO37cn+sOycnz+HspPejDkdkl+VXKN4HGA08aGZfmtldQGrJhCUiIiIiUkpNuQl+W811Gf3YSlrU0UTq2czT+DTrEAanPg8bfog6HJFdkt/o0+uAx4HHzaw+wdQLP5nZUmCsu99UQjGKiIiIiEQmfoqijkmf82zaizwWO5N5flCEUZUOWSTxz4zLmJR2I4y/Ei5+HaxiDDgm5UeBA20BuPsqdx/q7ocD3YCtxRuWiIiIiEjpUp3N3Jv6NF9k7cfDsXOiDqfU+Nb3ZkjsfPh6Onz+QtThiOy0REafrmxm15jZG2b2OtAVuK/4QxMRERERKT1uSBlNHdbzz4zLSFevwu28kHkyHHAMvH0L/PZj1OGI7JREaoqfB5oCjwCPAk3CfSIiIiIiFUI7W8pFKdMZkdmFhd4o6nBKHScJzvg3ZGyBSddHHY7ITsmzT3GcQ9y9Zdz2u2Y2v7gCEhEREREpTSqRzr2pT/NdVh0eUrPpvNVuDCdcD+/cBV9MgEO7Rh2RSEISqSn+3MyOzN4ws/bAB8UXkoiIiIhI6TEg5b8cmLSGm2KX8AeVow6ndDvmH7BXU5hwHWzZGHU0IglJpKa4PdDDzL4Lt/cHlprZQsDdvUWxRSciIiJFyswMuAho5O53mtn+wN7u/mnEoYmUTj8upl/ym4zJPJ5ZWc2jjqb0S06FMx+BESfB9Dug6wPbjd6d08ohqk2W6CVSKO5c7FGIiIhISXkMyAJOBO4EfgNeB46IMiiRUikrC978Bxuoyt0ZF0UdTdlR/3Bofzl8PByad486GpEC5VkoNrNq7r7J3b/NL03xhCUiIiLFpL27tzGzzwHc/VczS4s6KJFSae5zsOoz7s64gvXsHnU0pV58jfBuHM60Snuw4elLSOYeMkmOMDKR/OXXp3icmT1gZsebWdXsnWbWyMz+bmZT2MVaZDPbw8ymmtmy8LVWLmkqm9mnZjbfzBab2R1xx84L92WZWdsc+W40s+Vm9qWZnbor8YmIiJRjGWaWDDiAmdUhqDkWkXibfoJpg6HBcfw365iooylzfqcyd2T0pEnSd/RKnhx1OCL5yrNQ7O6dgOnAZcBiM9tgZj8DLwJ7Az3dfcwuXncQMN3dG4fXGJRLmq3AieHI162AznEDfi0CzgZmxmcws8OA8wmmkOoMPBY++EVERCQwDBgL7GVm9wCzgH9FG5JIKfT2rZD+O3R9ELCooymTpmS1ZVpma65JGUM9fo46HJE85Tv6tLtPdPeL3L2Bu9dw9z3d/Wh3v8fd/1eI63YDRobrI4G/5HJtd/dN4WZquHh4bKm7f5nHeUe7+1Z3/wZYDrQrRJwiIiLliruPAq4H7gXWAH9x99eijUqklPlmJiwYDcdeBXUOjjqaMswYHOtFEs7tqc9HHYxInhKZkqk41HX3NQDh6165JTKzZDObB/wETHX3Two4777A93Hbq8J9uZ27r5nNNrPZa9eu3dn4RUREyqSw1dUP7j7c3R8FVoXTLSaSt3PYPWm5me3QyssCw8LjC8ysTY7jyWb2uZm9VTTvRqQYxLbCW9dArQZw3LVRR1PmrfI6DIudTefkzzgxaW7U4YjkqtgKxWY2zcwW5bJ0S/Qc7p7p7q2A+kA7M2tW0GVzO00e537S3du6e9s6deokGpKIiEhZ9ziwKW57c7gvX2F3pOHAacBhwAVht6V4pwGNw6VvLuf9B7B018IWKSEfPgI/L4MuD0BqlaijKReezuzCV1n7ckfKSCqRHnU4IjsotkKxu5/k7s1yWcYBP5pZPYDw9acCzrUemEHBA3utAvaL264PrN7V9yAiIlIOmbtv+8HY3bNIbIrGdsByd1/h7unAaIJuS/G6Ac+HXaA+BmrGPe/rA12Bp4viTYgUi/Xfwcyh0ORMaHxS1NGUGxmkcFusN/slreWKlPFRhyOyg4QKxWZ2rJn1DtfrmFnDQl53PNAzXO8JjMvlmnXMrGa4XgU4CfgigfOeb2aVwhgbA58WMlYREZHyZIWZDTSz1HD5B7AigXyJdFHKL83DBH2Z8xzpWl2bJEoNBk1g8gN9+D0ji6M/P4kGgyZsW6TwPs46jP9mHk2/5Dc5wAozNJFI0SuwUGxmtwM3ADeGu1IJRqAujCHAyWa2DDg53MbM9jGziWGaesC7ZrYA+IygT/FbYbqzzGwVcBQwIZweCndfDLwKLAEmA/3dPbOQsYqIiJQn/YCjgR8ICq3tCZo6FySRLkq5pjGz04Gf3H1OfhdQ1yaJ0glJ8+mc/BmPxM5iNbWjDqdc+lfGRaSTwuCUkeTRw1EkEok0lzoLaA3MBXD31WZWqNnL3f1noFMu+1cDXcL1BeF1c8s/lmA6idyO3QPcU5j4REREyit3/4lg+sKdlUgXpbzSnAucaWZdgMpAdTN70d0v3oU4RIpebCuDU57j66x6PJ3ZJepoyq2fqMXDsbO5NXUUJ2fOYWpW26hDEgESaz6dHvY9cgAzq1q8IYmIiEhxCbsn3WRmT5rZM9lLAlk/AxqbWUMzSyMoWOfsHDge6BGOQn0ksMHd17j7je5e390bhPneUYFYSpUPh9Ew6UcGx3qSkVCdkeyqkZmn8mVWfW5PfZ7KbI06HBEgsULxq2b2H4LBMi4FpgFPFW9YIiIiUkzGATUInucT4pZ8uXsMGABMIRhB+lV3X2xm/cysX5hsIkH/5OUE3xWuKPrwRYrY+u9g5gNMyGzH+1ktoo6m3IuRwm0Zvalv67giZYdhhUQiUeBPYe4+1MxOBjYChwC3ufvUYo9MREREisNu7n7DrmR094kEBd/4fU/ErTvQv4BzzCCYUUKkdJhyM5hxT4YaL5SUT7wJ/808msuSJ8AvK2CPRlGHJBVcIgNtVSVo5vRPgl99q5hZarFHJiIiIsXhrbBvr4h8/Q4sHQ/HXavBtUrYvzIuIoNkmHxT1KGIJNR8eiZQycz2JWhq1Rt4rjiDEhERkWLzD4KC8RYz22hmv5nZxqiDEilxsXSYdENQS3n0lVFHU+H8RC2Gxc6CrybBV1OiDkcquEQKxebuvwNnA4+4+1nAYcUbloiIiBQHd9/d3ZPcvbK7Vw+3q0cdl0iJ++QJWPcVdL4PUipFHU2F9GzmaVD74ODHiYwtUYcjFVhChWIzOwq4iD8H4tCwfCIiImVQODL0xWZ2a7i9n5m1izoukRK1cQ28dx8cfBocfErU0VRYGaTAaffBr9/AR49GHY5UYIkUiq8CbgTGhqNMNgLeLdaoREREpLg8BhwFXBhubwKGRxeOSASm3gqZGdD5X1FHIgeeCE3OhJlDYf33UUcjFVSBhWJ3f8/dz3T3+8LtFe4+sPhDExERkWLQ3t37A1sA3P1XIC3akERK0MoPYOFrcMxAjXpcWpx6T/D69i3RxiEVVp7NoM3sYXe/yszeBDzncXc/s1gjExERkeKQYWbJhM92M6sDZEUbkkgJyYzBpOuhxn5w7DVRRyPZau4Px10D794DK2ZAow5RRyQVTH59g18IX4eWRCAiIiJSIoYBY4G9zOwe4FxA1TNSMcx+Bn5cBN1fgLTdoo5G4h09EOaNgonXw+UfQLJmgJWSk2eh2N3nhK/vmVkacHB46Et3zyiJ4MqaR99ZRtYOdeoiIlJWHNu4Nm32rxV1GMXGzJKAb4DrgU6AAX9x96WRBiZSEjathXfvhkYdockZUUcjOaVWhs5D4OXz4dMn4aj+UUckFUiBo0ibWQdgJLCS4OG5n5n1dPeZxRpZGfTwtGXEVCoWESmzdktLLteFYnfPMrMH3P0o4Iuo4xEpUdPvgPTNcNr/gVnU0UhuDu4MjU+Bd++FZufC7nWjjkgqiESmVnoAOMXdvwQws4OBl4HDizOwsujLu0+LOgQRESmECvI1+W0zOwd4w931S65UDKvmwOcv8J9YV+59YBmwLOqIJDdmQW3xY0fC1Nvg7P9EHZFUEIkUilOzC8QA7v6VmRWqkb+Z7QG8AjQgqIHuHo5+GZ+mMjATqBTGOcbdbw+PnQcMBpoA7dx9dri/AbAUyI73Y3fvV5hYd0ZyUgX5OiUiImXZNUBVIGZmWwh+C3B3rx5tWCLFJCsTJl4L1fbmkXVnRR2NFGTPA+GoATDrQTi8FxxwVNQRSQWQSKF4tpmN4M+Bty4C5hTyuoOA6e4+xMwGhds35EizFTjR3TeFhfBZZjbJ3T8GFgFnA7n9fPS1u7cqZHwiIiLlkrvvHnUMIkWhwaAJeR5bOaTrnxtzn4fVn8PZT7PpJQ2uVSYcfx0seJWlI/pyevo9ZJK83eHt/n1FikCB8xQDlwOLgYHAP4AlQGFrX7sR9FMmfP1LzgQe2BRupoaLh8eWxtdei4iISGLM7PjclqjjEikWv/8S9CU+4Fhofm7U0Uii0qpC53/RJOk7Lk6eFnU0UgEUWFPs7luBB8OlqNR19zXh+deY2V65JQrnUZwDHAQMd/dPEjh3QzP7HNgI3OLu7xdV0CIiIuXAP+PWKwPtCJ61J0YTjkgxmn4HbNkIXe7X4FplTZMzmZnZnGtTXmNC5pGso0bUEUk5VmBNsZkdY2ZTzewrM1uRvSSQb5qZLcpl6ZZocO6eGTaFrg+0M7NmBWRZA+zv7q0J+ky9ZGa59pEys75mNtvMZq9duzbRkERERMo0dz8jbjkZaAb8mEheM+tsZl+a2fKw+1PO42Zmw8LjC8ysTbi/spl9ambzzWyxmd1RtO9KJBc/zIE5I6F9P6h7WNTRyM4yY3CsJ5XZyg0pL0cdjZRzifQpHgFcTfArcmaiJ3b3k/I6ZmY/mlm9sJa4HvBTAedab2YzgM4E/YnzSreVoC8y7j7HzL4mmF95di5pnwSeBGjbtq1G3xQRkYpqFUHBOF9h663hwMlhns/MbLy7L4lLdhrQOFzaA4+Hr/mNEyJS9LKyYMJ1UG0v6LDD7zdSRqzwfXg6sytXpIzn5cwTmesHRx2SlFOJ9Cne4O6T3P0nd/85eynkdccDPcP1nsC4nAnMrI6Z1QzXqwAnUcCcimGe5HC9EcFDucBabRERkYrCzB4Ja3OHmdmjwPvA/ASytgOWu/sKd08HRhOMERKvG/B8OC7Ix0DN8EfwPMcJESkWc0fC6rlw8l1QWQOrl2WPxP7Cat+Du1OfJTnx+jmRnZJIofhdM7vfzI4yszbZSyGvOwQ42cyWEfziPATAzPYxs4lhmnrhtRcAnwFT3f2tMN1ZZrYKOAqYYGZTwjzHAwvMbD4wBujn7r8UMlYREZHyZDZB6685wEfADe5+cQL59gW+j9teFe5LKI2ZJZvZPILWYVNzGydEXZukKOzBRpg2OBhcq0X3qMORQvqDytyZ0YPDkr6lR/LbUYcj5VQizafbh69t4/Y5hRiQI6xp7pTL/tVAl3B9AdA6j/xjgbG57H8deH1X4xIREakAxgBb3D0TthVWd3P33wvIl9soRTlre/NME16vVdgKbKyZNXP37bpEqWuTFIUbU16C9E3Q9QENrlVOTM46gnczW3JNyhgmZB4ZdThSDhVYU+zuHXNZNEKliIhI2TQdqBK3XQVIZM6TVcB+cdv1gdU7m8bd1wMzCMYJESlSR9gXnJcyE44aAHsdGnU4UmSM22O9SCXGrakvRB2MlEOJNJ8WERGR8qNyXP9ewvXdEsj3GdDYzBqaWRpwPsEYIfHGAz3CUaiPJBiXZM2ujBMisrNSiHFX6rOs8tpwwvVRhyNF7Duvy/BYN85I/hi+fifqcKScUaFYRESkYtkcPzaImR0O/FFQJnePAQOAKcBS4FV3X2xm/cysX5hsIsEAl8uBp4Arwv15jhMiUlR6J0/m0KTvuSOjB6RVjTocKQZPZp7Oiqy9g5HFM7ZEHY6UI4n0KRYREZHy4yrgNTPLbtZcD/hrIhndfSJBwTd+3xNx6w70zyVfnuOEiBSFfVjHVSmvMy2zNVOzDo86HCkmW0njtlhvXvzlXvjgYU23JUUmoUKxmR0NNIhP7+7PF1NMIiIiUkzc/TMzOxQ4hGBgrC/cPSPisERy1WDQhARSOXemPosBg2O9AEswn5RFs7KaQ7Nz4f0HoOnZUEdzF0vhFdh82sxeAIYCxwJHhEvbfDOJiIhIqWRm/YGq7r7I3RcC1czsioLyiZRWpyV9yknJn/Ng7FxWeZ2ow5GS0PleSK0Cb10NroHqpfASqSluCxwWNokSERGRsu1Sdx+eveHuv5rZpcBjEcYkskt253cGp45kUVYDns3UgOYVRrW94OQ74c1/wOcvQpu/RR2RlHGJFIoXAXsDa4o5FhERESl+SWZm2T92m1kykBZxTCK75J8pr1CbDVyScR2ZJEcdjuyCXW7q3roHzB8Nb98CB3eGamolILsukdGnawNLzGyKmY3PXoo7MBERESkWbwOvmlknMzsRGA1MjjgmkZ3Wxr7i4uRpjMw8lYXeKOpwpKQlJcEZ/4b0zTDlpqijkTIukZriwcUdhIiIiJSYW4FLgX4EA229DYyINCKRnZRGBvemPs3/qMUDsfOiDkeiUucQOPZqmPl/0OKv0PikqCOSMqrAQrG7v1cSgYiIiEjxMbMU4F9Ab+B7ggLxfsA3BC3HMqOLTmTn9E8ZxyFJq+id/k82UyXqcCRKx10LS8YF/Yuv+AgqV486IimDEhl9+kgz+8zMNplZupllmtnGkghOREREisz9wB5AI3dv4+6tgYZADYJZJkTKhEPtO65IHscbmcfybpamv67wUitDt0dh4w8wbXDU0UgZlUif4keBC4BlQBXgknCfiIiIlB2nE4w8/Vv2jnD9cqBLZFGJ7IRkMvm/1P+wnqrcmaERhyW0Xzs48nKYPQJWzoo6GimDEikU4+7LgWR3z3T3Z4EOxRqViIiIFDXPbXpFd88ENO2ilAmXJE+kRdI33J7Ri/XsHnU4UpqceAvUagDjr4T036OORsqYRArFv5tZGjDPzP7PzK4GqhZzXCIiIlK0lphZj5w7zexi4IsI4hHZKY1sNdekjGFy5hFMzGofdThS2qRVhTOGwS8r4N17oo5GyphECsV/C9MNADYTDMpxTmEuamZ7mNlUM1sWvtbKJU1lM/vUzOab2WIzuyPu2P1m9oWZLTCzsWZWM+7YjWa23My+NLNTCxOniIhIOdIf6G9mM8zsATMbambvAQMJmlCLlFrJZDI09Qn+II1bM3oRjBMnkkOjE+DwXvDRcPju46ijkTKkwEKxu39L8D9PPXe/w92vCZtTF8YgYLq7Nwamh9s5bQVOdPeWQCugs5kdGR6bCjRz9xbAV8CNAGZ2GHA+0BToDDxmZprJXUREKjx3/8Hd2wN3AiuB74A73b2du/8QaXAiBbgs+S3aJC3ntozerGWHuhSRP51yN9TcD8b2g62boo5GyohERp8+A5gHTA63W5nZ+EJetxswMlwfCfwlZwIPZH+SU8PFw2Nvu3ssPPYxUD/uvKPdfau7fwMsB9oVMlYREZFyw93fcfdH3H2Yu0+POh6RgjSxb7kqZQxvZbZnfNZRUYcjpV2l3eEvj8OvK2HqbVFHI2VEIs2nBxMULNcDuPs8oEEhr1vX3deE51sD7JVbIjNLNrN5wE/AVHf/JJdkfYBJ4fq+BHMvZlsV7svt3H3NbLaZzV67du2uvQsRERERKTZpZPBg6mNsoBq3ZvRGzaYlIQ2OhaP6B6NRL9dvf1KwlATSxNx9g9nO/SdkZtOAvXM5dHOi5whHxGwV9hkea2bN3H1R3DVuBmLAqOxduZ0mj3M/CTwJ0LZtW426KSIiUgAz6wz8G0gGnnb3ITmOW3i8C/A70Mvd55rZfsDzBN8LsoAn3f3fJRq8lElXpbxOk6Tv6ZN+Hb9SPepwpJRoMGhCnsdWDukarJx4KyybCuMGwBUfQhU1u5e8JVJTvMjMLgSSzayxmT0CfFhQJnc/yd2b5bKMA340s3oA4etPBZxrPTCDoJ8wYb6eBHMuXhQ3xcQqgoHAstUHVifwHkVERCQf4Rgdw4HTgMOAC8KxPOKdBjQOl77A4+H+GHCtuzcBjiQY8CtnXpHtffsRlyW/ySuxDryT1SbqaKSsSa0MZz0Bm36ECdfBjjPSiWyTSKH4SoKBq7YCLwMbgasKed3xQM9wvScwLmcCM6uTPaq0mVUBTiKcMiL8pfoG4Ex3j5+IbDxwvplVMrOGBA/lTwsZq4iIiARdqZa7+wp3TwdGE4zlEa8b8Hw4LsjHQE0zq+fua9x9LoC7/wYsJY/uTSIA/PErvHEpq7wOd8UujjoaKUMaDJrw5/LIGoamnw2LxnDNzbmN6ysSSGT06d/d/WZ3P8Ld24brWwp53SHAyWa2DDg53MbM9jGziWGaesC7ZrYA+IygT/Fb4bFHgd2BqWY2z8yeCGNdDLwKLCEYGKx/2ARbRERECieRcTsKTGNmDYDWwA7jhGi8DwGCGr03r4Lf1jAwYwCb2C3qiKQMeyyzGx9nNeHO1Ofg56+jDkdKqTz7FBc0wrS7n7mrF3X3n4FOuexfTdAPCXdfQPDQzC3/Qfmc+x5AM3aLiIgUrUTG7cg3jZlVA14HrnL3jTsk1HgfAvD5C7Dkv9DpduZPyPMrn0hCskji6vQrmFRpELz+d+jzNqSkRR2WlDL51RQfRdAn931gKPBAjkVEREQqjkTG7cgzjZmlEhSIR7n7G8UYp5Rl65bBpBug4fFwzFVRRyPlxBr25IaMvrD6c3j37qjDkVIov0Lx3sBNQDOCkSRPBta5+3vu/l5JBCciIiKlxmdAYzNraGZpwPkEY3nEGw/0sMCRwAZ3XxOOSj0CWOruD5Zs2FJmZGyBMX0gpTKc9SQkJTL0jUhipmQdAYf3hg/+rWmaZAd5/m/j7pnuPtndexKMFLkcmGFmV5ZYdCIiIlIquHsMGABMIRgo61V3X2xm/cysX5hsIrCC4DvDU8AV4f5jgL8BJ4Zjgcwzsy4l+w6k1Jt0PfxvQTBicPV6UUcj5dGp/4K9DoPXL4ENq6KORkqRfOcpNrNKQFfgAqABMAxQkycREZEKyN0nEhR84/c9EbfuQP9c8s0i9/7GIoF5L8HckXDctXDwqVFHI+VV2m7Q/QV4sgO82hN6T1L/YgHyqSk2s5EE8xG3Ae4IR5++y91/KLHoRERERKR8+99CeOtqaHAcdLgp6mikvKt9EHR7FH6YDW/fEnU0UkrkV1P8N2AzcDAwMOgOBAS/9Lq7Vy/m2ERERESkPNuyAV7tAZVrwrnPQHK+jRhFikbTv8D3/eHj4bBfO2h+btQRScTy/J/H3TW6gYiIiIgUj6wsGNsPfv0Wek2AantFHZFUJCffAT/MgfEDYa8mULdp1BFJhFTwFREREZGS9+7d8OXEYPCjA46KOhqpaJJT4bznoNLu8PL5sHld1BFJhFQoFhEREZGStXAMvP8AtOkJ7S+LOhqpqKrXg/Nfgt9+DJrxx9Kjjkgioo4bIiIiIlJyfpgD4/rDAcdAl6FgGphcSkaDQRNy3b/ywuHwxiUw6Z9w+sP6TFZAKhSLiIiISMnYuAZGXxT0H+7+vKbDkdKhxXnw02KY9VAwj7FaL1Q4KhSLiIiISPHbsgFGnQtbf4M+U6Bq7agjEvnTibfB2i9h8iCovi80OT3qiKQEqVAsIiIiIkUir+apaWTwVZMRsPYLuPBV2LtZCUcmUoCkJDjnaRh5Brz+d+gxDvY/MuqopISoUCwiIiIixcbI4v7U/8A3H8JZ/4GDOkUdksh24n/M2YNLGZM2mD1GnM056YP52vfNM9/KIV1LIjwpASoUi4iIiEixuTHlZbolf8iQjPN54uXd4eUda5NVuJDS4heq0zPjBt5Iu52Rafdx9tY7+IlaUYclxSySKZnMbA8zm2pmy8LXHT5pZlbZzD41s/lmttjM7og7dr+ZfWFmC8xsrJnVDPc3MLM/zGxeuDxRgm9LREREROIMSB5L35QJPBc7hScyz4g6HJGEfO916Z1+PTXZxKi0f7EnG6IOSYpZVPMUDwKmu3tjYHq4ndNW4ER3bwm0AjqbWXbD/qlAM3dvAXwF3BiX72t3bxUu/YrtHYiIiIhInvomv8l1qa/xeuZx3BHrAWiaGyk7FnkjLsm4jvq2lhfT7qUmv0UdkhSjqJpPdwM6hOsjgRnADfEJ3N2BTeFmarh4eOztuKQfA+cWX6giIiIisjN6J0/iptSXGZ95FP/MuAwvoB4mrwG6RKL0cdZhXJpxLSNSh/JC2r1clH4zG6kadVhSDKKqKa7r7msAwte9cktkZslmNg/4CZjq7p/kkqwPMCluu6GZfW5m75nZcXkFYGZ9zWy2mc1eu3btLr8REREREfnTxclTuT31BSZnHsE1GZeTFdnXTZHCm5XVnH4ZV3GIfc/ItPvYnd+jDkmKQbH9L2Vm08xsUS5Lt0TP4e6Z7t4KqA+0M7Ptxu83s5uBGDAq3LUG2N/dWwPXAC+ZWfU8zv2ku7d197Z16tTZhXcoIiJSsZhZZzP70syWm9kOXZ8sMCw8vsDM2sQde8bMfjKzRSUbtZSkS5IncHfqs0zLbM2VGVcS05iuUg68m9WaKzMG0sy+YVTaPdRiY9QhSRErtkKxu5/k7s1yWcYBP5pZPYDw9acCzrWeoIl15+x9ZtYTOB24KGxqjbtvdfefw/U5wNfAwUX/7kRERCoWM0sGhgOnAYcBF5jZYTmSnQY0Dpe+wONxx54j7jku5Yw7vHM3t6SO4q3M9lyecTUZKhBLOTIl6wj6ZlzDwbaKV9Puoi6/RB2SFKGo2rOMB3qG6z2BcTkTmFmduFGlqwAnAV+E250J+iCf6e6/58iTHK43Ingoryi+tyEiIlJhtAOWu/sKd08HRhOMERKvG/C8Bz4Gamb/CO7uM0HfIsulrCyYdAPMvJ/RsQ4MzLhSBWIpl97Nak3P9EHsbb/wWtod8Ms3UYckRSSqQvEQ4GQzWwacHG5jZvuY2cQwTT3gXTNbAHxG0Kf4rfDYo8DuwNQcUy8dDywws/nAGKCfu+sBLCIiUnj7At/Hba8K9+1sGilPMrbA2L7w6X/gqAEMil2qPsRSrn3iTbgw/WZ2tz/gmVPhh7lRhyRFIJKf8cImzp1y2b8a6BKuLwBa55H/oDz2vw68XnSRioiISCi3+XR8F9LkfQGzvgTNrtl///0Tj0yisXkdjL4Qvv8EOt0Gx14D704sOJ9IGbfQG3Fe+m0899v/seeTp3JVxhVMyWq3XZqVQ7pGFJ3sCv2UJyIiIolYBewXt10fWL0LafKkQTDLkLVfwlMnwpr5cN5zcNy1YJqHWCqO5V6fv2y9i6W+P/9Je5jLkt9kJ34DlFJGhWIRERFJxGdAYzNraGZpwPkEY4TEGw/0CEehPhLYkD0Fo5QjX02Bp0+GjD+g10RoelbUEYlEYh01uCD9Ft7MPJIbU19maOp/qMzWqMOSXaBREERERKRA7h4zswHAFCAZeMbdF5tZv/D4E8BEgm5Qy4Hfgd7Z+c3sZaADUNvMVgG3u/uIkn0XUiiZMXj3Hpj1IOzdHM5/CWqqmbtUbFtJY2DGAFb4Pvwj5Q2a2kouz/hH1GHJTlKhWERERBLi7hMJCr7x+56IW3egfx55Lyje6KRY/fYjjOkD386CNj3htPsgtUrUUYmUCk4SD8XO5fOsg3go9THeTLsFFteGpn+JOjRJkArFIiIiIrKDBoMmAHBi0lzuS32KavzBzRn9ePDM+yKOTKR0mpHViq5b/8XwtGG0fq0nfNMHTr4LKlWLOjQpgPoUi4iIiMgOqvE796U8yTNpQ1nnNeiWfhdvZB0fdVgipdpqatM9/TY4agDMfhaeOAa+/SjqsKQAqikWERERke2tmMHkSoOox88Mj53Jv2PnkE4q8GcNsojkLoMUOPUeOKQL/PdyePY0OHoAdLgJ0naLOjzJhWqKRURERCTw2/9gzN/h+W6kewrnpd/O/bHztxWIRWQnNDgGLv8QDu8FHz4Cw9vDF5rLuzRSoVhERESkosuMwUePwSNtYembcMIgTksfwlw/OOrIRMq2StXgjIeD6cvSqsLoC+Clv8KvK6OOTOKo+bSIiIhIReUOS8bBO3fBz8vhoJPgtP+DPQ9k6xQ1kxbZVbl1M0jhRnonT+aqL18n5cvDeTHzZB6NdeNXqgOwckjXkg5TQioUi4iIiFQ07vDNezBtMKz+HOocCue/DIecBmZRRydSLsVI4anM03kz8yiuTnmdXsmT6Z48g6diXRmReVq+/fVVYC5eKhSLiIiIVBRZWfDVJJj1MKz6FKrXh26PQcvzISk56uhEKoT/sSc3xPryVGYXrkt5jWtSx9AnZRIjM09hZOxUfglrjqXkqFAsIiIiUt5l/AGLXocPhsG6L6Hm/tBlKLT+G6RWjjo6kQppudenX8bVtIwt54qU8fwjZSx9kyfwSmYHRmaeyjdeL+oQKwwVikVERETKgdyaXh5oPzD9+BUw/yXYsgHqNoOzn4amZ0FyiqZXEikF5vtBXJZxDQfGfuCy5Le4MHk6vVLeZlZmU0ZlnsTUrMOjDrHcM3cv+Yua7QG8AjQAVgLd3f3XHGkqAzOBSgSF9zHufnt47C6gG5AF/AT0cvfV4bEbgb8DmcBAd59SUDxt27b12bNnF8l7ExERMbM57t426jjKMj2bc5dIIXYPNtIl+RO6JX/AEUlfke7JTM5qx6jYSXzihwLqMyxSmtXhV7onv8cFKe9Q39ax1qvzVuZRjMs8hnl+IPF/w+prnLj8ns1R1RQPAqa7+xAzGxRu35AjzVbgRHffZGapwCwzm+TuHwP3u/utAGY2ELgN6GdmhwHnA02BfYBpZnawu2eW0PsSERERKXF1+JUTk+fROelTjktaSIpl8VXWvtyXcT6vZZ7AOmpEHaKIJGgttRie+RcezzyTE5Lm89fkGVyYPJ3eKVP4Nmsv3so6kmmZh4cFZCkKURWKuwEdwvWRwAxyFIo9qMLeFG6mhouHxzbGJa2avT8872h33wp8Y2bLgXbAR0X+DkREREQikkKMFraCY5MWcWLyXFolrQDg+6w6PJl5OuMzj+YL3w/VCouUXVkk8W5Wa97Nak11NnNq8mecmfQhlyW/Rf+U8az16vDfyXBQJ2hwHFSrE3XIZVZUheK67r4GwN3XmNleuSUys2RgDnAQMNzdP4k7dg/QA9gAdAx37wt8HHeKVeE+ERERkbIrfTOsnke/5PG0T1pKu6QvqGpbyXJjvh/I/RndmZbVhi9VEBYplzZSldcyO/BaZgeqs4kOSfM5KXkuZy59E+a9GCTaqyk0PA72aw/1j4Aa9TXFWoKKrVBsZtOAvXM5dHOi5wibPbcys5rAWDNr5u6LwmM3AzeHfYgHALeT+1Mg107TZtYX6Auw//77JxqSiIiISPHasgF+XAz/WwQ/LoTV84Jtz2RQKnydVY/XM4/nw6ymfJzVhPXsHnXEIlKCNlKN8VnHMD7rGM68/lRYMx++mQHfzIQ5I+GTJ4KE1faGfQ+HvZtD3aawdzOo2QCSkqIMv1QqtkKxu5+U1zEz+9HM6oW1xPUIBsvK71zrzWwG0BlYlOPwS8AEgkLxKmC/uGP1gdV5nPNJ4EkIBvPI/92IiIiImXUG/g0kA0+7+5Acxy083gX4nWAgzLmJ5K1wtv4Gv34L67+D9d/CumXw87Lg9bc1f6arsgfUawHHXQP1j6D1M7/wq+YwFZFQg5uzxxQ+FDiUFPpwqH1H66Tl3NXoD/hhbjA3uWcFyVJ3gz0PhNoHw56NYY+GUPMAqHVAUIiuoAXmqJpPjwd6AkPC13E5E5hZHSAjLBBXAU4C7guPNXb3ZWHSM4Ev4s77kpk9SDDQVmPg0+J8IyIiIhVB2KVpOHAywY/Qn5nZeHdfEpfsNIJnb2OgPfA40D7BvGWbO2T8Dn/8Giy//wKb18LmdbD5J9j0I2xcExR4N66GLeu3z1+5RvAFtVFH7pudxVLfj6VZB/Djllrwq8ESgBioQCwi+YiRwiJvxKLMRrzwKUA3KrOVQ+x7miR9R+PYDxz4w2oarZ5JfXuDJPuzbnCrp/CT12INe/Cj1+JHr8XPXoN1VGed1+DZKzpDlVrBUrkGJCVH9j6LWlSF4iHAq2b2d+A74DwAM9uH4NfjLkA9YGT4IE0CXnX3t7Lzm9khBFMyfQv0A3D3xWb2KsGjIwb018jTIiIiRaIdsNzdVwCY2WiCAS7jC7bdgOfDwTI/NrOaYYuwBgnkLXru8OvKoIYkK/bnkhmDrAzIzAhfY5CZ/ucS2wKxrcFrxpagsBvbEvTrzfgdtm4K1tN/gy0bYevG4DUrI/c4LBmq1oHq9aBWQzjgGKixL/0n/sL3XodVXodftuwO6w2+LtY7IiIV0BYqMd8PYn7mQdvtr0Q6+9o69rO17Gc/Ud/WUdd+YW9+pamt5MSkz6lqW//M8PT9cbkNKu0OlaoHr5WrQ1rVcKkW1EinVglfK0NKFUipFC6VITkVktOC16TUuNcUSIpfkmG3PYNCeDGKpFDs7j8DnXLZv5qgyRXuvgBonUf+c/I59z3APUUTqYiIiIT2Bb6P215FUBtcUJp9E8xbPIa1Kvw5ktOCL3cpVaBStT+/9FWrC3s25sV5v/Ibu7Heq7Geaqz3qmygGuu8OtNuOTeoVcmlSeKEtwqec1hEpLhsJY0Vvg8rfJ8801RhC3vab9RmA//t3SRsDfNL8LrtR8ENf/44uHFN+KPhpvCHxT/IY4inxHW+D47sV7hzFCCqmuJSZc6cOevM7NsiOl1tYF0Rnas8030qmO5RYnSfEqP7lJiiuk8HFME5SptEBrPMK01CA2HGD4IJbDKzL3cqwlLIhuT5RU5/kwXTPUqM7lNidJ8Sk+d9sqhGgrjjcuDyojhTns9mFYoBdy+ySb3MbLa7ty2q85VXuk8F0z1KjO5TYnSfEqP7lK9EBrPMK01aAnm3GwSzvNNnrWC6R4nRfUqM7lNiKup9qpjDi4mIiMjO+gxobGYNzSwNOJ9ggMt444EeFjgS2ODuaxLMKyIiEgnVFIuIiEiB3D1mZgOAKQTTKj0TDnCZPdjlE8BEgrFBlhNMydQ7v7wRvA0REZEdqFBc9CpEs68ioPtUMN2jxOg+JUb3KTG6T/lw94kEBd/4fU/ErTvQP9G8FZw+awXTPUqM7lNidJ8SUyHvkwXPLxEREREREZGKR32KRUREREREpMJSobiImFlnM/vSzJab2aCo4ylNzGylmS00s3lmNjvct4eZTTWzZeFrrajjLGlm9oyZ/WRmi+L25XlfzOzG8PP1pZmdGk3UJS+P+zTYzH4IP1PzzKxL3LEKd5/MbD8ze9fMlprZYjP7R7hfn6c4+dwnfZ6kRJjZ/Wb2hZktMLOxZlYz7pg+ayEzOy/8G80ys7Y5juk+xdH3z9zt7HesimhXvjuUZyoUFwEzSwaGA6cBhwEXmNlh0UZV6nR091ZxQ7wPAqa7e2Ngerhd0TwHdM6xL9f7En6ezgeahnkeCz93FcFz7HifAB4KP1Otwr6KFfk+xYBr3b0JcCTQP7wX+jxtL6/7BPo8ScmYCjRz9xbAV8CNoM9aLhYBZwMz43fqPm1P3z/z9RwJfseqwHbqu0N5p0Jx0WgHLHf3Fe6eDowGukUcU2nXDRgZro8E/hJdKNFw95nALzl253VfugGj3X2ru39DMLJru5KIM2p53Ke8VMj75O5r3H1uuP4bsBTYF32etpPPfcpLhbxPUnzc/W13j4WbHxPM1wz6rG3H3Ze6+5e5HNJ92p6+f+ZhJ79jVUi78N2hXFOhuGjsC3wft72K/L9oVTQOvG1mc8ysb7ivbjh3JeHrXpFFV7rkdV/0GdvRgLAJ4jNxTXsq/H0yswZAa+AT9HnKU477BPo8ScnrA0wK1/VZS4zu0/Z0P3aOvnvmIcHvDuWaCsVFw3LZp2G9/3SMu7chaN7T38yOjzqgMkifse09DhwItALWAA+E+yv0fTKzasDrwFXuvjG/pLnsq8j3SZ8nKTJmNs3MFuWydItLczNB08VR2btyOVW5/qwlcp9yy5bLvnJ9nwqg+yGFthPfHco1zVNcNFYB+8Vt1wdWRxRLqePuq8PXn8xsLEFznx/NrJ67rzGzesBPkQZZeuR1X/QZi+PuP2avm9lTwFvhZoW9T2aWSvBQG+Xub4S79XnKIbf7pM+TFCV3Pym/42bWEzgd6OR/zotZ4T5rBd2nPFS4+1QA3Y+do++eOezkd4dyTTXFReMzoLGZNTSzNIJBIMZHHFOpYGZVzWz37HXgFIIBNMYDPcNkPYFx0URY6uR1X8YD55tZJTNrCDQGPo0gvlIh/E8621kEnymooPfJzAwYASx19wfjDunzFCev+6TPk5QUM+sM3ACc6e6/xx3SZy0xuk/b0/fPnaPvnnF24btDuaaa4iLg7jEzGwBMAZKBZ9x9ccRhlRZ1gbHB3x0pwEvuPtnMPgNeNbO/A98B50UYYyTM7GWgA1DbzFYBtwNDyOW+uPtiM3sVWELQ5K6/u2dGEngJy+M+dTCzVgTNxFYCl0GFvk/HAH8DFprZvHDfTejzlFNe9+kCfZ6khDwKVAKmhs/Fj929nz5r2zOzs4BHgDrABDOb5+6n6j5tT98/87Yz37EqsJ367lDe2Z8td0REREREREQqFjWfFhERERERkQpLhWIRERERERGpsFQoFhERERERkQpLhWIRERERERGpsFQoFhERERERkQpLhWIRERERqVDMzM3sgbjt68xscAF5+plZj2IPbheYWQMzWxSutzWzYeF6BzM7ehfO95yZnbuTea5K9P6Y2Tgz+yjHvgFm1ntnrilSVFQoFiknzGxPM5sXLv8zsx/C9U1m9lgxXTPhB2AB5xltZo2LIiYREZEEbAXONrPaiWZw9yfc/flijKlIuPtsdx8YbnYAdrpQvLPMLAXoA7yUQNqaQBugppk1jDv0DDAw10wixUyFYpFywt1/dvdW7t4KeAJ4KNyu5u5XFPX1duYBmIDHgeuL4DwiIiKJiAFPAlfnPGBmB5jZdDNbEL7uH+4fbGbXhesDzWxJmGZ0uK+qmT1jZp+Z2edm1i2Xc1cLzznXzBZmpwlrer8ws6fNbJGZjTKzk8zsAzNbZmbt4mJ4wczeCfdfmss1OpjZW2bWAOgHXB3+SH5czhpgM9sUvpqZPRq+pwnAXnFpDjez98xsjplNMbN6udzPE4G57h4L88wws4fN7MPw/bSLS3sO8CYwGjg/e6e7/w6szJFWpESoUCxSzmU/HMP1wWY20szeNrOVZna2mf1f+GCebGapYbpdfQA+ZGYzzWypmR1hZm+ED+27wzRVzWyCmc0PH5J/Dc/1PnBSWNAWEREpCcOBi8ysRo79jwLPu3sLYBQwLJe8g4DWYZp+4b6bgXfc/QigI3C/mVXNkW8LcJa7twnTPGBmFh47CPg30AI4FLgQOBa4Drgp7hwtgK7AUcBtZrZPbm/O3Vey/Y/k7+d5J+As4BCgOXApYe1y+L3gEeBcdz+coDb3nlzyHwPMybGvqrsfDVwR5st2AfByuFyQI89s4Lh84hQpFioUi1Q8BxI8TLsBLwLvuntz4A+gayEfgOnufjzBQ3gc0B9oBvQysz2BzsBqd2/p7s2AyQDungUsB1oW6TsVERHJg7tvBJ5nxya7R/FnK6gXCAqmOS0ARpnZxQS1zgCnAIPMbB4wA6gM7J8jnwH/MrMFwDRgX6BueOwbd18YPhMXA9Pd3YGFQIO4c4xz9z/cfR3wLlAUNavHAy+7e6a7rwbeCfcfQvAcnxq+r1uA+rnkrweszbHvZQB3nwlUN7OaZlaXoPA/y92/AmJm1iwuz09AroV8keKkWhmRimeSu2eY2UIgmbBgyp8P3fgHIGGaNbmcpx6wNMe+8XHnWuzuawDMbAWwX7h/qJndB7yV41fr7AdhzoK2iIhIcXkYmAs8m08az2VfV4KC5JnArWbWlKDAe467f5nPuS4C6gCHh8/ilQSFZwj6OWfLitvOYvvv7DnjyS2+vMQIK8XCGuq0As5jBM/zowo47x/8+T7yi/OvQC3gm/A7RnWCJtS3hGkqh+cSKVGqKRapeLbCttrZjPBXaPjzoZv9AGwVLs3d/ZRczpPbAzD+AZ7z4Z4S/ip8OEHh+F4zuy0ujR6EIiJSotz9F+BV4O9xuz/kz76uFwGz4vOYWRKwn7u/SzAeRk2gGjAFuDK7ObSZtc7lkjWAn8ICcUfggF0Iu5uZVQ5bYHUAPssn7W/A7nHbKwmewxC0GEsN12cC55tZcthlqmO4/0ugjpkdBUFz6vAHgJyWEtQAx/trmOdYYIO7byBoLt3Z3Ru4e4MwlvPj8hwMLMrn/YgUCxWKRSSnwjwA8xX2e/rd3V8EhhKMPpntYILmYiIiIiXpASB+FOqBQO+wifPfgH/kSJ8MvBi2uPqcoM/ueuAugkLmAgumR7orl2uNAtqa2WyCAvcXuxDvp8AE4GPgrrC5c17eBM7KHmgLeAo4wcw+BdoDm8N0Y4FlBD9aPw68B+Du6cC5wH1mNh+YR+6jWU8iqDmP96uZfUjQperv4cBf+4dxE57/G2CjmbUPdx1D0KxcpESp+bSIbMfd08ORKYeFg4+kEDQvy1lgnUTQ12pnNCcYeCQLyAAuBwj7GP2R3dxaRESkOLl7tbj1H4Hd4rZXEgwmmTPP4LjNHfoZu/sfwGUFXHcdQZ/l3DSLS9crRzzx/W6/cve+Oc67LY27zyDo00zYQqtFjuscGbd+Y5jOgQF5xDyPHQu8OdN8a2Y/m1ljd18W7n7d3W/MkXTfXPK2gW0164vDeyRSouzPlpMiIjvHzMYC18c9AHf1PFcDG919RNFEJiIiUv6Y2WBgk7sPjTqWnMzsEKCuu880sxnAde4+eyfynwwsCwv4IiVKhWIR2WXxD8BCnqc38EL29E4iIiIiIiVFhWIRERERERGpsDTQloiIiIiIiFRYKhSLiIiIiIhIhaVCsYiIiIiIiFRYKhSLiIiIiIhIhaVCsYiIiIiIiFRY/w+ag1YZEHMoUQAAAABJRU5ErkJggg==\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "# Subtract the mean and show a histogram of this noise:\n", - "\n", - "noise = log['current'] * 1000 # Convert from nA to pA\n", - "offset = np.mean(noise)\n", - "variation = noise - offset\n", - "\n", - "fig = plt.figure(figsize=(16, 3))\n", - "ax = fig.add_subplot(1, 2, 1)\n", - "ax.set_xlabel('Time (ms)')\n", - "ax.set_ylabel('Mean noise (pA)')\n", - "ax.plot(log.time(), np.ones(noise.shape) * offset)\n", - "\n", - "ax = fig.add_subplot(1, 2, 2)\n", - "ax.set_xlabel('Noise amplitude (pA)')\n", - "ax.set_ylabel('Occurence')\n", - "ax.hist(variation, bins=50, density=True, label='Normalised histogram')\n", - "\n", - "x = np.linspace(-25, 25, 100)\n", - "ax.plot(x, scipy.stats.norm.pdf(x, 0, np.std(variation)), label='Normal distribution')\n", - "ax.legend()\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "So the data _looks_ to be normally distributed, although not with a zero offset (more about that later).\n", - "More rigorous tests of normality are available, but for large sample sizes like these, they tend to be _too strict_, and reject the hypothesis that the distribution is normal, for even very minor deviations from normality." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Another thing we can investigate is whether the noise in this cell was _independent and identically distributed_ (i.i.d.).\n", - "A quick visual way to do that is to make a plot of the _autocorrelation_, this shows you how much the points at any index $i$ correlate with the points at $i - \\text{lag}$.\n", - "For $\\text{lag} = 0$ this is $1$ by definition, but for higher lags this should be close to zero if the noise is iid.\n", - "One rule of thumb is to plot the lines at $\\pm1.96 \\sqrt{n}$, which correspond to the 95% confidence interval, and then check that only 5% of the autocorrelations are outside this interval." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "import pints.plot\n", - "\n", - "# pints.plot.autocorrelation expects an array of shape (n_samples, n_parameters)\n", - "# See: https://pints.readthedocs.io/en/latest/diagnostic_plots.html#pints.plot.autocorrelation\n", - "n = len(variation)\n", - "reshaped = variation.reshape((n, 1))\n", - "\n", - "fig, ax = pints.plot.autocorrelation(reshaped, max_lags=30)\n", - "fig.set_size_inches(12, 5)\n", - "ax[0].axhline(+1.96 / np.sqrt(n), ls='--', color='#cccccc')\n", - "ax[0].axhline(-1.96 / np.sqrt(n), ls='--', color='#cccccc')\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "So it looks like our noise is fairly independent!" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now that we now this, how can we use it to help us deal with this noise?\n", - "Because the noise is stochastic, we can't model it directly and subtract it from our recordings.\n", - "But we _can_ write a statistical model for our noise, and fit that to the data.\n", - "\n", - "First, we assume that at any point intime the measured current $I_m(t)$ can be modelled as the sum of a current model $m(t|p)$ with parameters $p$ and a random variable from a normal distribution with standard deviation $\\sigma$:\n", - "\n", - "\\begin{equation}\n", - "I_\\text{measured}(t) = m(t|p) + \\mathcal{N}(0, \\sigma)\n", - "\\end{equation}\n", - "\n", - "In the [\"basic fitting\"](basic-fitting.ipynb) notebook, we saw that this lets us write a _probability density function_ $f$ for obtaining a certain measurement _given_ a fixed $p$ and $\\sigma$, and that this could be used to define a _log-likelhood_ for $p$ and $\\sigma$ given a particular measurement $D$:\n", - "\n", - "\\begin{equation}\n", - "\\log l(p, \\sigma|D) = -\\frac{N}{2}\\log(2\\pi) - N\\log(\\sigma) - \\frac{1}{2\\sigma^2} \\sum_{i = 1}^{N} \\left(I_\\text{measured}(t_i) - m(t_i|p)\\right)^2\n", - "\\end{equation}\n", - "\n", - "where $D$ is a digitised set of measurements $D = \\{(t_1, I_\\text{measured}(t_1)), (t_2, I_\\text{measured}(t_2)), ..., (t_N, I_\\text{measured}(t_N))\\}$.\n", - "\n", - "In the basic fitting tutorial we observed that for a fixed value of $\\sigma$ the process of _maximising this log-likelihood_ is the same as _minimising the sum of squared errors_ $I_\\text{measured}(t_i) - m(t_i|p)$, and we proceeded using this approach in most of the tutorial.\n", - "\n", - "However, instead of passing in an [ErrorMeasure](https://pints.readthedocs.io/en/latest/error_measures.html), PINTS optimisers can also operate directly on a [LogLikelihood object](https://pints.readthedocs.io/en/latest/log_likelihoods.html):" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "from library import ModelHHSolver\n", - "\n", - "# Set up a simple fitting problem\n", - "parameters = np.array([3e-4, 0.07, 3e-5, 0.05, 0.09, 9e-2, 5e-3, 0.03, 0.2])\n", - "\n", - "protocol = myokit.load_protocol('resources/simplified-staircase.mmt')\n", - "model = ModelHHSolver(protocol)\n", - "times = np.arange(0, 15400, 0.1)\n", - "values = model.simulate(parameters, times)\n", - "values += np.random.normal(0, 0.05, times.shape)\n", - "problem = pints.SingleOutputProblem(model, times, values)\n", - "\n", - "plt.figure(figsize=(16, 3))\n", - "plt.xlabel('Time (ms)')\n", - "plt.ylabel('Current (pA)')\n", - "plt.plot(times, values, label='Noisy (fake) data')\n", - "plt.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Next, we isolate a bit of noise from the start of the signal to estimate sigma:" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Estimated sigma: 0.049648738865085064\n" - ] - } - ], - "source": [ - "noise = values[:1000]\n", - "sigma = np.std(noise)\n", - "print('Estimated sigma: ' + str(sigma))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "And we use this to create and maximise a [pints.GaussianKnownSigmaLogLikelihood](https://pints.readthedocs.io/en/latest/log_likelihoods.html#pints.GaussianKnownSigmaLogLikelihood):" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [], - "source": [ - "# Create a log-likelihood object\n", - "log_pdf = pints.GaussianKnownSigmaLogLikelihood(problem, sigma)\n", - "\n", - "# Choose a slightly random starting point\n", - "x0 = parameters * 2**np.random.normal(0, 0.25, parameters.shape)\n", - "\n", - "# Use an optimiser to maximise it\n", - "opt = pints.OptimisationController(log_pdf, x0)\n", - "opt.set_log_to_screen(False)\n", - "xopt, fopt = opt.run()" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "plt.figure(figsize=(16, 4))\n", - "plt.xlabel('Time (ms)')\n", - "plt.ylabel('Current (pA)')\n", - "plt.plot(times, values, label='Noisy (fake) data')\n", - "plt.plot(times, problem.evaluate(xopt), label='Fitted model')\n", - "plt.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Here we followed a two-step process, first estimating sigma from a small chunk of the data and then using this estimate to do the full fit.\n", - "But there's nothing stopping us from inferring $\\sigma$ along with the rest of the parameters!" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "9\n", - "10\n" - ] - } - ], - "source": [ - "# Create an unknown sigma log-likelihood object\n", - "log_pdf = pints.GaussianLogLikelihood(problem)\n", - "\n", - "# This log likelihood has one more parameter than our model!\n", - "print(model.n_parameters())\n", - "print(log_pdf.n_parameters())" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "As before, we can use an optimiser to maximise this log-likelihood, but now we need to pass in a starting point that also includes an estimate for sigma:" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [], - "source": [ - "x0_with_sigma = np.concatenate((x0, [0.3]))\n", - "\n", - "opt = pints.OptimisationController(log_pdf, x0_with_sigma)\n", - "opt.set_log_to_screen(False)\n", - "xopt, fopt = opt.run()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now the returned parameter vector includes an extra value for the estimated sigma:" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Estimated sigma: 0.0500420491593436\n" - ] - } - ], - "source": [ - "print('Estimated sigma: ' + str(xopt[-1]))" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This probabilistic approach opens up new possibilities for investigation.\n", - "For example, we could replace the assumption of i.i.d. noise with the assumption that the noise is correlated and would be better described by an [Autoregressive AR1 model](https://en.wikipedia.org/wiki/Autoregressive_model).\n", - "We can then replace our Gaussian loglikelihood by a [pints.AR1LogLikelihood](https://pints.readthedocs.io/en/latest/log_likelihoods.html#pints.AR1LogLikelihood) and compare the quality of fit.\n", - "\n", - "Instead of finding the maximum of the proposed likelihood function, we can also use [sampling methods](https://pints.readthedocs.io/en/latest/mcmc_samplers/index.html) to explore the full distribution.\n", - "If the model fits the data extremely well, this can provide an estimate of the uncertainty in the obtained parameters.\n", - "However, if there is a slight _discrepancy_ between the final model predictions and the experimental recording (as is typically the case in ion current electrophysiology), the results of applying a sampling method are much harder to interpret." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Periodic noise" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In addition to stochastic (additive) noise, we might also look for periodic noise.\n", - "An easy way to spot this is by creating and plotting an [FFT](https://en.wikipedia.org/wiki/Fast_Fourier_transform) or [power spectrum](https://en.wikipedia.org/wiki/Spectral_density).\n", - "\n", - "We start by defining a quick function to calculate a power spectrum:" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [], - "source": [ - "def spectrum( times, current):\n", - " \"\"\"\n", - " Calculates the power spectrum (or spectral density) of a (regularly spaced)\n", - " time series ``(times, current)``, and returns a tuple ``(freq, power)``\n", - " where ``freq`` contains a list of positive frequencies, and ``power``\n", - " is the associated spectral density (if current is in \"units\", the power will\n", - " be unit \"units**2\").\n", - " \"\"\"\n", - " # Import fft functions\n", - " try:\n", - " # Latest scipy\n", - " from scipy.fft import fft, fftshift, fftfreq\n", - " except ImportError:\n", - " from scipy.fftpack import fft, fftshift, fftfreq\n", - " \n", - " # Length of time series (assuming len(times) == len(current))\n", - " n = len(times)\n", - " \n", - " # Time-step (assuming points are equally spaced)\n", - " dt = times[1] - times[0]\n", - " \n", - " # Points in the FFT\n", - " points = fftshift(fft(current)).real\n", - " \n", - " # Frequency of points in the fft\n", - " frequency = fftshift(fftfreq(n, dt))\n", - " \n", - " # Select positive points\n", - " positive = frequency > 0\n", - " \n", - " return frequency[positive], points[positive]**2\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Using this function, we can have a look at the start of Cell 1's data again:" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "# Load Cell 1 from Beattie et al.\n", - "log = myokit.DataLog.load('resources/sine-wave-data/cell-1.zip').npview()\n", - "\n", - "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", - "# During this time, V is fixed at -80mV\n", - "log = log.trim_right(250)\n", - "\n", - "# Calculate the power spectrum\n", - "times = log.time()\n", - "current = log['current']\n", - "freq, points = spectrum(times * 1e-3, current) # Using time in seconds to get frequency in Hz\n", - "\n", - "# Show the results\n", - "fig = plt.figure(figsize=(16, 4))\n", - "\n", - "ax = fig.add_subplot(1, 2, 1)\n", - "ax.set_xlabel('Time (ms)')\n", - "ax.set_ylabel('Current (pA)')\n", - "ax.plot(times, current * 1e3) # Convert from nA to pA\n", - "\n", - "ax = fig.add_subplot(1, 2, 2)\n", - "ax.set_xlabel('Frequency (Hz)')\n", - "ax.set_ylabel('Spectral density (nA^2)')\n", - "ax.plot(freq, points)\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "So it looks like there's no particular frequencies that dominate the noise in this recording.\n", - "\n", - "Because we recorded at a sample spacing of $0.1\\text{ms} = 10^{-4}\\text{s}$, the highest frequency observable in the signal is half the sampling rate, so $\\frac{1}{2} 1 / 10^{-4}\\text{s} = \\frac{1}{2} 10\\text{kHz} = 5\\text{kHz}$.\n", - "Notice that the [Nyquist-Shannon sampling theory](https://en.wikipedia.org/wiki/Nyquist%E2%80%93Shannon_sampling_theorem) says something stronger than that; it says that _even lower frequency signals_ can't be reconstructed from a digital recording if frequencies higher than half the sampling rate are present in the signal.\n", - "A common way to ensure this is the case, is to use low-pass filtering before digitisation (so this is an example of online filtering that we cannot escape!).\n", - "Looking at the [published raw data files](https://figshare.com/articles/Sinusoidal_voltage_protocols_for_rapid_characterization_of_ion_channel_kinetics_supplementary_experimental_data/4702546/1) for this study, we can inspect the meta data (e.g. using [Myokit's DataLog viewer](https://myokit.readthedocs.io/cmd/log.html)) and see that this signal was indeed low-pass filtered at 5kHz before digitisation." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Now let's look at a different recording:" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "scrolled": true - }, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "# Load Cell 7 from Beattie et al.\n", - "log = myokit.DataLog.load('resources/sine-wave-data/cell-7.zip').npview()\n", - "\n", - "# Isolate a \"flat\" bit of signal, by chopping off everything after t=250\n", - "# During this time, V is fixed at -80mV\n", - "log = log.trim_right(250)\n", - "\n", - "# Calculate the power spectrum\n", - "times = log.time()\n", - "current = log['current']\n", - "freq, points = spectrum(times * 1e-3, current) # Using time in seconds to get frequency in Hz\n", - "\n", - "# Show the results\n", - "fig = plt.figure(figsize=(16, 4))\n", - "\n", - "ax = fig.add_subplot(1, 2, 1)\n", - "ax.set_xlabel('Time (ms)')\n", - "ax.set_ylabel('Current (pA)')\n", - "ax.plot(times, current * 1e3) # Convert from nA to pA\n", - "\n", - "ax = fig.add_subplot(1, 2, 2)\n", - "ax.set_xlabel('Frequency (Hz)')\n", - "ax.set_ylabel('Spectral density (nA^2)')\n", - "ax.plot(freq, points)\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This shows some very different characteristics!\n", - "\n", - "In the power spectrum plot on the right, we can clearly see two peaks around $3.2 \\text{kHz}$.\n", - "These are most likely from some piece of electronic equipment in the same room or, if the noise is transmitted through the mains or the grounding, somewhere else in the building!\n", - "\n", - "In the direct plot on the left, we can also see what look like some lower frequency periodic effects.\n", - "We can do a few zoomed plots to get a clearer picture:" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "fig = plt.figure(figsize=(16, 4))\n", - "\n", - "ax = fig.add_subplot(1, 2, 1)\n", - "ax.set_xlabel('Frequency (Hz)')\n", - "ax.set_ylabel('Spectral density (nA^2)')\n", - "ax.plot(freq, points)\n", - "ax.set_xlim(0, 200)\n", - "ax.set_ylim(0, 20)\n", - "ax.set_xticks(np.arange(0, 210, 10))\n", - "ax.grid(True)\n", - "\n", - "ax = fig.add_subplot(1, 2, 2)\n", - "ax.set_xlabel('Frequency (Hz)')\n", - "ax.set_ylabel('Spectral density (nA^2)')\n", - "ax.plot(freq, points)\n", - "ax.set_xlim(3060, 3240)\n", - "ax.set_xticks(np.arange(3060, 3260, 20))\n", - "ax.grid(True)\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Starting on the right again, we see two large peaks at around $3115 \\text{Hz}$ and $3170 \\text{Hz}$.\n", - "If we assume this noise is from something man-made, we might expect the frequencies to be nice round numbers, so it can be worth googling our frequency estimates to see if anyone knows what's causing them!\n", - "Judging from the fact that we see these clear signals in cell 7, but not cell 1, we might suspect it's something that gets switched on and off during the day, but it could also come from something like a fridge which switches itself on from time to time.\n", - "\n", - "On the left, we see a peak of unknown origins at $10 \\text{Hz}$, but also one at $50 \\text{Hz}$, which is a clear example of [\"mains hum\"](https://en.wikipedia.org/wiki/Mains_hum)." - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "So how do we use this knowledge?\n", - "\n", - "One option, especially when the peaks are as sharp as shown above, is to digitally filter out one of the frequencies.\n", - "We could also try fitting sine waves and subtracting them from the signal, or including the sine waves in our (noise) model.\n", - "But we could also observe that the strongest peaks are of a much higher frequency than what we expect from the current of interest, and that the lower frequency peaks are quite small.\n", - "So it might be fine to just leave the noise in, avoiding the risk of our \"corrections\" making things worse, and to present the data to our optimisation routine as-is.\n", - "Zooming out and observing the whole signal, this doesn't seem too bad an idea, and indeed this is the approach we took in e.g. [Four ways to fit an ion channel model](https://doi.org/10.1016/j.bpj.2019.08.001)." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "# The full signal for cell 7\n", - "log = myokit.DataLog.load('resources/sine-wave-data/cell-7.zip').npview()\n", - "\n", - "# Show the results\n", - "fig = plt.figure(figsize=(16, 4))\n", - "ax = fig.add_subplot(1, 1, 1)\n", - "ax.set_xlabel('Time (ms)')\n", - "ax.set_ylabel('Current (pA)')\n", - "ax.plot(log.time(), log['current'] * 1e3)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Summary\n" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "In this notebook, we \n", - "\n", - "- Briefly introduced the idea of separating the measured current $I_\\text{measured}$ from the current of interest $I_\\text{ion}$, and noted that the membrane voltage $V_m$ is not always the intended voltage $V_\\text{command}$.\n", - "- Listed four strategies for dealing with these distortions\n", - "- Looked at stochastic additive noise (which we dealt with using online filtering and offline modelling)\n", - "- Looked at periodic noise (which we decided to treat as if it was stochastic!)\n", - "\n", - "In the next notebook, we will look at some more complicated ways in which the measurements can be distorted, starting with capacitance artefacts and series resistance." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.4" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} diff --git a/artefacts/resources/patch-comp-1-Eoff.png b/artefacts/resources/patch-comp-1-Eoff.png new file mode 100644 index 0000000000000000000000000000000000000000..74c8b0dafa494112318ef09e5f6924fb6305dc01 GIT binary patch literal 12155 zcmaL7cT`hd^eq|)RcV5B5QP9DRYI?dQbJXF2~8l>Pz=2$epu85w7vz0cZfuQ}IR^Z7kfedY^%7eF8oGtA(wIS52W z0DhAh=zve6ybKBWVhl8}LxDg{2LIkve}g|Y1Ap=c>)Hle1R#RLTpxOX!otF2y#0Mq zZmxkIG64@g^L8}&K%lE2*xlQf;rZM15$zLJ=Y2n>qhzw9ZeI#ql*^W~cuz}!-wP`L zSao-BfOz>Gn~BkFUBfCS7)e3&=Eqo>a<65X8@Z48mmXcPzp>ma{OILY;O^Q{-$`;< zj(S+0I&=}&Qna>mlNHf98@+R&R;0R!8eaQE3LI|HNrkvv4dkm8sikRVZJr=$r9hQz zX1?~5RBf(f{izP=WvHE~8fo>YB{BaERVwxF!5M$KujWfK!1SrYz>9JORf*Xq>ILd# zd4j~v$7_ag;T=#u3fY^2ermzNi^dIsm0)7>ur-6+Ya1VV9t4ZNb)2J8S(`~LK);sX zmLr7hc@)hEVFIZBO2WOWCn5&_P26xxm!l7U>lhm&|Host0sZ%#%G!l*UBqf!sDT=P z4xI-51c(Ep`1s;brkW6F`F~aeVg=0)ZEa_4w}EP?^ucD-ML4_H;je;A2hwqe##X%_4lHsF-v28LhZ=6duKlwW8#Xkx-uub6gVD^*X z<)cJLfNB5THvy$Kt!FSJpaSV-<2(Hcm)>|vn}F|pj%ZZqJqoJ=_Nb+?L_JWv_IlY- zz}7E>$kJ~eTC5JSmv|+fR>$%APxnGf(2(;HJ~L_S&qq?Dw5?p)oA#-0ovxZe z&0XmKZ7JD`uozJ!Z>AX!lJ7ekU5Fc; zdhn9VmMZ!RN=gQSRm-Kk5MzmA4~Kp@Wyd{%^q1SUD6pO zUEoQVWXH}>d4fHuW~o?v_|tqhHE=DxXS1Z=N_C5S4vENQ{2equ28m`FTRj)mw0g;A zsz5NixbIYb7ud|IcU-n?z&0)|KrVlqPi=K?WXLgpdE{*I@+e63V>-^4M28JEp0)Nx zpkA$UrxlZ%@!M!$4Brwf@%j=H%AeC`>xjvrP`|9f$DnT#hlz1Re&OwDEsdmqW5Y0E z#1Kl3=4MN4M4Of5z6K;oE0Xu74z@zq(X z@L`hJT_OLcY*~{kLZ7+cP=|t@NKqfFUy?}ZJo|^H3gLK;S`uk$Ra90_@vb+Yt_`5Yq1jdQ z7*j-OAx@KSpMF@8z=~l-H<7j&bsj`blC)mjw6@U*m4o7N3}AyNpln?cNWoz4A|g0R z^&WXeqDGQY=$0~gmkNbdC;1iPnO`}i(28&PxZHvAr@AhB5dBSzp@Fmr#2_XJD?@ci z{fEQ%Ry7`Qc;*xC%@oT@+AO`1Md3utIVo?B(R1OeMf~M4(Yz;f?RyAeyXmq8r{z!B>ZiDJkrF_YOsk z*G<#}K7*Q-lV8F$kBsc^Tu+*k{vGxVrwJ|&U_Mq(l_Tu@c)Vel1RQuiZ7_>yB0{5| zgwUJS2M?C4W#2=;7eZJ|Zv15^Z8T4}i(BG?I%MwhzzOi%IbK~C_o9}R5m{<{Kf{;o zp!}Eh1FM69N3u(J;$b#Wd)_56vT5>mxFipKQ4|?~KtYf+(L&CssvHe|lh!yLvY(F8 zHU~879=Vgqit&Mghy08y(^|31CA^LlIl>Xce+;HClnNNyT@lc$mSWH8$_0eIPi-Fs zJIfQEGFGhzVU@s$ONI|jAag#!)fB+bxzdB*;4fj764kSqdfENssP_|`#7#u^3k2yj zq&6V%xhK>W=&l@!eD?+BqKIp*)8>GqDIJPOGlV3nr!wu(HfHQ=x&$mGXT(?$Yu`L^ zab7aJ`ElA>`r3ZBw8^{|qKLy^y7S6BSE!8Sg6Hahp@QO)(h{9Z^u8;uo-XH#;87V~ zTGZ-vDfJ9W&?V9`Z$(`RzjC$ATdf8_nhNfyVBhR&snt1E(X0N-53WJ#^a;e1}qZJL)zAM!|HnL%MKnR`5 z-5`3zzu<`T9(oLEpqHUB6*UGGE_`2qVQXn3x)_G)e6~2XMB|{7QbfvN1Y22uvj|stIk7@ zFSB&_^rhnl9}_UBlC?PcSY9`&q=?LWZt~nt5GMH()mp*g9(h(CT*;=ghd*Y)Q?o!V zq>c(qcX{%9l5cfOuaA$<&xc6ZYj$gNxXg!6ty}8QHf`B}9s7SF1xl(6W2tG#stxY< zT2j+-ro4JsV?Wk{TAQh;Zhb4fqhg+PMN=)HKe4uXt3SRD>HNaKC7CWZuSPjkdIfM5 zJM+||Z(bz7V%#~K3VXQR;_kFzCR?m>5WV@`8{|JG%3Lb8=1uk69hhO_aWw6!eQ!EW zQWASZ)bVx>{`4^(h7Bbho#s+15TUTnMRET754xDbug)l#k&jjq%XtjGvqCnXX-RHO zZ}jC*6uQj~v`uFxS)1oc)?bwkr{RDHweT9+c7%&`BlquMP9avkd{*tTw`~i>DOI(! zflu*{D;Fi4#z2L63{{e77UFeSpQlQ+z_kd`k$LpJ`8dBPZjQ$7BbCo!-$9Ab)RUPy zDW5u}OCsPw8`-Y2JH#sNDz{pWaFEUuq!rN?X5kQ6FfTDIOQi0c@9t{5^XZIm7XMo} zIe@l0bz+|6Bz~slnTM0TZhuz;$Jyl5ZSejztiqd{P@S|@LDMLEPXJdR;Bu-Izv$^O zQHR>e;3#eQlY4*1 z1GKqv#0liUYFr0<7#j>LBPLau)BhB~H9Hy&D?#sfrW|2JNPZqn*LqCJv=g##;TO+H zq}+M=^kD7Lr%&jOKK7u+NtKjeq*ty7tR9~gi!m0x)XK2dE5}OreQn5%#QAv6AHxYNHP3@)$vVTbe1(oF;(83^n>u6=qO3>3ZnW+~5Mw*i+iM@y^>(_A~hk>Kh;MJKl(jpP(3F>;T{*3OUT1XFR3RL*% z!Povu27?*K&(}UwGnd45iF&6qovz_1q%+-BxF9r-JB(>q@ngDO(7G9q*j(xuvtQR^ z5~nOEwVpo^k8`BF_zbYI^$2-MVGc24m;acRyr$mu35LP9UvQdm@qRA2S26mJ{#E|F z;;Fzxh5~hEOApVO%uHm9e>G~jYGe)9;zts$T&Y*vxs{J%Y4=#Ib3yzqi}eUv%TGuG z40s<~UxHtQC|VaUs;+?mCKDb)b0{Juv67EU0=U`#CWDFz_!vl!z1l#_6M&rzD^3S4 zU4qRovwUm`{>Kibf6U(%zG*}T1(dctSnjXngdnB8gP!zNVCi}Vi%>07|Dwoz=xmip zUXBdpWmnRRU+BBxePZ^Z$%ICTHfM$E9L0#CV zlzHDeHz7})Fn2skLg=Ub&7~A!ny7%)Zq@rvCl}v&`jc!VTdtpSMG(UeG0CWRoO{>O zIGApC#o#xeD=fPSz-JFL@-^(0R0SI{J=Q;af3kVy2G@LBnCDErcfa+_m*;mMlr@pz z@b-Kw|2c?+U3V5kV3A~8A?e|Y4D?hMjaNoHh;{@Z%yeg4sn$UJU^A+wuH)@0FPq6{;*{EE0kF)gFK7qfN{^;>9++VA?MV<3#zk!(Zdeu{%cI zN$TyHs~7rCN%rqaTHs+Xmqn3ywNOrC0OlGZ6o-dmVu^7VxV&)Br&Km6A6>T@;}#`z zRs5@QLx!`5a&mks&*iAJPC5nA+8lc23ozTlGS=E6oJr=sr_OozqQWnIZw_pg!mbhO zT zIX6Ezc*}?b_;PE%>Q_(m%5`qUA){R9JGWODI!#jL*h+4A5j~ndSEcePzF=qzxg<_> zqjWkukW2Jg%S`gJW(@NrHZ%Zp)_n!v%J(hMC5B*~#Eo;iG*-iNA>Q6ftS`-w$a%lV zyD_^_!8>y;WBPypobxA&gnV>6+L>1->T9%Gdsz<}lXn>x)zaB-t*xJ=4Ea5%2BWI) zMk5f5Kr}=|GOKiQt~p=#-u;@8Ak+FxH-SqrRAbhUV3N*#V4XYocy$3Wclns%ShLt{ z=~qU~?pL+z(`wj1Af~I9{p&Y299=|QgrHH{Q%i6^lHaw!fW|c=HPMi}=eOwvGZJdi zkul!<85YjDcxH3}j*=eKXFhEIFfRy$({x3c;V4EDDWwq!!ycO#TsU2cFxpzpJ+ydT zew5I$)7{-I0GYlP-w1g@W8k| zf}E6L>Ut?1kZl?73cm-}?@Y7Xvq)kpI%u~IP3$GN)k_x))wgOtd=4HrX`@PqIIdOI z&-wI^o=r2xAj+poH5Pe39rE{y#d~Plf0yXKmEkVr6y-8_z5falaj`B@oQqy4y4K2a zqUI&d#3qe%qn}-g^W9e$cK>5c53d=rOO4=)IbF!Vx5e$k3QJ(jR9o%g#wFYV$9VVY z$9RiQ-q@L};0{}i345?UTAauWMcflkQ?VzPBa|d|J`dbB!cwTAQLkF)jeau*%E{3; z_mZ;o7vBIB7vV@hm$e{qW8oyY184GjcH zLH6&zu|}|&qE^|+718qn(v=~TkGPNx@?(DgX3R>mdNM$4{nP^%+WSQ38S=S9SevE3 zm5vybdx(tj!tII^Rn2F!Y#*o!oE>wVtO5f~hX_T~ZwiiwdwtwY|2`25-Q7G$!Epa~}z!*PtY* zdcwVKMjgkt_t;PxfANX#S*CTqZL>dZ;(KmOSD|_HkD5Cn?6V5SGl0O)yq{gk$NlVFDxqdM?MDlFf++A;E$ zz1iB_!phnHty@{rg5hA*su&@g@!Y;VbHD~v!T7pd&DH@sdgH5_6m zPe>7+XUT^(>vrJFQSe!FD=XdIg$`cz(8`#f{o)1aa|UN@UX;G)DP7|(NTBdPHVZ4I zMkvKF;WwF1jQ#m;gtPsiq9hJSNm3y2HRGz%_qF6^NKVhQZt+q{(a2EICvPu)o#a^lVfE-38%%>T{^Q^7FmYB10+7~Id-O3CNhl-I~Q1v zwf43%kiv5nS~-DH52JMCH);HGAHtPgJ|NoH)QQA;WCufBj&_2Rvw_zoYj6PgRBv{K z?vHHbAGuo3{WEnU08G-v{&3Eby zNHC|4zF4F&OaUARUTPcbTwzG>PN8PG3Yo@BGQ=61R26-L=P3m&XxzAQ<8$<{Aynji zf4VqoK;repaG|C;)f^JhRevy@F_`;UU2o*uvVBYF#C`oAt9b#|_=D2(x%OT+z0%G? zN{{=M_rX*l9BZ}ZboJYPmGQ+kf|9+K zYYXFr2gQ|x=F|~~I{w1GvvjOiNLdd4{UOti+3A~Aw(lMd%Cse>iRc57!S-(RMUG7* zQOD@8R1i3!OgwTfvnRjSw7ql?KfO5?x z|5+0#K^5D1G2hg{y$#$!@zQ! zvNbU=8Mb#Tz3x+5ni9TmZT~{2fiwdKW6a*<-EzE#Xfz<>h<9NZ8J=&i-8T+n*SjBk zLF0ndi`7bLnk*cJygrv8Du_gE@`dx;@W@^&i%=-jbT!!jDVFSzll}~Dif`rC9)IK;|!{!`1>{Celt`KKV)tIrZ}j~PoX zs32D6Ha*uSXV%louW!>&(QRtzjl0`h%r(jfN6`;v%X-eYw(f@bPFH&jxibVp0?lRgaBO;Ne0!(O`t2EHu+Y%w{KYY)vE4VK zDHj1GC|jI#wL7bxin6q^nYRCm2D5nmJnoD+wYMPa-H4?=ySlSzl^nNgmxS<3_WJ0? zthM}ZEQ{iz(@~drZa&QUyeEExL;8o$_|uGrm^zK!x7ChqS8lyo{qXsnn_Xk&4qadB zwL72P(@l8P>Z`vyPFFi_Izlp=dhNyUNN%^?KS))YHk53cO~kgQo=n|;pF{Bs>g=j; zLr7v~u{HcBr?!X?E7utXXp}_WFNn1FboGwN%BJ(*-;t+n!5iI(Jfz-8q2{<*Ro`jv zL-8uvDhG#cBL`WWuBelBE=7{!bdM}EF{yb7}__rQZ`qv zgX18-vJ2pG7sW<40nToABg;A$s6-2rwl82LEzL$evG@4Nu4?-OB?OoVQXKFhIyH#?M-45>HZ$Z)t&7sQ_FfPdYOlSth*;&oIBu00<8*)|$)~1y`2uNc z=S_FVqP0{lKsS+An+q+?p}M*~cGyC#)f_idK?SeTOX|DrzfLkUGyi7RTYz6D(*Bkx zRY=EIVeWXlG^DQ%OtZ^Xb3(QaZP|h=yevFjj2}uo^P*YoLKl_gk6%%FzSp-5_cM2J z+XWt6_+`KZpKq{~?hzAE9ZO^s8*+lq<+ucdki z#)~(1v+K4!iBQC$Dc4O1|%yOf-+IrqiPt`y-)jnUQB?B?a0fG3)&e#@8vc%?E$S?N={?GKgT>m?D} z4b$xZ(X*~rx9#v<-{`{@Qm=XjwbX=ekaf>bkN!Tq{J&2jjGekT%f7LdWCP9JZWo*|qJKcOM{A&a!$$TDmQs?cD zkcCBZtQ#5lon!JymDc8--Ona^;ywHe#U`LqKalxmtQep!~ZwHVa*?K=>M z1Scn*W0`E&=n$3u$BA@EgD0v55@Bro@?6ah8$5yMa#roHj z?MC?5G<^V3Zf(!CplIj35NjT}%i{vr&Yu*|q7Kw7vPm@d_jsz+ba5y&s6NyAA$xA)*Sk89p+Ur(XyuIl+3x1#tF&O*xU{2yRUYU+Jq8R=Wu*r>EQ zW!nlA`zjzq&8ItUr%eHiv8S1mHl4TDdu>NQmMe2`8O9Eg%zaD!Z#Q@3)TiEi!#mX_ zVpAih)20NMcy$?)ejh2)$0V-MA!Ize@L6@(;~!-4X@tv7JI84vLk`x=-vw`&KVjnS z&bjGk0AUvbzs{v_w8RQQ^Jt8HzNn%~HmEk7(F-((qLu16P$zTZwYkVMzW9fa;`Mmq zWxsRYd&POnDdHQaOxM}ZM_s$95zXoS+dz+Mmuk01@To69my~U4^G@p~=#Q0!1uDxd zR>m|%>-Y871)=snzFTJx`1d^!Bc7i%F7t5D2hGp}ZMwqjdNv-h4Hliv?eq2Hp7ZZfuTi!5{Ke;8>h{|_ zu$GS`WAZSOYnv>yZ@}^>LL`z9O-VjJjn3*j`;#P3_(rslsBt!l_#<(UcQ~xr7e6yI zV*v#Fd~ReXme5tRz49+|B0S zWP7)Zj8*UGmy;_-`HT?C9IKL?4R3mV}RGWsZYVdkQ)h~pK{^H!HAtRwQBpMdGbHA|H>xUZ;#oAN@q2v)1d!EIB*Kpsl4 ziqA#2G*2a9V?l6cKQjZLzhKkBo`bwE2h<%YsJkE1)IGj)WYyD-UTp5$<;rM!&LRHr zd->~F3SWZZ{f3h%+qc;EAumKaWScSn&h_fH0aDpVfh^QXt@s`*%mH!TKSpT}2~;AV zG{dRFeJf848%Jw#lR1>@-NclN<>bB=jT2?p$>%HP%6g@-rez)p@BA+p%wF>xYiY-+ z1PQn8wE7MK{`&uTS$s;#=v z>`Z>DdSP6#vaTE~zn{dcKsdd~=0MqVx5mHn=+@Vl6-p1T#?AA28p~L_VTw3bZ3-FM zag{9+<$Nkd_dL#|mr}er$uZ6^AkMLpT0Wrk5X*!Z?Lu=2i^006I zA4yu-!K`x6YQtTfj~`mDuwtZ1emMLhl|xy9`h9cl?!|R$B8q5N_-Pp$w0zf8eY<`p zMf;Bmrm6Q~ce&f`z@mDS>JN4Qwv;R5{XU-D_s+cFOBiPNe~@t zJVawrqa;7Ykc-^0{UrZP1=jFx63cZp0fS!LEm%KCu_jkaw$@1s@;T(d^x^Z zfR~%!zyA)TGW6GZ81Mky0g``qFn29@FIFL9swI-Nr3D+|$jT7UF`UNv`6XaY3 z@L`lpKhVh0Q(ii5{tu85LAr+4^HHi-y}bqoC*yBOj^t6=B>Du5ZT$}3uZK8ye?J&u zUu7#~wghK~W4>hl_$QtD*2}PDphH`9hw(su5gUAa;O42`Y3hE+l8jFAW8L_2l@11Q z1eyCmh{@jnTt2)z7pJCVP{bFww20;PlCr3zvZ#4#;a5awXe>PU{lav9}HdDnP32f#{1 zlQQZp$$l_>12t!|Fl^UIO-rruDZZ_QM=PmXx%OUHO7x93cQJ2ISX|nEJ-$!$+|)d~ zdF91_cthB!zAhr&*Iu!u5sQ*}?L-rKcch7~k-m`X3HTRU+3 z1z)3(ALYrNcl9`9vZxvmhjt2|OXzI<5ra^;+vUJUT+Hq3GUwVZvl-``44a>a#36Mo z#Gd<#IX{Tj*tf6TY&fh(Y>|j@^ej3ZwNL0R^TXos!|`7gHSA5l6nfnpyo0HZ4=Efd z#18;3b%59hPI_cJHufy{B-quu+@1s&)vT<2{j>WedLcWb#UDH-Cnr;kd}O`dV0mjQ zPx?d)G6sFsY`Pn1SL=WBZ88|$>R@fZAn@UL(V|eE9dbWf`kI88O`k32d7go)QV6== z&!x?}m%FVFh9Cyrq797s-n;HF{AWV3-$AN%&5v0V{dlYx%BOBhp7C?@ss@PR{k~+u zuD{(EW$XFn-5DHPC+1xNo(KI$l@F$!YbvX&wQ3#PLVq!oyy3F6vWgMg=*|cTsCow; zMc8)_E8aC%*ICBRz3_cDx~s&!?tz#`-;5t=!-q&WyHBs@!W{0=m5vU0E3C*6Hxbsd zt~nHaAS!JWdy}?muFh2yn#?!7H1^@A0AeZ!OG`_*xt(3aBOEgFVA5v1L_dWtWzqGi zAdhxz^fxf8Mr{z#dU*5p?Sp{~3CAbQ{Gt7I9)pMJ!EnpsdDUroe#7sE$9~&%@Vo1; z`Q~5v`rq}MPf{kZ0?G(e{2%Jhp@y44wexVjnv{Y;Ib3qSfBm)lRAJzV>KbXQI~uOc z4T&jz`_|`svii>FZvaz7c8!~=kF|yEijAnB?R`)^+iMlA2?grakwE_;c0}{&>`Jl4 z@$i}MHk^{1`ZJnyPbJV`;K5sI1j0zvk#$bo`-baMFU`{Eo2b%KYidu}Z%)@pqF{V% z1Genp3AN0IvCA`DjOd5X0B{cFBDRs%luC{I>80G=5$Y~lYtZXFyN=Ar1gnscgLKWP zLybT(9+nCCM^)znqj>&3)Zg=?uEx;qnNGx^Q<)p20F-!>kXU5}+XaaLBAN>D!_Bl{ zCRe#zN^0y{3=RbL53z{GH=JwPRc~~&Ec3j}xnY9nc${>$C1bGh6>es8hsn{0X4ppY zdh#D%L`BKbDK*D{4CJTMXPm zAD%E*9PfQ#4J*3o)@uoi${G}kP8%~i{$qh&&}}7%dm$9efZfeCEqcyrsMFz&#(QNr(1*(J*elfhLDQ5 zCwEvC0%3N^=U6p6Nt773%pxo_AcoT&26KT#7&$nyIsWu%1F&kWDs!L&lXhLu{TZQ& z^{3|J?{uPyPTETf3lZr97*SBtRzUd?2r*JPB-6HqB_uQkEEcY$Xm_5s?RK^~56gVd zPbk0*QFjW337p)s?w8`jD6{iOl*^BQ>Xq6yUibAfpmi zRgHmqZcXo@(M*QibY%*zBdg*FnfxykirlPf(n2Dbc;)dAn&v}&Cl9iu?7FRN9DDAt zYTiX6w+~E;(#8n!WDOauJacWFV(%JlE7n@6gf~x;kFD- zriiiAT?h9tY}L#*6K`*UJf9pN@q(8XK)8ZK^B%7CX;hDf&(^$q^WF z$-X`cB?(1%GjBWjwsBIsPlBYK$E>{XGu$NG6suw9AK~7>hux$ya2ssK_2BztTa8nP z+W2U%AX*ZQiSPVqY)vIpV@Fs`dh&$64LkPqw{B?^bsQ}VDe$bDX*OSy7b%0wBzQU5 z-a&mhOfEF_8lo|^;UoF^{8oZZj&*uo6iP##SeZ8P7NCY3?*G5aQvkPfrR^!99NpBI z%nKFYsM`?;QRk3ZRhw2oY?k#o;U0CRU~%oQnE2Zwvwb}2sNoZ&-n8%vI*cLxgD%hV z-?oQ5m%isq{*yBjbUCf**bJZC=269MfAm?)ALIpe1iG(Okrs@o1G-YF$(?-EyC3e> zA0bGQjW%p3pkY(+1RdqsO&PscUxUj4S_^Zm4D~7-7i)|@ImA##?=lpcN57w-&a#$o z^wZrs9&$ka=hnQJ1=WA(qK{mbe7?TAnguA`sv%Ck;inlo9|A5tdD}E)P2}QjlodPm z*%w6DfE_#XtxnP=Xmh4Nx-#l?^!858fx{^zmQOI3Va{hG(7_~+_{X$j)j*h0G2#-$8>lAl-Sa*)j8nUY(YJYl*-SB@L}8b^@~>icRqz|LnI z-$2D&6|@G20lGCf&=g%+PJZ8_^G~*mOW)V;Hkb+y%cBTB2J(&Qe^;DrvTUWho+dm7 zq#@COni!6Q65LjRA<@4sT>byi4+Fa7e=6nwPigXhk{!!Zag=!BlKqneupj`5Ik=qt zp5Z8_Er~;bDEWCd;l=bUk=sOIJikZfoc}qh+T?#LpQQ=Hm!!wX2(+)G-4WoQoGE~o z8PNImxJkP7aS7B!(=O+uN_zvKYX79p3-XdWSW^(oK&@{XZvNhSIFKoR26o)E19O|V iQJd+X_@zbRFRKe;kv4(_asmkFK`>p@yVW`_kNy{4a+oUs literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-comp-2-Cp.png b/artefacts/resources/patch-comp-2-Cp.png new file mode 100644 index 0000000000000000000000000000000000000000..51645bf1f9c85936a3ffb631b35e1a37b569472d GIT binary patch literal 13678 zcmajGcRZVK^fw;Vs?}1X6h%U98hcc2u}78Kqm2=YQnN-{+R~z8#{3|tU1HBvsj65p zV@4IVsadPf-S6-BJbyi}*YigZ_qeX>+~+>m`@GNl#F`rGGF;`n3Ic%`V0v2SAP^Y= z_?tjO1^m2ZSAPloqV?5#;3zX{SElm1pM=6fVOpjg%3O+$obh5P*6~igvV2a zzpJzF6A7PZZduzZoFLF`5KK$`L2&lgTu58?{-3{llVO93k3K|S)wt#&>KWUet9M1+ zY|O@%5IxoCbG@=Ct!&Ds{_?G9+=PuK?Cllp&Dd{c8rN(;hWAAb9-b}vCmI^BWJAwX zp{t?yc=i?ix4o+r`|Xb1($S0A{*_3KBY6o$7WqEX4@U_<@~c2L$ECeh2CZg`Qo`8D z8_9zx!jYQD`Y1Q>^Y>6WE8n}I>P>GihbsOdRAbvYR^qv*5O=~?H z!N5ob#|WB%pthg@6G1cB8@*6_!cD&`Ya$ljvt$aZcC-Q1@ZuR~xPf^`JB3&XZdidcXS4cuD0b z?G=&S|L>qC?lmgVVD65GEQ!-e6`FNL43rDS^18eovAkn~8Eh_dgYSUSDVPjS)nLl{ zO1FaJh7rdJ))WD(18+JNR&5wyx}Z*~3CM$m1ggu&@CDto1&RiFtcfcf!SWVx14Z^d zt@US%maT(|jbmoPx&rAC$t0!KZ2ypdvmR7Tr~aQ&ggm@qh+<+#CdLRXoX}+VeD{CM zp+j+uAx1Fn3Jw?7EjD@nh#ga0&F+6CWDeXwf+-hR-e9vzF#q#G#ns=W|Leh%uKB^{ zg5)u_DYdWHl$hCeo__y~VoMjv(8-m>HcPiRh=Tr2!2H*hS?U)IW4*hl+(_cUW+&rY z*B^V9$Z#4Kou;eHz^$$H!|`4=rH&5>DQt|7`tfXO;EWq6wvDf6R2F@R&Dr0?68co^nv=&U zt@)meevc|xh2p(BFpbCyycfI=ctYBQbzBLKEhh7Wx_OwB!tf|Ql>J9$5u4GsT?6Po zI$k6rUC-V^hg?m_aCPFuIKs_IF#qv-kW1B1FgLj!V+Dm_qT;Q|?;-rp2b#a)2R-4D zsw9i-W>oC-(hlW>5I&FYQ$D7|;6|4RT&`ZLdRZh|$UHq!I~w*aM>JIt_wK%1njnEU zYSrN2bZz>Pz36J}n5^3q*c_L)?PEBv&X9==cA2>plZ)e}9q)9d{qk5Ri}*x4e!@}} zdd8z*MzF-uIMIi^ATCz8uuUq<}O`KjbjJVT;j=(u@9_Z3;BSn%DH1 z6^V|Q#ePQfR6DWeCH1qSfHkndxTCCSHC=Kks!|U`Nfab5jrwf`QkWCD^K7Yq&4&k) zeKbqjomW;2PIU+jC{Gv0Axnko>F3SU6d7>16`9^CW79OgA^kv6st^Cw;FKr$Grr?J z)Ot0F41NT@|A2pvHTYU^j?`>_b2i|;1B%v!hr$bu5JSQ)wUalgJtf@#ZO!RJf;;IZ zwDs;l$D61%c%bu|fdBG0JR8Ur+ty7P8DuvqYbqK;;0%3fE{YfA5P&p_cBqGJnmV*FTw2Cx}GPFK@6E z-#w5fNC*ITGR9Xpm`6JKI9dMJiEiiJx|e4e3B9X<(CQT3&l^QD>LHu@u1i^-X7!=Wm64@u2$$7xziL z@S_}z4GaNW7xM^$WNzKu2IxQR&iRz9wLdIdt7JpoNcCN6<7l9*%E`%K zZ;DxRuPYBWPc&fV%wNa0sVa=Ee^l}7>6@N&{iVdc{8(rs$BD1V=OAnT4g}t1agoIf z@ad5~RP^);y`rZSR?RKcw@&1nBW+GOYNwhkSdY*}{`I+8F-+A8l>jl+7)JX-$#xO2 zPWRJ_3RMrd5Zi8OsRZ?)Frj|`@W$dwcfC2_SA2G4o9wD;bWMU^tut$BGI9rKM>dVp zuM0k(N*Ue=BFo=8Y$yME6iQY-oA4Uh$pJ!VRJrZ>Pky zkSAskMJX9;J{E+yQft>!H|ht99N!2V;&3KKPG;W}YFc}P$lDdP!& zVzuFcvFIn3+IBRk2)Y+^ZFDaL*LGi2xxtyTh&hzBRg`8vtMY|Djwxg7VRQ!5Z=}SX zxk&1;bombTyI3Zq5G|cFMu{pI@8%@J3)s58IcE3ss6^;3b!(Aw7noAqu-QlZIuA>d z&xll7tzapWb3Q)Ra2vsbGLYnbun9!~}VxUZ&}~ zQ|(ydf&8YGPXF!`&(*GI3tw`%!QVa|LIyr@=G>ou8(gYhoz?mUKDzQrc`@j3@KJt? z%bu3a{6k7(fpZGhXHD824?W=vSEHMjObt%2NdCv={3Jua&V^;hifTgC`Bt;LC)-EH z$LwLvgMttZb34g*{+XwL-A998p~X=L@*3j(EYY5GGli6#Z_}=}(Oa_wvvL40@ssQC z{$YevXVbBbIx)SLoCAnjl+}P(!=fbWD|wjAw8|hpf=5>+=Jwi+G|5s9sc!rwi>BVq zchls&2G|WdXnzy-Jx27-kROHtcLVrVWajtOX`#H%A+ibr{F4;ob(omFau-^_8hV$xua9K_ReFm4Lug?Ik^ z7b{%gyy!=~qObay{0DsB#H55@Qplp;gXGPT0hEKI2YW$ z#`&(Ea~!Xu-;(@^<8w)6`*#%yU_SFA`J)C1J`YW3nDVwl97#XTn^#PzMvhNQ)A@U` zRV3nPY#qH8OKgx4w%qpMIXi3({xzanUrolI5zI|*C*bkwr@|LcXSgHCu^T{eYr*{D zOm5`8ve>^Jf(=a65i?^jR3N#WJob7>_ligqMlO2Xxz@1!09aB({(jw zg*s2h=4*WyHIC+j77Q8ZZYtc`)v~#WFtK*4;3*4v>J!|Ta#TLfnX7A+u;ei-HfVB#r#G;o@~DH z4}hnVtbpyf!E;Y=5QytM-8P?=eDz^D$64sFk9^ zpo{HjsKk(+iB~mL=6E4ejaw;TN`s&U`%)P4I_F)y5TPr00T0(rM8gAlcEvwg%pw&h zzqyboKrjmM^Ynl?%!1zfEp8~U^)=gQafP2BG=rDo<(V(ej!i&cm}9%au$gY|bVR#K z5*re;MGG01UrOj%HYOyYa#IgVF^^CH5=?Gd`aZgj(;nGpi3&sVM#V?z7H)-+x2CAn ziUn*;#0%xUFmIfFMz=N7xbT3`@1XSDZ?8F!Zix@}u5i^piUDab;HB|i+EN^*On%nr zYb|-3&m)+w_d*n{m}~>PjD=#Ksl^7QP&|&CzHsHx@3I88YD&h&^?^5 zN%S95Wzl?1KXS6S^aik5IG8~O3-jw;wP7;B#Oz6?&5!3@x%CwOH%=Z?*1#Yo_Qh4+ z$N8@i?ryA%P4D3iCj>N$%{%wzb6g15FD8}TkftYFu0XzdTexui&3B{P040}hn#-NP zmp<5#{grmHHBM5ONXw$%6MQ+a5(#MQIDU|7kZL<=OrY6|<=Q^^GL=MQ;Ps*WZl-iuM{iZ#sK)`_X>)2kU|;{|WaW;^A% z%p4=E7d8zE?J#*@ulA6@WmY|3dzlxfU&5p{B$PoE5#aZ zG&|kcek8=LP(_PQhDTzMti9o|VXm>fXsduKOvjlWU?HBlU^mCpaDa-Ip^ zi{J1LX#vVo4+%T8@~T{9okDeyC4%V4EMf@=#d9UF=p{=j+MYd#zUR|?=!W#u*`~)s z^8>2`S;zWg*yES_UdMsUJuDs`n-rV$0idUH*ddpM5`wCF6Q9RmY;;3M8uRu~_+Vtt z@Kc5N!wtb)zU^pnn4-j?rUKbm<8G*gU}@3l=U3DlYbAL+f*v~`1E$9okpAMowQR`Y z6Cf4#pj+cz_<6zit6nHfJ97GQm=k0529&*Rw-b&}vy~k(cGbtM}*5M#A+$%cyE(4emh~ys#~y6q~pddO_cQ zXD!Q%H(KO`Hz(qBEnt1Dl&HHi-=0O!U+?DA8FAqm%vRI!iRbn&$+v4^9W+C6Y zYu$;V2fx%4d17vAr-|ik*Yxtl@@c+)U_VoexxjBO`1P6%)Rs=?AmB^*O%G^R#_wIG zmEZfyT~Vib*HCLVxcJLfktVZfVLp3S>&T14n`#j+p3SZef!jsa_+hE{6noVmbM};? z?5W~AUJqjMo0>4D>!G<5WIGgAJzSQD(%2p34xOJktd(NUR>AAduMOnQicZB5yxY)ymY2`z8pGX}6dl=sE1`l_P; z%DDky4!1VKPhSP9g<&jcyfLNbYS~tlrz;%2p15hn>|^HqP^CvA?sNf32h5)wAx4Du z;JqJOL%FKr0}|I))-TL7H8r1wCI6#R)IhHWHfvr|+B`wt5zqu9Ki|n5yC z*!m1i)wr^x+dZSTatK*YLLH?9w4p6~=pXv^3nmT9V*Sv!I4TjrH-@Q$-$=a;caULP ztGVyvblv$+jT=`^tFp7%is;?*YYLk;t@hel85jDe09D-*t()f5^wp)MA!dYPMLPs*7sHRLk>V=c+z6Oo zd$Kjir`R|rlS9sn1I1_zW`;tzr+%ZWgo!D7@~JWvBMnEmE6}joks}M*is2a3aI0Rz-p85i4-MB+;mmvQwKgK3GST1024d2x8ks*0&#)Wx;tdJ3(Xk8< z1;FDQt_)@0m}9m6dN)*2_!(;J(=N-c9O!j&G~;tdF3qxoiX2Y>YHnaDwN77!fUocO6X6=%jqg9{3a!gg|?o(%6}=kwMF!^w{8G3uxKK#|+w_p5HFXCX*;7TX6{}IRc^|(XM z*O6y+!Pxy(;zfJiwN>XR)ytEmhk8K1P_G@SoYi~5LwDfM$vD*(vVZl||NBIN_B$`Y zbst(;O@7b=cTm12*Jt;)VA8;jp8q;dK2?BZZ9G2x@F4PcpHSn;d~W#BqmB9Yu<#rG z7r3&Xwf)%y36u$l~6cBHrH4j)aINq@1HO3ySD&SGjMLuxBnKP+Qus|i?f4Gr;JHdI$ zJL8lSnD4lsxuY7B+2&J(Ev5ozytxKdkL}XL9{ql-srh9E9#{@!`ak5cK{SZzi1b;l zkLs#X4{Go?mEDQYM*>jxqW&G*m?wkhkL;OXlVGj&>#$-UQ<=04^|1i zbMjmelt8AZMY zGmZzhVwQfCFSZ4uT$J!*=%U8@Qwn$-0s--5L!#jL*x09jiB|BxS2G~TsBD1U@x^Cd z-tY&z8^-9nFJ4%nAhvk086{ zGC~QB3Rf^>;Aj*%N0XC?dU(6yzO?dbgxqc$koAeIveVG%n79)ESp3y;|I7XLH;Z`_ zhu(C?aDS^J7f2SB;JMgj1(X(IV#N>_pu?@K4HdzGjhRMCV6>?+TygXzt>s20qG~bK z%E5WIsq28wuJ$qQq@6zlyPYp`d~$L~-~h{E_V$X6uu|aWH}S^VE+C9|f8f(piac3- zlc=Q{W+Iix>TweS!;EzGT2Uls53*vTK8z1N zx)j}>`mSB7kJ+NJEaqi?h`*xkQAP4;S;)V@Q6rE6*>cSH?ZLHJ)<%(|X z@hy@D>`g;)E8*@TD4#7~ajUR;-&SG!;`~dW5r5LJg>tLvm+`#b6}pK$rAsi^t#9VE zZ8xT6By;4d3FU!6kzut+I^&K<*Bc$Y^qL^{LnM{6Z-q+ zLZlK8M%!!QMpn~dqb|9^!klU?FzIRCWYiX>P&Y9lT*YI|ILpK2JYc#w0vdVwf=%2; z39-@@L-z=HKnpLh2a`4y7GLy5D^o^#MpHBrWwDAO-L|QjcXCeS?iw9xkRJ&e8l?v% z3Tlj(UVoUA*#5?{NM+Zd6@C8x=<%PuU~$z52P!|_t5X@$t`!+oE$d}J!{)_Sj$1<+ zJ4=>%`W#w&dBVKj^*(K0P7?=)mvpd8;b~qz_*OLeR4_OA2kpjmefjaGETTaxROAz% zuVXQc*vw(b_h7`$^_etzpSjtLZu0j}IR{c*8f-)*R*sDGm6vo9q-Yl76Tj7X`T1`L zYopC;#YM7Hq7|Psu=mj3mVyX?Gvex_X!k z14DXxdj0}3P8WQJbWLM&+AELIVn4ieZ?JG3?r7HEq}U`cR{0vb;;WuSHAGm3hRK(eQXfZX{&u2J1JbV}B zKd{*{5#eg4m!tIjv?f3)c$YND8+pE0Xts6`3_TMWoGKXam6O4`5jGLJ)<^q&b_g!f zDo=K&UhbfuKi)}7{Mi`HQBIe6=aZg-9yj3B=9mffSnqIu7Rw&BM5`HZllm*~@Yt_6 z35lvp6qNN8s{F2{ zi}Cs;q7)_u)BHxGU6N57QO}>X2WyxI!OB-y@NifES^LE84RTd}}nL}oD zl>_l^GI}cwL^u}^pQi5Lp|vV9k|RcMH7-P;y$#aD7UM*#oU*(dJTg>5p4Hx%o=kS% zgBX+muUN4`xz)KEu%fiIxhVYX$Q5GGCYvQDq@P<2(!~%}ubq@H*K7y8 z9DcRkWhY6B(LoV(9p>Jng(V>Qj8?=A-q-n zr`x>Z$(S7v_>k|MknB%cO?GZiU<1MB*f}U}p`Q(wMb~r&rO4T&7e%Ce3YRJMS?B<= z9qKoyk<#a_I7O4L5J#rfkbFD6t0kJKg*J-k5S2CwloX$Pnw@^J{|)HPQb& zwDddQ-sI0+Xx~JF*k6Yk5H(p}bA&Fr066Cf+|coyRD%Tecrn(A@LM5fo#}0q^bfai0mF$trvA2L#Ih8XD37 zva0dv=2VTczNZho-;fEQ=M6$Xn1cS8)cxTgW!_pKc;a}GVYd1h7b5K})NHi-`EBsF zS9SWfl3)L->SO+7W2ZtSB--+6?(MPt1(4d2=}er|f|m~|52$QkBl(kt;0<>MWbKmx zD$Kq$XlJnE5mx`S1+J4N=j}C8pnc))vUsMSTCBSbR7+B=meTU3r){R|5$Se@m^7*F zu;vl!o7TeiqS|$p%_`EUVTUjg=ar_XxK|_1{4Ww1L6_8a-p&a}s!^m10*&S-c1g!Cd}F|UjhBn$4G$m2OF(o-6bO#Xp%KV2w=-y88YTi~A$CSx zlkwpinG_a++9ppx9guyxJ`yJ%y_XV1G0DO?#mfhtS4QsBe$mpov9H>+46|XF3ILGy z`gpmOA>T(w*?6Ixd*Mp|0&<3epG)nHQv_6W%Ra9E8(`nw|I}Y`!iREmZohb#<1V~m z>ZVD^++P_8+euK~c83PnZUzP2e3;51<-^=S5c3*#Lepnjt%p%~ujGu|yP{6BE*` zdejLv(Tbtzwm-4gTz7saiN!bsFUB-H?qimWNvi=S=hp{G_gzl4%ES!OF6s03t!BcH zVlmJJ03&hfB=UG?dDX%OToY9r+*j~uI(A716->;i4>cp-*aY{7+KX#l=f9y*YbZv_ zK%W&QeXFx(W|bGFON<0?xv0v?C0}8`;JsqvBeDDgZ8+dXv_c1 zg{Z7wxm%Wg`x`YlvOjiD)SJ`s(*z#w_Lt2r7M%VZu`O{1c4C1d+U}Jspj+EAh_-gczjZsRyO8S!G3;EtxVRF%jx_VlJ^gEZE2JA@U)3 z$Y2TT6nh?kg(A12MnV1cT9%V{;B|eDW)%6 zXNun}lF1sP+(y-LePY-ZkwTib1|cz#*Yqp<;HlLhaJ)yho(V-qKlH0@lu+Snvsn6A z0WFK5lyg_Ki=7fns_(IK^005NOiGaj)#bY%$4Y_YOJo|XPb1SV9eF9+OutbI-{tej zMKeILT!6VF^ewN7vq8hm2!Z#RNP*BSo~=yrwUTJ*sZkmu9>*-SwtrT&*~~8u*Q?j? z57H8zi+%i9vYy$~6e#E1eSRu_7du4z#SXR5nezMmmG#SKK(4xC(2{7{o@I#X1zDvl zq*&p-=m4)Q(Z3S|=g+J@E0vk)By&jUxZrzo_JY(NS3VsNKlB?+9n|ZJUJzmleGx># z^OCoBK1VNO^$!b)34Y{|Pa%bULlMGQczROkW&|Xsohc#7m4X$XCOYa6sx}h>~|gDx|oI* zbN4b}(lNZ$aSJ*pCEN6EY?VEauD)w8e1(YFrjnq(oN?c@r!JU(2ZBFLVSHVsVwfR? zL{d;+T3@ZKuJ)-f8h%iu50v64M)n<8ZOt7?(i%gh7zbR}i|U+w9^6mn_B8(|fYc>r z>|N1lxS)~H`QHmGLR|D-u`T*h=_Ri{Ej~{z@110a0+DUKXjn%dr8lstOgbUA-%vv_ zl2=hq2pHn;B};V|-grU9Iw>MsQ#-7UdxZ+*f8X9Zp6vb{E#*x9ii*KA_-w1uHr&?T zLZsGn68}|yI!-DoP-?dwt-8?VG1yEF#d@GZncvs!}O_NG>9S6*r9>V z?WQH)!6KnGaGHeRWj1F2$NOGc@5}Q)*SZx7KS}LHJ zyEf5dG+#GK9{E9rh}Y?1MZcq;&IAv>7Vn54@`=q2+tj! z#Y}G2#(GR^0Qx9ugB!M%K$)-@%x(M&O}|@`wWz>ogg}D6jw!t0KT2*@=hG(|MQ;K zotOx=AiME`AH7Kr1)yBi*^J=yvQsLK&2i_!W;>O$O>EoQcB>=V8#IwGSBtKn#RGj8 zyFqLDH4Bu}e)X$j`aAdfvNxQZoN`d?*-}CYXUO=|n}FN`XY1Fym|gDPSr&a*B#Qp0 zpR9@tVHUqvwwOR7YnERv+96gQ*l=g5G$7ZqKuu_PWH8Nt2i(; zEB6_`0e9NRsEaxxk03MTGsOE+IV<&w;x`MKUpwyolY;uE?x>LN|0gueuD}9N-Rmi? z4WJ0d+aAwJ3(MddH&YBDb^ z>-bffF1$yWR$^)N6RshcfBd_s@hER(6%K)mAL(GkB}E?*^T-;nqmExkm;Ee9W)%$O z9N`?I2je!#&&b&IPPOyrz3j@?H7yBzxc(@zNb*a@TU08-rQz8%sVGcKW@hHXd7H~;5K-=}XrdMTh&8`5AQv5; zzQWnaymI}oNXvvRZd&*Z5oJ&q^7SuFFM~akSb__We%$K$dl?DnE|KVVvRSfQ_8E>L1~BTQy}XgL z+t{Id}tNX@3p_*6xp=bQdv&3kEhjw|U*40~DP5q~cbsgwg z=5VsNRuy~NK@J$PP6lS5x%}9?1P;$Y(4))B%Uc8EWhbVbfB)?BHdfiwdT8w&!+TuW zak`!zdHDy}4DzWI3K|-Y{JRJb9qW7+E?Ho`24x=W(~qX!`dY1Lzl#L}oa4}PNU3gA z42}ohz?R=4cj)f|W1Czb`vrwFXjCu8Jv3?$l&2KTdZf9;4VM!dLM*6TTek{((-h4& zx?d))Z>TKk8JHipw6qw2x3EBMCRI1#77Qq?W~xNYcsDLL%sed**{E!cc=jv*jk%-a z5KvNSwF?;0o|LqybNAOci}kOnt+?aCszFvN>P%UhNB(9d^z)rHZ}Mz*ae{;9our2T zJ(M?+4_9esG&OR+X9)GsD7KEl)bTx?Q0v=t0hsOh__%84fZR-->KZ0_y(MVJ7$^?f zs_gtKO!rQk3f-tA`t@>eoE~lj#bLtmo69aeo7tjqwuRKu%s>6_M?%kTPts*R3YTF! zlz>SxGar1xsiP*oFQaftfcB04^B;N3PsX)fSCvyu2vQ_>=-H#p@NgQ2H5Q0B{U%cd z?Ew`J2u}7TN-~PiOdy1qoZ>#yu!X+>>IknQ&zE=sdj8Mk_oH7Ut|LI$%d4ucR-49O zPIhlFQZUM4y+?brn)26t;3liwA4IuOa#wU#gF_jHxr6hh_KhVH?qaQ;sWg_s*0uC4 z?8l@(?n!S&*+9=6%*8*Mj~&Ed7^D4)=(3tFm2f6ekKl49gzq?}=SMC5xA^+F!C5RC8u*kY#c$+nr}OV6z^H{~5Sct2CRsd0 z!5;Q$X~DIYn(oSx>83W{dlcbvkY0a#JlI>^JwjAV?R~AetYs@)PLtq`6F?aYK0s7Z zo|9RVHQig@ZNz)YL}8AjS_gnKUOH`%eriEjiE$2F(AIRlQU4owapd32w$t@;Z@DR_ zS5N8KC2o=1S$#yberG!bIIwL6yPi`X4&I<^J*Kj;GS8UvABS+~UtZXfU`|$0gRPrs z(-$qsBKx}ZjgMDuuaRZ$#7t97MVV^P+PM`<0;(H-pW6mI5&Hx3&le)j!~ud_Y)V@h z;B4o^cI<Lc@Nvo(IK4b&4TcYM%a0 zya}IZY%)`{Qn5_cvtX5qb%EQ;G&&MYXkB4J@8QRHXaUK`+DX4;=lSP9`>X!ng-hH4 zu5V}~(|>hv`FwxKCqou7!A$aLj;4I?x)$X%d0IgqF! zYZF2-Q2Cw8(D6s;G*B2*Wg-f5sM|W7KEISN%uDufR!B7!F{;1nj3-G)dn}rZPeNy# z^$F|D9HwCWIL2 zHwT68?UUH0gfEJ#-;qLuyWllbQo!xz0s{s>L36pMT`z|4PL@$#wPUfF!yNW}xa)Bt zlj)c!W3d4$2VmpP4;z@1oG8nI3X4HA#mOr$pX--Tvs9v`s1NwNuBsb7a)OuNxGT3B zH_)5J_O%4}h_Y?I<9?^ij3Z_4;8F|SzQSblii+WBR;QP^1WqL?{8OwiHYbvJ<3=bVH5~cs*+a2D}Rspy@w);+cl#wyOxv7L}`> z7Po-QsS~7e0VFAC7FUqr;FDir8Vvfy9f&{IR==kMjURUEXd>FLmt-dlhyVv!<1zWT zD4A9VIc&K;ccOpKzb+6fLoSeV5%JO}(|gbo3`iWlg1vRz1?)#>{y{dCKX@JBnfzbc zH2!xx%K!7=3wR+1ER(U$XXS&ElMzYazYg@$Jvr=r%=-c0TKJ2qx!{4v#GcmC5P?@4 z=hdJZfMo7R{sWBv+Errf4E0)Qf93WF`(zM5x%2=}T>=UisCW8tx}KWo!`r~@?ymmp zm;ol)_7A`_kTvLIHz=WJx}{5gfIv^i1heeHOTx*_%uxJu)vEXBkp-}%VJ0qp6woUL Nf@vFTRcScA{9o;#&Mp7| literal 0 HcmV?d00001 diff --git a/ion-currents/basic-simulations.ipynb b/ion-currents/basic-simulations.ipynb index c691e9a..d239149 100644 --- a/ion-currents/basic-simulations.ipynb +++ b/ion-currents/basic-simulations.ipynb @@ -808,7 +808,7 @@ ], "metadata": { "kernelspec": { - "display_name": "Python 3", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -822,7 +822,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.5" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/ion-currents/more-protocols-1-steps-and-ramps.ipynb b/ion-currents/more-protocols-1-steps-and-ramps.ipynb index ea738f1..ea62234 100644 --- a/ion-currents/more-protocols-1-steps-and-ramps.ipynb +++ b/ion-currents/more-protocols-1-steps-and-ramps.ipynb @@ -568,7 +568,7 @@ ], "metadata": { "kernelspec": { - "display_name": "Python 3", + "display_name": "Python 3 (ipykernel)", "language": "python", "name": "python3" }, @@ -582,7 +582,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.7" + "version": "3.11.5" } }, "nbformat": 4, From eb9358690139e472613ebd7ab75490e6e10d446c Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 26 Sep 2023 17:24:27 +0100 Subject: [PATCH 19/77] Updated artefact readme. --- artefacts/README.md | 54 +++++++----------------- artefacts/artefacts-2-compensation.ipynb | 10 ++--- 2 files changed, 20 insertions(+), 44 deletions(-) diff --git a/artefacts/README.md b/artefacts/README.md index a86d271..a90d030 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -1,46 +1,22 @@ -## (TODO) Dealing with real data - -Blah blah blah - -These are sequential, not independent notebooks - -- Introduction, and additive noise [![github](../img/github.svg)](real-data-1-noise.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/blob/main/ion-currents/real-data-1-noise.ipynb) -- Capacitance and series resistance [![github](../img/github.svg)](real-data-2-capacitance-and-resistance.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/blob/main/ion-currents/real-data-2-capacitance-and-resistance.ipynb) - -- One - - Four strategies - - Noise model - - Stochastic noise - - Periodic noise -- Two - - Pipette capacitance - - Membrane capacitance - -Sources: -- [x] Thermal, shot, mains, etc. -- [x] Stray capacitance -- [ ] Membrane capacitance -- [ ] Series resistance -- [ ] Leak +# Modelling patch-clamp experiments + +When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest, and the experimental set up. +In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with artefacts due to capacitance and series resistance, and (2) a model of the circuitry used in patch-clamp amplifiers to compensate for these effects. +Both models draw heavily on the chapter by [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and a recent paper from the same group by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419). + +I have tried to keep things as to-the-point as possible, but + + +1. [The first notebook](./artefacts-1-modelling-patch-clamp.ipynb) describes the uncompensated patch-clamp set up, and shows how to derive both an electrical schematic and an ODE model. +2. [The second](./artefacts-2-compensation.ipynb) adds (heavily simplified) equations that describe the corrections commonly applied _on-line_ during a patch-clamp experiment. + + +Possible to-do: - [ ] Endogeneous currents - [ ] Gating currents? (~100x smaller than ionic currents) - -Things to be uncertain about -- [ ] Concentrations -- [ ] Reversal potential (Nernst/GHK graph?) -- [ ] Temperature -- [ ] Model discrepancy - -Methods -- [x] Low-pass filter -- [x] Modelling noise -- [x] Stray cap correction -- [ ] Cm correction -- [ ] Artefact filtering -- [ ] Rs correction +- [ ] Info loss when cutting out artefacts - [ ] Subtraction protocol -- [ ] Leak correction - [ ] Leak ramp - [ ] Reversal potential ramp diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 5d1f9a3..2a9ec06 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -89,7 +89,7 @@ }, { "cell_type": "markdown", - "id": "ff0c7ff3", + "id": "01607673", "metadata": {}, "source": [ "\n", @@ -99,7 +99,7 @@ }, { "cell_type": "markdown", - "id": "104506b7", + "id": "9e410a8e", "metadata": {}, "source": [ "In the diagram above, we have drawn an active component that somehow generates the current $I_\\text{inj}$, but does not draw any current from the node at $V_c$.\n", @@ -125,7 +125,7 @@ }, { "cell_type": "markdown", - "id": "a7a4b51d", + "id": "322c5b37", "metadata": {}, "source": [ "In this notebook we will assume the rounding is well modelled by other capacitances in the system, and proceed with the equation given above." @@ -141,13 +141,13 @@ }, { "cell_type": "markdown", - "id": "30e5ad42", + "id": "f59c94f5", "metadata": {}, "source": [] }, { "cell_type": "markdown", - "id": "1c2fa5c9", + "id": "37effd6d", "metadata": {}, "source": [] }, From c211009f732c6d463ad56538a0dc5c41ca3d7f34 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 26 Sep 2023 17:32:18 +0100 Subject: [PATCH 20/77] Updated artefact readme. --- artefacts/README.md | 15 +++++++++++---- 1 file changed, 11 insertions(+), 4 deletions(-) diff --git a/artefacts/README.md b/artefacts/README.md index a90d030..561e90b 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -3,13 +3,20 @@ When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest, and the experimental set up. In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with artefacts due to capacitance and series resistance, and (2) a model of the circuitry used in patch-clamp amplifiers to compensate for these effects. -Both models draw heavily on the chapter by [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and a recent paper from the same group by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419). +Both models and the exposition here draw heavily on the chapter by [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), as well as a recent paper from the same group by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419). -I have tried to keep things as to-the-point as possible, but +I have tried to keep things as to-the-point as possible, but a lot of extra detail is provided in the appendices. -1. [The first notebook](./artefacts-1-modelling-patch-clamp.ipynb) describes the uncompensated patch-clamp set up, and shows how to derive both an electrical schematic and an ODE model. -2. [The second](./artefacts-2-compensation.ipynb) adds (heavily simplified) equations that describe the corrections commonly applied _on-line_ during a patch-clamp experiment. +## Modelling patch-clamp experiments [![github](../img/github.svg)](artefacts-1-modelling-patch-clamp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-1-modelling-patch-clamp.ipynb) + +The first notebook] describes the uncompensated patch-clamp set up, and shows how to derive both an electrical schematic and an ODE model. +It first introduces a basic op-amp based current measuring device, then adds in the effects of stray and parasitic (pipette) capacitance, before briefly discussing the finite speed of the amplifier. +It then adds series resistance and membrane capacitance, a voltage offset, and leak current, culminating in a 3-state ODE model of whole-cell voltage clamp. + +## Modelling patch-clamp experiments: compensation [![github](../img/github.svg)](artefacts-2-compensation.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-2-compensation.ipynb) + +This notebook adds (heavily simplified) equations that describe the corrections commonly applied _on-line_ during a patch-clamp experiment. Possible to-do: From 78a82f2373c6c312158754411e9a969d03afcbd1 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 26 Sep 2023 17:33:47 +0100 Subject: [PATCH 21/77] Updated artefact readme. --- artefacts/README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/artefacts/README.md b/artefacts/README.md index 561e90b..de0410a 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -1,8 +1,8 @@ # Modelling patch-clamp experiments -When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest, and the experimental set up. -In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with artefacts due to capacitance and series resistance, and (2) a model of the circuitry used in patch-clamp amplifiers to compensate for these effects. +When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest _and_ the experimental set up. +In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with various experimental artefacts, and (2) a model of the various corrections applied by patch-clamp amplifiers to mitigate these effects. Both models and the exposition here draw heavily on the chapter by [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), as well as a recent paper from the same group by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419). I have tried to keep things as to-the-point as possible, but a lot of extra detail is provided in the appendices. From 57204a9f6bece41cc79df67504abdaa679832001 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 26 Sep 2023 17:34:37 +0100 Subject: [PATCH 22/77] Updated artefact readme. --- artefacts/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/artefacts/README.md b/artefacts/README.md index de0410a..690b757 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -3,7 +3,7 @@ When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest _and_ the experimental set up. In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with various experimental artefacts, and (2) a model of the various corrections applied by patch-clamp amplifiers to mitigate these effects. -Both models and the exposition here draw heavily on the chapter by [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), as well as a recent paper from the same group by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419). +Both models and the exposition here draw heavily on a book chapter by [Sigworth (1995a)](https://doi.org/10.1007/978-1-4419-1229-9_4), as well as a recent paper from the same group ([Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419)). I have tried to keep things as to-the-point as possible, but a lot of extra detail is provided in the appendices. From 1b438e2fa40d61663a2cb4d9d89552416d47532d Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 28 Sep 2023 22:32:35 +0100 Subject: [PATCH 23/77] Redid uncompensated models appendix, fixing a minor typo causing quite a big difference. --- artefacts/README.md | 2 +- artefacts/appendix-E-non-ideal-op-amp.ipynb | 65 +- .../appendix-F-uncompensated-models.ipynb | 691 +++++++++--------- artefacts/appendix-G-symbols.ipynb | 16 +- .../artefacts-1-modelling-patch-clamp.ipynb | 164 ++++- artefacts/artefacts-2-compensation.ipynb | 64 +- artefacts/resources/patch-comp-2-Cp.png | Bin 13678 -> 13691 bytes artefacts/resources/patch-comp-3-Rs.png | Bin 0 -> 15261 bytes 8 files changed, 626 insertions(+), 376 deletions(-) create mode 100644 artefacts/resources/patch-comp-3-Rs.png diff --git a/artefacts/README.md b/artefacts/README.md index 690b757..a821c3c 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -2,7 +2,7 @@ # Modelling patch-clamp experiments When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest _and_ the experimental set up. -In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with various experimental artefacts, and (2) a model of the various corrections applied by patch-clamp amplifiers to mitigate these effects. +In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with various experimental artefacts, and (2) a model of the corrections applied by patch-clamp amplifiers to mitigate these effects. Both models and the exposition here draw heavily on a book chapter by [Sigworth (1995a)](https://doi.org/10.1007/978-1-4419-1229-9_4), as well as a recent paper from the same group ([Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419)). I have tried to keep things as to-the-point as possible, but a lot of extra detail is provided in the appendices. diff --git a/artefacts/appendix-E-non-ideal-op-amp.ipynb b/artefacts/appendix-E-non-ideal-op-amp.ipynb index fadb2fd..c9fe044 100644 --- a/artefacts/appendix-E-non-ideal-op-amp.ipynb +++ b/artefacts/appendix-E-non-ideal-op-amp.ipynb @@ -554,14 +554,6 @@ "source": [ "## Equations used in Weerakoon et al. paper\n", "\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "21f78f42", - "metadata": {}, - "source": [ "The paper by [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) uses the equations\n", "\n", "$$ V_\\text{out} = \\frac{I_\\text{in} R_f}{1 + \\tau_f s} $$\n", @@ -575,47 +567,52 @@ }, { "cell_type": "markdown", - "id": "890b6266", + "id": "719ad0e6", "metadata": {}, "source": [ - "To arrive at the first equation, we start by translating it back to a time-domain differential equation\n", + "The first equation can be detransformed to\n", "\n", - "$$ R_f I_\\text{in} = V_\\text{out} + \\tau_f \\dot{V}_\\text{out} $$\n", + "\\begin{align}\n", + "R_fI_\\text{in} = V_\\text{out} + \\tau_f \\dot{V}_\\text{out}\n", + "\\end{align}\n", "\n", - "Going by the diagram above, we can write this equation for $I_\\text{in}$:\n", + "we can rewrite and divide by $R_f$ to find\n", "\n", "\\begin{align}\n", - "R_f I_\\text{in} &= (V_o - V_p) + R_fC_f \\left(\\dot{V}_o - \\dot{V}_p\\right) \\\\\n", - "&= (V_o - V_c) + (V_c - V_p) + \\tau_f \\left(\\dot{V}_o - \\dot{V}_c + \\dot{V}_c - \\dot{V}_p\\right) \\\\\n", - "&= V_\\text{out} + \\tau_f \\dot{V}_\\text{out} + (V_c - V_p) + \\tau_f \\left(\\dot{V}_c - \\dot{V}_p\\right)\n", + "\\dot{V}_\\text{out} = \\frac{R_fI_\\text{in} - V_\\text{out}}{\\tau_f}\n", + "&&\\longrightarrow&&\n", + "\\dot{I}_\\text{out} = \\frac{I_\\text{in} - I_\\text{out}}{\\tau_f}\n", "\\end{align}\n", "\n", - "This is approximately equal to the first Weerakoon equation when $V_c \\approx V_p$ and $\\dot{V}_c \\approx \\dot{V}_p$." + "which matches the statement that\n", + "\n", + "> the resulting output [...] is low-pass filtered by the transconductor time constant [$\\tau_f]$\n", + "\n", + "(where we write $\\tau_f$ instead of the paper's notation $\\tau_z$)." ] }, { "cell_type": "markdown", - "id": "2b345c26", + "id": "72d100b0", "metadata": {}, "source": [ - "Next, we translate the second equation to \n", - "$$ V_c - V_p = \\tau_c \\dot{V}_p $$\n", + "The second equation can be translated to\n", + "\n", + "\\begin{align}\n", + "V_p + \\tau_c \\dot{V}_p = V_c\n", + "&& \\longrightarrow &&\n", + "\\dot{V}_p = \\frac{V_c - V_p}{\\tau_c}\n", + "\\end{align}\n", "\n", - "which is just a mathematical way of saying \"$V_p$ follows $V_c$ with some delay set by $\\tau_f$\" and should be OK as a first approximation?" + "in other words, it assumes that the voltage $V_p$ follows the input voltage $V_c$ with a delay set by the time constant $\\tau_c$." ] }, { "cell_type": "markdown", - "id": "228a49c2", + "id": "89cff5e9", "metadata": {}, "source": [ - "Finally, we can combine the two Weerakoon equations to get\n", - "\n", - "\\begin{align}\n", - "R_f I_\\text{in} &= V_\\text{out} + \\tau_f \\dot{V}_\\text{out} + (\\tau_c - \\tau_f) \\dot{V}_p\n", - "\\end{align}\n", - "\n", - "using $\\tau_c \\ll \\tau_f$ this means the first equation should be off by something on the order of $\\tau_f \\dot{V}_p$." + "These equations appear to represent each pole of the original Sigworth equations, but now decoupled and no longer presented as an approximation." ] }, { @@ -623,15 +620,21 @@ "id": "a3ac8d0e", "metadata": {}, "source": [ - "## Equation used in Lei et al. papers\n", + "## Equations used in Lei et al. papers\n", "\n", "In [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) and subsequent work, we used the same equations as in Weerakoon:\n", "\n", + "\\begin{align}\n", + "\\dot{I}_\\text{out} = \\frac{I_\\text{in} - I_\\text{out}}{\\tau_f}\n", + "\\end{align}\n", + "\n", + "and\n", + "\n", "\\begin{equation}\n", - "\\frac{d}{dt} V_p = \\frac{V_c - V_p}{\\tau_c}\n", + "\\dot{V}_p = \\frac{V_c - V_p}{\\tau_c}\n", "\\end{equation}\n", "\n", - "Instead of an experiment-specific value which takes $C_p$ into account, we used a constant $\\tau_c =0.8\\,\\mu s$.\n" + "Instead of an experiment-specific value which takes $C_p$ into account, we used a constant $\\tau_c =0.8\\,\\mu s$." ] } ], diff --git a/artefacts/appendix-F-uncompensated-models.ipynb b/artefacts/appendix-F-uncompensated-models.ipynb index 6e3c19e..50d0205 100644 --- a/artefacts/appendix-F-uncompensated-models.ipynb +++ b/artefacts/appendix-F-uncompensated-models.ipynb @@ -5,7 +5,7 @@ "id": "fc24dbb4", "metadata": {}, "source": [ - "# Appendix F: Two models of uncompensated patch-clamp" + "# Appendix F: Models of uncompensated patch-clamp" ] }, { @@ -46,17 +46,17 @@ "Next,\n", "\n", "\\begin{align}\n", - "C_p\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\left(\\dot{V}_o-\\dot{V}_p\\right) - \\frac{V_p-V_m}{R_s}\n", + "2a. && C_p\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\left(\\dot{V}_o-\\dot{V}_p\\right) - \\frac{V_p-V_m}{R_s}\n", "\\end{align}\n", "\n", "which can be used as a differential equation for either $V_p$\n", "\n", "\\begin{align}\n", - "2. && (C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s}\n", + "(C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s}\n", "\\end{align}\n", "or $V_o$\n", "\\begin{align}\n", - "2'. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", + "C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", "\\end{align}" ] }, @@ -65,7 +65,7 @@ "id": "7ff20823", "metadata": {}, "source": [ - "Then the bit where the models differ:\n", + "Next, we have two options for an op-amp equation:\n", "\n", "\\begin{align}\n", "3a. && \\tau_a\\dot{V}_o = V_c - V_p \\\\\n", @@ -84,42 +84,97 @@ "And finally\n", "\n", "\\begin{align}\n", - "4. && R_f I_\\text{out} \\equiv V_\\text{out} = V_o - V_p\n", + "4. && R_f I_\\text{out} \\equiv V_\\text{out} = V_o - V_c\n", "\\end{align}" ] }, + { + "cell_type": "markdown", + "id": "24d3bbca", + "metadata": {}, + "source": [ + "This gives us two models: (1, 2a, 3a, 4) and (1, 2a, 3b, 4)." + ] + }, { "cell_type": "markdown", "id": "f7e3ea4c", "metadata": {}, "source": [ - "## Lei et al equations" + "## Lei-style model\n", + "\n", + "The model used in Lei et al. (2020) also starts from\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "(equation S2.10) and \n", + "\n", + "\\begin{align}\n", + "3b. && \\tau_c\\dot{V}_p = V_c - V_p \\\\\n", + "\\end{align}\n", + "\n", + "(equation S2.12) but then adds the relationship \n", + "\\begin{align}\n", + "5. && R_fC_f \\dot{I}_\\text{out} = I + C_m\\dot{V}_m + C_p\\dot{V}_p - I_\\text{out}\n", + "\\end{align}\n", + "\n", + "(equations S2.8 and S2.5).\n", + "This gives us a full model in (1, 3b, 5)." ] }, { "cell_type": "markdown", - "id": "642b3187", + "id": "c8ad8948", "metadata": {}, "source": [ - "Equation 1 is equation S2.11 in the supplement and Equation 3b is S2.12.\n", + "### Relationship to Sigworth-style model\n", + "\n", + "Using equation 4, $R_fI_\\text{out} = V_\\text{out} = V_o - V_c$, we can rewrite equation 5 as an ODE for $V_o$:\n", + "\n", + "\\begin{align}\n", + "C_f(\\dot{V}_o - \\dot{V}_c) &= I + C_m\\dot{V}_m + C_p\\dot{V}_p - \\frac{V_o - V_c}{R_f} \\\\\n", + " &= \\frac{V_p - V_m}{R_s} + C_p\\dot{V}_p - \\frac{V_o - V_c}{R_f} \\\\\n", + "\\end{align}\n", "\n", - "We can insert equation 4 into equation 2 to get\n", "\\begin{align}\n", - "\\dot{I}_\\text{out} \n", - " = \\frac{1}{R_fC_f} \\left[C_p\\dot{V}_p + \\frac{V_p-V_m}{R_s} - I_\\text{out} \\right]\n", - " = \\frac{I_\\text{in} - I_\\text{out}}{R_fC_f}\n", + "2b. && C_f\\dot{V}_o = \\frac{V_c - V_o}{R_f} + C_p\\dot{V}_p + C_f\\dot{V}_c + \\frac{V_p - V_m}{R_s}\n", "\\end{align}\n", "\n", - "where\n", + "So that we can write the same model as (1, 2b, 3b, 4).\n", + "\n", + "Comparing to 2a\n", "\\begin{align}\n", - "I_\\text{in} \n", - " = C_p\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", - " = C_p\\dot{V}_p + C_m\\dot{V}_m + I\n", + "C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", "\\end{align}\n", "\n", - "which are equations S2.8 and S2.5.\n", + "we see that the two are equal when $V_c = V_p$ and $\\dot{V}_c = \\dot{V}_p$." + ] + }, + { + "cell_type": "markdown", + "id": "b0b8ecb8", + "metadata": {}, + "source": [ + "### We'll stick to the Lei et al. formulation\n", "\n", - "So the B model above is equivalent to the Lei et al. model (without leak, offset, or compensations)." + "Equation 2b has a practical downside, in that it has an explicit term $\\dot{V}_c$, which is not usually available in simulation software.\n", + "To avoid this, we will stick to the (1, 3b, 5) formulation in simulations." + ] + }, + { + "cell_type": "markdown", + "id": "2154fb47", + "metadata": {}, + "source": [ + "## Three models\n", + "\n", + "From the above, we can distill three models:\n", + "\n", + "- **Model A** - A \"Sigworth-style\" model (1, 2a, 3a, 4).\n", + "- **Model B** - A \"Weerakoon\" or \"Lei-style\" model (1, 2b, 3b, 4).\n", + "- **Model C** - A hybrid model (1, 2a, 3b, 4)." ] }, { @@ -132,22 +187,25 @@ "We now run simulations for a single step from -80 to -20 mV.\n", "The ionic current is set to 0.\n", "\n", - "The values for the different constants are mostly based on Weerakoon.\n", + "We assume that the amplifier was in a steady state before the step, with $V_p$, $V_m$ and $V_c$ all equal to -80 mV.\n", + "Since there were no currents, we should get a zero drop over $R_f$, and so $V_o$ was also -80 mV and $I_\\text{out}$ was 0.\n", + "\n", + "The values for the different constants are mostly based on Weerakoon et al.\n", "We recalculate $\\tau_c$ instead of using the constant value.\n", "The value for $\\tau_a$ is the 50ns from Weerakoon et al., slower than the 16ns used in Sigworth 1995." ] }, { "cell_type": "markdown", - "id": "338ee717", + "id": "c459d6e5", "metadata": {}, "source": [ - "### Lei et al., original formulation" + "### Model A: The \"Sigworth-style\" model" ] }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 1, "id": "a9d15cd3", "metadata": {}, "outputs": [], @@ -160,24 +218,16 @@ }, { "cell_type": "code", - "execution_count": 3, - "id": "2cd4366c", + "execution_count": 2, + "id": "2ac99d20", "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tau c: 0.8 us\n" - ] - } - ], + "outputs": [], "source": [ - "m0 = myokit.parse_model('''\n", + "mA = myokit.parse_model('''\n", "[[model]]\n", - "cell.Vm = -80 # Vm starts at -80\n", - "amp.Vp = -80 # I=0, dot(Vm)=0, so Vp = Vm\n", - "amp.I_out = 0 # I=0, steady state\n", + "cell.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", "\n", "[engine]\n", "time = 0 [ms]\n", @@ -191,12 +241,12 @@ "I = 0 [pA]\n", " in [pA]\n", "\n", - "# Equation 1 / Equation S2.11\n", + "# Equation 1\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", "\n", "[amp]\n", - "use cell.Vm, cell.Cm\n", + "use cell.Vm\n", "Vc = -20 [mV]\n", " in [mV]\n", "Rs = 0.01 [GOhm]\n", @@ -211,49 +261,47 @@ " in [pF]\n", "tau_amp = 0.05e-3 [ms]\n", " in [ms]\n", - "tau_c = tau_amp * (Cf + Cp) / Cf\n", - " in [ms]\n", - "\n", - "# Equation S2.5\n", - "I_in = cell.I + Cm * dot(Vm) + Cp * dot(Vp)\n", - " in [pA]\n", "\n", - "# Equation S2.8\n", - "dot(I_out) = (I_in - I_out) / (Rf * Cf)\n", - " in [pA]\n", + "# Equation 2a\n", + "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct)\n", + " in [mV]\n", "\n", - "# Equation 3b, S2.12\n", - "dot(Vp) = (Vc - Vp) / tau_c\n", + "# Equation 3a\n", + "dot(Vo) = (Vc - Vp) / tau_amp\n", " in [mV]\n", "\n", - "''')\n", - "m0.check_units(myokit.UNIT_STRICT)\n", + "# Equation 4\n", + "I_out = (Vo - Vc) / Rf\n", + " in [pA]\n", "\n", - "print(f'Tau c: {m0.get(\"amp.tau_c\").eval() * 1e3} us')" + "''')\n", + "mA.check_units(myokit.UNIT_STRICT)" ] }, { "cell_type": "code", - "execution_count": 4, - "id": "3172ad62", + "execution_count": 3, + "id": "b421a959", "metadata": {}, "outputs": [], "source": [ - "s0 = myokit.Simulation(m0)\n", - "d0 = s0.run(10)" + "tol = 1e-8\n", + "dt = 5e-5\n", + "\n", + "sA = myokit.Simulation(mA)\n", + "sA.set_tolerance(tol, tol)\n", + "dA = sA.run(10, log_interval=dt)" ] }, { "cell_type": "code", - "execution_count": 5, - "id": "fc8e2c5a", - "metadata": { - "scrolled": true - }, + "execution_count": 4, + "id": "7d193dda", + "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -268,37 +316,48 @@ "kw = dict(color='#aaa', ls='--')\n", "\n", "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", - "ax.plot(d0.time(), d0['amp.I_out'])\n", - "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", - "ax.plot(d0.time(), d0['cell.Vm'])\n", - "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp (mV)')\n", - "ax.plot(d0.time(), d0['amp.Vp'])\n", + "fig.subplots_adjust(wspace=0.3)\n", + "\n", + "ax = fig.add_subplot(1, 3, 1)\n", + "ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", + "ax.plot(dA.time(), dA['cell.Vm'])\n", + "\n", + "ax = fig.add_subplot(1, 3, 2)\n", + "ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dA.time(), dA['amp.Vp'])\n", + "ax = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", + "ax.plot(dA.time(), dA['amp.Vp'])\n", + "ax.set_xlim(-0.005, 0.05)\n", + "\n", + "ax = fig.add_subplot(1, 3, 3)\n", + "ax.set_ylabel('I out (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_out'])\n", + "\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "486858f2", + "id": "45a1d294", "metadata": {}, "source": [ - "### Lei et al. reformulation" + "### Model B: The Weerakoon / Lei formulation" ] }, { "cell_type": "code", - "execution_count": 6, - "id": "7842fd86", + "execution_count": 5, + "id": "2cd4366c", "metadata": {}, "outputs": [], "source": [ - "m1 = myokit.parse_model('''\n", + "mB = myokit.parse_model('''\n", "[[model]]\n", - "cell.Vm = -80 # Vm starts at -80\n", - "amp.Vp = -80 # I=0, dot(Vm)=0, so Vp = Vm\n", - "amp.Vo = -80 # ?\n", + "cell.Vm = -80\n", + "amp.Vp = -80\n", + "amp.I_out = 0\n", "\n", "[engine]\n", "time = 0 [ms]\n", @@ -312,12 +371,12 @@ "I = 0 [pA]\n", " in [pA]\n", "\n", - "# Equation 1\n", + "# Equation 1 (S2.10)\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", "\n", "[amp]\n", - "use cell.Vm\n", + "use cell.Vm, cell.Cm, cell.I\n", "Vc = -20 [mV]\n", " in [mV]\n", "Rs = 0.01 [GOhm]\n", @@ -326,51 +385,64 @@ " in [GOhm]\n", "Cf = 0.3 [pF]\n", " in [pF]\n", - "Cp = 4.5 [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", - " in [pF]\n", - "Ct = Cf + Cp\n", + "Cp = 4.5 [pF]\n", " in [pF]\n", "tau_amp = 0.05e-3 [ms]\n", " in [ms]\n", "tau_c = tau_amp * (Cf + Cp) / Cf\n", " in [ms]\n", "\n", - "# Equation 2'\n", - "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf)\n", - " in [mV]\n", - "\n", - "# Equation 3b\n", + "# Equation 3b (S2.12)\n", "dot(Vp) = (Vc - Vp) / tau_c\n", " in [mV]\n", "\n", - "# Equation 4\n", - "I_out = (Vo - Vp) / Rf\n", + "# Equation 5 (S2.5 and S2.8)\n", + "dot(I_out) = (I + Cm * dot(Vm) + Cp * dot(Vp) - I_out) / (Rf * Cf)\n", " in [pA]\n", - "\n", "''')\n", - "m1.check_units(myokit.UNIT_STRICT)" + "mB.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "0446f5d6", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Tau c: 0.8 us\n" + ] + } + ], + "source": [ + "# Check that we set the tau values for tau_c = 0.8 us\n", + "print(f'Tau c: {mB.get(\"amp.tau_c\").eval() * 1e3} us')" ] }, { "cell_type": "code", "execution_count": 7, - "id": "57fa5c45", + "id": "9743a5c9", "metadata": {}, "outputs": [], "source": [ - "s1 = myokit.Simulation(m1)\n", - "d1 = s1.run(10)" + "sB = myokit.Simulation(mB)\n", + "sB.set_tolerance(tol, tol)\n", + "dB = sB.run(10, log_interval=dt)" ] }, { "cell_type": "code", "execution_count": 8, - "id": "f7fd5baa", + "id": "c705e87b", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -383,42 +455,65 @@ ], "source": [ "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", - "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", - "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", + "fig.subplots_adjust(wspace=0.3)\n", + "\n", + "ax = fig.add_subplot(1, 3, 1)\n", + "ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", + "ax.plot(dA.time(), dA['cell.Vm'], label='Model A')\n", + "ax.plot(dB.time(), dB['cell.Vm'], label='Model B')\n", "ax.legend()\n", - "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", - "ax.plot(d0.time(), d0['cell.Vm'])\n", - "ax.plot(d1.time(), d1['cell.Vm'])\n", - "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", - "ax.plot(d0.time(), d0['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", + "\n", + "ax = fig.add_subplot(1, 3, 2)\n", + "ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dA.time(), dA['amp.Vp'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.Vp'], label='Model B')\n", + "ax = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", + "ax.plot(dA.time(), dA['amp.Vp'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.Vp'], label='Model B')\n", + "ax.set_xlim(-0.005, 0.05)\n", + "\n", + "ax = fig.add_subplot(1, 3, 3)\n", + "ax.set_ylabel('I out (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_out'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.I_out'], label='Model B')\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "45966ecf", + "id": "70188a91", "metadata": {}, "source": [ - "### New model" + "We can see two differences here:\n", + "\n", + "- The pipette voltage $V_p$ overshoots in Model A, but not Model B\n", + "- Because of how we chose the initial conditions, $I_\\text{out}$ is non-zero at the first simulation point." + ] + }, + { + "cell_type": "markdown", + "id": "58c4e632", + "metadata": {}, + "source": [ + "### Model C: The hybrid model\n", + "\n", + "To see whether the difference is due to 2a vs 2b or 3a vs 3b, we can look at the hybrid model C." ] }, { "cell_type": "code", "execution_count": 9, - "id": "f41fa405", + "id": "16b95491", "metadata": {}, "outputs": [], "source": [ - "m2 = myokit.parse_model('''\n", + "mC = myokit.parse_model('''\n", "[[model]]\n", - "cell.Vm = -80 # Vm starts at -80\n", - "amp.Vp = -80 # I=0, dot(Vm)=0, so Vp = Vm\n", - "amp.Vo = -80 # ?\n", + "cell.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", "\n", "[engine]\n", "time = 0 [ms]\n", @@ -446,51 +541,50 @@ " in [GOhm]\n", "Cf = 0.3 [pF]\n", " in [pF]\n", - "Cp = 4.5 [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", - " in [pF]\n", - "Ct = Cf + Cp\n", + "Cp = 4.5 [pF]\n", " in [pF]\n", "tau_amp = 0.05e-3 [ms]\n", " in [ms]\n", - "#tau_c = tau_amp * (Cf + Cp) / Cf\n", - "# in [ms]\n", + "tau_c = tau_amp * (Cf + Cp) / Cf\n", + " in [ms]\n", "\n", - "# Equation 2\n", - "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct)\n", + "# Equation 2a, Vo version\n", + "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf)\n", " in [mV]\n", "\n", - "# Equation 3a\n", - "dot(Vo) = (Vc - Vp) / tau_amp\n", + "# Equation 3b\n", + "dot(Vp) = (Vc - Vp) / tau_c\n", " in [mV]\n", "\n", "# Equation 4\n", - "I_out = (Vo - Vp) / Rf\n", + "I_out = (Vo - Vc) / Rf\n", " in [pA]\n", "\n", "''')\n", - "m2.check_units(myokit.UNIT_STRICT)" + "mC.check_units(myokit.UNIT_STRICT)" ] }, { "cell_type": "code", "execution_count": 10, - "id": "06041a0a", + "id": "02fb78e4", "metadata": {}, "outputs": [], "source": [ - "s2 = myokit.Simulation(m2)\n", - "d2 = s2.run(10)" + "sC = myokit.Simulation(mC)\n", + "sC.set_tolerance(tol, tol)\n", + "dC = sC.run(10, log_interval=dt)" ] }, { "cell_type": "code", "execution_count": 11, - "id": "ae12ccc2", + "id": "1745173b", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -503,49 +597,58 @@ ], "source": [ "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", - "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", - "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", - "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", + "fig.subplots_adjust(wspace=0.3)\n", + "\n", + "ax = fig.add_subplot(1, 3, 1)\n", + "ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", + "ax.plot(dA.time(), dA['cell.Vm'], label='Model A')\n", + "ax.plot(dB.time(), dB['cell.Vm'], label='Model B')\n", + "ax.plot(dC.time(), dC['cell.Vm'], label='Model C')\n", "ax.legend()\n", - "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", - "ax.plot(d0.time(), d0['cell.Vm'])\n", - "ax.plot(d1.time(), d1['cell.Vm'])\n", - "ax.plot(d2.time(), d2['cell.Vm'])\n", - "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", - "ax.plot(d0.time(), d0['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", - "ax.plot(d2.time(), d2['amp.Vp'])\n", - "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", + "\n", + "ax = fig.add_subplot(1, 3, 2)\n", + "ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dA.time(), dA['amp.Vp'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.Vp'], label='Model B')\n", + "ax.plot(dC.time(), dC['amp.Vp'], '--', label='Model C')\n", + "ax = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", + "ax.plot(dA.time(), dA['amp.Vp'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.Vp'], label='Model B')\n", + "ax.plot(dC.time(), dC['amp.Vp'], '--', label='Model C')\n", + "ax.set_xlim(-0.005, 0.05)\n", + "\n", + "ax = fig.add_subplot(1, 3, 3)\n", + "ax.set_ylabel('I out (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_out'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.I_out'], label='Model B')\n", + "ax.plot(dC.time(), dC['amp.I_out'], '--', label='Model C')\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "a948aae0", + "id": "86d3be68", "metadata": {}, "source": [ - "### Slow amp\n", + "### Cross-comparison\n", "\n", - "The traces look extremely similar, because they are dominated by the membrane charging time.\n", - "\n", - "We can make the difference visible by slowing down the op amp:" + "We can get a closer look at the differences by plotting them explicitly.\n", + "For $V_p$ and $I_\\text{out}$ we'll zoom in on the first few $\\mu$s." ] }, { "cell_type": "code", "execution_count": 12, - "id": "93bde676", + "id": "584780c1", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -555,46 +658,64 @@ } ], "source": [ - "new_tau_amp = 0.05 # 1000 times larger\n", - "s0.set_constant('amp.tau_amp', new_tau_amp)\n", - "s1.set_constant('amp.tau_amp', new_tau_amp)\n", - "s2.set_constant('amp.tau_amp', new_tau_amp)\n", - "s0.reset()\n", - "s1.reset()\n", - "s2.reset()\n", - "d0 = s0.run(10)\n", - "d1 = s1.run(10)\n", - "d2 = s2.run(10)\n", + "dA = dA.npview()\n", + "dB = dB.npview()\n", + "dC = dC.npview()\n", + "xlim = -0.005, 0.05\n", + "\n", + "fig = plt.figure(figsize=(15, 12))\n", + "fig.subplots_adjust(wspace=0.3)\n", + "\n", + "ax = fig.add_subplot(3, 3, 1); ax.set_ylabel('Vm (mV)')\n", + "ax.plot(dA.time(), dA['cell.Vm'] - dB['cell.Vm'], label='Model A - Model B'); ax.legend()\n", + "ax = fig.add_subplot(3, 3, 4); ax.set_ylabel('Vm (mV)')\n", + "ax.plot(dA.time(), dA['cell.Vm'] - dC['cell.Vm'], label='Model A - Model C'); ax.legend()\n", + "ax = fig.add_subplot(3, 3, 7); ax.set_ylabel('Vm (mV)')\n", + "ax.plot(dB.time(), dB['cell.Vm'] - dC['cell.Vm'], label='Model B - Model C'); ax.legend()\n", + "\n", + "ax = fig.add_subplot(3, 3, 2); ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dA.time(), dA['amp.Vp'] - dB['amp.Vp'], label='Model A - Model B'); ax.legend(); ax.set_xlim(*xlim)\n", + "ax = fig.add_subplot(3, 3, 5); ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dA.time(), dA['amp.Vp'] - dC['amp.Vp'], label='Model A - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", + "ax = fig.add_subplot(3, 3, 8); ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dB.time(), dB['amp.Vp'] - dC['amp.Vp'], label='Model B - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", + "\n", + "ax = fig.add_subplot(3, 3, 3); ax.set_ylabel('I out (mV)')\n", + "ax.plot(dA.time(), dA['amp.I_out'] - dB['amp.I_out'], label='Model A - Model B'); ax.legend(); ax.set_xlim(*xlim)\n", + "ax = fig.add_subplot(3, 3, 6); ax.set_ylabel('I out (mV)')\n", + "ax.plot(dA.time(), dA['amp.I_out'] - dC['amp.I_out'], label='Model A - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", + "ax = fig.add_subplot(3, 3, 9); ax.set_ylabel('I out (mV)')\n", + "ax.plot(dB.time(), dB['amp.I_out'] - dC['amp.I_out'], label='Model B - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", "\n", - "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", - "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original', lw=2)\n", - "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", - "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", - "ax.legend()\n", - "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", - "ax.plot(d0.time(), d0['cell.Vm'], lw=2)\n", - "ax.plot(d1.time(), d1['cell.Vm'])\n", - "ax.plot(d2.time(), d2['cell.Vm'])\n", - "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", - "ax.plot(d0.time(), d0['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", - "ax.plot(d2.time(), d2['amp.Vp'])\n", - "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "00bf88d9", + "id": "0f81a21d", "metadata": {}, "source": [ - "### Unstable amp\n", + "Here, we see\n", "\n", - "We can calculate the damping factor as\n", + "- A minor difference in $V_m$ between Model A and Models B & C, which is only visible when plotting the difference explicitly.\n", + "- Some differences between Model A and Models B & C in the early $\\mu$s of $V_p$ and $I_\\text{out}$.\n", + "- Differences between Model B and Model C in $V_m$ and $V_p$ look to be due to numerical noise. The main difference between these two models is in the early $\\mu$s of $I_\\text{out}$." + ] + }, + { + "cell_type": "markdown", + "id": "f83e9081", + "metadata": {}, + "source": [ + "## Models A and B with a high parasitic capcitance" + ] + }, + { + "cell_type": "markdown", + "id": "6f369cc7", + "metadata": {}, + "source": [ + "For Model A, we can calculate the damping factor as\n", "\n", "\\begin{align}\n", "\\zeta = \\frac{\\tau_a + R_fC_f}{\\sqrt{\\tau_a R_f (C_p+C_f)}}\n", @@ -604,7 +725,7 @@ { "cell_type": "code", "execution_count": 13, - "id": "15c25790", + "id": "f5705ee7", "metadata": {}, "outputs": [ { @@ -629,16 +750,17 @@ }, { "cell_type": "markdown", - "id": "d66f67fa", + "id": "6123c441", "metadata": {}, "source": [ - "If we had a very small $C_f$ we could get some ringing, but as this is set by the amplifier design it might be more feasible that we had a very large $C_p$:" + "Since $\\tau_a$, $R_f$, and $C_f$ are set by the amplifier designer, the only experimental variation we can expect here is in $C_p$.\n", + "If, for whatever reason, we have an unusually large $C_p$, this can lead the damping factor to drop below 1, so that ringing can occur:" ] }, { "cell_type": "code", "execution_count": 14, - "id": "c3dd0005", + "id": "3544c88d", "metadata": {}, "outputs": [ { @@ -658,75 +780,28 @@ { "cell_type": "code", "execution_count": 15, - "id": "c3cecbac", + "id": "62cd5098", "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ "new_Cp = 4.5 * 20\n", - "s0.set_constant('amp.Cp', new_Cp)\n", - "s1.set_constant('amp.Cp', new_Cp)\n", - "s2.set_constant('amp.Cp', new_Cp)\n", - "s0.reset()\n", - "s1.reset()\n", - "s2.reset()\n", - "d0 = s0.run(10)\n", - "d1 = s1.run(10)\n", - "d2 = s2.run(10)\n", - "\n", - "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", - "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", - "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", - "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", - "ax.legend()\n", - "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", - "ax.plot(d0.time(), d0['cell.Vm'])\n", - "ax.plot(d1.time(), d1['cell.Vm'])\n", - "ax.plot(d2.time(), d2['cell.Vm'])\n", - "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", - "ax.plot(d0.time(), d0['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", - "ax.plot(d2.time(), d2['amp.Vp'])\n", - "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "3a5ceb9d", - "metadata": {}, - "source": [ - "Here the Sigworth-based model shows ringing, but the Weerakoon/Lei model does not (cannot?).\n", - "\n", - "Of course, this is still with a slowed down amp.\n", - "We can restore its original value:" + "sA.set_constant('amp.Cp', new_Cp)\n", + "sB.set_constant('amp.Cp', new_Cp)\n", + "sA.reset()\n", + "sB.reset()\n", + "dA = sA.run(10)\n", + "dB = sB.run(10)" ] }, { "cell_type": "code", "execution_count": 16, - "id": "d8e41963", + "id": "9cd6ad9f", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -738,92 +813,44 @@ } ], "source": [ - "old_tau_amp = 0.05e-3 # Original value\n", - "s0.set_constant('amp.tau_amp', old_tau_amp)\n", - "s1.set_constant('amp.tau_amp', old_tau_amp)\n", - "s2.set_constant('amp.tau_amp', old_tau_amp)\n", - "s0.reset()\n", - "s1.reset()\n", - "s2.reset()\n", - "d0 = s0.run(10)\n", - "d1 = s1.run(10)\n", - "d2 = s2.run(10)\n", - "\n", "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", - "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", - "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", - "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", + "fig.subplots_adjust(wspace=0.35)\n", + "\n", + "ax = fig.add_subplot(1, 3, 1)\n", + "ax.set_ylabel('Vm (mV)')\n", + "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", + "ax.plot(dA.time(), dA['cell.Vm'], label='Model A')\n", + "ax.plot(dB.time(), dB['cell.Vm'], label='Model B')\n", "ax.legend()\n", - "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", - "ax.plot(d0.time(), d0['cell.Vm'])\n", - "ax.plot(d1.time(), d1['cell.Vm'])\n", - "ax.plot(d2.time(), d2['cell.Vm'])\n", - "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", - "ax.plot(d0.time(), d0['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", - "ax.plot(d2.time(), d2['amp.Vp'])\n", - "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", + "\n", + "ax = fig.add_subplot(1, 3, 2)\n", + "ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dA.time(), dA['amp.Vp'])\n", + "ax.plot(dB.time(), dB['amp.Vp'])\n", + "ax = ax.inset_axes((0.25, 0.10, 0.7, 0.55))\n", + "ax.plot(dA.time(), dA['amp.Vp'])\n", + "ax.plot(dB.time(), dB['amp.Vp'])\n", + "ax.set_xlim(-0.005, 0.25)\n", + "\n", + "ax = fig.add_subplot(1, 3, 3)\n", + "ax.set_ylabel('I out (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_out'])\n", + "ax.plot(dB.time(), dB['amp.I_out'])\n", + "ax = ax.inset_axes((0.34, 0.40, 0.6, 0.55))\n", + "ax.plot(dA.time(), dA['amp.I_out'])\n", + "ax.plot(dB.time(), dB['amp.I_out'])\n", + "ax.set_xlim(-0.005, 0.25)\n", + "\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "789ad7cb", + "id": "37187c14", "metadata": {}, "source": [ - "So for an underdamped system we can see a difference between the two!\n", - "\n", - "Let's zoom in a bit:" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "8a200061", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot(1, 3, 1); ax.set_ylabel('I out (pA)')\n", - "ax.plot(d0.time(), d0['amp.I_out'], label='Lei original')\n", - "ax.plot(d1.time(), d1['amp.I_out'], label='Lei reformulated')\n", - "ax.plot(d2.time(), d2['amp.I_out'], label='Sigworth-based')\n", - "ax.set_xlim(0, 2)\n", - "ax.set_ylim(-500, 11000)\n", - "ax.legend()\n", - "ax = fig.add_subplot(1, 3, 2); ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(m0.get('cell.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(m0.get('amp.Vc').eval(), **kw)\n", - "ax.plot(d0.time(), d0['cell.Vm'])\n", - "ax.plot(d1.time(), d1['cell.Vm'])\n", - "ax.plot(d2.time(), d2['cell.Vm'])\n", - "ax.set_xlim(0, 2)\n", - "ax = fig.add_subplot(1, 3, 3); ax.set_ylabel('Vp & Vo (mV)')\n", - "ax.plot(d0.time(), d0['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vp'])\n", - "ax.plot(d1.time(), d1['amp.Vo'], '--', color='tab:orange')\n", - "ax.plot(d2.time(), d2['amp.Vp'])\n", - "ax.plot(d2.time(), d2['amp.Vo'], ':', color='tab:green')\n", - "ax.set_xlim(0, 2)\n", - "ax.set_ylim(-500, 1500)\n", - "plt.show()" + "Here we see the expected ringing behaviour in Model A, while the simplified equations in Model B show a simpler response." ] }, { @@ -831,12 +858,12 @@ "id": "def45ae4", "metadata": {}, "source": [ - "## Conclusion?\n", - "\n", - "- Starting from the Sigworth 1995 equation for the op amp instead of Weerakoon et al., we get a system with a faster step response, but this is invisible in the normal traces, which are dominated by the membrane charging time.\n", - "- When $C_p$ becomes so large that the system becomes underdamped, we can see a difference between the models (Sigworth version shows \"ringing\").\n", + "## Conclusions\n", "\n", - "For \"normal\" patch clamp experiments I have no fundamental reason to prefer one over the other. (1) I can't find a reference that uses the same equation as Sigworth and (2) The Weerakoon equation is perhaps a simpler \"first approximation\", so more parsimonious? But (3) the Weerakoon equation uses a $\\tau_c$ derived from the Sigworth analysis." + "- Model A, which uses the op-amp equation from Sigworth 1995a, exhibits more complicated dynamics than Model B, which is based on a dominant-pole approximation of Model A.\n", + "- However, the differences in $V_m$ are neglible, while differences in $V_p$ and $I_\\text{out}$ occur only in the first $\\mu$s under normal circumstances.\n", + "- When $C_p$ is made large, the difference between the models becomes more notable, and Model A can exhibit ringing. However, this lasts for less than a ms.\n", + "- If we assume an ideal op-amp, so that $V_p = V_c$ (and $\\dot{V}_p = \\dot{V}_c$), then Model B equals Model A." ] } ], diff --git a/artefacts/appendix-G-symbols.ipynb b/artefacts/appendix-G-symbols.ipynb index 2380a41..1478567 100644 --- a/artefacts/appendix-G-symbols.ipynb +++ b/artefacts/appendix-G-symbols.ipynb @@ -36,11 +36,25 @@ "| $R_sC_m$ | Membrane access tau | $\\tau_a$ | $\\tau_a$ | $\\tau_a$ | | | |\n", "| $V_o$ | Op amp output V | $V_B$ | $V_T$ | | | | |\n", "| $V_c$ | Command V | $V_\\text{ref}$ | $V_\\text{com}$ | $V_\\text{cmd}$ | | | Reference V |\n", - "| | Corrected command V | | $V_\\text{clamp}$ | $V_\\text{clamp}$ | | | |\n", + "| $E_\\text{off}$ | Offset potential | | | $V_\\text{off}$ | | | |\n", "| $I$ | Current of interest | | $I_m$ | $I_\\text{ion}$ | | | Membrane I |\n", "| $I_\\text{obs}$ | Observed current | | $I_\\text{in}$ | $I_\\text{out}$ | I-mon | | Recorded I |" ] }, + { + "cell_type": "markdown", + "id": "595f4595", + "metadata": {}, + "source": [ + "| Symbol | Description | Sigworth 1995a | Lei et al 2020 |\n", + "|:----------------:|:-------------------------|:--------------:|:-----------------|\n", + "| $R_s^*$ | Estimated $R_s$ | | ✓ |\n", + "| $C_p^*$ | Estimated $C_p$ | $(A_1 - 1)C_i$ | $C_\\text{inj}$ |\n", + "| $C_m^*$ | Estimated $C_m$ | | ✓ |\n", + "| $V_\\text{ref}$ | Corrected command V | $V_\\text{ref}$ | $V_\\text{clamp}$ |\n", + "| $E_\\text{off}^*$ | Estimated $E_\\text{off}$ | | $V_\\text{off}^*$ |\n" + ] + }, { "cell_type": "markdown", "id": "e291c44b", diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 779a909..462d5ec 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -458,7 +458,7 @@ "And finally we write an equation for the observed current:\n", "\n", "\\begin{align}\n", - "4. && I_\\text{obs} = \\frac{V_c - V_o}{R_f}\n", + "4. && I_\\text{obs} = \\frac{V_o - V_c}{R_f}\n", "\\end{align}\n", "\n", "This gives us a 3 state variable ODE model of the patch-clamp set-up, without compensation.\n", @@ -479,6 +479,168 @@ "Notably $R_\\text{leak}$ is often called _seal resistance_, while $R_s$ is also referred to as _access resistance_." ] }, + { + "cell_type": "markdown", + "id": "1cd6501b", + "metadata": {}, + "source": [ + "## Simulations\n", + "\n", + "We can use Myokit to run simulations with the uncompensated patch-clamp model.\n", + "\n", + "We will set the current of interest, $I$, to 0, so that everything you see in the simulations is expirimental \"artefact\".\n", + "Instead of applying a voltage protocol, we will implement a single voltage step through the initial conditions:\n", + "\n", + "1. The command voltage is set to a fixed value Vc = -20\n", + "2. The initial membrane potential is set to Vm = -80\n", + "3. We set E_off = 0, I = 0, and I_leak = 0. This leaves only the capacitative currents. As a result, when the system is in steady state we have Vp = Vo = Vm." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "b5280790", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "\n", + "m = myokit.parse_model('''\n", + "[[model]]\n", + "cell.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms]\n", + " in [ms]\n", + " bind time\n", + "\n", + "[cell]\n", + "use amp.Rs, amp.Vp\n", + "Cm = 100 [pF]\n", + " in [pF]\n", + "I = 0 [pA]\n", + " in [pA]\n", + "\n", + "# Equation 1\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "\n", + "[amp]\n", + "use cell.Vm\n", + "Vc = -20 [mV]\n", + " in [mV]\n", + "Rs = 0.01 [GOhm]\n", + " in [GOhm]\n", + "Rf = 0.025 [GOhm]\n", + " in [GOhm]\n", + "Cf = 0.3 [pF]\n", + " in [pF]\n", + "Cp = 4.5 [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", + " in [pF]\n", + "Ct = Cf + Cp\n", + " in [pF]\n", + "tau_amp = 0.05e-3 [ms]\n", + " in [ms]\n", + "\n", + "# Equation 2a\n", + "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct)\n", + " in [mV]\n", + "\n", + "# Equation 3a\n", + "dot(Vo) = (Vc - Vp) / tau_amp\n", + " in [mV]\n", + "\n", + "# Equation 4\n", + "I_out = (Vo - Vc) / Rf\n", + " in [pA]\n", + "\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "e35469f6", + "metadata": {}, + "outputs": [], + "source": [ + "s = myokit.Simulation(m)\n", + "d = s.run(10)" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "5633933b", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "\n", + "fig = plt.figure(figsize=(15, 10))\n", + "\n", + "ax = fig.add_subplot(2, 2, 1)\n", + "ax.set_ylabel('Vm (mV)')\n", + "kw = dict(color='#aaa', ls='--')\n", + "ax.axhline(m.get('cell.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + "ax.plot(d.time(), d['cell.Vm'])\n", + "\n", + "ax = fig.add_subplot(2, 2, 2)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Vo (mV)')\n", + "ax.plot(d.time(), d['amp.Vo'])\n", + "ins = ax.inset_axes((0.25, 0.35, 0.7, 0.6))\n", + "ins.set_xlabel('Time (ms)')\n", + "ins.set_ylabel('Vo (mV)')\n", + "ins.plot(d.time(), d['amp.Vo'])\n", + "ins.set_xlim(-0.005, 0.05)\n", + "\n", + "ax = fig.add_subplot(2, 2, 3)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Vp (mV)')\n", + "ax.plot(d.time(), d['amp.Vp'])\n", + "ins = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", + "ins.set_xlabel('Time (ms)')\n", + "ins.set_ylabel('Vp (mV)')\n", + "ins.plot(d.time(), d['amp.Vp'])\n", + "ins.set_xlim(-0.005, 0.05)\n", + "\n", + "ax = fig.add_subplot(2, 2, 4)\n", + "ax.set_ylabel('Recorded I (pA)')\n", + "ax.plot(d.time(), d['amp.I_out'])\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "f73c0749", + "metadata": {}, + "source": [ + "Top-left, we can see $V_m$ approach the desired voltage quite slowly, due to the large time constant $R_sC_m$.\n", + "The voltage $V_p$ approaches the desired value much faster, although it shows a slight overshoot, seen bottom left.\n", + "Similarly, top-right we can see a very fast transient in the output voltage $V_o$, followed by a much slower exponential decay.\n", + "Both are easier interpreted when translated to output current $I$, shown bottom right.\n", + "Here we recognise a fast capacitance artefact, followed by a slow membrane charging current." + ] + }, { "cell_type": "markdown", "id": "e3528d0b", diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 2a9ec06..7df0fbb 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -89,7 +89,7 @@ }, { "cell_type": "markdown", - "id": "01607673", + "id": "4d3f0a50", "metadata": {}, "source": [ "\n", @@ -99,7 +99,7 @@ }, { "cell_type": "markdown", - "id": "9e410a8e", + "id": "2df49283", "metadata": {}, "source": [ "In the diagram above, we have drawn an active component that somehow generates the current $I_\\text{inj}$, but does not draw any current from the node at $V_c$.\n", @@ -125,7 +125,7 @@ }, { "cell_type": "markdown", - "id": "322c5b37", + "id": "bb019558", "metadata": {}, "source": [ "In this notebook we will assume the rounding is well modelled by other capacitances in the system, and proceed with the equation given above." @@ -136,27 +136,71 @@ "id": "f2685571", "metadata": {}, "source": [ - "## Slow capacitance correction ($C_m$)" + "## Slow capacitance correction ($C_m$)\n", + "\n", + "Next, we add a similar correction term for the much larger capacitance $C_m$.\n", + "As with $C_p$ correction, there are practical considerations that complicate real-world implementations ([Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4)) but for our model we can simply add another term based on the estimated membrane capacitance $C_m^*$:\n", + "\n", + "\\begin{equation}\n", + "I_\\text{inj} = C_p^* \\dot{V}_c + C_m^* \\dot{V}_c\n", + "\\end{equation}" ] }, { "cell_type": "markdown", - "id": "f59c94f5", + "id": "960ecfe7", "metadata": {}, - "source": [] + "source": [ + "## What if we don't correct?\n", + "\n", + "Since we're going to be modelling both physical effects (e.g. fast and slow capacitative transients) and their (imperfect) corrections, it makes sense to ask why we're correcting at all.\n", + "Surely it would be simpler to switch off the corrections and model only the physical effects?\n", + "\n", + "Unfortunately there are two practical difficulties with this approach (see also [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4)).\n", + "Firstly, the large charging currents can exceed the limits of the A/D converter used to digitise the output voltage.\n", + "For the samples during which this _clipping_ occurs, we record only the maximum (or minimum) value, so information about the current is lost (making fitting harder).\n", + "Amplifiers in the A/D converter may also go into _saturation_, which prolongs the clipping until the amplifiers have recovered.\n", + "\n", + "Secondly, large transient currents can cause the op amp in the feedback circuit to saturate, leading to a loss of control over the membrane voltage until the amplifier has recovered.\n" + ] }, { "cell_type": "markdown", - "id": "37effd6d", + "id": "3a492bbb", "metadata": {}, - "source": [] + "source": [ + "## Series resistance compensation ($R_s$)\n", + "\n", + "Slow capacitance correction can hide the membrane charging current from our recordings, but the membrane still needs to be charged, and in whole-cell patch clamp this is a relatively slow process, with a time constant given by $R_s C_m$.\n", + "The milliseconds it takes for the membrane to reach the desired potential can have a pronounced effect on fast ion currents such as the fast sodium current INa, and many examples of this can be found in the literature (e.g. [Sherman et al., 1999](https://doi.org/10.1016/S0006-3495(99)77093-1), [Clerx et al., 2015](http://michaelclerx.com/publications/files/clerx-collins-volders-2015-applied-estimation-pre-print.pdf), [Montnach et al., 2021](https://doi.org/10.1038/s41598-021-82077-8), [Abrasheva et al. (2023)](https://doi.org/10.1101/2023.06.06.543894)).\n", + "\n", + "Several strategies exist to shorten the membrane charging time.\n", + "One of the more straightforward ones, described in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), is to _feed part of $V_\\text{out}$ forward into $V_c$_.\n", + "In the schematic, we'll show this with two new components: an active component labelled _R_ that generates the voltage to be added, and a _summing amplifier_, labelled $\\Sigma$:" + ] }, { "cell_type": "markdown", - "id": "3a492bbb", + "id": "d8e68433", "metadata": {}, "source": [ - "## Series resistance compensation ($R_s$)" + "\n", + "\n", + "_**Figure 3**: Series resistance compensation feeds a fraction of $V_\\text{out}$ into $V_\\text{ref}$ via a summing amplifier._" + ] + }, + { + "cell_type": "markdown", + "id": "204a0287", + "metadata": {}, + "source": [ + "In this new set-up\n", + "\n", + "\\begin{align}\n", + "V_\\text{out} = V_o - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "and so the extra voltage added by the series resistance compensation will be zero when $V_o = V_\\text{ref}$." ] }, { diff --git a/artefacts/resources/patch-comp-2-Cp.png b/artefacts/resources/patch-comp-2-Cp.png index 51645bf1f9c85936a3ffb631b35e1a37b569472d..a9bb53895bd73aee33c6a3f0fd9eee8468a2896f 100644 GIT binary patch literal 13691 zcmb8WXIN9+7d@B|=}kct=}187NGMWOq=irfq<59jn{<$-s4pNb)Ib1%&^w{mhyo&^ zMS2$mq$9lu{4c+G=9&30U*5oTU$`nGlZ}~RjuRsjqICcwf8A+AZ`TStuDU)?UrM~#6V+k$eXSBo*s-RvfXak ze4zU!hh2YbpQIgn{bEBLhVd+yjN2ESzxnyL7$-A5#txbFjU~0jKB58Q-ruMf=cVWl zbH6vp`H;ex+Rsq0`{7H^BrVIk-u(u0@Yc{GJO~!ZZ5t>z?=tU;^szJl+xTOdS8v7K z$sHudBN;)GIzjT;PCPxeT&4gPjT0aanZ!1#aMZ zLkBBz+p=~Y0r~l6Xo`M=pu0f`4mcS>~Q49a|8j$N? z`x{5CY21y{Htk5KUH>jq-kgA!Sx}=YbilV0z!((*y1!LjV8$q3FgY zMo<>+5*GB5CY#6R?^Zq=mxD*qTZi8=uyod+PR%4&0}y<#jaR@+KMta)n7ffWL%47X zeaOdQ#WWrzW)oiW?CREe!vlo;q*E1MEr(xmwFGAy_**!)!;>PbpG=wc|IbuB`6N&+Kam@?VkE-#Q zegIry{)Vln_dVDOLW}psxA=YaC_`hJ2&H-LUs5PTN}~HnGVlHqmP=tocRoOW6!b+k zfdsM6rgxt0zxjafOWCKZj79ee`G=P0sGG7M(~Q?VV-#0+r2lm7G}UBI$s9MFLwP6I z7O9BSCEa5va{6IEzY@MW%`(@sO+kPrYMyHok}z0E7$VF&boIfan@E^RovGd+kt?07Xs5obt7)P*ie|6Xfy8i>S{Prl9U6C@oonurJMQr>yvpLx zePllI5)==iTYl#2%Zut3p5@{&$pGj0X?_PLcmbm6x!$GyR5^Yb{{cUN55V^yf1@p@ zAUI>tw0--2q$S6n%**4hmP>sN)S}AFuqW?ci?xDqlFTs_dv`+~ffy+kwKJmLV*}u- z%%Z1EVpha@RC&U4Mo+);4*!wz-Vs;41gx3lG4TkWgsdyWiiX0GhlyCu(A#|*B@f?u z(=oq7>X0b&5;MZi!lWs_wqklC?&*zTAW)ag-5|3LC~XL6lx$XKLi!_fi5qV;I8zeW z`*R}@l0Kd;7->UTExon=KiRCeQUw_@`jiHyB5()=#r|pB9i0LC|8mT2nNKa zA32`pE|-mMVYk895O3huky5C$+IcG z5HY;;jj+Z`d+qR=At_nf1o_aGC#69vNDY#e$dx$&RUl*Q+Kt$se9_)kLQxM&pn&hk zhFh){jhxFd^7$G|*BX9pOa@#>28Qdz^ElM$X!~EhrhLjmJ1wv(0W%XSk{)DiCsW_^;C-p_|gB@md5-SLt^ocE@-PYeD&kc;^9!#$UzuLIu?_+Yl$P|6wRdDhb;gVhZVuITPt3+?s2HQG@Hg z;OxzVbCRw@RdB^f;#pW*IQ_rcT$c*S5z~(3tmu3#QFaxZP*^6M6 zS7on@F2a%C6)Z)XpEl9=S2a1KSETvV;pS8~dsl2%47*v4vPOfy9NV~9AK>HCYUMP3 zc05K&Ip|MoRNe}(!2c>c`jWy=j1k&;8Q3k|KpSm>b3S^Lf(AS*Wb7_n;;>&kqR;Hc z^SP+~rx_*t?_~5tXj+5=^?b~N>L2kXU}2fyf`}%@V~%5OqQy`#hd&Ibg7k?;+Zw2t zQ=t0E+90zK#S|}f#W5I;yl><1?X|JDh4p)8L-nF?$Ai}QyqhYi$(6M3?N|is92a^* z4WAJHo>`BbQhp64mP8MD^!y=P40z38g%m|Z&;aIV$ z=iT=Df%5~X!)VcBKlo(mGvRc$ZZm3(N~%PA)et_rC-a+bwL)T^zEzX>ybIP=$TacP z*P}bWt4?V1WMZ5Tb)omeT5p&kU&Prt1TM`W%Vv<{rQqZgmJZdr?=5D+^7xeXus7HC zSiJV7O$Yx&Fe~yzdhTPMv`P`3?FsKC2iTR%iy@F?JZYynME>lVMU~%OVYd>JdyE%h zR5H_dtpp`;Zlp@n9)-L?Z*LDqSBh)$npQ<@3PNTO%xWTOX{5!FiB8LM96TcKX0x4# zw*Doj3yYf=P6c(LT4?M4_E}k=Q_W+@kX~2{$cdx^%tP2%7kc4AIv-IZP7@K3kxUA& zN45PX-$_GvO8rwIKD4e^P47;h7+CzT;5szp`z|jNFTjU+z z}A*qfBH7xr*3WEe>BztzmRJNut4d_k@UE4S<*0wDoJ3E-JIxEP6xtIH*%D| zf!q&II8|xo6ih}-rcP7|xd_g1_3MSy5jI|Q404BB^le<`&|et#5?r}UQ?!`Yk^=t}ki#RFpM4N(9*1Tf)$aq-lrehX+VWR*ZH-E5Ky|k5;&dIActI%T zIBpuMi-l&WF{ht#WPcsX&ik)hxR;C0{oKW2y~oEv+bnj+JS4^sUH@s0d z%@Ff8e|<6P9lE}A#}y+;c?GFg^QAri%8(Y4E0P*QX2CvjH8JQQW~W#EwIqt%?|ReF zGuFs=i!onGkv=hK?|U`T7h{9~w28D%PJhyedwNF6&`lu+=l@|+1Q2RpkK7sO+u{Na zHoO4ZEqM)qQp5P)m)reJVV5sujLt{-G`;3vTaRD!I(WjDU_IrY{PFlnMZG^Sp5-;yNmLJ5S$8TRwjI2{9kl=D2?>erop!x^;|k(j*q6(lCbNvdBm9 zJ6ahAj^}4b6{I5SP=*Ts)!`&+9qdUF*bwYPS{KO?6m~CEF1P1ThM+XH+4v*Q}52gdRTfP1a+UUa>d80oq-|-QV%2cObguv3-6_9BYG5~I-^B`X~=ZOlV2SaI5 z!}`PsRIp%?rx)Rk^%LhCb@O-#{qH{MIZYb2hhpGQz|#|;E_Zy*%MW&yO|PNqcoUz` zMatbuk%NDP2WS)RP)K-_DN$!3Mo^~&a$9*ImUN5kg4`J-&)_o0K3?=Y-ZtB;-c|nO zcS6%@e!Bf!TaYNc!f$oBvvXxq_!Bu)I408ECL5|l2x@roqS3fm)V`E4TYn~-M|J-dw zZ288GZ`ViJ?|QeBjEdnj5IJ)oJFr2sqC>TpY|!ccH+&exiNd9TQU8)YL-3Xk_PsC9 z4%?0|yyt+M6Wm2IMsizYQlNa^Ty+$J%%8GBM*VaVwL>0epe>L{CIj#EC{>pNRz$$a zl(t2c|6O4*7)+4Yx^G`|DkQ_R zo~URDXKc0X^;^n%tE@ywJhCE@{%KzritYn{01Lt$sW()aecT0iVlJPiwAP1ZP_|g8?@0V%gzMSq^*rd=!!iFzi1|>p5M>2cg0z0BYoRo|aa*HQG)DefBJ<)T6AAx^Tz`fL4bPZa9!q%z0%Jjo*W!caZ zf+$zgF8Ha!uY5bm{89Mf_Aqo$6m~}Qn0Suwf9`%)66drKlg-@ZyWM~McQHXBP#O(* zLy7Ld?4Cf?%v92C9c*6J?Mj|OaKjKmF;*s1DY_yGX0U^ScP1ogMJ7(0CO1~C{s-!J8z~v zo>RjDKZ<4I;HblEjtQG#GA*i*oy#0GPcr!0<3c4xivMuRM_fBzpDL_wTn$lQMxK)uUOXS- z^@G1)ut>iE$r*HZ=pz2#aAqrnjHVE*s$3%FqWk-3yZD(ZuO2+*I$6=Z5fFRs3VGUu z{(Cw?Bh`aG0$De^GU+kdcRO(uPpB1N`yKID6u{N?hvC{8vbBD?q8Uv}-)kJ}VPYS} z#_SbrY!k31@9BOlsS(-3^JXSV1T_Lu2BT_I&_QDxJ^f5iBn+(j6~c1ga|I7K`?>`j zi4g8rzgqRGpYcJ^uu7G#O=|OQzC>O|%EdS)YmID~!&u#r1E~|=5dETW*Ahy)}7exS2g%f zP(YB=^Cv{mKUTKlw%aQZkkmHoID zZ4u{k9YqHMn5HC?%(3V^{l+pHp=)jGG3!oC{dYBQRf@Ye)gL9+E8q37c>46K>K%?u z_`v)bWiP&wZU4{EyMnA>*$JOXyDx7^6L>S|%^i?T(%&4q*?oV1rGK;`Z`Y6c$U8%c zVG!<^r{YRimVG~{nkI1Y)!*QANQ_4*S!u>se^JKbf`q)cb&qeuMImR;iO`v-+oIb0 zt3SJm8w@t(vz(F=63}|-9>Ox>6e2cE;1D+ctitC2QBteRd|ZZA94hzi+ekmYE64%2 z2&IixJhhLJ;oDR;F}d^L#kB$XJwm@O96B?X*!vjp>>0pFa@G0y$V&~O4A-j8Tc22^ zMLdR7ZhM?8rEu~&_`2>7so-mPkQS{ zGG}1L*2^(99d(AhxoHMnJ6B`)_&i3}qj~s}voo-Z6IP3U5S{*fT z_7UQBXBFeS+|h6Z*@%1Jn7wTH;~&fXQlRc4^l&aDd#thelCG**t=-b{D^ZL&6?`eU}36xga?~qBoK|iYbtX zcCe|kzwg|Cg5BMl&XH10@7L4NeZm)V_Y2KJk0|%XBL}CB@50Wc`aegOhG=O;Z&rS# zBW*PPQ5gr;;#{hZ7s}91mlCe}sVz4G=5ca#v_uUHesn5RYIrg&GdaxE083NG{y9C| z>>V!BXXH`+^q2?+l4U;Ds_sp`lZF&8(GsO9F*(YSYK_#9nha*J*>w%=4r9!X=yD^! zAot>aJ~vY3CBG&W&ZJ+b0gLcc%{h2fPfB(ppnRD(%{@4~UNkudjmEyDWMnN>RWBav zVtF3V%McM}=GH37F6(vw`$66K3u;-Pjq#ls-?;!rCSIAksNn{sdK2}Pbh6C8T8`PSX8=Y8j=XTTQ# zQzy6-kV6?Fz^D)DCeAP~11L=J`@5a&BhCFcbUU~|{=(UPPQ2D+l|ePFg52@tD(V;` zh~V|fbDzE)$w$1<+01a%A4K@8X{n`DMCjb|aVAHfMDh!H3Kw%SuL!{p%yVaxcm;n< zh+sCY;^7fd2}2G!JYI}7CA8xC^R_W0JYv*?i2hoz;70HOSn3oN6pm2 z!s6-O_+vU|y89LcEd`1`?Y-PNowdbp&gBWKPxa0z?_mZP0t2@iS4!;$G7ofxjz~&w z@nkx_xmgmuuQ`8FC~@Q-GZ~Hv^$$-rB67+ z0^e8u_~C<(UZqQ{iN@MNwNMhWCoCu*)P5;8f{I##-rmcS9Ik_q6^hCV>wSj~y7o_Y z>!HTy&zrgMS#484{d`TE^|E@`hwG&{8TTa$Yg_vIl?S&sl!>&YsPG{ z%?~6WF-fmh_kSnos1m~f=l*~_U-(q?;-&x(BqLOjf6kZu5K;%9NCx>ta%@s4JP1)L zq_UJ;YVZzYg8B97ggs?-nR>VLvC(S(oEPtwJC@oNslKClp`eK)mv&e>!zfP!-P$$zX48eOh3&E82;NkW&}1`BYQ64 zDSu7G(Qugi;+*81ljPC&8s#*{=?0Iw!%3%tWbF_=0<++`ZY4tzI>?D1R+Kt%hCGZz zx29E>eIaBq z{PXxyA!qyJX59obeOT{LSMpPS33k}oEWuI=*Fm0HFyk{7z527@9ssyAg);gRYuu(i zl1enP?(Wdck4Q}EfPJ{V6g5o4DoHvw{+vzVsZo>Hi!oMEA!dbW+ZCO2#_V(7YrQ(^ zzg}=TJXI3iUM?jcR+nvgzi0`=UEbuYE~Nzxw}+JPrAkzUTwchZ{>d#NX{9)4EFLv5 zvgj{c_v#B^4D}YJcn=6kn&T(ev6Ek56}Pia0--%d+|U z!TaJ*ZY)P+z#)f|q99RR1Erq(KDOc-#{*n9mA3_~85gl>1wK-#$e5OoAFNvShouXy zKDM@+7w!;?TI?%*Ld-I*ySICD-gTZ99-BHbtkk*vx{lS|B1(-^uCDU(Iaj&zWP5&o zm(R3EcTOTRYi)v|_g!Hu0GnR_j#rb?%#@emc4$G<;@Cd^HhA~s9od5`lz+z#^QBNX zmo9Pm(b~kB`*c-OlwOkh)Qw`zM|Vq8bzdV7v$3$S6=;y^AC)%`vr~5V@KE@i@?YUd zq)i$%A(mkqjXy!rIcoXl*{&%n2`hO{s#&enyHAs!d`w7JzWczvq^wS0{CKD<;AksD zHdA6WMkoo7$ky5;I_wFwI@SXWnf8ypc5p~S&5K;A@psUXapK>|o+P~($2YD+5FcT2 z+(6g>80t+DoVHv^IyB~$*IF;Mj7H`B&1GfE4U*uW(3V9KQpdX!R5xqThu?GxT-K!b zRtD^ba`JFU5%Y#PUPSWFW<4ULOj1&^w1%j0$3k9)!SC0 zUk10Atn37uZpClZ1`Y$1tKSAP-DPxP!0zutr^;%)nRcvsz$S7b;$CKDxkYopfF%xz zz}^F|LylghC1yl@xv9hyB*k}|Cr*f|Hb|m~W(rQvC-f@5EFttukK2_`#V8z(bNX6_ zoF8arDQ2*`8k@dr?Vu8r2>b#pt+?OL{0He-KOXK?erlI&ORB!X&rjZ^K6t6>xaw6< zxLGrjq%BzPD4n(=qm49Z!Wi+2<8;EBK{-B~+FERVi^AVuI=2PqbNCLEen#Fp=U+G;TCyw+3E>FbsOk^bHesse^3KL4DzUDuuipmS zp(iBE&bxBrWWCq+U#@(XquXh%m<@E4cUW?(Tk#sc32^pR1W>+KA zAS$K}7iQE5Fs{yjc{kxZx6inJWr;8E$fY&YB>1^kRbXe)Xh{RlU%NF|W_j85DNS53 zqnt*b$Kj`h7IizQ96Z`{us{h{;4Mqj2`{%#JN?|s{_t+!VJn5;T216yqDGQ4=jQl> ztRXJ+)azd1)$yvAkZh=VlGLOzk5q`y*3)fFq+wA`C>Cv+f(aGsd5z2_U z1N$Ii(d0$O5%1-eeb%5p*_NNboDb0Ju?b%AVdrYheg8Hpwlw6C^?XHgchgTwCHkg4 z&(=^uI`~rxr#ceNYoOGDdu(zQPBCjM@)v>MdIXGAxaZjyU10k{&=2wX#tE#V(7}b)?3blVa6Pr#q4< zd3K~WMtWs$ge-SQQa#dSm!jh zmCUEzoL)I;+@9$25hA)o0u7Ijz8*EP8;NF> zb`6AY_Bo?hpY&~z!;cuLxGrO)I4RAO7R^j)k9pjE5fRUY<#$e1mRX*W4>Uu^4%)W zflLLoq>~}sYK&n2Ge26`w8kE!CG^Ish`9f~6;CMNah%@OH#0*t`)s13B8C~df<&cH zxgdghPI>>;Nv7OWWt?=tZX9=og zvzL~vSougA_to@a{$JKcy79`PWzL+6qx6Z+-}hP6PS?!`MB`4KL-;085+J`c+e@xn zj0|@{$U0>rJN_3+@tPEu>E91xnY-Harb>{rr{UiM<=jPVQok3zXd|zehnIj`k$q`- zWp$GR`PU^rr*$Q00|EMf`xW!>feF=lG&<=}q|^5I$x4iO~bb!~cBI9*0PxSgcGD6WTsi&G>gf61NA2W>A~EAS>Xfceq!Zh$WC1m%oMi-sJsc+GMwuUx(cfsmon5 z@QACqWfL>Ibn8Uu+j#REC?b0$E2QlTMZF;9)`(|Mf%3ANUJm*JoV(mcTqY3*i z)FQpH-~96zLR%THP$=8F2D7U_Qc8o^8{YUzS`w#2WP_OzS;Of^GgvmY6@n=dA>C2; zvjlbxc~9AAG3zI&I&LU&im>r9IYu-zDbxd1CxwfMKHNm4y7R77`8K902FX7CKvOkl z6=K1El^=zl0?_v;-K0Nnu#2#v3jfI&@FzPPVE;|rt~e=>k;=~xQY&=xN*w~viWniK zPil&v|89T0^2gsXD@iI^)Yu7$!-Y_nE2DawBz;qY2hKr!bBXm61q|?zXF3p zOoE#x(0D0)s{MZipKzN8={F|znw3>r4v=(b1Mc2L>0%-H($0dC&PRMx)x1 zh#bfNUK@{mH7FRutHbS#Yb@Pd z(@e#OQ!+++tZF{*nAz4;`sz<~Pu9M3EY`X0y;0m96fQ6wCUoAe$mUlSN3Hiti6{?a zwLy*r^4I)JEWT+fggn$6enVt!7$M}VH6szH{5CX)5=sf((n#dc^s>{iRMX%%$u(F~ z-b;3BfwiJ0<7zxUiI;B4 z05&y@$X+U9(8xyrx4a%K+jr;|W|ZT0nNl63xD(uIu&IhWeYm1wCt=gE%}Alp)(ob{ z$G+T-;UVn(u4m8$)WN&@7$+z3iT&r&eym`(#x+Z~E z@7rk0KTL|U*Pdhz+opgxWO#OZ2)ctRC3kugH$Q^zspSnG<#L;qN9H9f0lCX4yl&9Y zh)T=A!=QO*@$p|Xip$}Qu(90QhX|W0UoZEv!3=yJK7=K@b^Y;h;0-(>lK5pX=_4g0 zpBR7yIT6`F6e+8_nO6Kf!V7G}6);=!0d(S{kQ!{-}u|^-+i-;50VX!hmU`6QS{G6G!+5q)nskyqomq zTB+h?3N{VhJInooTv<;#Yc;e=g}lH(-I|@^<+ke~)YRh2W8%1u!`XmRw6K*j7RrxDjoos8^Z#*wii%m>(j)UamQ z)17A^VW{Rakr8=i+6_@w;EmnAq z`~tRHkJ6^m`NI1TVa@GczYG+CN~H#oJ3O-oRG}{0Pw4t~Cn0L)9^Tf2JU*}PajeAD zqdd^pU*@VlExe3GLfD$jR!orGRvZRXqcYhzTY z6Kdg^YfMjx0r+&GP3LPi*(WbqwKnD>q4DaNp*+OxJXb`rQbof86^~}2M`?H+ zymXAd3Touq;<)xsb|c9hWm99d^oe^XmdeC({6nrH?x_2BQN1J{P!DN?Q3!(|YMO&Ju@!TX%)l*~S%B262z%GJ z@mMG4{Zd4YCVHYaTwpOewBM3+5!0(uV>$u>&>3s`M5{-)el$=9`-GmjJHOem=-zKo zV`bEFN=zak0Ii=9|RhezDeCY5CvO*(G~|79e9rIIvXk|RIn9VGul zk0H}c_Oku)Af4n9d0dU`j%dg6{s5)t!)>ip$jL|IdkglKE6wG|FBVv{+(|Sx14vr{ zrE%7`VK_f(?<;K`kIrCY4`gspK+hQO7M6sj06tnyg`V)l&){`ID7pkMfZ@ioKQ@C` zAOhIOshGJP8kGeA^;|tXK#e$tvP`adTH_`NuSdvQ5sgsG>XSOeJ1E7J{VMF&+?Qi1 z5~{@QoFGqVhF)=&+5-cNyGb=3``x=O*n7|nVTZhi#mINqL)0mPg^C8`2sN15o|YNI z8in=ctT=&KuNyAPpv62VU58!@*i3Z6ewr_mSW8+veHPr2bq}hkfVeYf2OZksg~NZh zDrO6uXv}~3<5{3kNuG^cXu>Pv{ZYZXh0?4k#i!4bytsC#tnpc)?GSQ^j)q1%mlYH0 zxsks9-dR&qlMWCLVNT_Z%Lf31FzEqsXPrKqlR-ib#?udES2Pv^KWnX#!OR$3q+w^1 zgf_mKq<$D~8yF6(IFymxqu=2{|DSA0o=hE%FG5*&#w>k8bvE zD#6m7#kLV6s|=uEkOHKIVbI6X(b3>1#*=Hkz*#LsV3ipzsbwKY&3b)HBg6ASJkw(48Ko3ewN}AP)mO!1x(jTY-d99C@^(@xU1~|DkE+wbc&jp{| zBWL%z4kRd`yi(pvdfVK6Ge;##in}K3;fg?SB+8KcW?U14VcaRd`?K=fpHQ=^c$uNQ zo08!S4$W+$6StVjrrAcq*}>2M2|0`;s!xFqIvd=pqx@u0HU1VBGt_3TjkZ&Zv5(ry z0d9DIbE>{`FXrKk31ozD-T2$h`nfh&d?@&yMpG}rlHZWf-u2yH(V!SHTvp!1SnOb& zqAu|Rz73!D*lJ$U`j!~UF$420NmPmgJfhBJs(;!pD`@nr`_YB2zJ5YTne+B+>us$J z*#q?H=_w#uYS(JnqL>H4rerNN!&%e9kcXPH;KpihhahXsn1V5oLKr;-Z2R|uJ1kJL z+p{DMtBsWyQDJ|_!ugY~P!h)p9U&1^(Xvusd(3RqR2i{e0r__=)fTx2Eu;At8^^3) zp%3xWYzuzg+9GbFwWJl=6HYR%ioe{2?=E4}7*@N&MW&~&%95Kd6f>>c(zrc9@F0&! zC4Hsg)o&Fx2bN~IcqWij{Ddflvb@;&N6=bK9RUmdf^ z!*+>^^@tiKRE-D%AwN;fU6awSc3*gDK@%vFFE)xGQBu4T?MykGswPEg#TKx1?$ulK z-0*wXizP=%ESo6r!j=nFVKzxj)9Z;OHW}i_xZ<` zft$5b0Pk*D4`_7gAm#kSwHCHfrz`+GU@L!d4w451+nvvV&HP$E`;|X!_Qko}Jlxt2 zhS+?=(LQH)Pd6^acF@^oz4u(u5j(q_ zkI=Y^oX^I0Ao-a1j#>B~@QIE@kmLx5o)xG9?FOck|EFn_iFY~1z$K!FpMOu2ul-V_ z%blu&&;_31Jun3>`5=*I2CJrZCmA$n-ISkyM@oIGh3OoF>FtDv>p2t~P`o6Ig=$i# zI5uYf>Nh|crl^;o(S1Ns{e5p-%{69M3SM-it`(N zuDT6Sdsi71Q1t^U*)HhjR;0_u0h~j)j%~%C!8N07gG!6NdIF5dS?wicY!ZH9<;7w^_ z5Q6c15PYSZjToDAU^(y~pi#pJl4q*-#sMc_{m|c$B9i#`D6+2GBh{s~TEq>ErD%6sMXga~8PZ_D(<`1VVHUq4nd7T^AuNny;koajm*tRM$3u#@x%XEy|`J zpa&#;;7vWL5QwuQlCzUB2{5Rae0(xLT#h6Jd7o<-7_RD=;Zi`aAkqlI8g8(^u?;w3ROQL4b+%9*2lIT^3$XjvT&xM#Tje1wT zDuOKVuVGIdd|F>1jS^)!RwAz&G0Ia%AwKH3^C^ibOo{sJMByj+Wa^-5Q~p)YhPnSP zIvQBq|9>qd0Al}t^_v_MR3D%u*zM;C+}`*!eD~uZSa;A1WDxb8bl}E>WAo;}X+70Z zz6EY&d6?{{{smaD7LmoBtF=(^f53x z+y0XOd7`}E6@q6WbIwGLMIjGk^|}`Uxt;^C);}%rqCcJ8=&u@M8pU@n!JSfx?=M%a S{s4_rAh?RQa=DWA+y4VKO}kA1 literal 13678 zcmajGcRZVK^fw;Vs?}1X6h%U98hcc2u}78Kqm2=YQnN-{+R~z8#{3|tU1HBvsj65p zV@4IVsadPf-S6-BJbyi}*YigZ_qeX>+~+>m`@GNl#F`rGGF;`n3Ic%`V0v2SAP^Y= z_?tjO1^m2ZSAPloqV?5#;3zX{SElm1pM=6fVOpjg%3O+$obh5P*6~igvV2a zzpJzF6A7PZZduzZoFLF`5KK$`L2&lgTu58?{-3{llVO93k3K|S)wt#&>KWUet9M1+ zY|O@%5IxoCbG@=Ct!&Ds{_?G9+=PuK?Cllp&Dd{c8rN(;hWAAb9-b}vCmI^BWJAwX zp{t?yc=i?ix4o+r`|Xb1($S0A{*_3KBY6o$7WqEX4@U_<@~c2L$ECeh2CZg`Qo`8D z8_9zx!jYQD`Y1Q>^Y>6WE8n}I>P>GihbsOdRAbvYR^qv*5O=~?H z!N5ob#|WB%pthg@6G1cB8@*6_!cD&`Ya$ljvt$aZcC-Q1@ZuR~xPf^`JB3&XZdidcXS4cuD0b z?G=&S|L>qC?lmgVVD65GEQ!-e6`FNL43rDS^18eovAkn~8Eh_dgYSUSDVPjS)nLl{ zO1FaJh7rdJ))WD(18+JNR&5wyx}Z*~3CM$m1ggu&@CDto1&RiFtcfcf!SWVx14Z^d zt@US%maT(|jbmoPx&rAC$t0!KZ2ypdvmR7Tr~aQ&ggm@qh+<+#CdLRXoX}+VeD{CM zp+j+uAx1Fn3Jw?7EjD@nh#ga0&F+6CWDeXwf+-hR-e9vzF#q#G#ns=W|Leh%uKB^{ zg5)u_DYdWHl$hCeo__y~VoMjv(8-m>HcPiRh=Tr2!2H*hS?U)IW4*hl+(_cUW+&rY z*B^V9$Z#4Kou;eHz^$$H!|`4=rH&5>DQt|7`tfXO;EWq6wvDf6R2F@R&Dr0?68co^nv=&U zt@)meevc|xh2p(BFpbCyycfI=ctYBQbzBLKEhh7Wx_OwB!tf|Ql>J9$5u4GsT?6Po zI$k6rUC-V^hg?m_aCPFuIKs_IF#qv-kW1B1FgLj!V+Dm_qT;Q|?;-rp2b#a)2R-4D zsw9i-W>oC-(hlW>5I&FYQ$D7|;6|4RT&`ZLdRZh|$UHq!I~w*aM>JIt_wK%1njnEU zYSrN2bZz>Pz36J}n5^3q*c_L)?PEBv&X9==cA2>plZ)e}9q)9d{qk5Ri}*x4e!@}} zdd8z*MzF-uIMIi^ATCz8uuUq<}O`KjbjJVT;j=(u@9_Z3;BSn%DH1 z6^V|Q#ePQfR6DWeCH1qSfHkndxTCCSHC=Kks!|U`Nfab5jrwf`QkWCD^K7Yq&4&k) zeKbqjomW;2PIU+jC{Gv0Axnko>F3SU6d7>16`9^CW79OgA^kv6st^Cw;FKr$Grr?J z)Ot0F41NT@|A2pvHTYU^j?`>_b2i|;1B%v!hr$bu5JSQ)wUalgJtf@#ZO!RJf;;IZ zwDs;l$D61%c%bu|fdBG0JR8Ur+ty7P8DuvqYbqK;;0%3fE{YfA5P&p_cBqGJnmV*FTw2Cx}GPFK@6E z-#w5fNC*ITGR9Xpm`6JKI9dMJiEiiJx|e4e3B9X<(CQT3&l^QD>LHu@u1i^-X7!=Wm64@u2$$7xziL z@S_}z4GaNW7xM^$WNzKu2IxQR&iRz9wLdIdt7JpoNcCN6<7l9*%E`%K zZ;DxRuPYBWPc&fV%wNa0sVa=Ee^l}7>6@N&{iVdc{8(rs$BD1V=OAnT4g}t1agoIf z@ad5~RP^);y`rZSR?RKcw@&1nBW+GOYNwhkSdY*}{`I+8F-+A8l>jl+7)JX-$#xO2 zPWRJ_3RMrd5Zi8OsRZ?)Frj|`@W$dwcfC2_SA2G4o9wD;bWMU^tut$BGI9rKM>dVp zuM0k(N*Ue=BFo=8Y$yME6iQY-oA4Uh$pJ!VRJrZ>Pky zkSAskMJX9;J{E+yQft>!H|ht99N!2V;&3KKPG;W}YFc}P$lDdP!& zVzuFcvFIn3+IBRk2)Y+^ZFDaL*LGi2xxtyTh&hzBRg`8vtMY|Djwxg7VRQ!5Z=}SX zxk&1;bombTyI3Zq5G|cFMu{pI@8%@J3)s58IcE3ss6^;3b!(Aw7noAqu-QlZIuA>d z&xll7tzapWb3Q)Ra2vsbGLYnbun9!~}VxUZ&}~ zQ|(ydf&8YGPXF!`&(*GI3tw`%!QVa|LIyr@=G>ou8(gYhoz?mUKDzQrc`@j3@KJt? z%bu3a{6k7(fpZGhXHD824?W=vSEHMjObt%2NdCv={3Jua&V^;hifTgC`Bt;LC)-EH z$LwLvgMttZb34g*{+XwL-A998p~X=L@*3j(EYY5GGli6#Z_}=}(Oa_wvvL40@ssQC z{$YevXVbBbIx)SLoCAnjl+}P(!=fbWD|wjAw8|hpf=5>+=Jwi+G|5s9sc!rwi>BVq zchls&2G|WdXnzy-Jx27-kROHtcLVrVWajtOX`#H%A+ibr{F4;ob(omFau-^_8hV$xua9K_ReFm4Lug?Ik^ z7b{%gyy!=~qObay{0DsB#H55@Qplp;gXGPT0hEKI2YW$ z#`&(Ea~!Xu-;(@^<8w)6`*#%yU_SFA`J)C1J`YW3nDVwl97#XTn^#PzMvhNQ)A@U` zRV3nPY#qH8OKgx4w%qpMIXi3({xzanUrolI5zI|*C*bkwr@|LcXSgHCu^T{eYr*{D zOm5`8ve>^Jf(=a65i?^jR3N#WJob7>_ligqMlO2Xxz@1!09aB({(jw zg*s2h=4*WyHIC+j77Q8ZZYtc`)v~#WFtK*4;3*4v>J!|Ta#TLfnX7A+u;ei-HfVB#r#G;o@~DH z4}hnVtbpyf!E;Y=5QytM-8P?=eDz^D$64sFk9^ zpo{HjsKk(+iB~mL=6E4ejaw;TN`s&U`%)P4I_F)y5TPr00T0(rM8gAlcEvwg%pw&h zzqyboKrjmM^Ynl?%!1zfEp8~U^)=gQafP2BG=rDo<(V(ej!i&cm}9%au$gY|bVR#K z5*re;MGG01UrOj%HYOyYa#IgVF^^CH5=?Gd`aZgj(;nGpi3&sVM#V?z7H)-+x2CAn ziUn*;#0%xUFmIfFMz=N7xbT3`@1XSDZ?8F!Zix@}u5i^piUDab;HB|i+EN^*On%nr zYb|-3&m)+w_d*n{m}~>PjD=#Ksl^7QP&|&CzHsHx@3I88YD&h&^?^5 zN%S95Wzl?1KXS6S^aik5IG8~O3-jw;wP7;B#Oz6?&5!3@x%CwOH%=Z?*1#Yo_Qh4+ z$N8@i?ryA%P4D3iCj>N$%{%wzb6g15FD8}TkftYFu0XzdTexui&3B{P040}hn#-NP zmp<5#{grmHHBM5ONXw$%6MQ+a5(#MQIDU|7kZL<=OrY6|<=Q^^GL=MQ;Ps*WZl-iuM{iZ#sK)`_X>)2kU|;{|WaW;^A% z%p4=E7d8zE?J#*@ulA6@WmY|3dzlxfU&5p{B$PoE5#aZ zG&|kcek8=LP(_PQhDTzMti9o|VXm>fXsduKOvjlWU?HBlU^mCpaDa-Ip^ zi{J1LX#vVo4+%T8@~T{9okDeyC4%V4EMf@=#d9UF=p{=j+MYd#zUR|?=!W#u*`~)s z^8>2`S;zWg*yES_UdMsUJuDs`n-rV$0idUH*ddpM5`wCF6Q9RmY;;3M8uRu~_+Vtt z@Kc5N!wtb)zU^pnn4-j?rUKbm<8G*gU}@3l=U3DlYbAL+f*v~`1E$9okpAMowQR`Y z6Cf4#pj+cz_<6zit6nHfJ97GQm=k0529&*Rw-b&}vy~k(cGbtM}*5M#A+$%cyE(4emh~ys#~y6q~pddO_cQ zXD!Q%H(KO`Hz(qBEnt1Dl&HHi-=0O!U+?DA8FAqm%vRI!iRbn&$+v4^9W+C6Y zYu$;V2fx%4d17vAr-|ik*Yxtl@@c+)U_VoexxjBO`1P6%)Rs=?AmB^*O%G^R#_wIG zmEZfyT~Vib*HCLVxcJLfktVZfVLp3S>&T14n`#j+p3SZef!jsa_+hE{6noVmbM};? z?5W~AUJqjMo0>4D>!G<5WIGgAJzSQD(%2p34xOJktd(NUR>AAduMOnQicZB5yxY)ymY2`z8pGX}6dl=sE1`l_P; z%DDky4!1VKPhSP9g<&jcyfLNbYS~tlrz;%2p15hn>|^HqP^CvA?sNf32h5)wAx4Du z;JqJOL%FKr0}|I))-TL7H8r1wCI6#R)IhHWHfvr|+B`wt5zqu9Ki|n5yC z*!m1i)wr^x+dZSTatK*YLLH?9w4p6~=pXv^3nmT9V*Sv!I4TjrH-@Q$-$=a;caULP ztGVyvblv$+jT=`^tFp7%is;?*YYLk;t@hel85jDe09D-*t()f5^wp)MA!dYPMLPs*7sHRLk>V=c+z6Oo zd$Kjir`R|rlS9sn1I1_zW`;tzr+%ZWgo!D7@~JWvBMnEmE6}joks}M*is2a3aI0Rz-p85i4-MB+;mmvQwKgK3GST1024d2x8ks*0&#)Wx;tdJ3(Xk8< z1;FDQt_)@0m}9m6dN)*2_!(;J(=N-c9O!j&G~;tdF3qxoiX2Y>YHnaDwN77!fUocO6X6=%jqg9{3a!gg|?o(%6}=kwMF!^w{8G3uxKK#|+w_p5HFXCX*;7TX6{}IRc^|(XM z*O6y+!Pxy(;zfJiwN>XR)ytEmhk8K1P_G@SoYi~5LwDfM$vD*(vVZl||NBIN_B$`Y zbst(;O@7b=cTm12*Jt;)VA8;jp8q;dK2?BZZ9G2x@F4PcpHSn;d~W#BqmB9Yu<#rG z7r3&Xwf)%y36u$l~6cBHrH4j)aINq@1HO3ySD&SGjMLuxBnKP+Qus|i?f4Gr;JHdI$ zJL8lSnD4lsxuY7B+2&J(Ev5ozytxKdkL}XL9{ql-srh9E9#{@!`ak5cK{SZzi1b;l zkLs#X4{Go?mEDQYM*>jxqW&G*m?wkhkL;OXlVGj&>#$-UQ<=04^|1i zbMjmelt8AZMY zGmZzhVwQfCFSZ4uT$J!*=%U8@Qwn$-0s--5L!#jL*x09jiB|BxS2G~TsBD1U@x^Cd z-tY&z8^-9nFJ4%nAhvk086{ zGC~QB3Rf^>;Aj*%N0XC?dU(6yzO?dbgxqc$koAeIveVG%n79)ESp3y;|I7XLH;Z`_ zhu(C?aDS^J7f2SB;JMgj1(X(IV#N>_pu?@K4HdzGjhRMCV6>?+TygXzt>s20qG~bK z%E5WIsq28wuJ$qQq@6zlyPYp`d~$L~-~h{E_V$X6uu|aWH}S^VE+C9|f8f(piac3- zlc=Q{W+Iix>TweS!;EzGT2Uls53*vTK8z1N zx)j}>`mSB7kJ+NJEaqi?h`*xkQAP4;S;)V@Q6rE6*>cSH?ZLHJ)<%(|X z@hy@D>`g;)E8*@TD4#7~ajUR;-&SG!;`~dW5r5LJg>tLvm+`#b6}pK$rAsi^t#9VE zZ8xT6By;4d3FU!6kzut+I^&K<*Bc$Y^qL^{LnM{6Z-q+ zLZlK8M%!!QMpn~dqb|9^!klU?FzIRCWYiX>P&Y9lT*YI|ILpK2JYc#w0vdVwf=%2; z39-@@L-z=HKnpLh2a`4y7GLy5D^o^#MpHBrWwDAO-L|QjcXCeS?iw9xkRJ&e8l?v% z3Tlj(UVoUA*#5?{NM+Zd6@C8x=<%PuU~$z52P!|_t5X@$t`!+oE$d}J!{)_Sj$1<+ zJ4=>%`W#w&dBVKj^*(K0P7?=)mvpd8;b~qz_*OLeR4_OA2kpjmefjaGETTaxROAz% zuVXQc*vw(b_h7`$^_etzpSjtLZu0j}IR{c*8f-)*R*sDGm6vo9q-Yl76Tj7X`T1`L zYopC;#YM7Hq7|Psu=mj3mVyX?Gvex_X!k z14DXxdj0}3P8WQJbWLM&+AELIVn4ieZ?JG3?r7HEq}U`cR{0vb;;WuSHAGm3hRK(eQXfZX{&u2J1JbV}B zKd{*{5#eg4m!tIjv?f3)c$YND8+pE0Xts6`3_TMWoGKXam6O4`5jGLJ)<^q&b_g!f zDo=K&UhbfuKi)}7{Mi`HQBIe6=aZg-9yj3B=9mffSnqIu7Rw&BM5`HZllm*~@Yt_6 z35lvp6qNN8s{F2{ zi}Cs;q7)_u)BHxGU6N57QO}>X2WyxI!OB-y@NifES^LE84RTd}}nL}oD zl>_l^GI}cwL^u}^pQi5Lp|vV9k|RcMH7-P;y$#aD7UM*#oU*(dJTg>5p4Hx%o=kS% zgBX+muUN4`xz)KEu%fiIxhVYX$Q5GGCYvQDq@P<2(!~%}ubq@H*K7y8 z9DcRkWhY6B(LoV(9p>Jng(V>Qj8?=A-q-n zr`x>Z$(S7v_>k|MknB%cO?GZiU<1MB*f}U}p`Q(wMb~r&rO4T&7e%Ce3YRJMS?B<= z9qKoyk<#a_I7O4L5J#rfkbFD6t0kJKg*J-k5S2CwloX$Pnw@^J{|)HPQb& zwDddQ-sI0+Xx~JF*k6Yk5H(p}bA&Fr066Cf+|coyRD%Tecrn(A@LM5fo#}0q^bfai0mF$trvA2L#Ih8XD37 zva0dv=2VTczNZho-;fEQ=M6$Xn1cS8)cxTgW!_pKc;a}GVYd1h7b5K})NHi-`EBsF zS9SWfl3)L->SO+7W2ZtSB--+6?(MPt1(4d2=}er|f|m~|52$QkBl(kt;0<>MWbKmx zD$Kq$XlJnE5mx`S1+J4N=j}C8pnc))vUsMSTCBSbR7+B=meTU3r){R|5$Se@m^7*F zu;vl!o7TeiqS|$p%_`EUVTUjg=ar_XxK|_1{4Ww1L6_8a-p&a}s!^m10*&S-c1g!Cd}F|UjhBn$4G$m2OF(o-6bO#Xp%KV2w=-y88YTi~A$CSx zlkwpinG_a++9ppx9guyxJ`yJ%y_XV1G0DO?#mfhtS4QsBe$mpov9H>+46|XF3ILGy z`gpmOA>T(w*?6Ixd*Mp|0&<3epG)nHQv_6W%Ra9E8(`nw|I}Y`!iREmZohb#<1V~m z>ZVD^++P_8+euK~c83PnZUzP2e3;51<-^=S5c3*#Lepnjt%p%~ujGu|yP{6BE*` zdejLv(Tbtzwm-4gTz7saiN!bsFUB-H?qimWNvi=S=hp{G_gzl4%ES!OF6s03t!BcH zVlmJJ03&hfB=UG?dDX%OToY9r+*j~uI(A716->;i4>cp-*aY{7+KX#l=f9y*YbZv_ zK%W&QeXFx(W|bGFON<0?xv0v?C0}8`;JsqvBeDDgZ8+dXv_c1 zg{Z7wxm%Wg`x`YlvOjiD)SJ`s(*z#w_Lt2r7M%VZu`O{1c4C1d+U}Jspj+EAh_-gczjZsRyO8S!G3;EtxVRF%jx_VlJ^gEZE2JA@U)3 z$Y2TT6nh?kg(A12MnV1cT9%V{;B|eDW)%6 zXNun}lF1sP+(y-LePY-ZkwTib1|cz#*Yqp<;HlLhaJ)yho(V-qKlH0@lu+Snvsn6A z0WFK5lyg_Ki=7fns_(IK^005NOiGaj)#bY%$4Y_YOJo|XPb1SV9eF9+OutbI-{tej zMKeILT!6VF^ewN7vq8hm2!Z#RNP*BSo~=yrwUTJ*sZkmu9>*-SwtrT&*~~8u*Q?j? z57H8zi+%i9vYy$~6e#E1eSRu_7du4z#SXR5nezMmmG#SKK(4xC(2{7{o@I#X1zDvl zq*&p-=m4)Q(Z3S|=g+J@E0vk)By&jUxZrzo_JY(NS3VsNKlB?+9n|ZJUJzmleGx># z^OCoBK1VNO^$!b)34Y{|Pa%bULlMGQczROkW&|Xsohc#7m4X$XCOYa6sx}h>~|gDx|oI* zbN4b}(lNZ$aSJ*pCEN6EY?VEauD)w8e1(YFrjnq(oN?c@r!JU(2ZBFLVSHVsVwfR? zL{d;+T3@ZKuJ)-f8h%iu50v64M)n<8ZOt7?(i%gh7zbR}i|U+w9^6mn_B8(|fYc>r z>|N1lxS)~H`QHmGLR|D-u`T*h=_Ri{Ej~{z@110a0+DUKXjn%dr8lstOgbUA-%vv_ zl2=hq2pHn;B};V|-grU9Iw>MsQ#-7UdxZ+*f8X9Zp6vb{E#*x9ii*KA_-w1uHr&?T zLZsGn68}|yI!-DoP-?dwt-8?VG1yEF#d@GZncvs!}O_NG>9S6*r9>V z?WQH)!6KnGaGHeRWj1F2$NOGc@5}Q)*SZx7KS}LHJ zyEf5dG+#GK9{E9rh}Y?1MZcq;&IAv>7Vn54@`=q2+tj! z#Y}G2#(GR^0Qx9ugB!M%K$)-@%x(M&O}|@`wWz>ogg}D6jw!t0KT2*@=hG(|MQ;K zotOx=AiME`AH7Kr1)yBi*^J=yvQsLK&2i_!W;>O$O>EoQcB>=V8#IwGSBtKn#RGj8 zyFqLDH4Bu}e)X$j`aAdfvNxQZoN`d?*-}CYXUO=|n}FN`XY1Fym|gDPSr&a*B#Qp0 zpR9@tVHUqvwwOR7YnERv+96gQ*l=g5G$7ZqKuu_PWH8Nt2i(; zEB6_`0e9NRsEaxxk03MTGsOE+IV<&w;x`MKUpwyolY;uE?x>LN|0gueuD}9N-Rmi? z4WJ0d+aAwJ3(MddH&YBDb^ z>-bffF1$yWR$^)N6RshcfBd_s@hER(6%K)mAL(GkB}E?*^T-;nqmExkm;Ee9W)%$O z9N`?I2je!#&&b&IPPOyrz3j@?H7yBzxc(@zNb*a@TU08-rQz8%sVGcKW@hHXd7H~;5K-=}XrdMTh&8`5AQv5; zzQWnaymI}oNXvvRZd&*Z5oJ&q^7SuFFM~akSb__We%$K$dl?DnE|KVVvRSfQ_8E>L1~BTQy}XgL z+t{Id}tNX@3p_*6xp=bQdv&3kEhjw|U*40~DP5q~cbsgwg z=5VsNRuy~NK@J$PP6lS5x%}9?1P;$Y(4))B%Uc8EWhbVbfB)?BHdfiwdT8w&!+TuW zak`!zdHDy}4DzWI3K|-Y{JRJb9qW7+E?Ho`24x=W(~qX!`dY1Lzl#L}oa4}PNU3gA z42}ohz?R=4cj)f|W1Czb`vrwFXjCu8Jv3?$l&2KTdZf9;4VM!dLM*6TTek{((-h4& zx?d))Z>TKk8JHipw6qw2x3EBMCRI1#77Qq?W~xNYcsDLL%sed**{E!cc=jv*jk%-a z5KvNSwF?;0o|LqybNAOci}kOnt+?aCszFvN>P%UhNB(9d^z)rHZ}Mz*ae{;9our2T zJ(M?+4_9esG&OR+X9)GsD7KEl)bTx?Q0v=t0hsOh__%84fZR-->KZ0_y(MVJ7$^?f zs_gtKO!rQk3f-tA`t@>eoE~lj#bLtmo69aeo7tjqwuRKu%s>6_M?%kTPts*R3YTF! zlz>SxGar1xsiP*oFQaftfcB04^B;N3PsX)fSCvyu2vQ_>=-H#p@NgQ2H5Q0B{U%cd z?Ew`J2u}7TN-~PiOdy1qoZ>#yu!X+>>IknQ&zE=sdj8Mk_oH7Ut|LI$%d4ucR-49O zPIhlFQZUM4y+?brn)26t;3liwA4IuOa#wU#gF_jHxr6hh_KhVH?qaQ;sWg_s*0uC4 z?8l@(?n!S&*+9=6%*8*Mj~&Ed7^D4)=(3tFm2f6ekKl49gzq?}=SMC5xA^+F!C5RC8u*kY#c$+nr}OV6z^H{~5Sct2CRsd0 z!5;Q$X~DIYn(oSx>83W{dlcbvkY0a#JlI>^JwjAV?R~AetYs@)PLtq`6F?aYK0s7Z zo|9RVHQig@ZNz)YL}8AjS_gnKUOH`%eriEjiE$2F(AIRlQU4owapd32w$t@;Z@DR_ zS5N8KC2o=1S$#yberG!bIIwL6yPi`X4&I<^J*Kj;GS8UvABS+~UtZXfU`|$0gRPrs z(-$qsBKx}ZjgMDuuaRZ$#7t97MVV^P+PM`<0;(H-pW6mI5&Hx3&le)j!~ud_Y)V@h z;B4o^cI<Lc@Nvo(IK4b&4TcYM%a0 zya}IZY%)`{Qn5_cvtX5qb%EQ;G&&MYXkB4J@8QRHXaUK`+DX4;=lSP9`>X!ng-hH4 zu5V}~(|>hv`FwxKCqou7!A$aLj;4I?x)$X%d0IgqF! zYZF2-Q2Cw8(D6s;G*B2*Wg-f5sM|W7KEISN%uDufR!B7!F{;1nj3-G)dn}rZPeNy# z^$F|D9HwCWIL2 zHwT68?UUH0gfEJ#-;qLuyWllbQo!xz0s{s>L36pMT`z|4PL@$#wPUfF!yNW}xa)Bt zlj)c!W3d4$2VmpP4;z@1oG8nI3X4HA#mOr$pX--Tvs9v`s1NwNuBsb7a)OuNxGT3B zH_)5J_O%4}h_Y?I<9?^ij3Z_4;8F|SzQSblii+WBR;QP^1WqL?{8OwiHYbvJ<3=bVH5~cs*+a2D}Rspy@w);+cl#wyOxv7L}`> z7Po-QsS~7e0VFAC7FUqr;FDir8Vvfy9f&{IR==kMjURUEXd>FLmt-dlhyVv!<1zWT zD4A9VIc&K;ccOpKzb+6fLoSeV5%JO}(|gbo3`iWlg1vRz1?)#>{y{dCKX@JBnfzbc zH2!xx%K!7=3wR+1ER(U$XXS&ElMzYazYg@$Jvr=r%=-c0TKJ2qx!{4v#GcmC5P?@4 z=hdJZfMo7R{sWBv+Errf4E0)Qf93WF`(zM5x%2=}T>=UisCW8tx}KWo!`r~@?ymmp zm;ol)_7A`_kTvLIHz=WJx}{5gfIv^i1heeHOTx*_%uxJu)vEXBkp-}%VJ0qp6woUL Nf@vFTRcScA{9o;#&Mp7| diff --git a/artefacts/resources/patch-comp-3-Rs.png b/artefacts/resources/patch-comp-3-Rs.png new file mode 100644 index 0000000000000000000000000000000000000000..e1eb51cae661c595d99f2418e690e1c67e484c90 GIT binary patch literal 15261 zcmaibcRX8f_;ze+mZCK~g0^Zk_UtCMsx3xol*Fpl8YSNXv1dw4RYYwu zgQE7{>plIw??1o4UY}1S=OpKx=RD)Sulu^L6Je;Y$$EkB0tf_Rg=#%|3Ib8B0H6C9 z>4EfHNhEwJ;7_hWN!V|Tc>pZ%*BAU{7pNoO~NmxH~> z3rY7^PU&l^d?3(O5cJXgXRk9>C;T#=?e3m$4hK{duKzc3@6N^Fb_~xRAj@vHzl?f$ z$%u=lU1-)I>U&y#zEPBj%g^Btd?H4l|Dz93xSgAObC2y~Q;2tg%EErf0vk&gG8>}; z3CN1=P!(#}pRDtO^X?J9X2ud(7BUd5U>|A{6+O)|H3Ws|UO6(S@xopFHOod&TG+bZ z=TC?v1Q5yz3->fA?r3>weB^9YPhHqgs}WM&(7is55F5f8+%&=WM2pfxNQPI-;tnzp zAHZ%*3;0Yia@!jlPXa4_OTf>RyR#WLUNqY}6T78s;lz_NJc11+@~< z&QohtNV*f+15u3}rD4U0S{e^hovM}(DrL|Ig(VT(QNDz0^AoW+!s}LFQj#hpojH&d zX?&c4tpdYnD;aEPnyIt8+(SunM6PNtTvaWN;{B(qIfT!s1jdLXISK-jp1e*08W(G? zvS1m>%+yt%73VEt&pB!k(vO-YB8^hh=dJCxLRT{o+(cwD7KYLQEpXNorWp1V@Es1U zq`D^?|GtzXu831AYiB)hN~*xA#&7pfL1x%m>vS7EK6;Uq%xD9XYyao{-?!RX*xS$v zk*Y3_wQ!@}OA8m${`C!_OhsC7M7*s|0cCW^+EMpq8#u8SiJQt`gru}!eevIQ{{Ne$ zB5N64%up3-tM5BoDzYBU;n;`UoSkXOspKY^rfTu)S!J1Chp$*XWXrCG4de0`G8o^R z9R0bK?43k-PT;CW#QkfF`h*ia{Lk@YkyTbz%MNFnb_|*64GR+p8ct(2ckBc6+>${q zWO(5i|8=|4!p9Kcp#Qx&j6~BzN0rwUf!%UI(=DGXN`u#~{=coX$9=a5dxTh#H+{aO zIsxEL(-4wn0_OC@NF|=(kGEb96JFp^9VmB5Mga9|v1OD}$_pFCA1}bpf_4*?4_7Tr zB$kXJ{Jh+s4+e-!x3#9N^`WCz4iq*5C^_{w2;MBDX<4zx-7VKkvO(3pp+1ArS|CU* z#gO&(oHvF3DrWst22EV0(1wB?$M0+N9zc&7jNsIz(kxp1NyDJXE$%2UH0=qKqR_|( zLk3l*sAO+{p$Dn^kI2oPz>5_pVH`*TzVHJ~3`JpW-5j5gG{LGC%$bpr2^nh0+JI2l57; zS7V!IVtVS@f#FPh{8a1{pQ5~F_$mJbvja{F4dn(TAmgTeIz|l1TcbeKEnu)uK4c~c zqDR{W(!E%LCA5T3!gllt8EB{7UVLE3Me%}IvDv|OLfjdHX}9(J3VK(jbr-^*{&JR_ ze*{4AJ#G(?GNCdH;tZ5N)WV%x`ozM6bVh|5^WU3?0>S(AwTFF_`6(v&yND3a6qgsTwRp5ll7>Es4G&0{8teb#Y!XtxU2w89uJO-~W?{8lwWrjYNqzMu0uU8FX zt)(%)tQSiA8ob2hZ}`*}a{RQfmrzZ(g>II|7x+we)?GfpLd@v|gHx(z zAc5MsriwSBh$|vc;fsYOf-EbR%jj~rug2}Yi z;;>^@8SGa5#o9}+yNHtoK5I%KNV?b}@c^gml)`T8|>*IP%smW~8jy3L0tFMHp^Nk0CH$&F%M)C8a&oN|M{(y4q zJH{Wj@^&V%1M29CI!vbud~f^Cq&q8G{uKSL?hq6KVHUE?^X0U)uwUK3)?o{3XejHlz2%qQMy;lsu_kc6m zPp;%&O`OkQI1%ZR+I3A{uSru6Ix>X8GP_b}i-$vrIZ9No|d5&N_B1zi*gHtzC#UQ1%iLznz8VhVPYQR`vcK zWh9my%O_!RMqNb~L}URblV*qJEWPO`U!LBMM^WXq0eRbpvZ7}OrY37T3Ie6^Q%gYV z5Yg-drZOE5)Ze0yM6R#FsM*8KoR;54bDN|DL>lJ9ao91-)Y_K2_$jzlYdv&StM3NE zJ>*nGO}xNL-KN11S{Ucengb{Jqv6`4khVMp8a420;@&uIPWYepM%v{IVL)Vjn!9?j zlzNEa(cjj$hJ8on^h4BWWzasS@8fqH=X)NXJdVPa_uVOC&Qt63x*s?V5I*uAcZ<&G z-RVyh(gbSJn4rh0yy(eaLX5?a4-}>?FABFwdZ2OG7)ktPS6ENo9(rq%TF>iVm;*nk zM%!Ou>YF1};i~b(o;{j}=6z#E{skbbZwjJ3(anfIL0c`I{`~?X&ikU)=gTY|${eB! zXP<{Q`BBRvnQm}#bNrKLgovs=Z*u}F<>%(gm}p^Xl_fng>#O2ji=voWeolGcn@@a9 zz&adnhu&wDjSYFZ89zX~L_IJV;s0Iv!YN5l@$70D^@wqwBjp~YqaXntBgUd|S(-$| zxjqoUeR$m}$@ZDJ5?}jHD_%BAx;nX26~dp<;bF=p;ZtzRfS}s&f*juoi?h75L<(j% zuNf*hPb0@&e&E6hHAZE+2%d3_j1l>g{^YK0ry)C%9|z0epOm)6_>=TYd|uzOFFA1` zBHv>@L)|t>1b+CQuXpw}LU}RYtJlx^c;UVVkjZ!A9wl#BI+MPX6S#|AeBQFMNDMi$ z+QIRR4nvDRJz`X1Ke&t%1gRR6_D)$SzuQHZId{cOh2PnL1WXKiF~OYmUBR783Eg|t zxaeP-Z#56f()zEfN~%u+^XUf>P0)f$ar#rq%i|xoVdOqy)cCrK-(GnQQdILVrRyP1 zrVQ}cvYNAx3=@-o2$&Y)5bDk$x*~}7b#b-x9Nq5<@l|3Z zbA#ON8=h8O)=GX*p%26w)MJ9e4|K6{Zs${ch*F7~#qgF~Sz~-a#X7UM9R9s?hYh&0 zF&^BC?c&7?<%u%_O(Stf58DJpx16rJdxn?y?JV|h?^(jD72BqRK@FN}+DsIhp^Ua^ z?a+TQft}0Jfa5}dVhWdm+U_Ob^=#b!Qq)W5;kf({`WQ@iw2Eko3J~`qG318OXgO;l z*wr3RoH9zm0^w2@9I2M*0PBubeAh7F&o#JKL-bwEwPo8w zEGv_U_!!61(`+W|%LqeKUGWxhl<%0Ug8$CT@x5w9iHRAV{;+m5-BW(eO1hYMZ`Wtu zKa|X<|DltZSkxvVBX!mz1S=HUEjxK*2OD@X^LDcK%YWFLry6k=62SKw0Gifz@P4KV zidGtH)Jts`V!_%I_*PsCeOp9L%(( z*&M1yM624@gkXKq&0QO>jr*KEI~J@((J|;sSG9#P=% zh*_qF7qs5gF;u=WLK^gEY}U6I7i8M!tzj-9M@v!s8$MajozY86E|UT4P2Z^)1Pq_N zbTvpAP*|(Y=mM@z-h7Yg{vfoTPOc(6vx}{z@sfO3iu!@B*BXWRXNWtO{te_z<#h<$ zUFyqY<`UEYLsD7CJ`8756H$|Lt^^uTupOF7)9Z^FqXazSm4l8!>rMJ}p|)B8z-XzR z{q-Lg$`vI}DvqPi~_n8AuR(-oO5of742e5etb8ArXW_ z@ZBp*uOHra77T&#y>WdwtS1VdO9G381XBmg;WhFyj~)&LY*~GJ#<|DqY%4{3d*%XG zB#h-st5Cp7yyA2ySM5Sp07(nX$)LpthfDnsO!p$sFsZ1l$>4cGU_C0KxSev$1ef44 zOU4Cnx-2?3X}lw{mq!V5oG3jlWp;FNkMler%u6%PB8aQ{!k`3U|4NKiGfgMAyKBSK z1&0v}I6Ri~?Dy0i=g)sPSP5SVKL{v7>GKOca{BCcF_<8e<45tL2qND)u$#yb&vd z&WaXN+v0PEq>^vI_X;pU{Hv~6%4hWU)dwg7Ula3>pH^eI#DmMe%#UWDcMN;3U7=h7 zK~tzd6OonJwvf-LfNh?a5%B{&BTcBTU#RNPh-bSP1l$-Q7;q(3-~6odRUZaSwQ%u5 zEY{!P2sE5VUI(*^v4o#gUUdI#=tJjAr|!V6BrjitKhnTM; zt{~Oqya2r~rdtY-1aD3cgUV2(>a^c$0sGiBSihvLcIt0B4+f7CFvh~j_f|SNJd{0A zq{9i_@O*(ug`K!E@Dl%DG|Cgc2OXE;t|Jq2Sgr9~($tC-sAc#I<(Kf-M~HF*8eAk> z3+Uvgw#zN?KKMzbwCy+Uo4~j|pJ!4rAg;W4U{-|4c^N}EIKstO(jH`D1_NL;rQ6if zSX9>#y0R3bMk_$2%Hy@{A7G~+Rcd>wv1;{WJOG7ob74AZPhNJPOOoypSC}DI0!}Qf zm+v^+7z+;^aolwx2`Kxnj8JCx#@tIG9KZ;E)cb5Xf__qdG&)WDTjuB}#b~*;&a{&l z)-L!kzBXpQM~x$ATndCJsp3(X3iNtsF#2X8lfSVY7$I}nYMsC!iWh}?XuQ+Ozxv%P zi5rWLD1ogNkdXb)oyGy_zDeg8>Uc2n&6#c^6BA^#kGEuiG+6a%eUkF{DkUQRI=t^-_fX?1^3XyG8k?aWH$270)27AT79Rca8TtBaRr;8U6UB4`O6_Ll%eFwQaSOw zp*^Tr=67HKzfQM>o9SgL`$S=HfLRsr>@zXla%ha)!PP{ojNb&gppb?BW{oIm@f`uX z)xW7B(}eC&&!Ox`9qbHlADbtWr0olfFM2kyMdkY-A5vH;?d^><4_<>9F2Z}@{$P~Z zlA=QW#r-wT{bR&T&kRE-yB(fM_}Tp9*lgKNbqxld_S3g~R}k0ZwTNm5ly?&RJQ9|U zNl8giJLLGfM7qWe!jq`W@k!Z6UV(>mDe|v3>}c-9Yc;a&xazL>s4>2XZuxi?t3iwG zMIR4tD>KmS4Hz3>FyyVdF4vvEy`~lx7+o-@4r4&O*sIOav!81>#FooMGVg1(I-q`p zR%Bcs5SxrG>;5D7j}!Q+Rx0eF=8=vWEcTpzB8_(s5kpB>?uc&vB%UGQ0Z#rL{xYP( z*%M53t)G$Wj9m`58k@O_@&HvTJg-U4aozDQ*^>}LWl?9*;Jf;FtVSy0QEr`@jpREd zZYGmmPwB#k5a!(!kDL)-BZt%op#7 z{U}b)`r8ybNI>n-W&#tx7)Vv`rf4r$ZGxfS8?^@tuUYLmSqZ*`HiAL-%Bkr0Dl}I6 z6R&;X`j`3f&qJr9RC1<{Fk2A{ODk>hS}4!Y^3AXWFB(u`Q4!v^4MoG?vh}A^4eW|k zkI6K77{+2h4D-_y@EiA31%|;1%o*`eM2$X>96H9*J)6MK zHg*jpyqc+u_jh6^Zh6pCwQAv>ysPJ00_mu>Sroj_ED)NE2;=U}sqC824*-oj@;W7YPws6`jweEKSPdU_Het$E{AMtCH@VBh6CqC`Fn#w$D~d7_DPiO8lJ=d218 zqn;olG4cEJD=_q(GsRP^NwJQEph`}IK4 zcTXe%+8!g=1tf*+4AnPVcPYA%u?gZNqJ33TWas9WK+T)mDh$>tQz2T)8}w{8%TF3&9TJNYl0|J6Q~+fcQqpVxT0 z05$>n(TJsy`_XT?GBrMIIPX7zq=OebLM>MOo)}2boUsxC4nLhQ0ili%oP9a?yLNNg z0uPt5Z>5EkGN9@5u73xMc#qdxG`P9Buaxe4uB>3xgOoJ|eG>K3WT9vqS^RXj?!dj9 zZnOdyxfMqlU$?bNdYAu0F-cWC)fkDg%KxbUL5_)ppUdUQBy$>q)ez88VfyOrpeIa9LQ`fMc+Ds?p~W!P89zEM?evzmqnv?y{I#%1}NoeCckz?3j8 ziiLUc+83W{Q&R8zShc*G)-+|9SE_!m4r?ptF`>ZIkCuT(R8&+@1+3LMU60Q{#&4e- zZY#~FIwzB4wHIfXn{PrF1T1^fEdNVs{k1Qs>-{o6WfB6lf zf$+!SQ-(BVMVZ2TD1;SD^L~<_cPvt7;vJ{}u)n^ck4+|mw?5YonMNT?7!Bt=yXi>;2ZzBx1Xsp4vJ?7 zvv2muPKy%_3SRR>``+Bg|2m6Ry@k+TzK}-l(hGX}Y%8^}(2%LFxHu})ceG$n4p}#s zj1cJg-cg9}=&0Uys`@VGYz7UoeDx5jCUPq4KhRQJ`DXt-)R6loNpl$4B9$W7gFf`z zJ63%6>yy!Psc{&aAdt8l9{}8>MZNc}Wn6qP&HNhNkkXqWuj~`*25#RaIB&}}EpQ2FL z&xd+S*9z(z8&BE`3kx;D(ddC&{XTH{_hqw=UnFwFsm_s|Elsrc?JISxu)kY6efOaK zOcIL?;L~fXI}V|RuHggzqwxf+>Bg<<5jp1*WpSz6Lb<8iE=&jJKK4I%N2&oyc0zX~ z%{vDB73`W79q=_VDJdF2j0S8%s;@^P#Ig<&gw*^qdcWwU?|)uf*L4#;ku;kjVJ!(~-;<)X5`nb=~ye@LEn;?Zy+cgUvsu4Hr7Hn}V7*Ldt%YJ)s z`At#%#-FmPpes3ZmiKR>WkIQNC8mv7A%aNFq<_&`{W7ism{aJQskaS!Q_Vptm9Ase zxapc;n)JtXnNP#04ALwTQWCXqCwSA!s)jXXVZVrmG+&9y(`H>2cRpoV;FpNks&wt# z#{YQ*ts93qiGO++HXBY=Afl@PTF_d8!-b)v@5}UT@8sFSKF|4_DCfyVIRImAuOmDr zB#$(o>ym0w_kE&1$d>W?|8J#VNz+&F}jR z;j9@cAP~RMKQ91lvB@JPIWBwp*S;G(8|wdGncUwWp~1E1)rR|x8HT!l1Y5sXIuqW7p8hHd z5ht#skH24Ek^w!Kj!m(3+j{kfXR-IQrikpA^%ety1IX{0Sv@x!02 z-9~2We+_%;zL<;8MizdvnqnNk?hMYk*g)lBurp5UaY>r-;6m*W6dSIfvetI6l)E(&7K2fjj>Qo|dI_~u}t+D{!>3Fp6;w=BxEEIMX zaekcjBv~6vZ6(SX!9Cj%YkR1kEMWy7931Q#t#&>3Q0vhF318F>JQwzOFX z0dLk%Dxo_e5$AP|=r$@aYF8IkC{lI7yf`*Ljy+p<93GXzWYs1=d@S+W?c0W_&n6Iq z>Hpy_wQV8E73zhv7D|-hU0{rvkUX ze~;iw2|Ab#XHJo_efu0}zjpntj|khVK{uBS?KB|A9IvhV?5jw_d)#rd_&0O+*zIi8 zcpEOKk{t+N(8*kT7lW_1$#sEG)1|x42Q0txju!qn6gS^hv3X+8B3`KtAIcp)>6I@v zCL)o^540!zq@@ALuiL|o{ejE}tBS+4?m36SA8o{1AUYYh6mb*k$R{uinVP}~&8BKd zB@2;j-RslR(saOpBH9{io05{^Uj2G=rZd$3hXt$Zt|;Z<1Bb{mEo=QI z89T;QvVrN{ox1ojL+zQ0eKz$S7yBrMi}H4$gWC7|mhUj+O6UGmc~{G7cbIlE7d-Z> zH4`>jF%T5>GvE>K)8i797^9An4OB%gO8Vk%|Ce#8!q%DrA`e_f7p#_|E81iN`?NJ) zD5(wKi^9GQwJ8ZsBaiiNvV&b8n-3j}{?2;oqy@DCWp*JZ@_lPuy#9NWF72fxMnvk& zNF6-=t&^K;P{IBs=v;qvcpFuK$y%^%;zuFCnf7q;+&Qt9>w$6Zve4jby47_bH|%As z-maG{wf-qt`T|HMi~()K>gw#NoIwpYR}Y?3W^K$DtZ}-u?Hur8^$RTEJ6Eh7 zS{-QEKzq>hPa{u_&}WG1wS|fftHDEKTOA*P#}y}B^!=a59Q2sMV+i-Db|biRIl&%ITP*^Vw@MkKq(v-Y>+fj*DG5W zxGWbS-7B(C2I_DOnfFcf_*`^N;jEE2YzBlL67il`r9(0{UUQC?Lnu{@=iZeiCGkN5 zbo#6agM>pAC2mJa4b z>@^+iI-C}5`oX8P2MlF&_Djd%#Yfm|ghjg6tTn2hsW$S+&oJ#lzE@y??B~EsW$H!A z-u0Z*z$h6d!e)A}Z)b)iFzV==I^Hh$n}MkR%f6XGFUfCkx#g|I?Sr!aejn53u;8Sc zZc|MbO5S;lDYKuu8`}cqV-VoPOm9sHtrQ&NzCW?sWBVVR`=8iju@y^z~{+I2S4f)4dpqE{rs>WEI2dx>p}wX zW3zGYwhXVlb$U|~M2KL>`S(kaMejb310X-f?!qt{N%T&vmj-}GW;9? z*BgEIT`m^;4nwg^01z@2<=nf1zsI&4Y0L?+tzFdk>q|b z0!h|^Nn*_kW$X~0&%F)A^R3WKK_*HK0YWIgGhXT-*ijTB+1==5^p%-Ls=N4DR8FxAlN5ubi(3U?zXEEnq!(SudeHv? zi5Copesoxk(ETC`#Z}7uW<3}u2FjOs;p%v3g11b4Jhww(gxqs;;da4rFI;{QXh#OW zKg0ID3NSM)WQg0t_x#6YYF9R7ki(AX>NxL!%FTCPfM@TYXUIXV6|1Ed+MukR&N`pa?2Cg-#vReO*VA?y}2Bh8|6dmCqp}uz?2YSOirnI2lVF=M_{e|vK zq4LvOa)Wzyuf$a%YCuBrcnuxPl(=F82)=YnxEjIY4#<4gOm`tPq-)PoR4(7^(?hc* zdMir{j2QGiqjdgtX4g?J-fIHyChdH{mWl+4I5%QhbG>eWPw)4sp?S2@ZaW7+YoKXT zizd)9&EYfczP#S;g+~i_U0as!-mD9iC`iY4iKvMzGC_HvmQriAGgoh2Thv6yfMPzd z)kH%?7p%`x$xkUJcHw^w4eV%Iv#9;3V?hBl4|BI4hMa$yy1}>H%C?| zr&~m0qHkOZSH8*bUOAAY+nW6Baq6PtC^1GJzX|u{pYH$6XmygL3cW2QC2VYC^S1wI z{0)WUrJ~YluJhgL9V6uqMMD-&nNu~+{qv8Z2%V*?1&CyaT8lnsa^qJo z99f9iQhn*hCV_n5;B? z!QSP?j)3b-4HcOd-~cVvsi+qUo#Ox*4En)#@)65Kq*66d1s$B9t_Q#1wRwFlQ>Apt z*<~0f*cQ&dFyS{)NWrA-xw;6qYBH{}`3gyx%yLYUqik{DZ0Elo% z?db1kU@*Cizvw!jcW9h>elNDb?Vb#~X!m+b6^!zWWZU#Nc zeJThjcmB-lhZ+<;iVswnLo{-N;iML`e;g{^iVTXCJNki!OHKPhmmW8 z04W`#y7luHqO@tx4=p5vSE#u3#Y?hA^x}}w*En~jZ_}NVx~goB zszN`yYSEL44E08FjRo^cQBHb$zkN}OeiKgpm zu;$UA-1U@Ha%<&!3Wj`Qxo_nHFZHi*OG86PdbdzIs9>nFb)P@F`s$t4QJz}x>eeCL z7mo>IZq&P+ZlQJg>!kEldCk3H09gJm3l#(f0*W4qm>dYN7YLM^VSrN5h%m{SQ- z>Q*OFl%xJ943hY)HKyJRM~{ms+%C>9iu@W;k1w=)3#+Fx31w&6y@j9ze#Vf|03G2Z zS@#D$akRf~d-06Nu>+{vpA#4?8gjhu@14c-phlULcIiZr><=s0#4qq@iW`c7P4h51fe*vr*b0(Dm z1xPcQA_<-Iq)Wkc278iviM4xI@2K34{pgvPQAw-DaXBvQlL}B(8N*;OQy8q9O=X4f z>HfRt(SzLCXuXl$gN(8@sX4Ppuo?KCwEdQ4uQc9IWc6UgA$H=`dei=zIUti2=jZ>d zY}5j|BIZUJ_jFUx!v`-RiCRn5|J!M?2aFlj#m8L3OaQSt3+L;y$}Jm^0v_#MfVOpSTKWSFPnM>qB9`azDCVNhrrgGcp}tgan9{ zqUuZwVjMG{%0kDuD#b7T^P1OH@aIrZw=hC+20N#bp|`CgnIg(Qh2?;KuCpYWh;c@^ z>gAnWWReQp+0-jk_!qG znP6Kf|3=Rb4rjT79`Wi?TO6$tfAQi);N}lrRiL7--zKRX1NDJZ10c%vgIQ(%spMH} zU((&Q1-#?j`&TZ61J>_LnWzRPeD^ivowEN-@WC)~G3T*I*EfNYwMYR1w9NDGA0C+u zrg>duU>72aq*$YC_eK#)%f)zqDL?`!#0GUEwOQ;{%q}$scgUq-)aZ1tAtxB@Rkr{I zUAFqu#|$hR+83R;*@nkbq3i#s;O65h?_ZC9?Xg-lymkUe9!te|(skns{Q^MNPc+uI z2h_!g-C^4n2MY`GDO$eE;U|fk8!)sNylpGQ{Dm;_7u3!dfkcfF{e0$1w431HqEq+f z`lm!(m4RB@f8U|B#2{OJ94J*IfGOxBGLg}+ksD9au0VpN)zN^0| z-`+VpSKVJ&nvqzc7A?SIv$b6EW8O4pGO22t<7O?_o>1;V1&tX1>(7l`ujuPQXA~nH zkM~xmaD|0wfR?cvhh6pJaHo^D=|eepyaiweN%;JrV^FF%p91uj(@?PmHu<56nc4Pd z;nSDV=~=#`&Xw*{>P!Neds)6TLZG2v-;Q6#JKpUC2xR^JwTVSQ1lwNdaRQRd%;MK= z%gm9g>yxdLhG>28%It*b(U}IJlsV^TC`JOk6G?6L(U>SC#sU$b9TyPfe>9>y-`qZl zt*F?tbsep|@(P$_X2{0P_HmzK*gF99G^dEHK8H&=Da~tB*q{%)k4p#PC zYaD$H95WrGvS!zEy65mYTK<1h?RMDeSj~cy#<9iolY`A;Xd&$pH9Hl;NolWGd8MrF zV46LcF;wV1aPD+qfqWN85`PRd_a3_X#Oa2jphYwJ=W1+-jkB zlgH;_va6J#8kT^8V)~!;@CU{zs}msLIsp!;E1XRbh{uI8bsy4ApvNB^@7DE7HmsmM z#c)|_0j~fR$+MM7Y-7mEeK4R6=1YDL==5xtbuWUKM@@^(QD!P$b--}0)Zc$9?~>qt zZ+@!#CbY$EUhUKmHgd*vV$>%}2&CMzd(q-48*{8>&qgT3nBJ8(58Po~ff9+`H zihWyH(iGsPI0ZLp3#K>AK73J9>P|KC@^kc3U@H)c54zpowgGhVPe_ld@q&-@er@I; z|D_tOU&DbBdwO*TfZpoVv^z9=x4A{`^zaisD0QrxVzSK_Vrrb!e27p$=GJur!|mc_*I^5 zV<+*%$d3KfjcMWRJT;e)GtZ9s*o?DDcB(7}A*!&if-P4RVMi@s{SMMj%TA2t!tF6^;(beYj4op@6SH=7im<<^B8Qul<#5-#|4=*MI_Cevp`q z)#xQQn*%eij9IeQZZX-)tVPz|7~1A71;v2I zNGbyYm<5o*KR=0f*$87-+ldq5jEKFHcx!_FW?JwzuzThkgYcj74xvbuOJ=!%z4|63 z-3`vbsT~|6jc1sR>6}Y`FS%w5Y5|@JasH})wdAA-d#=5dJblOoOx*Haz^~imztt&L zYSZ|7^CBRr9+qRed+yg3IG(=P89mRGad`5)4+|}V$vU*NJc$+R26TlkU^1FojmiOQ zcMun}TvC&I_r--y$E~%$S&=t$u}x%ny63N3Up;_o2k-6tdH#a(Fjzfo)tc&m*umxt zukToXZIa0m-LFS8KJiZ1Om<`bvoRdi+;lfWCnG>ohgYHqLcjj258W&e`Z^xGGDFp z%1HUn(xAAK=gcEuJn)LPdU~v}s#}aItChyD2J(%7LKMEo3@Pdi267+6I;S7$g`TYE zngTj22tZwDfq;JEvj~)vuK*8AM7R7{)T8U){;jTl1C7iKwE*9LrYJfRL;EGmo^L?P zA_3SKs5^aT*nTL1p4pviT1%jlX^zv$Hvre1wXv3q=1p3!dqBF41ppg;2vDKb04jPZ zN3z6Zmb0#^es9=~9#F)i>-PajQ(Rwf2`C3U>r)!yOo0F_D{eVE0xhIlv@K6$v~l@< z1ANEYk1J^~A9Kd6`bO_**u`O+x{DR!;vB79tGEMJ%ERed`M%o(to{Ii-q@exfL7n` zX{H7O@I;E_Te3a{Ks;W6tKS1aTjHt`djD%XQ15?ltD}c#_CSRqtg`r3#)J`MxS(&@ z+tVWvT*I33KZ=F`FlPUJ(3?6s6dJCG?^*Eb5)2c7C71v&wijtG71K>G`Xu%l^*TE- z0wQT<-S}Sc29ygW$XAPmQ26bjG{d{mXEzlAD(L=XYs@eU-wazd#cpw?Q-CK8=UvYo Date: Thu, 28 Sep 2023 23:37:08 +0100 Subject: [PATCH 24/77] Working on Rs compensation again --- artefacts/appendix-G-symbols.ipynb | 2 +- artefacts/artefacts-1-modelling-patch-clamp.ipynb | 4 ++-- artefacts/artefacts-2-compensation.ipynb | 12 ++++++++++-- 3 files changed, 13 insertions(+), 5 deletions(-) diff --git a/artefacts/appendix-G-symbols.ipynb b/artefacts/appendix-G-symbols.ipynb index 1478567..f2ce7d2 100644 --- a/artefacts/appendix-G-symbols.ipynb +++ b/artefacts/appendix-G-symbols.ipynb @@ -62,7 +62,7 @@ "source": [ "_✓ indicates the same signal is used as in these notebooks._\n", "\n", - "_R, C, V, I, and tau indicate resistance, capacitance, voltage, current, and time constants._" + "_R, C, V, I, and tau indicate resistance, capacitance, voltage, current, and time-constants._" ] }, { diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 462d5ec..86764ec 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -357,7 +357,7 @@ "source": [ "### Leak current\n", "\n", - "To complete the circuit we add a leak current, indicated as a resistance $R_\\text{leak}$ (usually expressed as $g_\\text{leak} = 1 / R_\\text{leak}$ and an offset $E_\\text{leak}$." + "To complete the circuit we add a leak current, indicated as a resistance $R_\\text{leak}$ (usually expressed as $g_\\text{leak} = 1 / R_\\text{leak}$) and an offset $E_\\text{leak}$." ] }, { @@ -414,7 +414,7 @@ "metadata": {}, "source": [ "We are now in a position to formulate an ODE model of the _uncompensated_ patch-clamp set-up.\n", - "In the next notebook we will extend this model with compensation terms for the effects of $C_p$, $C_m$, $R_s$ and more." + "In the next notebook we will extend this model with compensation (or \"cancellation\" or \"correction\") terms for the effects of $E_\\text{off}$, $C_p$, $C_m$, and $R_s$." ] }, { diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 7df0fbb..2080876 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -21,7 +21,7 @@ "id": "f2b59884", "metadata": {}, "source": [ - "## Zeroing and the liquid junction potential\n", + "## Zeroing and the liquid junction potential ($E_\\text{off}$)\n", "\n", "In the last notebook we introduced $E_\\text{off}$, which represents the sum of various voltage offsets that are incurred in the experimental setup.\n", "This offset is usually corrected by a dial or a digital control that allows the experimenter to subtract an equal offset $-E_\\text{off}^*$.\n", @@ -200,7 +200,15 @@ "V_\\text{out} = V_o - V_\\text{ref}\n", "\\end{align}\n", "\n", - "and so the extra voltage added by the series resistance compensation will be zero when $V_o = V_\\text{ref}$." + "and so the extra voltage added by the series resistance compensation will be zero when $V_o = V_\\text{ref}$.\n", + "\n", + "To see why this makes sense, we start in the situation where there is no ionic current ($I = 0$).\n", + "_Under ideal conditions_ where $I_\\text{leak} = 0$ and $E_\\text{off}^\\dagger = 0$, the only currents in the system are the capacitative charging currents, and the largest of these is the membrane charging current $C_m\\dot{V}_m$.\n", + "This current is only non-zero while the membrane is imperfectly clamped ($V_m \\neq V_\\text{ref}$), and so _exaggerating_ the difference between $V_\\text{ref}$ and $V_m$ (or $V_p$) should speed up the charging process.\n", + "When the membrane is perfectly clamped the capcitative currents are all zero so that $V_m = V_p = V_\\text{ref} = V_o$ and $V_\\text{out} = 0$, so that the series resistance compensation term is zero too.\n", + "We can also recognise that any overshoot is going to be similarly exaggerated, so this kind of feed-forward comes with a risk of instability.\n", + "\n", + "When we do have an ionic current, we expect a voltage drop over $R_s$ - although, again under ideal conditions we would have a very small $R_s$ so that $V_p \\approx V_m$." ] }, { From a7f92b3dc3221599114b973a7c3c29c9df0c7c5c Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 29 Sep 2023 14:40:26 +0100 Subject: [PATCH 25/77] Added series resistance --- artefacts/appendix-A-op-amp.ipynb | 38 ++++- artefacts/appendix-E-non-ideal-op-amp.ipynb | 2 +- .../artefacts-1-modelling-patch-clamp.ipynb | 79 ++++++++- artefacts/artefacts-2-compensation.ipynb | 161 +++++++++++++++--- artefacts/resources/op-amp-2-diff-amp.png | Bin 18115 -> 0 bytes artefacts/resources/op-amp-2-no-load.png | Bin 0 -> 3086 bytes artefacts/resources/op-amp-3-diff-amp.png | Bin 0 -> 17154 bytes ...amp-3-generic.png => op-amp-4-generic.png} | Bin artefacts/resources/patch-comp-3b-Rs.png | Bin 0 -> 9126 bytes 9 files changed, 249 insertions(+), 31 deletions(-) delete mode 100644 artefacts/resources/op-amp-2-diff-amp.png create mode 100644 artefacts/resources/op-amp-2-no-load.png create mode 100644 artefacts/resources/op-amp-3-diff-amp.png rename artefacts/resources/{op-amp-3-generic.png => op-amp-4-generic.png} (100%) create mode 100644 artefacts/resources/patch-comp-3b-Rs.png diff --git a/artefacts/appendix-A-op-amp.ipynb b/artefacts/appendix-A-op-amp.ipynb index 17b4af2..613d6a2 100644 --- a/artefacts/appendix-A-op-amp.ipynb +++ b/artefacts/appendix-A-op-amp.ipynb @@ -11,9 +11,14 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "In this notebook we take a quick look at op amps, connected in a negative feedback loop like below:\n", - "\n", - "\n", + "In this notebook we take a quick look at op amps, connected in a negative feedback loop like below:" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "\n", "\n", "_Note that the proper way to draw an op amp also includes two terminals to which a power source is connected, see for example [wikipedia](https://en.wikipedia.org/wiki/Operational_amplifier).\n", "These are omitted here for clarity._" @@ -88,6 +93,31 @@ "$$V_0 = \\frac{R_1 + R_2}{R_2} V_+$$" ] }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Without load" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "\n" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "If we draw a partial circuit, we can still find an equation for $V_o$:\n", + "\n", + "\\begin{align}\n", + "V_o = V_- + IR\n", + "\\end{align}" + ] + }, { "cell_type": "markdown", "metadata": {}, @@ -101,7 +131,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "" + "" ] }, { diff --git a/artefacts/appendix-E-non-ideal-op-amp.ipynb b/artefacts/appendix-E-non-ideal-op-amp.ipynb index c9fe044..03a3ad3 100644 --- a/artefacts/appendix-E-non-ideal-op-amp.ipynb +++ b/artefacts/appendix-E-non-ideal-op-amp.ipynb @@ -55,7 +55,7 @@ "\n", "The above notation works well for block diagrams, as shown on the left in the figure below:\n", "\n", - "" + "" ] }, { diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 86764ec..afc7d58 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -499,12 +499,21 @@ { "cell_type": "code", "execution_count": 1, - "id": "b5280790", + "id": "96a46ec1", "metadata": {}, "outputs": [], "source": [ "import myokit\n", - "\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "b5280790", + "metadata": {}, + "outputs": [], + "source": [ "m = myokit.parse_model('''\n", "[[model]]\n", "cell.Vm = -80\n", @@ -562,7 +571,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 3, "id": "e35469f6", "metadata": {}, "outputs": [], @@ -573,7 +582,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 4, "id": "5633933b", "metadata": {}, "outputs": [ @@ -591,8 +600,6 @@ } ], "source": [ - "import matplotlib.pyplot as plt\n", - "\n", "fig = plt.figure(figsize=(15, 10))\n", "\n", "ax = fig.add_subplot(2, 2, 1)\n", @@ -641,6 +648,66 @@ "Here we recognise a fast capacitance artefact, followed by a slow membrane charging current." ] }, + { + "cell_type": "markdown", + "id": "8a8fa01d", + "metadata": {}, + "source": [ + "Next, we'll look at the membrane potential in the presence of a constant ionic current." + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "c7b64a93", + "metadata": {}, + "outputs": [], + "source": [ + "s.reset()\n", + "s.set_constant('cell.I', 100)\n", + "d = s.run(10)" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "99d5da47", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(8, 4))\n", + "\n", + "ax = fig.add_subplot()\n", + "ax.set_ylabel('Vm (mV)')\n", + "kw = dict(color='#aaa', ls='--')\n", + "ax.axhline(m.get('cell.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + "ax.plot(d.time(), d['cell.Vm'])\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "eece8048", + "metadata": {}, + "source": [ + "Here we can see that $V_m$ doesn't quite reach $V_c$, due to the voltage drop over the series resistance $R_s$." + ] + }, { "cell_type": "markdown", "id": "e3528d0b", diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 2080876..358e0ff 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -175,7 +175,7 @@ "The milliseconds it takes for the membrane to reach the desired potential can have a pronounced effect on fast ion currents such as the fast sodium current INa, and many examples of this can be found in the literature (e.g. [Sherman et al., 1999](https://doi.org/10.1016/S0006-3495(99)77093-1), [Clerx et al., 2015](http://michaelclerx.com/publications/files/clerx-collins-volders-2015-applied-estimation-pre-print.pdf), [Montnach et al., 2021](https://doi.org/10.1038/s41598-021-82077-8), [Abrasheva et al. (2023)](https://doi.org/10.1101/2023.06.06.543894)).\n", "\n", "Several strategies exist to shorten the membrane charging time.\n", - "One of the more straightforward ones, described in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), is to _feed part of $V_\\text{out}$ forward into $V_c$_.\n", + "One of the more straightforward ones, described in [Hodgkin et al. 1952](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716) and [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), is to _feed a fraction of $V_\\text{out}$ forward into $V_c$_.\n", "In the schematic, we'll show this with two new components: an active component labelled _R_ that generates the voltage to be added, and a _summing amplifier_, labelled $\\Sigma$:" ] }, @@ -200,15 +200,96 @@ "V_\\text{out} = V_o - V_\\text{ref}\n", "\\end{align}\n", "\n", - "and so the extra voltage added by the series resistance compensation will be zero when $V_o = V_\\text{ref}$.\n", + "And so the extra voltage added by the series resistance compensation will be zero when $V_o = V_\\text{ref}$." + ] + }, + { + "cell_type": "markdown", + "id": "e0dc2b80", + "metadata": {}, + "source": [ + "### Feed-forward speeds up charging\n", + "\n", + "To see why the feed-forward mechanism makes sense, we first look at the situation where there is no ionic current ($I = 0$), no leak current ($I_\\text{leak} = 0$) and a perfectly compensated voltage offset ($E_\\text{off}^\\dagger = 0$).\n", + "Under these conditions, the only currents in the system are the charging currents, most notably $C_m\\dot{V}_m$.\n", + "These are only active while the membrane is imperfectly clamped ($V_m \\neq V_\\text{ref}$), and so _exaggerating_ the difference between $V_\\text{ref}$ and $V_m$ (or $V_p$) should speed up the charging process.\n", + "When the membrane is perfectly clamped the capcitative currents are all zero so that $V_m = V_p = V_\\text{ref} = V_o$, $V_\\text{out} = 0$, and the series resistance compensation term is zero.\n", + "We can also recognise that the response to any overshoot is going to be similarly exaggerated, so this kind of feed-forward comes with a risk of increased instability." + ] + }, + { + "cell_type": "markdown", + "id": "3a1363ec", + "metadata": {}, + "source": [ + "### ...and reduces steady-state voltage error\n", + "\n", + "Next, we consider the steady-state case but with a constant ionic current (or leak current).\n", + "A simplified schematic for this case is shown below." + ] + }, + { + "cell_type": "markdown", + "id": "05b12cd2", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 4**: A simplified schematic for the steady-state with series resistance compensation._" + ] + }, + { + "cell_type": "markdown", + "id": "d96513ab", + "metadata": {}, + "source": [ + "Using $\\beta$ for the fraction of $V_\\text{out}$ fed into $V_\\text{ref}$ we find\n", "\n", - "To see why this makes sense, we start in the situation where there is no ionic current ($I = 0$).\n", - "_Under ideal conditions_ where $I_\\text{leak} = 0$ and $E_\\text{off}^\\dagger = 0$, the only currents in the system are the capacitative charging currents, and the largest of these is the membrane charging current $C_m\\dot{V}_m$.\n", - "This current is only non-zero while the membrane is imperfectly clamped ($V_m \\neq V_\\text{ref}$), and so _exaggerating_ the difference between $V_\\text{ref}$ and $V_m$ (or $V_p$) should speed up the charging process.\n", - "When the membrane is perfectly clamped the capcitative currents are all zero so that $V_m = V_p = V_\\text{ref} = V_o$ and $V_\\text{out} = 0$, so that the series resistance compensation term is zero too.\n", - "We can also recognise that any overshoot is going to be similarly exaggerated, so this kind of feed-forward comes with a risk of instability.\n", + "\\begin{align}\n", + "V_\\text{out} = V_o - V_\\text{ref}\n", + " = (V_p + IR_f) - V_\\text{ref}\n", + " = IR_f\n", + "\\end{align}\n", + "and\n", + "\\begin{align}\n", + "V_\\text{ref} = V_c + \\beta V_\\text{out} = V_c + \\beta IR_f\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "V_m = V_p - R_s I = V_c + (\\beta R_f - R_s) I\n", + "\\end{align}\n", + "\n", + "so if we can choose $\\beta R_f = R_s$ we can compensate for the voltage drop over $R_s$ entirely.\n", + "In our model, we will assume that the feed-forward rate is set based on an estimate of the series resistance $R_s^*$ and a _fractional compensation_ rate $\\alpha$:\n", "\n", - "When we do have an ionic current, we expect a voltage drop over $R_s$ - although, again under ideal conditions we would have a very small $R_s$ so that $V_p \\approx V_m$." + "\\begin{align}\n", + "V_\\text{ref} = V_c + \\alpha R_s^* I\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "48a4054e", + "metadata": {}, + "source": [ + "In practice, the feed-forward system is a bit more complicated, and the whole system once again acts as an oscillator with a damping factor dependent on $\\alpha$.\n", + "To avoid \"ringing\", $\\alpha$ is usually limited to about 70 or 80%." + ] + }, + { + "cell_type": "markdown", + "id": "9797427d", + "metadata": {}, + "source": [ + "### The summing amplifier has a finite speed\n", + "\n", + "Finally, we follow [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) in incorporating the finite speed of the summing amplifier as\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^*I - V_\\text{ref}}{\\tau_\\text{sum}}\n", + "\\end{align}\n", + "\n", + "where $\\tau_\\text{sum} \\approx 0.04$ ms." ] }, { @@ -233,27 +314,67 @@ "metadata": {}, "source": [] }, + { + "cell_type": "code", + "execution_count": null, + "id": "c1c63fc4", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "bc5241e7", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "e794d1eb", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "b05b23da", + "metadata": {}, + "outputs": [], + "source": [] + }, { "cell_type": "markdown", - "id": "2533053c", + "id": "6715ae6d", "metadata": {}, "source": [ - "## Models: \"A\" family\n", - "\n", - "A1: Original Chon\n", - "\n", - "A2: Original simplified Chon" + "## Simulations" ] }, { "cell_type": "markdown", - "id": "6cbe65f0", + "id": "a04b1416", "metadata": {}, - "source": [ - "## Models: \"B\" family\n", - "\n", - "B1: New partially simplified Chon, should be equiv to partially simplified Michael?" - ] + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "ad219509", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "dbd2727f", + "metadata": {}, + "outputs": [], + "source": [] }, { "cell_type": "markdown", diff --git a/artefacts/resources/op-amp-2-diff-amp.png b/artefacts/resources/op-amp-2-diff-amp.png deleted file mode 100644 index a673afda7fc0fc371b2f77c03c0691e3d87a49b5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18115 zcmZ{MbyQVb7w-Y2lt#LgmXMG}1QC#K36buQE@>3$kWlFqkdW?HNTs0glTs@4P%@H0R9`~*7ZCy-_9nJ4MI9sOdJfuV* z?jYo(9&380ZqIu7D4krl?T6+L0D-)$1V6+|G3b@%`I z;*hQO?_VX#zW`gd?%2U_)Lj;vTWI%D1}W&Gk^g>3lKsw$?K_%f;Ymr@D;`13*5jy#xK+;no@EV2bQWF@bgm1-*1K~Ib~Lb zneL(~skJKL-61+9W15R32pA%n82rwoy?{lK`nVi`mD19K%lN^Os|39`wg~v+reOkH4UbAy&%M zMMR~_#^AMZ7>OHFAAm1kt2yquJsse_ze9(bY;N=dxgOH@Q2+L4uJ*>nNs&ku$p0P4 zT;QB?A@C$akYvF z6DT%ghQyvxt)ai@ZX(u(e@j1V7vRMDz{@1ScO&>vMvK){>gH#fP;_hj(`-%Gn+4Pn z@ZS3}1!=#B2u{Tl_SxNoYsgrKLmo(aFSO!#m7KC!@gk0eu=GlpxO~7gKOTOtfYMvoP*W(w2tO6d7pp}=rI7V_(ucRE z@K0z3Jx`lQq16BWDqg6Z0|XNK@P!DCR`f zrKkb%7omxeh3TK_NbZ@r zsu;5F#-5;e7^Q zM}9tC96!+ae?-*!AvhX1yi9SScIj2|vm&j#@hmF$Z~kiWJ;xLf5IBQBSw8-stn=ZI@_YPRoH*-L{3Qd}wly9pY6{1|nw=Je~!UH`jq zhrYrdJ2T%9_V)HCv=2Rvh|ixZC-E*%6+SCExPgHovNDh+YV$1!TTmv3R)6c?;p*6E zk+%O>o>B@6F^e(>#@_Nr!$)P+)luG@Vq#(rJJYpXt65J%oTnxy*Yi{|uI6LJuXO&* zHG8{5UHC2U?D#pX{mNoON#?gb{-jx;ZhVWBgZ0L*e3gvG@lwOu>6(|*-Uuk9rUs+0 zuTej884i39_rD5-M|Cy!J(fWs=u;XM&`y3}*WNKd*_qvqq2w>T(Po+9d(7&8 zbrB0ya371?aiMJ*7ObbmW7ohk0G@$C62GlhmhE_n@a_9Agl2|^50Bs7eIno0(P2f& zXSG+Q_2v0b%OA|pM6hQ*-pe%&CBy&Jw5j<{v9m;LkY&G^E+Jl`bHR!_F-aQSC@>q z%SwOI+}xb>&P=_jcD7WQHdK6rpy%E~96~8Yz*oJ-Zn6vtrJg{d)S!81uEDKuXWswX zmy4cWQWPHUo05_efe?H;gMUyLM-Jz+M(%p3W|?Vica2#^HGWm050 zR;-IdBXT=o=hKL*v~T(cC+yg+twOCYXVW{gja0UL$*9l2a8z+hS&)&CbYA>Be1e9G zN@_RP^lBt!;72$~3SXt=PulG4>=8VMfj~6O5xmEtuG)o~1#ZK6O19SK=1i@vLEq`^ z?Ci+-tW*ac!;Nf@m(EwdXV+HdcPqItk$nrlt#CG%c^SbJROhz&p&h=r8T+jE#Loje zmVYvV?OE>wcwqT&-nF+QD0X^J)t~p(~^bqNJy%-#a|ak%^*o-I^fO*4BpF=z}%28Z9J&rm8I}WxRLs z&*kFc!V21Jnuyysc*&oS&Lg2l2eYMb?aVb7xrZi6HhUdOs*>(1NdE8Av9YnspNV4e z^V`oP%D-ppoW0&CD*bHGEaHE8M7G?Ubm$XYygIBR&IC=~=|t3hOSV8gccSJc6_m~f z`UknXy1IuKJB_<0ku>q)Q3T)A*EU9rPNcT)^vijA3dPWgcTG+Tmuv%?c<6uiqVsbQ zPgYh|ljlDDSMQ^PWrcxM;V00H!((;x2pMD&9#4wUV;4+d-Q{?@6T#Ku`PrSo=6Q>c zFf8NRk(cC?C;KNS`8wq$K8HU95i<>L5zxddy^d^bMm}dbSLvpdmU0aa4k8}Hh(|5-uEA~7d1K_>RJCnLetv%PT}w*!azaU+o3<({KRJZEn8#)t zJzzQRva*IkM_Sz2=!Fi~1JB3L&(Dc2S<+X~VeZfRa6YxTUn;b^rSsY zsjl{6WEn?JCq-CurQSd2@=zcIx{CedhW@@!Vd*p&TmMt1RIQ%K@vN^_SF_2o|F0_bi_wuD~nGp&MY*T;*PTR)D z#;zM9VUlle@>ukflQ8tZ`13?~xdP3FpYjLoCocvmnX-eUqf3eHJ0nIfUn-3jKI{3w zsrUMrUOJrHy!ZCStQ$B@V=~!J6+sAIwIm0 zJc;h^Zp<%QkD}*0Va2&n)ViYOLJZh^F7~^rMO;5W*3{I@RL-YD?{DvT{TMK)y0FWN z*?fzyOw7^R;2YSs8S$E_URxrwMHo3l@h4G#3^dk z#1NR-@Kznr|H;Mud}g-ym%432EO<~+QB!$h+hseM-^+jC=z(6!-+Fb%{st4jgqV=f z>3RNe6s84&msTRQD(C3%u0Bg!iT~VWWPoAM+;$0A!E4*dwiDDG6R?HcMc}@otK5u(4 zCuAFje={GYl4!HRF2)O0(MNYVbVe3CBYvWEYB-lG=PylGS_%mZ8=IRuzbtjFesyR8 zb@9XgZq3@76*V}+WXtZJ`epWBk&e-YZ;?ECVImNzAN7;m^9*8Wa0;1q@C^&o()@q!Kp zPz4|UK&zYntW!=O8ymY$YUHe0s2TS8Gizl%v{Cud@%B{yms6TYCoEUn&{hi0ylBP! z`U^Djc{{xgplB=;6W?-#DT#!CnFGXR10c%^%~Mxbm*z%qAx#PasjSQDK$>8*A5=7d zbab@cRMowna@cx9@Dd)B5){P5?Qd_933>gs$~)yIps!qmH{oUu_LMb=M_JT%*xK5% zkw20Ny*>D>K%MEY63fKY)WN8>Apl-~Ml1ibzxM!pt1Cw`PcX7-Tfq&x*)@ysz}0?b+fK z^laT4yQINx&x1eMG$O8~abtb4aKml8nUMmAPCO3maSR*qem%tcyOs^K2!>VaFc%U<=#tghjEL z6bV9kjvucLwp;v67cOiti0j=!s?Je}aXTO1tKA80c z69L_%nP{(*HPi%CZIt1&Tx{YxMUsJx<VH*4r z8;yD3wxOA)oR*A1SfEfen!MegrCVviV>`xC-LCpRCnu*zGJsKlOms3k%E)bOU5G*}eVB^Mi#9%`R1~!sUmNLerMZw5s#PtYZ2tHh;ik@FS!r#Shn{b%%4c0TU zjjWo*WTv#f9(7c(!+J;ONtbJKLlx^3kJaY%I;M>;6H^tHiKj6rjyres!p65Jjwzl$ zfB_nGmBT!(xVZS$#i0r*hYo=U2k`Gd-(%mvrb=o8wtC#3DjXk8Bg*@7iZ3-S?H@2) z-6l^SB%+ZUYUHgwvR$mKtn|(=?VFuV0~Y8AT(PbO+RSi~b}8fg`wC_QjW0!ogc#48 zD{5=~&*hRL|FyVKnBMN?n)PT-Lo8|$%+@;4)zs8DGy~@BHM`RpL1qbEt^7g0jaBuO zM%xYb51!bEs#sPrLznURx$3;cRyYqI7X%|X&}|SjYH^_wPrL~n)2bA3)Hm215ffO& z{8i|v8Ck9o!Z|+r2un3K(Q4Hef0sU!xqthMM?nJ=hJkdArmP9~y9)8fgjU&)r1#Ws1OW3*p2>UEGL);( zy6z-KDAF^(ui~Y zXtvz3V#ikEd$Ux*2O!TUyAS=~YQQ@t4m{#NLN|DmD5&4l;FRF$NyUc;4^DnCl}8)a{jk{VSbiA6#>D!O1sG_-MU7g5IEFA+)A{Y`Y@Q|K*YiEH*l$jnR0fr`!kZ_fuoa? znrP|`Xfp2$=89gU-x{iOGEGfQ9l>K71?XX>m5|G(RZI?iDD=ymWdD?U9sXp4{A59%8%KXU9j0+a8Q-3UvSU{QQCMnd?-oL#d4- z8U~K)(Z9}=C~Dz~+r6p*jQ$IjN4jdHxv-Q#dGsqCe@nu`Ahl+O0J75jg}e_W6jlDC zfFUNUtmE^f!iauVzO7f=1$FKOD+T_YbiiT;35MKg*g`H2g( z2$)YojtdB+RM6i329HL>@nlE8`U$Z`N7>zyEt2<>9a;{6ezUeGEAuU&X)y3pDseR% zw31=T?X+hW*TzkBw>jVWGVU+fp#J>rO_GTQx1zD}aWXEh(hpwESE)dd0(o@=Ijd1` zQe9osme#VIVx3Z&pOtf~oFV(2swh@0&&EhWJ1j&Zw=pV+X_?AtB8tg;a$WbZ5i_&1 zeOVWGGt3a>ns&S>dU|>l=6$5o<5q7fu2pJ0>XM!}bFlOlR#?A!P~TD;6@`0wezFQk zBg(LOvUgL8k@F(7f{ciDlu|pPbCL3{TvUBTI=AsR{^~ab6fAy6d8%2Go}Qkdzj_zU zg$l-nN4?c+bmxTmHY(*^C0=%^BCMhM8azn7NUC(2E8y%?;9 z^L|`{kVc{32TBhqn~+clqZFte$} zyb=J|c0Y3fH802AN)kO7*XanjtchvhSc$#@3^X??LHkIQCN~j%{SYF#4_(bG{%RnM$N(W-};ZDN&O zp#eYmNixi#19}cpU;renD{4Ai{hlopRA$vTn$PY>*hcZb ztH0fwm}1AeIfiwZTlAv7!j&wq9Nz43JwKxYIvQg)^qeQP_6~Xn_m(#fvpJnoN@!N z-kPio0n8|S#2kTa@Xr+O*crp3l5XMQ<>@!NgZdT=(^wxW&P{HbElN7fu=yT{V;ALu z(j(DISPG+`B{>N}r6HTvNpo$23O6RcpZi~6DsV42D;Z;57)NgP#KH3;X z1ciiD`dywe&Z-g;60W(f)MI%7GXp-I>lNR#JyE{ot7wOk@IK%Bqf8QRJW*~y9Shm=h+&-0|kG*H;1;1!*(uY7@{-+NKV&2Kw) zV*&mN%vl1}hAI6QFB18z)n9MEdHve+@7kcKxWgh%6HnEeH;xCRt1<97LI3h>a| zpl&{V`0#YIv^7!Ghe}(tZ2++28e?Te!HRU1p?i|2GS;d2~k6CKOp*Z1vRwmnFqI<%?+5Xg$RMw4kM`QmbXH1qM^SY{Utc6j0sW1hVg8~vwYr)gc(KaZQiiY#hM=IJ za;nf{*k4J44(XZ>yn!$+(o=+8EJz}^$lkwi%$A@Cph|wdX@GE|>xUNgr$6bzPG)?( z#!Tz}v{IO1E{esPYeTgd~k?db-dL170irNe8rf z3^3TBzW4X{<$n3W6UM+Hmw@#G$bReh@83ZodIN0O<)#iWAY`Def-KS2+4*+5)}e?d zS@v#waGlK43I&s#xCsgs|LaSS3ksE_@=ZDZeM@CsJv~AG$|Mc_05<06YJnLkNy>IZ zYx*}}PlbV7GF14C9iBud2)t=#ze<@tgFiOYUjRcCu5@TKH!)=l348yUtUD)nM#9gDzW%=e6mDvWh8BN@NlOh>8tk(LkiEaXy;Y!^^?J%UH?+Fi?Zr`^ zViGTm=RL3;p=+WCx4LgllEeWyQH7q3tECU*f?f*NaYD&qvxwvzjt@JMpLAa&cWZmpI`|` zN)0oRyk94YGJy#daMbPE4!PpQMDnZCCH&EvrFR|7Xft(AZ@^gC1i07->}a4zhtiF_ zV6K16iqD&;SRp}P`pcIuZ@_aHG(O&%lx~6j(+gg3qM4;-?O?~h^T0lde5vi(z7tE2LfagKUZxhtoDh{Gk4j7MilNE2_%~%pIwW@8Nu{|qHFv}>1 zIYUT6A-6fUKAtlNNdKusWVtC6f6fG(5f z%Y6oICvE(SII`ZvU$pePcRn3$MA&B-0} zgSP$2V|NaF>?RT}0Bl)?8u`0BFJ5w4RN7*)HBpZ2doZ#Nw^9RWx!bI^+Z z$m^2!PQdMAkDO5(WUiqpqwW&8otI+idsjO1{C$Ujeb!mkh$R{aoJi?{KUskh zG+KqJB5vVOJv^>!zsw9PL5Y==l#Hti2?~10@bud&Tqx5_7;R8e!EmFTLGk`EWx<`tj_Nf2mS1^eW zE-`FX24N9!%;R9O^iT4p!C*LbfKPn{xr8Aggoyw2efjmJw;>^x=b%nMt*@(_AZ6FW z37GdgkGe&6Uk5E^-f_LO?L~qU|FeK+!N(@S*Mg5JB9?9`O0Dx2b#30;6jtrRLPw;i zvI!*d6rhw{!OWBg#wfWhB*lFD_U)jQ6e=j7T!UsWqzjk8p{u@Krv4JdP9&~|ya?lY zGPEtEed2YzMe%V0h*Ajb7UQkeQh>g7_4Pd9JHc#uZ}e*~^YNQH=#?7XBw&!Egl$iLmKE5#eWQsOnr=k!)OD>m4m?{qo zGC4^AColOCGcz-4V&?c}zG-%;K)tKT>nc;cI`;)1t}6$y4$zS&~Q)(ntu=Z zqlg;a+{4_P`87wtbT_=&opO&w-h?4YmH`}2q^bu7lBv0QXGa(@sJ^lvISo0aL-1&X zJooP4Qu1X2I9ziTfuKNWW1}dvZAE84aH$-folT&KfGq!BTtsE1O0|dX0}d8A3BYx5 zk+GI(hgSZxrsLyd%_1$_W&;(Vwe#dlu-Rz1%bAlH1qxg*&)i@M3+AhBKg#3`-k>bx zyGO%tcW4kW5LoQ8cJEg*v*cZbqi7$|KYZA9Z|@%{I2&jxs%)$d@-`Cd%Fk~`qC5&8 z-;w&3q!uet<9YH$wF@ywtT(`PnrZdV00zP&OiD=j6}~Tkx~@2} zs9@YL;-mBZOW1mGaEnPkK~2K>Zw<8Dh1On;|& zc3=#k@GUyM2?Yn>3AFBbjvaD;@8~~gfHV)XE@%~bAIKA>XzJA3(|`dt_V(>tX|yHW zMyJ-pHjUVpp(1(HAleD5y5;bYi!FyKu>Vz){NO$PJ8-|baFN!>iR!s>>0r3N<~N~oFo8Upx2066*r+pv2g%{K z@g(SKump;+hW+4fFTd9Y+?ek-xqvykJ6aCPxNDS_m=-`>womQ^NlH z%;7$@)7bx6&K4S-8F-Ek;yg*HXlMo6rL-W@X9Fy!e&`8_m|$7;c==Zce~iDsyNlh$ zL~>6(2pSBJ)vr6yVI{%Y0f7k9-Q9hpST`PAuLCGQ*oX;ryXC;#%K?BwFln!A6`8Pj zrKs2&3x4<8oQq{~G~$RG*hd{jLu=ssG03&DDS0K|RK#>fjajVzxq4*3yx)3q#XA$^ z$%3RzV01)M^0V1@Z^AAu^FFZ$#FFjoYW<{;Z$qcT3>zHyB}fDPg|P!I0A&wkat$y> z(jUJ11{+-1`s?d3p&4yM-%d!|2>V?KAt{m(jJlB${S~m5-|#ZDSIP9oD}ZH z^x$mbC{vw&|1=G-qzhb2-8t}>B`|V1Ae>Ob37I^f<4H>g->uVUlmLDK2EQpPvVHMP zUZ(`i{kNtjKX~Nqr1Bhpe?te#<_c5)2hKA}WT;0kdBsTUAy_+$&z`YEE zkq5xc=_N9mW@|#+Dv#u*erN0Xb%}46508&qc>S+@auf<>O&BGd9U>eFz;aAxLH_01hUi?#mGOpShj~MMCn4(#yaP`>}a(U6< z8V1h5!s;rEoD#DZ3~>TQ%@xjj<>eSM2y-QBx_}Dn%(YlGctB)ZQtRo1afu&hHWJPd&ch9uU{j~4<}%q)gTdy6V@{}CSbq(+!XFg z0fr|zzs+rMn*QwlZilHWH?G0Fy0~~iK&t}b9{f{E86`RbrO9aIPbxZ5AJ{j zz{@HzHny8|baXJbLz8$c>_fi$on-j)e#x@73{RswdV0bDye$0r zlVChbsR03?H}KS0lv6Vy>ertlsM3%oMXj(Aj%x0)M1E)gVXJ*xX^&6jG3%|(_vllo zk6E#!xgS8MSo@hKN+$Xtk11}%?Acg)dOB~H47zmq?Yj_o9r>cCJ^G0m?gQ4qX0)&q zssySLZ*#o#<0s}@8^ifOITblHZLCRBWQ~}9QRp~W72ze^7-L*Ivtv~l?4D;WyR%2k z`>4B^ykO`rs(tg3B*gGGN_?|efm|AE* z_6P3;$0XE9KAZpT!Hfe%B-Sv-De-h-$V!^mX>H5-yWbOow6+6mw(MTlp(rcvK63d z-7yV8kDlO4-S5ynK?_-iAQYeNSR}F~etu`ScYm&*h?q(hgU}ys=E>w8?zUeBWaL;Q zXa*>vfl;hz5sxREoKbp1Y<+S9Xx6DJxMeHw8Z@n?cy<4so%taXz(q76vX)(ie2`|f z31RC0j!X&x7rv+QvY?DI5%2F)IcF38rxf1AqJ0Hfv9_3*IOOR!9^ME>)f?he-As z0ym93#k=6}SWdsh{$ly>8@P_U6BQG#9yC5NJHC9Xkc=>$^%f8IOz<^pJ zd5KYhzFOYaV+swI8ApExUiU5zePDIM+_I(P*Cp;8-%PBKks*9?Zi#In^?AM*{#@_AoeZYc^6%e|0Sb*kA_%Uqu?|SDB7fJ4 zk{|a>(7&m|;q@~_?I@m<4ym{Ix!^!}gVPcQFf}BYmx~4z2810ina^4hAloo!mtZ}h zA&_n!P-Z;;>m>#fEpQFY!p^-OmJDuSuP{PBKgS8vht>`qR0HxK)lb48O!XD~aL)rN zEfxxJQbJhu*cj#Pc}-0i`lqQE8m1m&Mcl3ibm$`Cq``trs6Zag`FKnB(dnJv&Vv3I z`;LW_+hcHG|n!Vg!*8m=K z{JW;s0{q-ed=fIHpY>|*BO_%Hplvkit<9z2N`$O&Xs@vt2S)}W)^U~^vphMpi{_Q2}FvRmUaO4sP$w86Z{na6u%qb zObmS5e&pQu*r5i28<~@Y6y^bvnr~~HXW7rxJ%;uS5l&1a7=RFnXPIf?e6lKaM#W^E1XVDANg^}r$IVkr$0rn07lgg1R8KQ;_h@=)4O-ENAKbAp zzA^#ZX&3Q+&UMIpxA`f&_CJ`ZpVf13LW&(23o^rk0HpWraP_AyV0x&Ad??M-hp&h* zL&iHZzv$JfXGx-i-<5wC@EgUy6m`De}$cr_Qx6LK_w;ydL zX)oW!&p>~*F}l;3afYc@wEGB`z-)=92{%@4f~7h8)0A5ME_+Ksa{j{N4stqRum|Ar z1BLqzBGlp|JGcuKh%Gv~y8eON?1JPUz$i6Heb;T$iTf!+)H?p5JqUduJ)=OzOMZ}y zfSOvdK;40w`BO4@CauGNS6~UKUY?ws{JgENe)sNOn-HTRcpqpniWNT{p!P&$a-toe zc6PuCh$|MsiJJ)h>yq&)V&?}cDQYfc5}MWApK;mM{ma>!Fz9oF#+&kXu%B|umRWj%$e zh5T&c*nSZ=vOK4zl41Rs>skPtTpUk3K*E>Zo-`bE7VxF2Y(~R@V#X5zEvM)E`=!~s za1CDL#WQwc0s9$QxcK-gusDP?H0^B0iVNJam~kn1rAGNf`U8=9rLXpIFOhs`%I|tTX9;p*UjPMD<4)qJ1P58{o~PaKvMciM!ytVSwtNW%qGE>~{;p0y@s) z0=(vs3d$pYR((@r3F(F8yCursv!hqo@}LcTZPUvi1a3Bn1a~F+P=|Vuu&|7Csd1Q( z28-BstoUnhFWc90XzhDIHHXTKp8yVd4B850<0wF33!~DA8?AmhO$u^0KhNXLS*)fhEC*47fjs37IBQayK+^nXor*aqz!j*D&mlX#vD$ z+#^=6@bNzI{U`w3>J?@^H@e|UI}&+Jk%@EY)p8}HHr2)Yjii7<05d39S+Tlpj2K^E zUEXHb;utlQwfGH*W~j}2NDhKv5I-)Hhz`{+GmP@Ppzi8Mui@4!H8!qMRg075NRI&l z0~TiO00xPm-&d~^3YChPSmkh8<$iFnzR^(0rI(3)gpBuuvsgyrvTo+sP1kVt*wkEK z9O8q^V*!f`2QDW59DzasLU%f-Ao*--{Uwku!0uwfjwS4Z2nzB{fv$xDx^c_RtgV_W zLpIuW3Y`%3dST%1jK6Ot>~}A(sWzmTFqL$47(RXU&C$L$uL-Nt)K2&UEWw=Nb)sVH zrOi#-D(84wh#3!nKKtNLecY3=Wgf__K85z4L|K+b0zMmqVDHuxBU&g^IUz-XxFey^ zliqPv%7D0;KdWve7Ff(<4`hRf_OGr{-r?rY39{{RyvS)N*&uF^XcP>DhBGCxF9}>q>Wk9 z-0--e4jKH399OZoXbfr=vdwPN&xvOOw^^55{bVKyuk3HqDSYC3DIp;-Fruji_91X5 zrS^AoKcI8}aHlM{d-?7c_-!41AGzlJvTs6Y^YS>JR~QpBL{XHzP{`=xH`~fApVPd* zwSP432)!M*aEJHDj^Uf}59O^az>}}WNVu^qRN6iL0zpf0MfaL_sV7mGb$1dwVch@T zo>*yP%s`IfNwnMBpxt3yBIWgCv@SIBfG=%jwf?UpnWm6&JV;$>h(_NoGH7m?x*KX% zs8?G8{}|F8WQ zNs};iw|LZ2%m2cWhl2fky;CARhAA&T_jthxrhFE~#7wRCTKsVMArAlrxP|c$CP%;l zj)`V(L6{{sZrtej@{VJ~?dswcGExyr$l&PedgBh{utBMF1P>Ji)<|Hly=u=}fJ&e% zr$4Nxl(CRDf7`)Khi%!y*p0pLOr(Q8NVcA1nuH`}0_n}jzc<)Lp`@Wf=5E*E%-EA) z95o(ELUw`jdY^y1kRFJz^@kHFAg+9!o=yR?vWVPx1&)jy`rjg98yTA5QP>TakFH^5 zpK6KdZJTrrmBxy7{xAFCd2X$%U}nY$!850mCr_S~*Vc9q+|NB^lkx%=9L}gQd6ptT zE0Ki3S5`A{ldjvkdv>;&+9<-V;7(AP(I6yg>Vmz9F*Iwfhnb^kG~5|p{aqt$^w{kh z8~ae&a&|KS^5@8emEYx&c1{FwcqGg(N{Cw_>in-Eg@1?-jXy?@j0KKnAhY=p&IT~? z6&PfcJsaDczLu<=fw9kXcl(6Fk)Ki24;mCJ2_&Bhz*8zonBgSh%>jt=du>hD(UBV_ z4pP%aJ`{$hc;MwBJr2-~T^|L>DnM$o6Rrp}3THUmz^s&vRF(1YdgJuweNSkB?&rV; z0-g39Xy?O#ChL|Ke}L)a;*XSl$1CK3=YKF0R&D$KgU(#(wrThM&qM_Tl1*PhLz=8} zVgPwI8w3)hvSLqIZ`vKb4{DcMi_Zs6tEBhfkySV?$snymP@^E9E%!P}9j10Z6aYd< zL?nB7Jv3UG7f&nEXo3K;-#T#9aFF;-3FLC|NAbQE6Ou zus(ISe@W4U*Lv}HSOs~|13dS-WALDiEGoWZkkjG~&`WGW80Ojr2V)_O`xa^yNldz`yE{7ewrl4P_I^JB0k^~? zC@F#wc`nL$dud4nj=Yb66Y?D_7q|%QDR#}zZLm#|NmP&p!{i6UPK%3+k$?UC<+qq| zqy$=OC=S|{ijEFBr0zjyM{73t32qUTo%TJGK0f!YiK0Yu{1g%ld^k%j-IpdR2-Xgt zS3lee9Oc1@mQ7U8Q>2LBeP3JaF>?)}{_>i%PRUUO0z(M-xd1g?eSHxN3r5HT1Dc-+ z;5;VZx+P0XjrfcY|_6X(6}4U$OAv=59801>VZ8ey}epeb3#&P&x(i z1`e!H!Hy_?Q6C3*xQHfQ8hU&8c>qZq^88ZbfANTlM|~-f+0fi3=+J|Nxy!*Hx$5@s zRc4XMlTtd!bFi&IJnoLvg4ih$`5)ebBg_QfzA2^(m6P+%>R}oG&IUl>D8GFN&aB>J+T!A6&XnKL(CE2=5{sSvX%4 zNq!m#q4TSA1Bk_$mX{a~~Bb4lBtS*kYS=_2Q<4|cJN z8=Q02Yy{)i0~3#$brNc72zhc`wHvnGZmDkNN<+a?5`XzWiit#-7`I1Jv`?{O<>5Hf z!}stsj*$mS=Rx?Vw&`XF`mO_V8}`x3i6Jco1%=f{YX1}o$-KA3phwdRH9o__(wg8q@!NJ43uLa}dBb*-<8iTk(9w_I@fXNITz_ER!mLoHYK@QJ$9niCI z@$>3JoHp3bCtlv(AI0F%RSO)CYI+Wi*jF$?`8?157WjhexeLz1c`NiD0mRx|^ZBc% zPrKJZov$ZqgT0Lp53+m#GK%7GN+{y$e7E%ksy62s_=R%<94p<2l!FSyQwr}%K&s>l zW}Vk}ux)G+KG&BgEcXqX5|sn zf~u(z8fLXU(5_0z7^uJndH_Qp{eb{A`_d4YKstcH7UnikG?ZakN1fmtPA&@2f?7*B zKuZFS#NnTx8P}cHm-E*&&Q4DM=7B}M1o6y2AKp~3vX*bs0~>!~{&PIG`Qj|?lgA>VTphHNs>q`qfx?DicT*rsy;`}#qe z@>6hlN+7X)JqT56ixNrBvp52WR4TvdR_5Wffe>*5YoB|8v}abJ*(^g{pn==g7Yr=E z61eHyENqOcarhku^0fFWDCusn%%xb|@BjlqoF$oS^l*p;A|dSn5`GLA2ynSOLeD;{ z{*L|laXbmw1|N#mbj|iC__p^sKTPMB#H1w|-)-osrf8-AJfj}P4A z#g>qtLUHS(uBCL6Gfkr1qK+#@7c^YtCq4XszsMF`W2Pme3Gkjvmcs|oAmpT#rAj1? GUjH8zaMz6h diff --git a/artefacts/resources/op-amp-2-no-load.png b/artefacts/resources/op-amp-2-no-load.png new file mode 100644 index 0000000000000000000000000000000000000000..d5a461736dd064b5e09a953e7e7bef19b8cf3fcf GIT binary patch literal 3086 zcmV+p4Ds`cP)@El_C7 zO#fh*!AFO-A|qg;w2Em+Ku2nUPG?9v%%DL*G-`cSf?@?3#g z-c8PD=FIFpch5QBd-u2Bd+xc9BdUrUh{%^frKLFEq z7I+7^!EIq)!33fUh)4-A9%y!3i&t>-&^(ZMRzyw(KaT*e0R9TR?4BfVVFEEVYynz; zD}g1zB2``Mt|V_^0x>o0RMq_eBC;2FO+*f<>T53R@(iYrm|}v%RnG!1h{$la^>_sn zi0-fyXb1l6wh*u2W+2u82VHDG6`Tdu1II!$RrMQS9&kuRE^|Ye*ATa^tQZWTB;<%9 zGFn9bE+T%X1sMh=ah)}bNDlBga1W4`6h(#sNlbw#A_B|>eg}*WmTLzVi%4hE)Wy%o zs=CF=3Pq$0D08w-2YEwPcepLW31W4`e4rc{5>h4;_$d%bg04i##vVL&lACjKa(+8u z!h{Zo>+KUCee{v9y}f-cFmM7*Sv?edh(o7=z)p1=g6!4sH3G__BE@cN7zmg^jLs7xQYRvpx-FzXV*)WYrdyWvo`~G#wvhgc3B(x4%F5Eh!oth3 z-&wO!M25PpslQSxYWj}OVFEEuCQqKsyYIf+?T+_nWMq6`+_CSNKuiGRj?QBOu@CfgN6WI_ zH}04iCJ_5bs5=%H7Z+gMF(FJKI>hA3li9a#pH)>=g@{Zy?ie2?5FKR5kRhyFw~ie< zb}(|}NaK!i;Q2rlkq1O%Q-pPsy!f8RTW-09=H_POj&b1mKm^_ZW{Su)Vb(nY9P{Ff zpn7q~{{HxGLU=w9RrNFA-@vTU{NPJNrvcS&=!%sv?)ZU--0QY3ui^PX3{nj|AR-wd zdF8-Y*g@)P!norr#vLytT>`P5?_Lbr%|k$qdhvZA5isr;nY5WzKt$F8*{V8ML<)gV zfibH3Rg`j5fPZ37Q=14SnVFgSXU?1vfQ1VevS-hpUJFc|IFU7L*7W+FojZ5(@WT%W zzWCw`3)l=is;b8m)EGA+avZ2qRnNTpCS9lo8bxFQwi~`4EeL~rj6K0lqRjI7e0kV! z-=eInj8UUT^;%%em@!c+z4g{xX>M+|mMmGqh7B90i%6M>RH^E#ebAb|sA}3I?5F8w zU_VBAXNuaaD<~-F)FiTF#||wjD(V6hr~`(jV7rb3_b0oZSW~+65xc1dmH~Odb^s9> zA|mU8=_yy$B}lhB4&=ULx&`7(Kqv4ARqYDqbzt}Z-Xaaopd-oS;Uz}~<>GSzc=I7_1D=8^CV_8;U@ZiCMby;PAR@;CfxvoTe;id@L-;Y(y}*->h>XmX!otG2EiElR%lfWI zxO(+!mM>rac{&Yf4|wwO@>sEA1x-y&95`^`QsCFvQ_;mbA7Z50!hQ&>bwXn=WET|` zT@Zu-#l^*RbaY(q`k~M336C6_RaNWi>U8MPpKbm&;{Exd-m+ktgNivuK&?I(4c7YFXB&z~o4Jqb2M^b7(NW z3FIYOUmWdPnVFeyl$4a5tF5ioUAuN^RaI4&Wm#RoMAv_4uP3(yv97L8hYcH+unUGu zz<8h%xD9)XlT_`?JfF|^oX_VwWm#5NR#w)5?mw05KXeS@R{*;rtXm4~OLF_J1Y&Dz zt4^CXt=k1Z1AgMvHhCcdnW4++FrfW6piD%@g;_TncrL|yE}*iqlFG_TN9)|Yc{39x zObBebSofk5CYRqfN|OA)zUM6Qak?rIUasW0`%i>hAma(vGRqN=`!-H&-NG(R{h ze+3Zs!K#q&jmgT&dVTQV!KeLx|JQ!M|0NMgbUL()=;-L6qr;img4^2KC@(K(#*7)9 zIC0{js@|xokErUm4pe_1@N|TA>wzCT&_=>|J`jUc0~I0?I!ow7K!f%&g`0?s@cDfE zCrz3(rM9*zJM(iv_<0)kqXN=fnVg)Q{VP|lyy(ZT ztgO_GjEvL3|6)j|{eFL-yKF^8g%%eVpHI2Dxtg1sdqG}BMa4yJh9eng#8LL)FM#Hd zWeYe6Om+MBO{Xg>)xfJF@=NT)@&*m=O%b^rxI3M0z-z!_z?U*zsoV(; z?8GI&Vc?bE@=WX}^!8)-8TSG&gqHF7d{2u=*SK-xzAY&!`PQJjYs-E=RX z08Ru4YEP;CdXjME_F}uG5q`5V#pQkSa}S z<%p3`)x+3*N7o7CfsKTLP2;LsHppzi>pi>EVJcYWD>_<$|%Ic0W68Uptwk%e7|DvU&4n#*ZH#XlQ5% zy+)jtLFgvN5fh}R3j%5Df?mKlVgeW!bROe~ad70w5f&_1Kz)6^aY2VMju<1|_Y2n7 z*Bcj10_FkxD2PZMFde|MtWN`hz(cCK+htk(4&#Wi5dc;P0)eYd5GDuHM~u$L*mug9 c08AqP2Yw1Kc-7)T{Qv*}07*qoM6N<$f)>}^3;+NC literal 0 HcmV?d00001 diff --git a/artefacts/resources/op-amp-3-diff-amp.png b/artefacts/resources/op-amp-3-diff-amp.png new file mode 100644 index 0000000000000000000000000000000000000000..b69710d795292fe379be1eab93c07d38658711da GIT binary patch literal 17154 zcmYkk2Rzm7A3tnlMI4(DCyA2~m28rEkQ`)WW`~Rj*>{J8D2`1c$KGU?lohh~$V_%d zLgw>1zvut_U(fw|b>BCRbFS|-KA-oxdU@}z>bbM$&k_+4ol{p+!VnRW2*LlyQ#zSY1t**%mEJ#<}cJ-jU4ZHT9(GC>iQ2azgLTgjaxnbG2a+ovLkoHxZa0p=~Ofr7o2}Mbc7to z=$|uxk6yoDaI;~M5_5g%eBU^FjoJ6U+C4#Qb@$T~34R9__Pg7usgoNcf+F3=g{$7K z#ZP4S5EyiMEaEHm{yp@V_ydtbQDigAJN3+LnZ_p;tk)FL{|sXrnUr;y_+IonxN503 z>OWt7BqTCJT|>MORNhFTKx$$8^b8Ny9)&gB4|g64K{O~*uC9f_Zz$`Cp(C>RZuwrt z`|;rYuHrw6;3rA1-bt^qezDrfgH-yVS9R`;6CS5C#uSk$5T0orW^`FdF>E6Q!C_YB z{1!1+y%vSKsYuB&!^I;YUCfXFNW4Za6Wd}L(y&r-LwfEWd<5?MwazRuLpl!zMJBCD z0c@$#>Fh_P*xW{4N_vZZNm?vPd}GcSTNCD8&TP*`GYX#bqw%lY;KKyap^1+DdHi${)e0L@3IkJ&nZyq5ZP;&)7cfVg-Yy^J)=g-egD-dLM+9>5K9+K zis^79QVhGI7{=T96xnQpRN8(NN0=@9uTHTnC>zRBuHzSCq2_cND3M33`WQ^drzLLI zE>=aR_SV(6%G3Y$vsK@sl&QYN!gqK0U(o z>wVI}D^pscu=^&ITOnm6VwX`iG^Heo6opaRhFC;V2x5drKCc_$_&W>NlZA_$V`RCL za)yM+g$Su6yOS1j+J6~M*kb<@Y{u)e?o#%#B$DEY1H_G$TK5=vdZRCgdr=<0o_5_? zJry`TPxGB#F_q{oNgBM_jVSg1ZOs#JHAH&6fE!jaoCyet!R?CL&o=jJ)c%? z%>M_?(xt9{M(HrqF{?J&{TO-yDv3*v1cT&Ww$olrDULPKd1v+JqVil}QIXbVN$>5S zFNLpO)qHpQL^P>}FgGouVng&JrT4p7mloMkWLIM_w4#sgvz00Ps74tO2!(?CMU}Mt zUvhQcC&~xP1%=?m?yh?OZ&C}a^LdML+jyKi!#%pu|5jKDt1WGV)FAwn&X&d4IM zAKuKhGlX`$zST?PP3b3ym&tS1gBQUWYUbb*+RDP|v`T2Rj1zrKS^AKJ$gIU}zrIh5 zBD^9-G+r^R`UCC7h}t(dEQw1M~1N7BrHWP5$q2y)2|cRjX~!PA?BQrSOIDi@~bh<#z_2HZ15is z#p&fyJ)1{6uMrQe?w@&2c!XBlssfp|Sd1A(Ype%t?CR1BC&B*>=?)v7w>U2r(~VGE zBYB!>-sg?OVa^TQ$8oAqzNyws5@TFjU*{Mb8(SzVEe$KUm!bHr(qVw4-g9-B>Ye}L z?xLVU@saoL+Sn`N)+ny}jln$Kkf&>-I%F1|@j@x`|9-}jj})6edHVEeK>5R#aCvFZ zm7T|WdN}>(b+Ehy3?f7-8?=0%PhY9M@}RsC+EAn zF}+c4*K^@k+Fkx?=ZPwZ-gmdP9{;G*uTgr*K$mdUsJsNmo@Qlb<*Ah-{%Nw@rgP>c zBYzbUo0P}WY;W(qiB}xA>PWAeHyl-uRoIP4`0hHrJo@)X-n{R-)mf<78ZC6vzYx3lfVSR3GeZOn>%q zb#)CEv+0;LFSYpcg_cX|BSkL^AmZ=p2wj@Ev+?yHo%bqSAD{irpC2xIP(WPxpt?Ko zE0)T|w9X^XaQ16RdXe#mMjR_{?vqogqV~) zY24t!-EG+2G({8z(2H%xb5x@(%_jWJ_}PL6y=BBusQKA#*!!vn`ld2d?$+xH!>c9~|``~9Ocz1`P%uOF`= zoHseZ@%HUogSm~ogoF{%pzo6dFcql01u{8=seyj$b<&s`cidr=b< z%Lp=Z@>Z?n8_T<&eD_+-YTaAt+|}_diHeGfHE#2v!_xk;w8~?qTqK>9SkU^4!O36k(8m4k*UmZ=!?)3oFJcj0$u|$}mwGddUqAr~MaN%lPrm8+JLUMb zowAO5B}s8{@#RldNtps;^9kkw4!Ku^!ZQ=gb7J|mY02Dw%xBp3ye+eA3T0Xs78btY zGR4Wk!Er7VgZVS_g|zDNkL1ixa2{?r4$}w<3ZBapljpyi>Uv)t5JC1;7X^i&rWf1+ zxt^S3zfX=2y?uQLvb8wY*49d^sxFI(#gJ0a-mA3NIoY45b8>e6K&wj(2Oy-n`qoCn zp>TYB{OIWD=3EQ)?(ZKFDJdKiRnEFEBj}j~?(v}c2!j+moNtSsSZvMWo0_bB0>@X& z+yC^$|IuJ>@aNC+vd?yUuZi*1Do3zby11lo9_+49)YRAiSxgV?hf$p4y-pXwDk7J2 zP$>}pu!GPEp7hWpF5fG)xDC79`@}?R#d+l{t8ipoTwI-jikRz6k3n8NlL%oH{J zbD4G5;Uy~*Q*+Y`x;Gg2z2Bxs$Hy-tBHlw?AW~9N>dn*TXAv>eT<*tzI47PU?SF6s zYFKkii`!y1*Kmn>bZji6(1WToGf;dYFUTfKd;PX>ap}RKbaM?#-nQy2azMz4^ZA}A3d@)Tr#oX{nUad?Hd_7kXlUnzX@ehtq{%zZYGYgV8-kSDnf(9sH-te(i z=L(T(6em(ZAne^co{j{Op7n`px2I3!TaEoa2#}A)_9n_mQZ;V4y<|EJF zT#1K`s!nN{zH1hq$!4T9l&{ZQN&A)QXHR;1oS=dGukY-z!zq@e16t)TV>Dk>R9uIy z`w&`z-|^oOs&)T^9d)*?n~uX*jjNpURDx_2J_j5>%Fp6EHa?52qC%PsOzG<|@%r?` zxo>mWh?iy(GR7rcw=4JFdPvJD@y=7C!x82>v^|#pI@IR7>2hh-6FbWT|Bm;jljH*% zRQeW7t~j(OR!OD(PDOmxSXE%+OK?rPW~nGz^!ys1Y5h|?{E6h0j0vOE$BNmIlGD6{ z?MuTU9+@Cwei3gC^%j~-!gRpltN+NTA8|d5>Mt-TnaJmTOfD%U^~?8Yf2&6-t+*cN z{u;MkJS>U|e;9y{yV3$<6fmx|4|f*4U&tdPW1?kfdokajq#M4Eky+_m_Sio>WTdAL zzMEt9>wA*PNAEY#fb!U1UH|gs3sObjSnS)#h=FFh9G8Yh=PnFB6Y=mh;oaGNhqJX( za*Qc5pqB`u6NF#kZ8|pMeYSsoX>UgjIiq(gs;aU*miw1HQ1Y0fq9QkU_lq(zsYVsH zZU;LKSMC?8wa4(GjLI)%WMrIIO1&;*Qd3$8MeJLDe+(2@ci2igpPqU8&hV$*tv3DZ zse`*pzrM0^fLZ0y{PBiYRluM)b=Xp%1de0RLT7@c`%lVSk0)o~T%uH1**l>>Z|?4j zANXhm!<*)*ygJV*`%KR+x9;Qnf#v_Oi09bzt|bDkdiHRjLMmw$b*6B4bDG-QsrdQ% zuU@@czGDnq&~mKY#^~8OA%GnV|K$rc5W}Mas?dO@tCTM1zowlg^*0tV=Z|bwKrKug zx8(?g?~;&`YCS!_2Msje$b(VRdy_{;N5}ZZn|#>jmyMoZxdpAqJRq);?e?qlHz{do z2D_53Q*d*qd%-E|p+>5ZM&NOeelRJwe|!O(z?<^tD7_M|YSbkG0kzd@n41Ad-Y|j! zPqxcejX}hdpB$5|1fl1(yt~c5q3E+Rh~oZN4#g%zHIM?xYIc_-BRU>`m6Ts65UwM+HvM@z z1DbuwWCjM0_I~!P|8rmSV-XV}2)@cFEuHULfCvCb5eEbWsE;=_HEr$f zwRH*}Ke-(m60$XBm#$M`s|CR9I}|Dlp*Z@Jucb-e~3WecaCNaop280;vw z;{(t9`$fqsl2KgJt=JUZ|c9 z(AYbve*6R7cNyQ@*(o@*K<=Il&!@VIFmsst=mY!sis@nUDsPv=K(?ulx`udox>hx` z(Xa600IUuxvRU;JR_8Y#_FJ^vn=l5&9r6y6VudW6I~Fk~WWhCqm19q$@*|@mlVZQi zNbN^7NpwOzN*dot`cC>Dqan#CkxvMs0*{-fMA{Oq2Q4=0&hVmaN)cZtHV=@l572q~ zvj1%QGL;8z@)jo~uyRR1wFWw}e{j%W9jI*QubC+}|ro)&mg zmi(NZee+v>9ymfdK)|a}0*7#l@KI>v8?Vtrd}@?isQi_ORWxx?XoXbVb|oTHcp-UM9p*VN3Ym)yyWZ_Vu<7%i=5{#gzCOHdZ2V7ZC>DUdre=zDh6X5)*lqy z7lP){1q;0S=Z}V1a}AUOy?niw(9%C|@83<6+JX)_zqqIhV4PUu*^WR2i_k;Z&+IF` zDWlr*$GE)t@;ksU#Bh-}?R$gZxH7P_C-vS3U}gI~@%HRj2f3E(KG~@j&%C{}4$Vw! zT)$k6W$6uM>~s#LMoQs7Jvgg;TmCa~;2coj6QD+>sxqLybqwr(RSIWlki5anKfudZidf zwDVG5eW#teQM$2S!3U{y<{tg=snGLajYc2TLf7|Zeyl6IoS*mBPPbcsjyAV#&)Y6o zV{c$4*FA~=BZ)fnUy_lPO%iuL4>%5b6O*>K_Ord;X-S)1ck$@B{l6pT?9xxK0s?*q ztW7gTyz44M{A6Q)KLbC14rjfTH!#yX?hpFQX#^5~ndJ=-Q#q>qgtKBgV4hR8V)rnA zCFTzm5{tu}MTQa`_sLI5Qh6ww<8wvunqs1%I5AZ@k9bTd@!~o8EoXC5b5cqq=eg)w zCnD8G>fFoNQC|F!JhE9@fox~!y)LGs^1kb^va&MXx6%|AYU}S`o8~b3B;#qDpWoh8 zJ{k&_KRt^5_D$`wRx;Ej)zBWR?v;^}9%wHP!-ec{Y+C?LtUqUp?fvNUbTt-yteu&$2xk>3_GClTUVrlI`&q)EJfVj2 zU%S@JSs?rei*ReSKrL7mJ#VK$VrlKE)`+)>se_z@Zn<(QpnVhz_TA5-7H-d2%!xOp zJ3GANxTit6RgWu=wtS)lTQ_o8I~$aM5>8oMT=!d9M@&VbimIyPvts~@jO^^~FiWU= z?}D<+F71t&2PO@EdbV}3WnjWYi%;nCFJ}FO;?R7J?xNM(ARa6tv1~kenqH|y5b1Da zawIarolA#ylVs;V?ke#8pwZ9U+A0Y_yp(SV_k*pb4BO5b=g3~6m~7S(!MX^4Ql-6w zAC#oT!!dj#9~jV8{MljPGA*~PE-MJN*7_GeiCQfy5fpM83hu4M-IaQX72)A& z^Giop@5|dgab4(OhBAtZD5>L=4=@47HIb37<@ExQnKfKygM0q`&g9f5U(Zj^{xT?r z-3EACj+pzg7I~XeN9xr&SqOr$&p_{llm|a~8K0WXz|tkNGFFje#3vg5wx~!5>aN|| zXc@>EQFWfH+d$GEam7FUD(*66V!|gRso*!%QW~lwXjNo+3A;%m6C^(NM&V~?LbOZ6 zzfjm6x>;&(6oWn^zV=+JHI8Rcyg~YuUFE~2X_nIs3zCD3c27Kxw?gVNpQ#7Xlt)Fv z*KO?V+MunC)q9BmbZHtGh?Vm{*j#$kp*!9D?G5i(wM+W2r8QMt@WWgwoX&l&COrxYR&Yky6 zCvBQ6+<_}BMs}`17}N+`AUm8g-md`)ZvU+p)1|vZ$V^NBcpYwQX8%vu$P|XMCbiZ(FaVfv9s8?AvNB-&=gVkEcGi z0~5mhU}0ftg{2A$yS6f(kdz64?PXWG{ONyaWA`wQTaPz)CtNzeJf~n}X7;=)EL@z8 zb8juUP@mPZxsN};8Mwq9rzcu?rZ8_mKcb{Wq`SL2Bv=S)PAK;yYUCs0F14{)nX~>M z9O5kRvb1=#NDzv`M$Pskz+jm`7AHTYl=u7tE}tZ3b5>j&MLzDJsBen%1~KiXe*eR{ zNPSbEmRc7zRN!lj@Ec4h8`9)Gp)2_AuK5h)cTy}Fu*;psQ#)02IT?Vu4~EBY(0_YG7dS{_XX+ zmGHw9Jp=b-YyAX8>(Z5rcuqll;T62oCHzq|&ix&(UllEBfc{s3>o-UH$VW_vZj)mX z-LNH|_DRt`;l+Yp84Ep#&*Ag4zgIv)oPACw*DFvy;;TQj0D5De)D1q48&0E}8EE6k zOMv((=pri;HyrZ?SzoyabGEAKv+~)M`yK4$g$&9*zgWuEJ$n4t5*B{e=9w#9EMb^mXB_?hVE5 z=;$YyEc(E%Yywfl#K*^{?CH9377i2zRumsWHrg4QC!=QR1(K!`$@T?~%grcpPoP{F z_(i3kjbzWSYf+XlesgMLq-Y%K>4^qyq6|t1XetS%TcDFe4|@S}1E1s)R5GXrA9tHa zO3dwmqPq9ImHqnl>&0uZ&!Z*z1Qo;nDu**I`vFybAODXa!Sbj3F#v3p<=Uja);xKi zvU?qiXrZ9xWFx5DH)~B;K>Ov>&)1s;vCS43IZoI#d27Cn^xCr>dl1^#C0uzxP1a1l z@$%1N52@?#Ny+o#P6Tzq=Le@|$@b>#9S~4bZxYc8lGCmCj->#}UK=DpaPO^zR z8GdaAbqdZhF6*54ddv)*YZ0^WZQOyJtnBRUq?%!`UZurNaFgK;{D%1Yoa(wjbI8Iy zAW&C#63`K#;k`^x=LQ|p3Pd-m3AvMfD`>H80OG^K!gwzIoF)zh70U`ZqKx1EHQf=@ z5098Z3%cw5BjXN5KG67aD>P2v%`YF0n~$3FbaN7KIAFdy)d7IX(B;>Uyh&kcX&GNz z)bCDErv3cu-X4^t1ql%o69Znm(y|Mnvaj}uU1nxxzh}ehNXY=qLao<^Sw&&-rFi|g z_-4JK78xq!THxvN9xn{4IN$T?uNCMSg9QfB0H#W9IzRtAnQMvocXGIqXHaqh{_#6l z&XEalO11eyPIuuu(4EMq4THJ)5&z))b~+E`@TIiundX6hJqZG?{xmbMkFt5fsXbT1 zcIzC-r0zYp1kd3_%-@2#y}e#Nec2%$O3KN}$yMXZFeosL92^>&nvp6xw$M40|9Xmw zfTX4Z`z!~f1NY6DFQAj2$$xXZm0A9)JqXoXypiH59hAHo3FefRf5X>z6~jin0y@&p z78+Hk{5iDyP1q-S7<^3SP|&C{n^fq-s=;*7@UD&^<%E4 z&~x*^aN0&j5@4&vh?vV2HFe;A>L)<|=JR37&YF(l(=e=ZGRmI-me&jot$b}9Bn@RK zotK!e=vjd9hZ<)zFu2IetMAE|B6awq@c+vKSQ`wr+`GNZgH7bYvI$_-Ja_NsZOBw{ zF2!~HYE{s&C=#{0&bS9UR-UcUa1b8PcaB3m3o3}Au`vde@;Qo&Ka~Jz!|~05^9O_> z93=4TE>kiDFXa*=U28>IndMyb%e>v`)SHeh0G*9kCcs}ho0r8GajBqK>3zOn^0$us z2*kybSFgGP935A?pmcH>6dIOo6c!d1QzFMd&%ItyAVVsxTd(^Ed15;3TEjZOkG_@h z5nBwvT)C{OZ))bev(#7l_{aIcz`)@`qdV_aUL^uii}9ZdJoN`rjEa&{t^Wcj<8WZh zJ*dJ+$j&Ok0I0JtratePlj3eMntMWX;05bJrJ&Bx$jEvNYQ#X4cDK#I+`lDLIiiqTK46l;Ft)=|BRE7ctPIn^HY3Y}{ociOrj*i< ze6s!LR<>~W__*<_3z%{x*m06>b7$sTU(FwGd|qq}CiPeue0l!nBYQ66s>A8i6IG}; z4uiQmiVr~kb{Hw<0zWewq<*S(Gc&VF$B`%iLUT(?YMPofP!|@VqtNaMu|9ZCBy(SCp|v+YX#+947!2*COzV8 zv(1i)h@UaCo@@MesO%(kM85SHKkK~`?8E4>QH(mS)vCPDFkWh zBHd;%&OO93{j7rM4AnjMr7KuqeN*3;e6PWF@`WPzMZvAeO6Pm*r%$r*>{f@n>jZNF z5IQ3Q@j5RlKug)%TSCO_^8sx<^*X=!CntRKXlFp%?@!k) zx3aRb*~WxMFH8pw|5YL&^=*Fs{*IuuN?G=Q1b>8}c5%$8J!(@!Sz!@^!DfnKe-s#L z(w>AM$odRS?vr{2b1714^H3(9E4-H4N@{=B@7%|0YmV{9mRN+cpPygqzyW!-KoUJG zYa7&z{r&mqHyAOH5k7wU^u*&U$-ztFz=LIeP+H=DTR~%P0||Wp=ub~sd4JFM&7bXz zP>)-|V!^CU7Z`{f9UU!AREq$f+WGy1gPQs{Foe*G;IxB?kT5!G2sMBL#5pwB=AcQ^ z{{HE{KYqLeAU004uD)LWzIrDIV2#vy=m}uXwWZ1W-si{e z>!KxrBOhvYv(wXy⩔G;$~)Mp1^CcMm(r~EDDB!Jy;7`Ad&}{NP3`Lnf%4J3vk=P z8K01thiwzKpl@bu6ej_5a-utZQ{ zh+roX>=v+Lbc#(R15S^QBgnWl?1l+8_4u5K{AkbNiGF0b{d0u<}_ZpYyns({cwNZgpQgzg^7zR zZM^hXbDOD(yhx|SOyEoLIAVrq;rcU|(zY~2ZXMUZx}uw{c`)3159bjU5n%p9YPIn3Sczg27%~jW@2K(1`m9Cyb(wc#ldCM{7bJLOhN`;Eh(=`WCAhPyjSq11J~HhW9+%;onsQT`+u>U>d>jP}Vna4=T(pzQm80A-~bt zoM9ELqA=(7+$+fVrI~?vr84QRB4ygF&iy2%!)D@(j3YhtSvWe-{Vu%Tkri}V0-e?h zPB_?0{4!_5yf$ZU%Rztw+=-&Gk5^}BXM+N=!bMHNWF#gfF9qZ(BO?P{huhu1JO(t- zmVOg;=`v6r#QgSM36v5mo_06Ey7om)jl{!;4||tAD3J_RvcB=P{NuID94R_97i1E_ z0ahaB)CYfKEch_7G;(npwZIE$pq^Ugy(Tj#tqSt;gqNEta z2!1_-DwpYEhWJ~9l@7Y#q1=HPWf+HJ(*ZzU64Xp0(7x~hmO&yRv|ONks@B$*z>8Cp zxa>0Z@eBpUpQXOlbayX6t%iI;?3b_-Y^c^%ryPKP?Ks2_txBCUe=IdeQK`*oe+(g(`fKIlz+PD|@yQ{K0fKccc+!qCR z2O!cnkQ4wG$gtA*XpVUIC==h%^zOVZoo~>@qYgZ`2PG2`*-<*wrW3a^R%k^}zE2RxmMhs?@wqJwBvMN1JS1U&BcxvArcj8ztmW$Z zZU0%k<4Cb`SfJ|4NWQ*c`%|!u0P})}qm{fE{_>?I^c~Gq33|v%40*LglASrjq^}P2 zm{8ALTsCUJY|L9h|9%5ADt#Z=BCz?2g@uI{$kPB7!vjN5uk!KsCVI`UeSu&L0+Nrt zBxI~R6gSzHrK^L);t>}=BQ6d}fWL@EM2!K8`NYswfART$|LIM~P4Z1cpBVhSb#)Np z9t3TBe`}s`IO-)U3rqawA*?ti_qBtE2w|XL+z?C~7!co%sSZ_y&qS$Zlbdcy<>>o# z`pR3SqFdxhCHk53y$H3_{CnuAn8G>+9z17m470N61qfzgeQw0S*1rHFTXpZ65NugyO(_S^T$vS}NMzLGD~Y&CSZM}wa}vhx zdOd!uH_c3@fM(}pVR=dc6l~&yecyk8p$U53z1(|9@yC;i&c{ly(O+k3{WaBrfDpti zV_BZ#A!Dj^8?_++a{Q1eV&Dl063cPGuB1T%fF&fDeTB651|DPjPYwOAde{v$rcJ2> zR;NPK)0|LfGAZJSPgJJU@peZlNF}m& z6bRD7*O)0S52v>VsRP8hu{US0wEqm$?~f_m=%BW!T&d4&j>SwtTc;))WQ{aYgo!lc zSG^>J=|CWxX?I?BILt_vvO>ITZ>OY z9+65DZh7toL+Zcye@vvGv2RhoP(GeEKumE$5ALs)GBNgnX8k%9S0_wXmr;^?RclAf zk7)Bf&K>fdsupZ7^94gy)lB>7(xUd{EuK{=6=l693>7xR!jK1mtw)3DNg9)03xHIp z{0;QJW?mWw4xS9fEl) z;W4*GAglLBag_&G7+X`81@gAHw(?S~uE687y<_dwM*E2LWj|2(%J5b( ztX44$oyW;d{qfA#3aR^i_{2muLVf|bz#d)H18%GOt@&(yv)}nUZoRAg3 z<3Mxq>X$?72oMAXmLyr~RXJ~}T{Etw73d>yrr zTT@eGW84N2I8Zr_&XAEgaH-_CMst@K^~V6Og)*!N1T402zYveo&2|NfROvO?2$F=m zf4@8U9rc{)l|cc9m)^8y7;H8DreTG2f zHlPFO-GLSkc|QWZfRx#_gfkNo9Bt9u{Dm`xAuyAokQ|8xI5$$~>Bw@`@SP_c)#J&U z+W`7M&^BFin)+B(?tZ>=RfN3#FjDVE@b?dbw7~sg%#3Ul8iRXBVQcw0Sh^ zGw~U?LzU1y8CjoMThoLL7Qq(oKUwPIg|NyJkhVIJr>nz41nv)knb3j)LBJ@BP;4Ez z6C;{iTius>kwI8cX0Tr8gBrd!02+^i zRMm0nHw9O`_Bs8CG z9Ssi zDS{pP=XK@NM-x>-;^N|G&YZbaS!DJ}YF4xvcHY0uCc0VxU*>_wH>akiP~BU9dgQGj zQU~c;2rx*(p0k2!zVT=D{AE5W%g_dk64>LSKHb|6Q4k+pW&CkZh} ze$c0^@gb^Zl9J%iSZ#Uk+*ytwB@4c}v^e}yypYS2>zg3n4~ZQmsUZ%`*iidKJxa8X zMgp`6`;oGv**@?q{D_W}YqS>yJcS@6CqKu?0%1eyi}gbDoNs!7djn6y9J z3mP3bR1>&~u{%cWGTzsK#96|K)w`vEVF6PG0rT6SFM#wL&f_>h!geeBg#a^2fk2VBI`nz<>Qlitln~StZ>A3A%$mVM^uW{x4;oBnrOK=9lgE;&it@m;vKv$S1 z2wB{Oq%!2$h=YJ3Tv}s2e?A z4|*v?ur|TIEC*LLUPwcd`YY_eJ5Vi>B-|c`(<}d|^PHb>`D_CfG?L>MEi@_EX#@)p zytA7^#+Ba&IZCUmdj{RcGJyE8KQo8bw}xMr2{@9-C{heL3#lRtN5>Rqq-}u=IP#xj zRj%mezFz+P{MXlkfr@OjF|M$Rqm(qC`1D;Mc970LrjP148N(m67yPX8BF~jADjMb# zPldo4nclPe%9J{-r8ElsO=XP44=nh4Srg9~63bH~Y0um01yF3BAtwoZZyq*4b?rw< zQ!QK~xpDRC+J$=;WeR-km_NQ?~V%G>`C5rD%y7I#V~D%9E; z=g1{#mY+#WUox3$yo+qMgWmrBlVghjSWCdypAv$eb-Nprwbua=Wr63ZJ`P_r0ey*v zfHF8`C9(~WjO3Jb$A*Pb!sBU|JuFEb5jMD@lX(Ud{XQugKGsL0rL%Y9Dg}&$0y;W1 zMIr_8AFpC_?YuqoNc~ByRr^0wJic_`f9bWi^ZP}(=d*S9^1-oBQ>_W{&ElaQL-3jh zFMg7q7$46*FeD_n^@>f{rKRg3?c_XO`K7yCxTOJxR0BzmFOK;5MC>5Zd|Vo?Dg&MeZz6(~ARSbiHdwfJ(99QL+w9e=ZOpoH zXD2#q?iJ7SeELhccjZQWfJUWf9q$tE;Rs1eNHqVf$s#-UyD*$2v&(m2WPJUQr(WT5 z^mSiM$Acxt;E{DkGEVKC1I^6oX$rG{VJc`J_L|h(<@=e=IkD@$$gx$yywr`7k(T_# zNd6576k73&oSXFlrws}vYCJ71Z3tn-2##?JWEe4(^))pX&S_)Of_$}r!Q#8z)FW`^ z`NN_DlH*)E?_c6lk=;;F_X=;ud;{|)7x*RggF86`dy;|hme82tLQN1MIB{!Qa5gtL&#bS%ijIzMrB9CLOsEv-NO_IP4!q#h!o*|;dS94s`71qTqWgfPL!5)yMaqxACh^5DK+7EZ?h z0QYDpzYI1ww*4FczaEfL*u|aLq@S+6^WFQsHXb+L!H0eXqV~hCn+`_Qsakk{;RE%S z-RyF$BI)-6*=?5432C9E4#S6oh4UW+ADRFHfY073G5fRxI`C z2_oF!ky`>}Al#U0f0)vfF27h%(vYbTOrlp}mIl%>13i6)%5(exAP4OvoBoS<8TJ0Z zHbe+TO=4ONlz~kk;kFvPLD@rP6I0VXP~*WK&H#ERAuT;nVW<78CtW*3F$7a+Uu6Ft z9&U7ELKj|3FuJEcNdv9N-+4hx1kmJ~<#bRG5#Zt+hIksteiu8%|8Guj|6lG)76j;P zeto?wCp`cS$TlYO3Pw`{TyZeZ>7YKeH8nj4IrXyBM70YyXr>nH;~&neB>n+&yX|hm z?qe9|I6{$$5ZSF5c1w%_NTl=8+YR*h546Bnz`H924*>Fz{gfa(ggoh_b z|6osegs@XkP>4H?Hi8Ql78PZ?G4+Yi%fU1IU}$sse>Wgri|uKOqp&r^nsFH6At@GR zo&L*M0f1nYjk8TJ68fZAbnipd09=V7iVUiVsHkkf$a=Z=f&mFIu&}6r<^)@&t-W1+ zb<-USI>675WQ@$p?Xv$z*Co2*;PVRfm^?{iO%r%A;8)MDs{jZr?Cf$Nsr@>iaQUVD z|7YG0(HrQv*8+e%fh6}8!u`I7+khMp#W65AO(R2CgRg|_J=1zus$>PkRhX1TZ7d<2 zOg$t;371k?xwyFS|9fpb4g)Quy!3y!lVm~f(YL++OpUUP$Ue69v2de-Vpz|>Xh|$? z`#-mfx=agN0A2QbAVO6$RBZadza4`!c?7}`J!GG0ucc&>Q4$wtmuVYM%qxGkyb2da z2u7B?;DahB4^7SQZSnEHcFf~51(vq}vo;)UcM7tKn8`d6KP6Q|FfDXZ zA;b0aFbf2y@xcb<(L(TeyeBYww2=p+^O|H6qibi0!mJ}`SVuJ9}OEYZ zz1If$JMhk!t{T1uo0#<8dzEug;cB1hn+pJu6ipB|odYaPIG!bDgy^{%)H}FGgu9|2 z4rhyW=GQL>=9AsNeLE=N*CfCo1Se-Cc+wDMo`oxy*pLt%$n@sx7qkNQAQpH~brq&{ z9^6nOLfM0>2n7F(2!zvCFy!{ZM*>nZ16K_nLeYY0zXt~q>IdN(2ob1%NOAFaklu-B zW@o=ZL#pxGxB!JT1FV}f`1l2f-D=2*yP~g{Gk|}lT~t_TKUuTI@dGMW z&-PN^d#1fXh}D4;mb3cS7!r=40N$$0`FwifyALuGw+w`z5@1%M)%OD%HDlvN8{g4f z_$7>|=?RC6zoNhhDlRIA>v_7n*WH3)euhxa7O?`PD59YithIwyYfN1E`1ry34EQSs zya<0Uz>jAS?%lh$H8V4Fo(PuWfN;su8Kn8}@zGHk3CPlJ07Cvm6alJux(irQuYk^b zoPlUj_gjALx1(nDPmAOl;mY1}HORho+HYl0R+g4qG6Dkjci<`OfO-ESj7HRNfAc*k zaO<@9icM;HAre3jt;U767s^r*h{A$!lka)H!Ww*ezqY=W>`85LRCVv5ZC6uq;7BB)$jo}Oh5-y_csVXTMnl$*;A{&8zh{N@Rj$^Qy7d)j* zn*l2w!L64m2-1!N%-OoX?F*N&`f@-deN5F0Qs#6txBTzqf!_`=$^Vg(kc<<&;)rhBbI^%_Z+l z4Zw43fK!?LXFBlIlyL@ZxCfAKsb(>r9)M#m1lO!w*=Le*+sZM4hrbe`ViT%z!1rwJ z&H_rLOc0&!*p420Irhia|9%o9X>0C4uSi1_pH+@hLNCYs-|wH&{cQ+Mpk|Nb9{`n*Q~ literal 0 HcmV?d00001 diff --git a/artefacts/resources/op-amp-3-generic.png b/artefacts/resources/op-amp-4-generic.png similarity index 100% rename from artefacts/resources/op-amp-3-generic.png rename to artefacts/resources/op-amp-4-generic.png diff --git a/artefacts/resources/patch-comp-3b-Rs.png b/artefacts/resources/patch-comp-3b-Rs.png new file mode 100644 index 0000000000000000000000000000000000000000..c38b9df9885d054219e2432d230767b6509c4659 GIT binary patch literal 9126 zcmX|H2UHVHv<`$KozRiyA1MkbMUY<9(4-rd-V{&)r8nuQNa#kSNmY@uAVonWv?yH= z5Qr2ZL_tdE9YTBSd*{5IlVmeH*_pj_?{~lZ&BmLV=&>-KWrV?CEC%|hTQC?^5Okb* zh7S6qlJnVxz8HM;ZTw*{X8nI}s?&h(&rlEJAWurXGb`{N35#7---;^Hl7q;^RUjAt7DT0%86*T}L{x zv=BhprRzjp-tpxAW@UG5+u`xv&Kxya&BckL<>J0p{@#|0d)&|gCiaEaMpWB*_2Afd z4eu(?U~_lQV$twfSX=b@n6{Xi=ra5h zq@Rb+YuZYx!7~fb_ND@8OsG$2xiI>TFqbQx&)>AODs&kptf03e<3AVxt$Xz>AcdE7 zh4iuz&Xfg`GtH;JN5$ks8x@A(YxvP??<8wR8IXR0jyo+#lG)G?c0BD*Iw6eQj)U$X zRZ&9;%9WQWg1@nW6IulbnNL`xe6o=r2BRin%FOo}lB@^=Fx2clyi-gv80si{`z%_^ z=1$d^wDXm97m}`XE~qK=K;Qkg$od7MND~on8uJCD1dXV;MDxa|p+)lLc5=ja6E2w% zOsP<=+_HwKt7YV+la})_r(YTeDRi>NOI@;Wt3jUq)B(A>Rwi!dL}k54N7p)o*RMWqDig~8#BGWVlseo_r!^v_r`RM7KK_da3n z%c691gb|MD)~J7LoNVQV+(4?q?}22gYqUI~z!bfG!l;;kGU!G9306=>o;&AqrC9Sr zyYMt?ljiKo4>cfAK$dY#8hI)DH(rv&Q)q^wyi*;7RnQBkPL+Y4(N1t4es3zI$OTaK4SM|XgfDD^*ZS6Va0BqpU!MZbx3;fZ6M!3HQ z?WBCk^&vO@w17Q_?>K=e2_#Fj70UJEIuq$tbenu<78zKMyxz$0*%nyZxiouXY~vrl5ZC?KLvRfY>Yj@)EIU{Uk{C;6ZeJW(y>L%F8UDCa#1J>A@&{{9^d9r!YE zwew|(WW;jLhHiop^(&I`C@yUX{aJj1a<=K}6)7pHt;bB4^~>8eT{@XBUA)-CEdR{x z!bt6nIM(dr!#y_nq+3BN6CHioSH9%D!SkWvgvEp&YVn!m>3$2sq$`aj8Yy4p(v|Y$ z>Vq+M)&23Zw6wGtuPIz$2I$CT!OYLkFF?m~cEp$1^ypfwneOe||E>J0r{B*5ClqKp zN3_$s1j;>XKu35kowP}~{+u(aC4`kKTa9|(d&z*a(+W}*g zuIw-6Lu4n`(>Tb00*qH}=^KnX@S+_WF zb7igj?Owo?(7ZLz^BJqWi#7i9CfS-1p&+sH*6y-F>QbnHl9y;+OU}bz%ib^L;$JLu zRGhMg!|gfd#~wIeB5wL!ta0n*ncwUk_L@q>M~O95E?u_!l%#Ovl_baTe4$-aDC8EZ z(t;p@R6FK=Nr7{69z}9DoZX-1YHB5hbzPAZSfG@HRix zqY^14f1qh~YOvTzv}m?^I8$ZnkyQ4UW8zXFD28V~7nHPnfmDNP$&~BN+JEt5T8(94 zEx7d@aw?`@JCcLg%jaU0^i(1UaA1fZ}araP$w)li~0P;?3UR)_{i6eUrg6TvTm z9F5l>Ms>L)$?X__(7mrtXTK~HdTiK~2$CGCb7&`7k6`Lgta{dHH(_?pU-(=+F8ts% zJ--=q7+n*>%0E7+wWJXa)l3X!S|7Hg?WX!!7ty{Zq7K4Cf z<~=E@mK~8b6HE0@K6HU-`5pSt^!r@iFO6z_IHrgBT*%EuVnM($8!2+L@WvfsCQX=OwDN7D&NENWrSpsrgaA@Hvq}F#WF%K?H?%@0k;f=cH9gZYG#-lOKo}1tV(t6m zl<$1#h>S6odwGF8<(BUm4+w`@W*1xujdFEaVnJ}hQleAjf!oBVhrfi&iOFSk(lt4^ zpipin6Utr6_ad)#xh0jU8!i_oEiW&Rk&hMsb<%)3`E^*XopkdSeg2$s-iOLZCbtI2N=v>Z4vl4)G~x!pp<>(f6$Hn92iF%zYB#HKg~nhfl#(;|L2y zPKV}1{fDK*5_s!&rbm)8{TOu8n^n@dRat^*P2;mtx%gf zq9tdYtUjpI<*qof@zFND0dw#ILU3t%;V*|LQ9|}vog?=0qw0$9G*~Ov)4FemOu-Qi zcpH#XgUO{oVyG%FR+k~YR|YPn%ox#5pz=+#qM>lc~ns<#{M<91qyh+DJA{JK?3 z#T1hoL;q&ijY{Bcemz}_;a$PkX7}3$U*Ay7c6{`g`{8uUv8HxR_&JH}0*3wUQ^)U8 z%J-5^kE3W^_YcpK0@GB?=P$wNIvz!Y6^6-c#XbBacIRVd)<`J3H)f_b>p0syHHYdK zn#cSFv!Jr9->4)~{;O{;!F_N~lWC0Q$OhOuIQ)7N_4e>*&cS?#2g{Ki>STcO$5{1a zd;WD$)e49z276st>k!x<|9Aim7Y)1PS4D54I&Q65k)A@dqeG;EURH!OV24;5ahcdm z!>J=Gio8dy2Rpr7lFdwt66!iILxqZJdANZ69ATkjRDw)ROIj@|V?G>GCOa)RQP1dv ztX|56j0zIl8U+HQL7vRu&FBB!xc{?aTn@SEjcCx2PaY1Kcna+30vhH(R5=*- znDxSsH|2Y~P$H#rWd0DES`1E?lhaT3wCn*Z%YYNegf<`VCSQ3lR?RNk7w zMwE~3UexJ%dBz2r5m_q!#dh}glU&N&3udw6te5Vc-WKHhmUY2cN>v$}2xWV5CP_pq zf4(5LQ7#26{gypeLrp*_v;{dN86!>+&5ySI(L+T2mP2v}IOum5i2SMd}5_35EqIoNCw zc-(FIE%6 zeKHT!^I}3mg7V?=$N#;7dT%GRNcr|=yTw{uVt0;Za}Mgm@07ad7zMgl7JECd&AJ)l z*qAqnAKaKK!<-ife3bjxw2tug(~*r)PL`HDHa6+KYLF?D_^)(MeR`Nfz4y7&^TzQX zKDj$Ij~+ehha9cPe?UX2(>M3zO=hdEW*?_yU#1Wd9*c0 zuW_1T=k?>sMQQ1g+ilM-7N*Qp&_wcV2d9(Pngt4ni;dM5g3W=0gM*0=ZoSo*&v=V- zQVRo~b}LgAcdD8#;k*{}u_-f66YmT&C%d#K6oH@R_q-uN`+34#tQVm$puJ@W^ov?(c?@VX1 z%j#r_?tK+jX7McJ0~;jd3_yUXKTTwJnVlb_pKPEQV%bX?E+G=r7;V9z-vy6G&%2fNB4>yL8heuqWDPH5=?%fA z!21Ij{|dHsg$-T-(+rMtB7FQ|+NemHCjA|McL9(+wtp1_0o z`y?jkSe9S~Uv4fgpAK%T&%f%ugJZNBE5j0P>kTI`Nnx#Iuslm6Y?lwwFzCd%-so|a zze=m|lB^S|1&k~@tYeL9`(D|+J8?@b>hRaJDipvzdI**4fL7|V6<~$R?_4^`T3i!m zQ7?||`jn#*1!Hpe6~aS$UqT%%iEq32Vu{*iN;`=j*gDQ0&2S$ za({hOeeSWE$Kc)CXRsO-6%R?K%n!o!UR>CqkiE&=XPN6Tc5Gs_{}JDs6#>eFt|X{* z9@LumAi)cHpU2m)A5`lLfH-D8yPkn{C6X6Hg>|1<2AeO)J>aa>5`nkS@bMpihd=K- zahL#)vM7ds)R_$}R3qvTc#nhh;9C0yJ5eDcKakSM!=qCx#TC~5sFy1V2OReu z(}Lbk40w!H|K3XzH=a1<|N6T+MOY)$>F(XR67D9i|9n_vsX{J$oLZ9#@a7?{S6jZ? z%?HuQo+mf)hrG3UMG6eAO>sXh330H&u9!C=WCt=h2Zr%^Ap}aJ`C%ND)KS!ViJ8Fo zfW1^V>T&vmNE&N;X1Ze7o~}@s;2QOk4*oa(4$1Z$@8>BkBG+e;efV=X?#A24lpXuX zgTEBYQk7kL{u~7Td`ez|GA;Xe-mGosJs#chJMW03@a@-uUZ&c=N3GMo!n2g%g+ znC_?;tuiu0{C%Z4jk$#G4e!?DUGBBk)5y`O#^5L#BXa@^chdt_M==~z$R&>`fhML* zgk5w-NW4a9t&=#n86hylfj@nRt*J5teL>F*btN2~e)?)R zT`xkEdCoyPU2nu8rE5mfIyySrYtv4=muy8-W~8^ITL1p@?wcFo>X$bT8#%87R#dHJ zUk}1iMM~^Hhh`eAV9gtmHqpT|L+3+!D&f%>h4v+n?3IF#yfX8^Bl{2wbx9n(z=Q&#)hdk^Z{$;o??e8#K%;u5wAaU$1h>Ha)-i z4!BK-O!rcXJ+Rn8Yn6%6FPjk*PhJZlH#wU&77J!}()A>_BSS_J9Gd82FmlG~SJ&@j zoOK3%&ig!=qX(2wM!9e#U=jeaBYzx|%0Us(~2$ka)-hl-m@@pt??_|oVe z{4`3_x2zH1Ed2WQdS{AIZ_}eK=q!T^ci3Z$zFw3hK^~o-p#Kch$BtdS)_!*=?fWbD z7abg7f5PK~J^h;+7wG;>Nj8c1%b^FjczEPpyV->xf(KDo>-V5U+4qOu9FUUvxKyd^ zhU-oST1!PwE7f?=AttJf zT&3XgP!HiAtK>F+N_wBMnT^TKC+!&&sg@J2{hD%3%r zQyuvGLr>)Im`iFP6bh~Y&Ok+=E8iK!4VV&n|4g+AHoplyPoilWpSM4{XaLmVMZ^y- zJJv<|pI1A_Ob#7&+Dzbf%4fq=4 zoQ&fbSN~r*0e9jx7%T#lG2t);OF~qKU`k~L_gX6ca+ABBH{DgUP~WNhZ1BB~|Aa{&Xb1Jsi4JmZNRck#GBJog<{3k0gWK{EsO3gSV7yi8wfW;fg6P zigV=nJC70Tgq zog;D?W65&GDNtt*4TOp=DwJL*PHyq*GqqQ?dMMnVOUgr|zPZCOSO3X^;>IpyF^Ei~ znWD;hk5EM3?!=q33hqOqll9)ygI{Br>WbQnHI&4^LOSVrq)<$awjrXy8Yo~;Ek3;nbEdRLF+Z0Vz0kO3Cbv@c z4`;pNC$mN%IZiE{$)4qiT3L>Z@tCjM&mlM&tfb-Mi$`OJ6a;@DGK>Fb@M=CNhro1wa(okumvEV7#_50H=ubGy^)f<2*{3Q2C zKUr?Ev_GJul+23ojp>cvyTe+*xJ`9g|B*BOf5?WDZ|d0jlo@5V+6Uve3c^-ZN^ZBo zWA`Kq!mld}ULNjbkjSk*-B290Z+jtT2vz-a>B!Ae@N%k$UGygqr(#o3g0rl&H!v_@ zNSPTdLreJi`8{TZwEgma(V*!7XB@`5zXao ztyCBrwKse~{I~x|cf)+Xp|W7H?@T9@1BTw)DdmU!VNeYua|PV*98nD{mg-dE9ZOb{ zW``l%nR62}x21GYDFc0d_G#(qic1S`Q%_u;(6R79ez4$xaL?O+uEHqO5WHSckdvLg z2(dw2UwoQ`X)#pmN?TV)|Lx8E7=ExRZy-b(yus!M6{?W++EAjyreroME3yAho@UFt zF&2bxG6-CKQ0Ug1F&TNdaxKo)=)FY=MCu+ie1Bf5H$+TFM4z&(pFf&~DkKV|L5;c(6&Ylw>81$wh-KP; zeKeof1+_{&@EI(;_PH{LHa9wRq{>K_)HC?m*TqC_Md4?alSsgY&XJpe@1^LR&sH0u zY|F^OAycxcWuUGJJJuw+)T62IN5mSjIZkVL%;iOTI<0mbt5C@L7kYu+wdvCbhz2hG z*(CIz(>*;redW@p#mNT$1_{$F*%)Y{l6qxYbMF;I@Ko{1NP)>^+V!P3nsr2hCp~sT zM=w9LoKQUH1`1589GfK%IjBMlFg*5E|MmK~K~y-1#Y{uOp{EOaY=< zFvfL`elNs0RgxKDLFz>VlwpXYZ*&rG?R^Sf9z%=<3X!}Dm%01MiT!;I;LRov4O_@m zHr38lVq#*DF*`L%+=q*?aa|pPI2t~i@7Cqu%_wc%#xc)S*wanm_Dre?$yepRt zg-G}C%>c__I}osrHc~D3kDpQ!lF7bDC8Lw9+Q5flrbnTK+Z&ZBHY1)|6Ak|NAn~>7 z%VJ4EOVoU>ivN9Rbuu7_tTk{gL~_h&XO1~QlIqdi_ne%};1jKr4Yp#_f_?}{dyUDk z*w|QXoE5yD>eBJN3!o^*YkKUYo4#uLXcnv2-P=2Jv^5}ckb$TpqTZ@V3l#qu2 zyuPSt7AM*no(Y=xI0Uaif%_+=hK}AJn67r~{ovJ9ek~~Ek7z{4)?^%Gq-_rljgC(S(U6*SpVd_ZgCZ53iYL47& z(U@(jpIy6kac#dngCVu$_Mi6n(N$t|qr+R>!)}GIJ2{}pSInG(gxrG>75Q`{_}m=Z6HuC zI2tID8hRXuKUX;9a)4eeN;84n5MF+)LmADKw&AeQ?;LRiZL6+2(>VUcvGef-sQ+!> zFT^ZBX}=C+WMZm|INZJ6<$IMnnfgR957vCR+E{+10{#=t4x>w+994W8&oHseTTUp$ zfIOt+B!hctsG{jo^S3GAdU|{#PqqrI$U06@$BH+eG5>%FvK1h6i!f!7GR&9ujQqgL z=wUJV%VAWg>E1wo6&*8QVeq6cePhrHxULB8_!R1;3a<{mGjsr&jezN!=AS#W6wqsu zyAzOrz>O6~ZY~!Yq?tr5ypEeplxZ2`mvx2-sRb25q4Qw!Gj@*{mU?s)9D_6PLHa415HR_=f=(&{dd3E3pksonyzcz?e*oXB)D8du literal 0 HcmV?d00001 From 552377e48f1fd56fec291650a3e2539bad83ffb9 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 29 Sep 2023 14:50:14 +0100 Subject: [PATCH 26/77] Looking at bessel --- .../appendix-D-laplace-and-filters.ipynb | 176 +++++++++++++++--- 1 file changed, 150 insertions(+), 26 deletions(-) diff --git a/artefacts/appendix-D-laplace-and-filters.ipynb b/artefacts/appendix-D-laplace-and-filters.ipynb index 0fcbbaa..e0120f2 100644 --- a/artefacts/appendix-D-laplace-and-filters.ipynb +++ b/artefacts/appendix-D-laplace-and-filters.ipynb @@ -1691,53 +1691,177 @@ "- HQ 30 kHz" ] }, + { + "cell_type": "markdown", + "id": "e4b9f173", + "metadata": {}, + "source": [ + "(stimulus filter on, Filter1 set to either 10 kHz Bessel for sample intervals larger than 10 ps, or 30 kHz Bessel otherwise)\n", + "\n", + "> EPC9: Filter 1: Controls an analog 3-pole filter in the current monitor pathway. \n", + "> The pop-up menu provides for the following settings:\n", + "> \n", + "> - Bessel 100 kHz\n", + "> - Bessel 30 kHz\n", + "> - Bessel 10 kHz\n", + "> - HQ 30 kHz\n", + ">\n", + "> Under most conditions a 10 kHz bandwidth is more than ample, and the filtering reduces the high-frequency noise substantially. \n", + "> The HQ 30 kHz setting is selected automatically when fast Rs-compensation is in use; it is of little use otherwise.\n", + "\n", + "> EPC10: Controls an analog 6-pole filter in the current monitor pathway.\n", + "> The pop-up menu provides for the following settings:\n" + ] + }, + { + "cell_type": "markdown", + "id": "a0cf8c1b", + "metadata": {}, + "source": [ + "### Three-pole Bessel low-pass\n", + "\n", + "https://en.wikipedia.org/wiki/Bessel_filter\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{15}{s^3 + 6s^2 + 15s + 15}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "9314ae2e", + "metadata": {}, + "source": [ + "Y-coordinate: Choose for equal spacing, with half space above and below\n", + "X-coordinate: Choose" + ] + }, + { + "cell_type": "markdown", + "id": "d6b55d45", + "metadata": {}, + "source": [ + "- sqrt(5)/3, 2/3\n", + "- 1, 0\n", + "- sqrt(5)/3, -2/3" + ] + }, { "cell_type": "code", - "execution_count": null, - "id": "c03c5a59", + "execution_count": 3, + "id": "b32844ce", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import numpy as np\n", + "import matplotlib.pyplot as plt\n", + "\n", + "x = np.sqrt(5)/3\n", + "y = 2/3\n", + "\n", + "t = np.linspace(0, np.pi*2, 100)\n", + "s = dict(color='#999', lw=0.5)\n", + "\n", + "\n", + "fig = plt.figure()\n", + "ax = fig.add_subplot()\n", + "ax.set_aspect('equal')\n", + "ax.axhline(0, **s)\n", + "ax.axvline(0, **s)\n", + "ax.plot(np.cos(t), np.sin(t), **s)\n", + "ax.plot([-x, -1, -x], [y, 0, -y], 'rx')\n", + "plt.show()" + ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 4, "id": "60d3164a", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "(2.4907119849998596+0j)\n", + "(2.4907119849998596+0j)\n", + "(1+0j)\n" + ] + } + ], + "source": [ + "a = complex(x, -y)\n", + "b = complex(1, 0)\n", + "c = complex(x, y)\n", + "\n", + "print(a + b + c)\n", + "print(a*b + b*c + a*c)\n", + "print(a*b*c)" + ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 5, "id": "76e7a368", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "data": { + "text/plain": [ + "15.0" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "2.5 * 6" + ] }, { - "cell_type": "code", - "execution_count": null, - "id": "c21e177d", + "cell_type": "markdown", + "id": "75af07d0", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "So equally spaced from +3 to -3" + ] }, { - "cell_type": "code", - "execution_count": null, - "id": "1ecefad8", + "cell_type": "markdown", + "id": "480194ab", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "Alternatively:\n", + "\n", + "\\begin{align}\n", + "\\sum_{k=0}^{n} \\frac{(n + k)!}{(n - k)!k!}\\frac{x^{n-k}}{2^k}\n", + "\\end{align}" + ] }, { - "cell_type": "code", - "execution_count": null, - "id": "41b0ed55", + "cell_type": "markdown", + "id": "3b45f353", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "\\begin{align}\n", + "\\frac{(0 + 3)!}{(3 - 0)!0!}{x^3}{2^0}\n", + "\\end{align}\n" + ] }, { "cell_type": "markdown", @@ -1809,7 +1933,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.4" } }, "nbformat": 4, From be6ddce18430ca398351f37647e9b54f7e8c556f Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 29 Sep 2023 18:16:04 +0100 Subject: [PATCH 27/77] Added full equations --- .../appendix-D-laplace-and-filters.ipynb | 458 +++++++++--------- artefacts/artefacts-2-compensation.ipynb | 294 ++++++++++- artefacts/artefacts-3-simplified.ipynb | 98 ++++ 3 files changed, 607 insertions(+), 243 deletions(-) create mode 100644 artefacts/artefacts-3-simplified.ipynb diff --git a/artefacts/appendix-D-laplace-and-filters.ipynb b/artefacts/appendix-D-laplace-and-filters.ipynb index e0120f2..4878473 100644 --- a/artefacts/appendix-D-laplace-and-filters.ipynb +++ b/artefacts/appendix-D-laplace-and-filters.ipynb @@ -812,7 +812,7 @@ }, { "cell_type": "code", - "execution_count": 17, + "execution_count": 2, "id": "94ebcf66", "metadata": {}, "outputs": [ @@ -822,7 +822,7 @@ "-3.010299956639812" ] }, - "execution_count": 17, + "execution_count": 2, "metadata": {}, "output_type": "execute_result" } @@ -890,19 +890,10 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 3, "id": "6982ea37", "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.35.4.dev).\n", - " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" - ] - } - ], + "outputs": [], "source": [ "import myokit\n", "\n", @@ -935,7 +926,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 4, "id": "2ec8fc99", "metadata": {}, "outputs": [ @@ -998,7 +989,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 5, "id": "dbdea210", "metadata": {}, "outputs": [], @@ -1016,7 +1007,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 6, "id": "7e6d22cb", "metadata": {}, "outputs": [ @@ -1081,7 +1072,7 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": 7, "id": "f9b341f4", "metadata": {}, "outputs": [], @@ -1107,7 +1098,7 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": 8, "id": "147105b9", "metadata": {}, "outputs": [ @@ -1159,7 +1150,7 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": 9, "id": "17efb2f1", "metadata": {}, "outputs": [], @@ -1177,7 +1168,7 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 10, "id": "e1c06f2c", "metadata": {}, "outputs": [ @@ -1255,7 +1246,7 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 11, "id": "dc683065", "metadata": {}, "outputs": [ @@ -1450,7 +1441,7 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 12, "id": "60cca6f0", "metadata": { "scrolled": true @@ -1492,7 +1483,7 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 13, "id": "8e2f5c79", "metadata": {}, "outputs": [ @@ -1538,7 +1529,7 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 14, "id": "d5e1ad13", "metadata": {}, "outputs": [ @@ -1577,14 +1568,13 @@ "(see above) we can derive\n", "\\begin{align}\n", "|H(i\\phi)| &= \\frac{1}{\\sqrt{(\\omega^2 - \\phi^2)^2 + (2\\zeta\\omega\\phi)^2}} \\\\\n", - "\\angle H(i\\omega) &= \\operatorname{atan2} \\left(2\\zeta\\omega\\phi, \\omega^2 + \\phi^2 \\right)\n", - "\\end{align}\n", - "\n" + "\\angle H(i\\phi) &= \\operatorname{atan2} \\left(2\\zeta\\omega\\phi, \\omega^2 + \\phi^2 \\right)\n", + "\\end{align}" ] }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 15, "id": "070f51b8", "metadata": {}, "outputs": [ @@ -1614,147 +1604,86 @@ "plt.show()" ] }, - { - "cell_type": "code", - "execution_count": null, - "id": "f3a8d2a3", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "35862457", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "11cc559c", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "d116b8fa", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "5619f207", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "63fa2ad8", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "4dd01e4d", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "f2937323", - "metadata": {}, - "outputs": [], - "source": [] - }, { "cell_type": "markdown", - "id": "2ab08077", + "id": "a0cf8c1b", "metadata": {}, "source": [ - "EPC uses a Bessel\n", + "### Three-pole Bessel low-pass filter\n", "\n", - "- Bessel 100 kHz\n", - "- Bessel 30 kHz\n", - "- Bessel 10 kHz\n", - "- HQ 30 kHz" - ] - }, - { - "cell_type": "markdown", - "id": "e4b9f173", - "metadata": {}, - "source": [ - "(stimulus filter on, Filter1 set to either 10 kHz Bessel for sample intervals larger than 10 ps, or 30 kHz Bessel otherwise)\n", + "A [Bessel filter](https://en.wikipedia.org/wiki/Bessel_filter) is a filter with a transfer function that has a [reverse Bessel polynomial](https://en.wikipedia.org/wiki/Bessel_polynomials) in its denominator.\n", + "The numerator is a scaling term which is usually set to achieve unity gain for $s = 0$.\n", "\n", - "> EPC9: Filter 1: Controls an analog 3-pole filter in the current monitor pathway. \n", - "> The pop-up menu provides for the following settings:\n", - "> \n", - "> - Bessel 100 kHz\n", - "> - Bessel 30 kHz\n", - "> - Bessel 10 kHz\n", - "> - HQ 30 kHz\n", - ">\n", - "> Under most conditions a 10 kHz bandwidth is more than ample, and the filtering reduces the high-frequency noise substantially. \n", - "> The HQ 30 kHz setting is selected automatically when fast Rs-compensation is in use; it is of little use otherwise.\n", + "For example, a three-pole Bessel low-pass filter is given as:\n", "\n", - "> EPC10: Controls an analog 6-pole filter in the current monitor pathway.\n", - "> The pop-up menu provides for the following settings:\n" + "\\begin{align}\n", + "H(s) = \\frac{15}{s^3 + 6s^2 + 15s + 15}\n", + "\\end{align}" ] }, { "cell_type": "markdown", - "id": "a0cf8c1b", + "id": "2ab08077", "metadata": {}, "source": [ - "### Three-pole Bessel low-pass\n", - "\n", - "https://en.wikipedia.org/wiki/Bessel_filter\n", + "\"Reverse Bessel polynomials\" are defined as\n", "\n", "\\begin{align}\n", - "H(s) = \\frac{15}{s^3 + 6s^2 + 15s + 15}\n", - "\\end{align}" + "\\sum_{k=0}^{n} \\frac{(n + k)!}{(n - k)!k!}\\frac{x^{n-k}}{2^k}\n", + "\\end{align}\n", + "\n", + "so it's a good thing we invented computers." ] }, { - "cell_type": "markdown", - "id": "9314ae2e", + "cell_type": "code", + "execution_count": 16, + "id": "1417594a", "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[1, 6, 15, 15]\n" + ] + } + ], "source": [ - "Y-coordinate: Choose for equal spacing, with half space above and below\n", - "X-coordinate: Choose" + "def revbes(n):\n", + " \"\"\" Returns the coefficients for a reverse Bessel polynomial. \"\"\"\n", + " f = np.math.factorial\n", + " return [int(f(n + k) / (f(n - k) * f(k) * 2**k))\n", + " for k in range(n + 1)]\n", + " \n", + "print(revbes(3))" ] }, { "cell_type": "markdown", - "id": "d6b55d45", + "id": "9314ae2e", "metadata": {}, "source": [ - "- sqrt(5)/3, 2/3\n", - "- 1, 0\n", - "- sqrt(5)/3, -2/3" + "Alternatively, they are defined as having poles spaced equally on the left-part of a circle, with half-spacing before the first and after the last point.\n", + "\n", + "For three poles, this works out as:\n", + "\n", + "\\begin{align}\n", + "x_0, y_0 &= \\sqrt{5}/3, \\, 2/3 \\\\\n", + "x_1, y_1 &= 1, \\, 0 \\\\\n", + "x_2, y_2 &= \\sqrt{5}/3, \\, -2/3\n", + "\\end{align}" ] }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 17, "id": "b32844ce", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -1775,146 +1704,239 @@ "t = np.linspace(0, np.pi*2, 100)\n", "s = dict(color='#999', lw=0.5)\n", "\n", - "\n", "fig = plt.figure()\n", "ax = fig.add_subplot()\n", "ax.set_aspect('equal')\n", + "ax.set_xlim(-1.5, 1.1)\n", "ax.axhline(0, **s)\n", "ax.axvline(0, **s)\n", "ax.plot(np.cos(t), np.sin(t), **s)\n", "ax.plot([-x, -1, -x], [y, 0, -y], 'rx')\n", + "kw = dict(fontsize='large')\n", + "ax.text(-x - 0.2, y, '$x_0$', **kw)\n", + "ax.text(-1 - 0.2, 0.01, '$x_1$', **kw)\n", + "ax.text(-x - 0.2, -y, '$x_2$', **kw)\n", "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "9168c9ff", + "metadata": {}, + "source": [ + "To work out its frequency response we need to evaluate $|H(i\\omega)|$ and $\\angle H(i\\omega)$.\n", + "\n", + "As it happens, Wikipedia provides expressions for both:" + ] + }, { "cell_type": "code", - "execution_count": 4, - "id": "60d3164a", + "execution_count": 18, + "id": "d6dc7584", "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "(2.4907119849998596+0j)\n", - "(2.4907119849998596+0j)\n", - "(1+0j)\n" - ] - } - ], + "outputs": [], "source": [ - "a = complex(x, -y)\n", - "b = complex(1, 0)\n", - "c = complex(x, y)\n", + "def mag_wiki(w):\n", + " return 15 / np.sqrt(w**6 + 6*w**4 + 45*w**2 + 225)\n", "\n", - "print(a + b + c)\n", - "print(a*b + b*c + a*c)\n", - "print(a*b*c)" + "def arg_wiki(w):\n", + " return np.arctan2((15*w - w**3), (15 - 6*w**2))" + ] + }, + { + "cell_type": "markdown", + "id": "c628e537", + "metadata": {}, + "source": [ + "But we can also be lazy and let Python do the work" ] }, { "cell_type": "code", - "execution_count": 5, - "id": "76e7a368", + "execution_count": 19, + "id": "14a2d517", "metadata": {}, "outputs": [ { "data": { + "image/png": "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\n", "text/plain": [ - "15.0" + "
" ] }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" } ], "source": [ - "2.5 * 6" + "def mag(w):\n", + " x = 0 + 1j * w\n", + " return np.abs(15 / (x**3 + 6*x**2 + 15*x + 15))\n", + " \n", + "def arg(w):\n", + " x = 0 + 1j * w\n", + " return -np.angle(15 / (x**3 + 6*x**2 + 15*x + 15))\n", + "\n", + "axes = bode(mag, arg)\n", + "axes = bode(mag_wiki, arg_wiki, axes=axes)\n", + "plt.show() " ] }, { "cell_type": "markdown", - "id": "75af07d0", + "id": "bee4a47e", "metadata": {}, "source": [ - "So equally spaced from +3 to -3" + "If we're not worried about performance, we can even write" ] }, { - "cell_type": "markdown", - "id": "480194ab", + "cell_type": "code", + "execution_count": 20, + "id": "ca2a0c1d", "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], "source": [ - "Alternatively:\n", + "def bes(w, n):\n", + " x = 0 + 1j * w\n", + " a = revbes(n)\n", + " b = np.array([x**(n - i) for i in range(1 + n)])\n", + " return a[-1] / np.sum(a * b.T, axis=1)\n", "\n", - "\\begin{align}\n", - "\\sum_{k=0}^{n} \\frac{(n + k)!}{(n - k)!k!}\\frac{x^{n-k}}{2^k}\n", - "\\end{align}" + "def mag(w, n):\n", + " return np.abs(bes(w, n))\n", + " \n", + "def arg(w, n):\n", + " return -np.angle(bes(w, n))\n", + "\n", + "axes = bode(mag, arg, n=3)\n", + "axes = bode(mag, arg, n=4, axes=axes)\n", + "axes = bode(mag, arg, n=5, axes=axes)\n", + "axes = bode(mag, arg, n=6, axes=axes)\n", + "plt.show() " ] }, { "cell_type": "markdown", - "id": "3b45f353", + "id": "3d58bedd", "metadata": {}, "source": [ - "\\begin{align}\n", - "\\frac{(0 + 3)!}{(3 - 0)!0!}{x^3}{2^0}\n", - "\\end{align}\n" + "To do the actual filtering, we can try using SciPy, although the API is a bit of a nightmare:" ] }, { - "cell_type": "markdown", - "id": "195e2273", + "cell_type": "code", + "execution_count": 35, + "id": "51c10ea0", "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], "source": [ - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n", - "\\begin{align}\n", - "\\end{align}\n" + "import scipy.signal\n", + "\n", + "t = np.linspace(0, 1, 10001)\n", + "f = 1 / t[1] # Sampling frequency, in Hz\n", + "w = 50 # Cut-off frequency, in Hz\n", + "\n", + "def sin(x, f):\n", + " \"\"\" Draw a sine wave with frequency f. \"\"\"\n", + " return np.sin(2 * np.pi * f * x)\n", + "\n", + "def low_pass(data, w, f, n=3):\n", + " \"\"\" Apply a Bessel low-pass filter with cut-off w (in Hz). \"\"\"\n", + " # For whatever reason, scipy's API wants you to specify\n", + " # frequencies as fractions of half the sampling frequency.\n", + " w = w / (f / 2)\n", + " b, a = scipy.signal.bessel(n, w, btype='lowpass')\n", + " return scipy.signal.filtfilt(b, a, data)\n", + "\n", + "x = sin(t, 2)\n", + "y = x + sin(t, 50)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, x, label='Original')\n", + "ax.plot(t, y, label='Noisy')\n", + "w = 6\n", + "ax.plot(t, low_pass(x, w, f, n=3), label='3d order filter')\n", + "ax.plot(t, low_pass(x, w, f, n=6), label='6th order filter')\n", + "ax.legend(ncol=4, framealpha=1)\n", + "plt.show()" ] }, { "cell_type": "markdown", - "id": "dff94891", + "id": "d060618b", + "metadata": {}, + "source": [ + "Because we filtered quite close to the signal frequency, we see a lot of distortion, especially with the higher order filter (which is more aggresive).\n", + "This disappears when we raise the filter frequency:" + ] + }, + { + "cell_type": "code", + "execution_count": 46, + "id": "5d02eec3", "metadata": {}, - "source": [] + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 8))\n", + "ax = fig.add_subplot(2, 1, 1)\n", + "ax.plot(t, x, label='Original')\n", + "ax.plot(t, y, label='Noisy')\n", + "w = 30\n", + "ax.plot(t, low_pass(x, w, f, n=3), label='3d order filter')\n", + "ax.plot(t, low_pass(x, w, f, n=6), label='6th order filter')\n", + "ax.legend(ncol=4, framealpha=1)\n", + "ax = fig.add_subplot(2, 1, 2)\n", + "ax.plot(t, x, label='Original')\n", + "ax.plot(t, y, label='Noisy')\n", + "ax.plot(t, low_pass(x, w, f, n=3), label='3d order filter')\n", + "ax.plot(t, low_pass(x, w, f, n=6), label='6th order filter')\n", + "ax.set_xlim(0.55, 0.65)\n", + "ax.set_ylim(0.8, 1.1)\n", + "plt.show()" + ] } ], "metadata": { @@ -1933,7 +1955,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.4" + "version": "3.11.5" } }, "nbformat": 4, diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 358e0ff..a8f06f9 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -264,29 +264,36 @@ "\n", "\\begin{align}\n", "V_\\text{ref} = V_c + \\alpha R_s^* I\n", - "\\end{align}" + "\\end{align}\n", + "\n", + "In practice, the feed-forward system is a bit more complicated, and the whole system once again acts as an oscillator with a damping factor dependent on $\\alpha$.\n", + "To avoid \"ringing\", $\\alpha$ is usually limited to about 70 or 80%." ] }, { "cell_type": "markdown", - "id": "48a4054e", + "id": "15d76766", "metadata": {}, "source": [ - "In practice, the feed-forward system is a bit more complicated, and the whole system once again acts as an oscillator with a damping factor dependent on $\\alpha$.\n", - "To avoid \"ringing\", $\\alpha$ is usually limited to about 70 or 80%." + "Outside of steady state, $I$ is contaminated by the various capacitative current, and so the final equation becomes\n", + "\n", + "\\begin{align}\n", + "V_\\text{ref} = V_c + \\alpha R_s^* I_\\text{out}\n", + " = V_c + \\alpha R_s^* \\frac{V_o - V_\\text{ref}}{R_f}\n", + "\\end{align}" ] }, { "cell_type": "markdown", - "id": "9797427d", + "id": "fc428a62", "metadata": {}, "source": [ "### The summing amplifier has a finite speed\n", "\n", - "Finally, we follow [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) in incorporating the finite speed of the summing amplifier as\n", + "To add a bit more realism, we follow [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) in incorporating the finite speed of the summing amplifier as\n", "\n", "\\begin{align}\n", - "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^*I - V_\\text{ref}}{\\tau_\\text{sum}}\n", + "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^*/R_f(V_o - V_\\text{ref}) - V_\\text{ref}}{\\tau_\\text{sum}}\n", "\\end{align}\n", "\n", "where $\\tau_\\text{sum} \\approx 0.04$ ms." @@ -299,41 +306,242 @@ "source": [ "## Filtering the output\n", "\n", - "Filter 1" + "Patch-clamp amplifiers have several options to filter the data as they record it.\n", + "In the HEKA EPC-9 and EPC-10, which are based on the Sigworth design discussed here, there are two built-in analog filters, one of which is always on [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00129-5).\n", + "\n", + "Instead of working out the transfer function etc., we'll simulate the application of the analog filter using a digital filter from SciPy.\n", + "I'm not 100% sure this is the best way to do it.\n", + "Some discussion can be found [here](https://dsp.stackexchange.com/questions/8319/simulating-analog-filter-using-convolution-or-converting-with-fft)." ] }, { "cell_type": "markdown", - "id": "2ff08723", + "id": "4a694c01", "metadata": {}, - "source": [] + "source": [ + "From the manuals, we can see that the EPC-9 uses a 3d order Bessel filter set to 10kHz in most situations, while the EPC-10 uses a 6th order one.\n", + "\n", + "Let's see how this might affect a sodium current, i.e. a Beeler-Reuter sodium current when stepping from -80 to -20mV." + ] }, { - "cell_type": "markdown", - "id": "60f633d0", + "cell_type": "code", + "execution_count": 4, + "id": "775d4495", "metadata": {}, - "source": [] + "outputs": [], + "source": [ + "import myokit\n", + "\n", + "m = myokit.parse_model('''\n", + "[[model]]\n", + "ina.m = 0.01\n", + "ina.h = 0.99\n", + "ina.j = 0.98\n", + "\n", + "[engine]\n", + "time = 0 [ms]\n", + " in [ms]\n", + " bind time\n", + " \n", + "[membrane]\n", + "V = 0 [mV]\n", + " in [mV]\n", + " bind pace\n", + "\n", + "[ina]\n", + "use membrane.V as V\n", + "gNaBar = 4 [mS/cm^2]\n", + " in [mS/cm^2]\n", + "gNaC = 0.003 [mS/cm^2]\n", + " in [mS/cm^2]\n", + "ENa = 50 [mV]\n", + " in [mV]\n", + "INa = (gNaBar * m^3 * h * j + gNaC) * (V - ENa)\n", + " in [uA/cm^2]\n", + " desc: The excitatory inward sodium current\n", + "dot(m) = alpha * (1 - m) - beta * m\n", + " alpha = 1 [1/mV/ms] * (V + 47 [mV]) / (1 - exp(-0.1 [1/mV] * (V + 47 [mV])))\n", + " in [1/ms]\n", + " beta = 40 [1/ms] * exp(-0.056 [1/mV] * (V + 72 [mV]))\n", + " in [1/ms]\n", + "dot(h) = alpha * (1 - h) - beta * h\n", + " alpha = 0.126 [1/ms] * exp(-0.25 [1/mV] * (V + 77 [mV]))\n", + " in [1/ms]\n", + " beta = 1.7 [1/ms] / (1 + exp(-0.082 [1/mV] * (V + 22.5 [mV])))\n", + " in [1/ms]\n", + "dot(j) = alpha * (1 - j) - beta * j\n", + " alpha = 0.055 [1/ms] * exp(-0.25 [1/mV] * (V + 78 [mV])) / (1 + exp(-0.2 [1/mV] * (V + 78 [mV])))\n", + " in [1/ms]\n", + " beta = 0.3 [1/ms] / (1 + exp(-0.1 [1/mV] * (V + 32 [mV])))\n", + " in [1/ms]\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "c1c63fc4", + "execution_count": 5, + "id": "177a0f1f", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "p = myokit.Protocol()\n", + "p.schedule(start=0, level=-80, duration=100)\n", + "p.schedule(start=100, level=-20, duration=20)" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "bc5241e7", + "execution_count": 22, + "id": "dbea8388", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "s = myokit.Simulation(m, p)\n", + "s.run(99)\n", + "d = s.run(6, log_interval=1e-4)\n", + "d = d.npview()" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "id": "2a831ee3", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "\n", + "fig = plt.figure()\n", + "ax = fig.add_subplot()\n", + "ax.plot(d.time(), d['ina.INa'])\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 34, + "id": "5d429877", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import numpy as np\n", + "import scipy.signal\n", + "\n", + "t = d.time()\n", + "dt = np.mean(t[1:] - t[:-1]) # In ms\n", + "f = 1 / dt # Sampling frequency, in kHz\n", + "w = 10 # Cut-off frequency, in kHz\n", + "\n", + "def low_pass(data, w, f, n=3):\n", + " \"\"\" Apply a Bessel low-pass filter with cut-off w (in Hz). \"\"\"\n", + " w = w / (f / 2)\n", + " b, a = scipy.signal.bessel(n, w, btype='lowpass')\n", + " return scipy.signal.filtfilt(b, a, data)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, d['ina.INa'], label='Original')\n", + "ax.plot(t, low_pass(d['ina.INa'], 10, f, 3), label='3d order 10kHz Bessel')\n", + "ax.plot(t, low_pass(d['ina.INa'], 10, f, 6), label='6th order 10kHz Bessel')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "5034894c", + "metadata": {}, + "source": [ + "For this fast current, the filtering does seem to have a notable effect.\n", + "It's also worth noting that, in the published EPC-9 design, the $R_s$ compensation uses a signal that has been passed through this filter.\n", + "\n", + "For now, we will not include the filter in our model." + ] + }, + { + "cell_type": "markdown", + "id": "095a0512", + "metadata": {}, + "source": [ + "## The final model" + ] + }, + { + "cell_type": "markdown", + "id": "7ae20d53", + "metadata": {}, + "source": [ + "The final model schematic is shown in Figure 3." + ] + }, + { + "cell_type": "markdown", + "id": "f43319ae", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 3**: It's figure 3 again!_" + ] + }, + { + "cell_type": "markdown", + "id": "26ad6d1b", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "C1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C3. && \\dot{V}_o = \\frac{V_\\text{ref} - V_p}{\\tau_a}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C4. && I_\\text{obs} = \\frac{V_o - V_\\text{ref}}{R_f}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C5. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^*/R_f(V_o - V_\\text{ref}) - V_\\text{ref}\n", + "\\end{align}" + ] }, { "cell_type": "code", "execution_count": null, - "id": "e794d1eb", + "id": "6d306074", "metadata": {}, "outputs": [], "source": [] @@ -341,14 +549,50 @@ { "cell_type": "code", "execution_count": null, - "id": "b05b23da", + "id": "5b668a14", "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", - "id": "6715ae6d", + "id": "41060279", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "bdc57980", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "8867cc65", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "a752deab", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "0b16aa44", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "0d6e9166", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "2f154e82", "metadata": {}, "source": [ "## Simulations" @@ -356,14 +600,14 @@ }, { "cell_type": "markdown", - "id": "a04b1416", + "id": "0fd3030b", "metadata": {}, "source": [] }, { "cell_type": "code", "execution_count": null, - "id": "ad219509", + "id": "f2bfdf0e", "metadata": {}, "outputs": [], "source": [] @@ -371,7 +615,7 @@ { "cell_type": "code", "execution_count": null, - "id": "dbd2727f", + "id": "3a26762d", "metadata": {}, "outputs": [], "source": [] diff --git a/artefacts/artefacts-3-simplified.ipynb b/artefacts/artefacts-3-simplified.ipynb new file mode 100644 index 0000000..88ceb30 --- /dev/null +++ b/artefacts/artefacts-3-simplified.ipynb @@ -0,0 +1,98 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "cf0eda98", + "metadata": {}, + "source": [ + "# Modelling patch-clamp experiments: simplified models\n", + "\n", + "In the [last notebook](./artefacts-2-compensation.ipynb) we presented a model of patch-clamp experiments, including various corrections.\n", + "In this notebook, we show how the model can be simplified." + ] + }, + { + "cell_type": "markdown", + "id": "15d7b73b", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "a4cd0624", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "a0152b77", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "61658598", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "bd9e82b5", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "519d6fb7", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "bb7dd063", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "4e957331", + "metadata": {}, + "source": [ + "## Conclusion" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.5" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} From 4bcb8d4caf39587108a21481d41eb38f8811dea8 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 11 Jan 2024 13:39:37 +0000 Subject: [PATCH 28/77] Working on compensation notebooks --- artefacts/README.md | 20 +- .../appendix-F-uncompensated-models.ipynb | 54 +- artefacts/appendix-K-compensated-models.ipynb | 820 ++++++++++++++++++ .../artefacts-1-modelling-patch-clamp.ipynb | 15 +- artefacts/artefacts-2-compensation.ipynb | 272 ++++-- artefacts/artefacts-3-simplified.ipynb | 38 +- ...tch-comp-3b-Rs.png => patch-comp-4-Rs.png} | Bin artefacts/resources/patch-comp-5-full.png | Bin 0 -> 16248 bytes .../resources/patch-comp-6-no-leak-eoff.png | Bin 0 -> 13929 bytes 9 files changed, 1137 insertions(+), 82 deletions(-) create mode 100644 artefacts/appendix-K-compensated-models.ipynb rename artefacts/resources/{patch-comp-3b-Rs.png => patch-comp-4-Rs.png} (100%) create mode 100644 artefacts/resources/patch-comp-5-full.png create mode 100644 artefacts/resources/patch-comp-6-no-leak-eoff.png diff --git a/artefacts/README.md b/artefacts/README.md index a821c3c..9c371d7 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -14,16 +14,28 @@ The first notebook] describes the uncompensated patch-clamp set up, and shows ho It first introduces a basic op-amp based current measuring device, then adds in the effects of stray and parasitic (pipette) capacitance, before briefly discussing the finite speed of the amplifier. It then adds series resistance and membrane capacitance, a voltage offset, and leak current, culminating in a 3-state ODE model of whole-cell voltage clamp. -## Modelling patch-clamp experiments: compensation [![github](../img/github.svg)](artefacts-2-compensation.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-2-compensation.ipynb) +## Modelling electronic compensation [![github](../img/github.svg)](artefacts-2-compensation.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-2-compensation.ipynb) -This notebook adds (heavily simplified) equations that describe the corrections commonly applied _on-line_ during a patch-clamp experiment. +In this notebook we update the model to include simplified equations to describe the compensation electronic commonly used during a patch-clamp experiment. +## Simplifications -Possible to-do: +In this notebook we look at simplifications of the artefact model. + +## Parameter values + +In this notebook we discuss parameter values, and where we might find them. + +## Possible to-do: + +Other contamination - [ ] Endogeneous currents - [ ] Gating currents? (~100x smaller than ionic currents) + +Information loss - [ ] Info loss when cutting out artefacts + +Protocols to remove or quantify artefacts - [ ] Subtraction protocol - [ ] Leak ramp - [ ] Reversal potential ramp - diff --git a/artefacts/appendix-F-uncompensated-models.ipynb b/artefacts/appendix-F-uncompensated-models.ipynb index 50d0205..9364e45 100644 --- a/artefacts/appendix-F-uncompensated-models.ipynb +++ b/artefacts/appendix-F-uncompensated-models.ipynb @@ -13,7 +13,7 @@ "id": "aff7c8af", "metadata": {}, "source": [ - "Based on the discussion in [Appendix E](./appendix-E-non-ideal-op-amp.ipynb) we now look at models of uncompensated patch clamp, with leak current omitted for simplicity." + "Based on the discussion in [Appendix E](./appendix-E-non-ideal-op-amp.ipynb) we now look at models of uncompensated patch clamp, with voltage offset and leak current omitted for simplicity." ] }, { @@ -46,17 +46,17 @@ "Next,\n", "\n", "\\begin{align}\n", - "2a. && C_p\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\left(\\dot{V}_o-\\dot{V}_p\\right) - \\frac{V_p-V_m}{R_s}\n", + "C_p\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\left(\\dot{V}_o-\\dot{V}_p\\right) - \\frac{V_p-V_m}{R_s}\n", "\\end{align}\n", "\n", "which can be used as a differential equation for either $V_p$\n", "\n", "\\begin{align}\n", - "(C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s}\n", + "2a. && (C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s}\n", "\\end{align}\n", "or $V_o$\n", "\\begin{align}\n", - "C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", + "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", "\\end{align}" ] }, @@ -84,7 +84,7 @@ "And finally\n", "\n", "\\begin{align}\n", - "4. && R_f I_\\text{out} \\equiv V_\\text{out} = V_o - V_c\n", + "4. && R_f I_\\text{obs} \\equiv V_\\text{out} = V_o - V_c\n", "\\end{align}" ] }, @@ -93,7 +93,7 @@ "id": "24d3bbca", "metadata": {}, "source": [ - "This gives us two models: (1, 2a, 3a, 4) and (1, 2a, 3b, 4)." + "This gives us two models: (1, 2a, 3a, 4) and (1, 2b, 3b, 4)." ] }, { @@ -117,7 +117,7 @@ "\n", "(equation S2.12) but then adds the relationship \n", "\\begin{align}\n", - "5. && R_fC_f \\dot{I}_\\text{out} = I + C_m\\dot{V}_m + C_p\\dot{V}_p - I_\\text{out}\n", + "5. && R_fC_f \\dot{I}_\\text{obs} = I + C_m\\dot{V}_m + C_p\\dot{V}_p - I_\\text{obs}\n", "\\end{align}\n", "\n", "(equations S2.8 and S2.5).\n", @@ -131,7 +131,7 @@ "source": [ "### Relationship to Sigworth-style model\n", "\n", - "Using equation 4, $R_fI_\\text{out} = V_\\text{out} = V_o - V_c$, we can rewrite equation 5 as an ODE for $V_o$:\n", + "Using equation 4, $R_fI_\\text{obs} = V_\\text{out} = V_o - V_c$, we can rewrite equation 5 as an ODE for $V_o$:\n", "\n", "\\begin{align}\n", "C_f(\\dot{V}_o - \\dot{V}_c) &= I + C_m\\dot{V}_m + C_p\\dot{V}_p - \\frac{V_o - V_c}{R_f} \\\\\n", @@ -139,17 +139,17 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "2b. && C_f\\dot{V}_o = \\frac{V_c - V_o}{R_f} + C_p\\dot{V}_p + C_f\\dot{V}_c + \\frac{V_p - V_m}{R_s}\n", + "2c. && C_f\\dot{V}_o = \\frac{V_c - V_o}{R_f} + C_p\\dot{V}_p + C_f\\dot{V}_c + \\frac{V_p - V_m}{R_s}\n", "\\end{align}\n", "\n", - "So that we can write the same model as (1, 2b, 3b, 4).\n", + "So that we can write the same model as (1, 2c, 3b, 4).\n", "\n", - "Comparing to 2a\n", + "Comparing to\n", "\\begin{align}\n", - "C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", + "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s}\n", "\\end{align}\n", "\n", - "we see that the two are equal when $V_c = V_p$ and $\\dot{V}_c = \\dot{V}_p$." + "we see that the two are equal when $V_c = V_p$ and $\\dot{V}_c = \\dot{V}_p$ (so if we have a perfect op-amp, but some other filtering on the output)." ] }, { @@ -157,12 +157,32 @@ "id": "b0b8ecb8", "metadata": {}, "source": [ - "### We'll stick to the Lei et al. formulation\n", - "\n", - "Equation 2b has a practical downside, in that it has an explicit term $\\dot{V}_c$, which is not usually available in simulation software.\n", + "Equation _2c_ has a practical downside, in that it has an explicit term $\\dot{V}_c$, which is not usually available in simulation software.\n", "To avoid this, we will stick to the (1, 3b, 5) formulation in simulations." ] }, + { + "cell_type": "markdown", + "id": "f7976baf", + "metadata": {}, + "source": [ + "### Alternative $I_\\text{obs}$ definition?\n", + "\n", + "An alternative way to look at the same result is to use $R_f I_\\text{obs} = V_o - V_p$, which again is equivalent to assuming an ideal op-amp situation, and leads directly to equation 2b:" + ] + }, + { + "cell_type": "markdown", + "id": "62ee48c4", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "C_f(\\dot{V}_o - \\dot{V}_p) &= I + C_m\\dot{V}_m + C_p\\dot{V}_p - \\frac{V_o - V_p}{R_f} \\\\\n", + " &= \\frac{V_p - V_m}{R_s} + C_p\\dot{V}_p - \\frac{V_o - V_p}{R_f} \\\\\n", + "C_f \\dot{V}_o &= \\frac{V_p - V_o}{R_f} + (C_p + C_f)\\dot{V}_p + \\frac{V_p - V_m}{R_s} \\\\\n", + "\\end{align}" + ] + }, { "cell_type": "markdown", "id": "2154fb47", @@ -883,7 +903,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-K-compensated-models.ipynb b/artefacts/appendix-K-compensated-models.ipynb new file mode 100644 index 0000000..11202e6 --- /dev/null +++ b/artefacts/appendix-K-compensated-models.ipynb @@ -0,0 +1,820 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "fc24dbb4", + "metadata": {}, + "source": [ + "# Appendix K: Compensated patch-clamp models" + ] + }, + { + "cell_type": "markdown", + "id": "aff7c8af", + "metadata": {}, + "source": [ + "In [Appendix F](./appendix-E-non-ideal-op-amp.ipynb) we compared uncompensated patch-clamp models, omitting voltage offset and leak current for simplicity.\n", + "In this appendix, we compare models of _compensated_ patch clamp, again omitting offset and leak." + ] + }, + { + "cell_type": "markdown", + "id": "7d17c4f4", + "metadata": {}, + "source": [ + "The schematic is shown below:\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "id": "5d0303e8", + "metadata": {}, + "source": [ + "## Sigworth-style model (1, 2a, 3a, 4, 5a)\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2a. && (C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s} + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3a. && \\tau_a\\dot{V}_o = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "7f702770", + "metadata": {}, + "source": [ + "## Alternative op-amp equation (1, 2b, 3b, 4, 5a)\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "4962e0c4", + "metadata": {}, + "source": [ + "## Lei-style model (1, 2c, 3b, 4, 5a) or (1, 2b, 3b, 4, 5b)" + ] + }, + { + "cell_type": "markdown", + "id": "09112c29", + "metadata": {}, + "source": [ + "Following Lei et al. (2020), we get\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I && \\text{(Equation 2.3)}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3b. && \\tau_c\\dot{V}_p = V_c - V_p && \\text{(Equation 2.4)}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref} && \\text{(Equation 2.5)}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "76868171", + "metadata": {}, + "source": [ + "and we can combine two equations to find\n", + "\n", + "\\begin{align}\n", + "I_\\text{in} &= I + C_p \\dot{V}_p + C_m \\dot{V}_m - (C_p^* + C_m^*) \\dot{V}_\\text{ref} && \\text{(Equation 2.6)} \\\\\n", + " &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} && \\text{(Equation 2.3)}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "ceea30dc", + "metadata": {}, + "source": [ + "Then, we define\n", + "\n", + "\\begin{align}\n", + "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref} && \\text{(No equivalent)}\n", + "\\end{align}\n", + "\n", + "and insert into Lei et al. Equation 2.7 to find\n", + "\n", + "\\begin{align}\n", + "R_f C_f \\dot{I}_\\text{obs} &= I_\\text{in} - I_\\text{obs} && \\text{(Equation 2.7)} \\\\\n", + "C_f (\\dot{V}_o - \\dot{V}_\\text{ref}) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} - \\frac{V_o - V_\\text{ref}}{R_f} &&\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2c. && C_f \\dot{V}_o &= \\frac{V_\\text{ref} - V_o}{R_f} + C_p \\dot{V}_p + C_f \\dot{V}_\\text{ref} + \\frac{V_p - V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "For a model (1, 2c, 3b, 4, 5a)." + ] + }, + { + "cell_type": "markdown", + "id": "d1ac36aa", + "metadata": {}, + "source": [ + "**Alternatively**, and equivalently, we define\n", + "\n", + "\\begin{align}\n", + "5b. && R_f I_\\text{obs} = V_o - V_p && \\text{(No equivalent)}\n", + "\\end{align}\n", + "\n", + "with which we can derive 2b. from Lei et al. Equation 2.7:\n", + "\n", + "\\begin{align}\n", + "C_f (\\dot{V}_o - \\dot{V}_p) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} - \\frac{V_o - V_p}{R_f} \\\\\n", + "C_f \\dot{V}_o &= \\frac{V_p - V_o}{R_f} + (C_p + C_f) \\dot{V}_p + \\frac{V_p - V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "resulting in an alternative expression for the same model: (1, 2b, 3b, 4, 5b)." + ] + }, + { + "cell_type": "markdown", + "id": "284e2438", + "metadata": {}, + "source": [ + "## Three models\n", + "\n", + "This leaves us with the following equations:\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2a. && (C_p + C_f)\\dot{V}_p &= \\frac{V_o-V_p}{R_f} + \\frac{V_m-V_p}{R_s} + C_f\\dot{V}_o + (C_p^* + C_m^*) \\dot{V}_\\text{ref} \\\\\n", + "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3a. && \\tau_a\\dot{V}_o = V_\\text{ref} - V_p \\\\\n", + "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref} \\\\\n", + "5b. && R_f I_\\text{obs} = V_o - V_p\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "1defaf9b", + "metadata": {}, + "source": [ + "and three models:\n", + "\n", + "1. Sigworth-style **model A** (1, 2a, 3a, 4, 5a)\n", + "2. Hybrid-style **model B** (1, 2b, 3b, 4, 5a)\n", + "3. Weerakoon/Lei-style **model C** (1, 2b, 3b, 4, 5b)" + ] + }, + { + "cell_type": "markdown", + "id": "6fdd1973", + "metadata": {}, + "source": [ + "## Simulations\n", + "\n", + "We now run simulations for a single step from -80 to -20 mV.\n", + "The ionic current is set to 0, and we assume all voltages were at -80mV at the start of the step." + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "122937ff", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "markdown", + "id": "dbc86249", + "metadata": {}, + "source": [ + "## Model (1, 2a, 3a, 4, 5a)\n", + "\n", + "We start with a (1, 2a, 3a, 4, 5a) model, with a switch to turn compensations on or off." + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "73fbb8b7", + "metadata": {}, + "outputs": [], + "source": [ + "mA = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2a, 3a, 4, 5a)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 20 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 20 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "tau_amp = 50e-6 [ms] in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", + " in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf + (Vm - Vp) / Rs + Cf * dot(Vo) + I_inj) / (Cp + Cf) : Eq 2a\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf : Eq 5a\n", + " in [pA]\n", + "''')\n", + "mA.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "6a758bc7", + "metadata": {}, + "outputs": [], + "source": [ + "def plot(m, d, axes=None, label=None):\n", + " if axes is None:\n", + " fig = plt.figure(figsize=(15, 10))\n", + " t_zoom = 0.05\n", + " \n", + " # Top left: Vm\n", + " ax1 = fig.add_subplot(3, 2, 1)\n", + " ax1.set_ylabel('Vm (mV)')\n", + " \n", + " # Top right: Vo\n", + " ax2 = fig.add_subplot(3, 2, 2)\n", + " ax2.set_ylabel('Vo (mV)')\n", + " ins2 = ax2.inset_axes((0.3, 0.35, 0.65, 0.55))\n", + " ins2.set_xlabel('Time (ms)')\n", + " ins2.set_ylabel('Vo (mV)')\n", + " ins2.set_xlim(-0.005, t_zoom)\n", + " ins2.patch.set_alpha(0.5)\n", + "\n", + " # Middle left: Vp\n", + " ax3 = fig.add_subplot(3, 2, 3)\n", + " ax3.set_xlabel('Time (ms)')\n", + " ax3.set_ylabel('Vp (mV)')\n", + " ins3 = ax3.inset_axes((0.3, 0.15, 0.65, 0.55))\n", + " ins3.set_xlabel('Time (ms)')\n", + " ins3.set_ylabel('Vp (mV)')\n", + " ins3.set_xlim(-0.005, t_zoom)\n", + " ins3.patch.set_alpha(0.5)\n", + "\n", + " # Middle right: V_ref\n", + " ax4 = fig.add_subplot(3, 2, 4)\n", + " ax4.set_xlabel('Time (ms)')\n", + " ax4.set_ylabel('Vref (mV)')\n", + " ins4 = ax4.inset_axes((0.3, 0.15, 0.65, 0.55))\n", + " ins4.set_xlabel('Time (ms)')\n", + " ins4.set_ylabel('Vref (mV)')\n", + " ins4.set_xlim(-0.005, t_zoom)\n", + " ins4.patch.set_alpha(0.5)\n", + " \n", + " # Lower: I_obs\n", + " ax5 = fig.add_subplot(3, 1, 3)\n", + " ax5.set_xlabel('Time (ms)')\n", + " ax5.set_ylabel('Recorded I (pA)')\n", + " ins5 = ax5.inset_axes((0.3, 0.35, 0.65, 0.55))\n", + " ins5.set_xlabel('Time (ms)')\n", + " ins5.set_ylabel('Recorded I (pA)')\n", + " ins5.set_xlim(-0.001, t_zoom)\n", + " ins5.patch.set_alpha(0.5)\n", + "\n", + " kw = dict(color='#aaa', ls='--')\n", + " ax1.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + " ax1.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax2.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax3.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax4.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " else:\n", + " [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5] = axes\n", + " \n", + " ax1.plot(d.time(), d['amp.Vm'], label=label)\n", + " if 'amp.Vo' in d:\n", + " ax2.plot(d.time(), d['amp.Vo'])\n", + " ins2.plot(d.time(), d['amp.Vo'])\n", + " ax3.plot(d.time(), d['amp.Vp'])\n", + " ins3.plot(d.time(), d['amp.Vp'])\n", + " ax4.plot(d.time(), d['amp.Vr'])\n", + " ins4.plot(d.time(), d['amp.Vr'])\n", + " ax5.plot(d.time(), d['amp.I_obs'])\n", + " ins5.plot(d.time(), d['amp.I_obs'])\n", + " \n", + " return [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5]\n" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "97305329", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA = myokit.Simulation(mA)\n", + "sA.set_constant('amp.alpha', 0)\n", + "sA.set_constant('amp.beta', 0)\n", + "sA.set_constant('amp.tau_sum', 1e-9)\n", + "dA = sA.run(5)\n", + "plot(mA, dA)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "1f8a15c3", + "metadata": {}, + "source": [ + "Now we switch compensation on and run again" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "0420c74b", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.0009316421158516164\n", + "4.942386538786536e-05\n", + "8.411668444807674e-05\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0)\n", + "sA.set_constant('amp.beta', 1)\n", + "sA.set_constant('amp.tau_sum', 40e-3)\n", + "dA = sA.run(5)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA)\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.4)\n", + "dA = sA.run(5)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA, axes=ax)\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.8)\n", + "dA = sA.run(5)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA, axes=ax)" + ] + }, + { + "cell_type": "markdown", + "id": "81d750ae", + "metadata": {}, + "source": [ + "## Model (1, 2b, 3b, 4, 5a)\n", + "\n", + "\\begin{align}\n", + "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "35b87cc8", + "metadata": {}, + "outputs": [], + "source": [ + "mB = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2b, 3b, 4, 5a)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 20 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 20 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", + " in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + " in [mV]\n", + "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs + (Cp + Cf) * dot(Vp) - I_inj) / Cf : Eq 2b\n", + " in [mV]\n", + "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf : Eq 5a\n", + " in [pA]\n", + "''')\n", + "mB.check_units(myokit.UNIT_STRICT)\n", + "sB = myokit.Simulation(mB)" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "2f7c2f7b", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "4.1864042657380196e-05\n", + "4.63369513781231e-06\n", + "0.00011179038448716483\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0)\n", + "sB.set_constant('amp.beta', 1)\n", + "sB.set_constant('amp.tau_sum', 40e-3)\n", + "dB = sB.run(10)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB)\n", + "\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0.4)\n", + "dB = sB.run(10)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB, axes=ax)\n", + "\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0.8)\n", + "dB = sB.run(10)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB, axes=ax)" + ] + }, + { + "cell_type": "markdown", + "id": "d6a73e64", + "metadata": {}, + "source": [ + "## (1, 2b, 3b, 4, 5b)\n", + "\n", + "\\begin{align}\n", + "5b. && R_f I_\\text{obs} = V_o - V_p\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "41558131", + "metadata": {}, + "outputs": [], + "source": [ + "mC = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2b, 3b, 4, 5b)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 20 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 20 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", + " in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + " in [mV]\n", + "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs + (Cp + Cf) * dot(Vp) - I_inj) / Cf : Eq 2b\n", + " in [mV]\n", + "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + " in [mV]\n", + "I_obs = (Vo - Vp) / Rf : Eq 5b\n", + " in [pA]\n", + "''')\n", + "mC.check_units(myokit.UNIT_STRICT)\n", + "sC = myokit.Simulation(mC)" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "df18acad", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "4.1864042657380196e-05\n", + "2.6660580310533533e-05\n", + "3.344039374297836e-06\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sC.reset()\n", + "sC.set_constant('amp.alpha', 0)\n", + "sC.set_constant('amp.beta', 1)\n", + "sC.set_constant('amp.tau_sum', 40e-3)\n", + "dC = sC.run(10)\n", + "print(abs(-20 - dC['amp.Vm'][-1]))\n", + "ax = plot(mC, dC)\n", + "\n", + "sC.reset()\n", + "sC.set_constant('amp.alpha', 0.4)\n", + "dC = sC.run(10)\n", + "print(abs(-20 - dC['amp.Vm'][-1]))\n", + "ax = plot(mC, dC, axes=ax)\n", + "\n", + "sC.reset()\n", + "sC.set_constant('amp.alpha', 0.8)\n", + "dC = sC.run(10)\n", + "print(abs(-20 - dC['amp.Vm'][-1]))\n", + "ax = plot(mC, dC, axes=ax)" + ] + }, + { + "cell_type": "markdown", + "id": "dffb20fe", + "metadata": {}, + "source": [ + "## Original Lei formulation\n", + "\n", + "Just to check" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "50bd7ce8", + "metadata": {}, + "outputs": [], + "source": [ + "mD = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Lei formulation. Should equal (1, 2b, 3b, 4, 5b)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vr = -80\n", + "amp.I_obs = 0\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 60 [pF] in [pF] # Changed\n", + "Cp = 0 [pF] in [pF] # Changed\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 54 [pF] in [pF] # Changed\n", + "Cp_est = 0 [pF] in [pF] # Changed\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.009 [GOhm] in [GOhm]\n", + "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : (2.3) = Eq 1\n", + " in [mV]\n", + "dot(Vp) = (Vr - Vp) / tau_c : (2.4) = Eq 3b\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : (2.5) = Eq 4\n", + " in [mV]\n", + "I_in = Cp * dot(Vp) + Cm * dot(Vm) - (Cp_est + Cm_est) * dot(Vr) * beta : (2.6)\n", + " in [pA]\n", + "dot(I_obs) = (I_in - I_obs) / (Rf * Cf) : (2.7)\n", + " in [pA]\n", + "''')\n", + "mD.check_units(myokit.UNIT_STRICT)\n", + "sD = myokit.Simulation(mD)" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "c09d418c", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "3.7406685038376963e-06\n", + "6.826539333815163e-11\n", + "0.0006174334566679818\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sD.reset()\n", + "sD.set_constant('amp.alpha', 0)\n", + "sD.set_constant('amp.beta', 1)\n", + "sD.set_constant('amp.tau_sum', 40e-3)\n", + "dD = sD.run(10)\n", + "print(abs(-20 - dD['amp.Vm'][-1]))\n", + "ax = plot(mD, dD)\n", + "\n", + "sD.reset()\n", + "sD.set_constant('amp.alpha', 0.4)\n", + "dD = sD.run(10)\n", + "print(abs(-20 - dD['amp.Vm'][-1]))\n", + "ax = plot(mD, dD, axes=ax)\n", + "\n", + "sD.reset()\n", + "sD.set_constant('amp.alpha', 0.8)\n", + "dD = sD.run(10)\n", + "print(abs(-20 - dD['amp.Vm'][-1]))\n", + "ax = plot(mD, dD, axes=ax)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "c9f50528", + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index afc7d58..23c2130 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -270,7 +270,7 @@ "\\tau_c = \\frac{C_f + C_p}{C_f} \\tau_a\n", "\\end{align}\n", "\n", - "Typical values for $V_c$ are in the order of 1e7 to 6e7, as given in [Appendix C](./appendix-C-tau-amp.ipynb).\n", + "Typical values for $\\tau_a$ are in the order of 10 to 100 ns, as given in [Appendix C](./appendix-C-tau-amp.ipynb).\n", "\n", "A detailed analysis of the amplifier's \"bandwidth\", used as a measure for how fast the amplifier can respond to changes in $V_c$, is given in Sigworth 1995a.\n", "It involves transfer function representations, which are discussed in [Appendix D](./appendix-D-laplace-and-filters.ipynb), while parts of the analysis are recapitulated in [Appendix E](./appendix-E-non-ideal-op-amp.ipynb).\n", @@ -562,7 +562,7 @@ " in [mV]\n", "\n", "# Equation 4\n", - "I_out = (Vo - Vc) / Rf\n", + "I_obs = (Vo - Vc) / Rf\n", " in [pA]\n", "\n", "''')\n", @@ -588,7 +588,7 @@ "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA30AAAJNCAYAAACFhxygAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAACyxUlEQVR4nOzdeXxU5dn/8c812QOEsAUCCQQIIJuCRFzqDijVFupSS+tCqy3V2sW2T1stT3+17UO1trXaahdabWlrRdtawV1xr6IYEGWTTZAkBAhrAmSduX9/zCQMJIFAMnNmJt/36zWvmbnvc85cMwxzcp17M+ccIiIiIiIikph8XgcgIiIiIiIikaOkT0REREREJIEp6RMREREREUlgSvpEREREREQSmJI+ERERERGRBKakT0REREREJIElex1AR+jdu7crKCjwOgwREYmCpUuX7nTO9fE6jnihc6SISOdwtPNjQiR9BQUFFBcXex2GiIhEgZl95HUM8UTnSBGRzuFo50d17xQREREREUlgSvpEREREREQSmJI+ERERERGRBKakT0REREREJIEp6RMREREREUlgniR9ZvZzM/vAzN43s/+YWXZY3W1mtsHM1prZxV7EJyIiIiIikii8aul7ARjjnDsZWAfcBmBmo4AZwGhgKvBbM0vyKEYREREREZG450nS55x73jnXEHr6FpAXejwdmO+cq3XObQI2ABO9iFFERERERCQRxMLi7NcDj4QeDyCYBDYqDZUdVVVVFa+88sphZXl5eRQWFtLQ0MB///vfZvsUFBRQUFBAbW0tixcvblY/dOhQ8vPzOXjwIEuWLGlWP3z4cPr3709VVRVLly5tVj9y5Ej69u3L3r17Wb58ebP6MWPG0Lt3b3bu3MnKlSub1Y8bN47s7Gy2b9/OmjVrmtVPmDCBbt26sXXrVtatW9esfuLEiWRmZlJSUsLGjRub1Z955pmkpaWxefNmNm/e3Kz+7LPPJjk5mQ0bNlBaWtqs/vzzzwdg7dq1lJeXH1aXlJTEOeecA8Dq1avZsWPHYfWpqamcddZZAKxYsYJdu3YdVp+RkcHpp58OwPLly9m7d+9h9V27dqWoqAiA4uJi9u/ff1h9dnY248aNA+Dtt9+murr6sPpevXoxduxYAN58803q6uoOq8/JyWHUqFEAvP766/j9/sPqc3NzGTFiBECz7x3ou9eR372SkhIc4BzBe+Ccc87FOVi3bh1bt207VOfAl+Tj9NPPwAEfrF3LzoqdTfs5B8kpKZx66gQCzvHB2rXs2bP3sGOnpqUxduxYAgH4YO0HVFZW4cJiy8jIZOTIkThgzZo1VB88eFh9167dGDZ8GM7B6lWrqK2tPaw+K6s7Q4cOwQErVqykoaEeF7ZBdo9sCgYV4ID333+fQCBwWH3PXj3Jz88HB8vfe++wz8056N2nNwP6D6DB38DKlatC7+pQfd9+/ejbty91dXXN/m0dkNsvlz59+lBTU8PaI/5tHTCg/wB69uzJwepqNm7c0OzfLi8vn+7du3PgwAE2bdrUrH7goIFMGluAv7qyXd89ia4DtQ1sr6xhSJ+uXociIiInKGJJn5ktAvq1UDXbObcgtM1soAF4qHG3FrZ3LZRhZrOAWQCDBw9ud7wiicIfcByobWD3gToqDgao80NtwFHnhzq/Y9eGPWSU17N77z42ldbjd9AQCN2c4+39W0hK2c7eyiq276w9rM4fgK4frsKZj4PVNVQdqCbgaLr5HWS89w4BZ9TW1VFdW4cLlTduk/T6a/ido77BT4M/cFjS5gCef56Ac4clO4d5/tmjfwAvLDpKZTUsevno9S++fpT6GnjpjaPXL6o4ev0L249Svy10a83W0K01paFbaz4K3VqzOXRrxbIPgQ+PUt88ETzMu+v5Z7/eDFbuEFeu/8s7vL1pN5vvvNTrUERE5ASZa/Uvqwi/sNlM4EZgknPuYKjsNgDn3B2h588BtzvnmjeHhCkqKnLFxcURjlik4znnOFDnZ191PftrGqiqqaeqpoHK0P3+2mDZwTo/1XV+qutbuD+irLYh0O64knxGSpKRkuQjNclHSpKPlOTDnyf57NDNjOQkw2dGss/w+Q6/TzI7fPuw/Xw+wwwMw2fgs9BzM4xDz32NZUfdNvjYZ0DoPnxbGvcBfL5gnYXt07itNb1mcFsLuxzV+NjCr1G18NDCdgq/mtXS/ofKwjcMf2iHbdf8mK3XWysHbek1W4rZWoij+XHbbnDvLnRJa9/1RjNb6pwratdBOpH2niMLbn0KQEmfiEiMO9r50ZPunWY2FfgecF5jwheyEPiHmd0N9AeGAc37t4nEqAZ/gN0H66ioqmXn/sb7WnYfqGPPgTr2Vtez92Adew7Ws/dgPfuq66j3H/3CS5LPyExNIiMliczUJNJTkshIDT7OzkwJPm+sC2135LYZofu05CTSkkNJXGNSd8TzxoRORETap3fv3hQUFHgdhojEsM2bN7Nz586Iv45XY/ruA9KAF0JXlt9yzt3onFtlZo8Cqwl2+7zZOec/ynFEoqam3k/5vhrK91aztem+mq17a9heWUNFVS27D9a12C0xLdlHj8xUsjNTyM5MYVhOV7JDz3tkppCVnkK39BS6pSeHbilkpSfTNT2ZjJSkw1pgREQkPhQUFKCeSCJyNI3zVESaJ0mfc67wKHVzgDlRDEekSWVNPZt3HmDzroPB+50H2LzrAFt2H2Tn/rpm2/fqkkpudjp5PTIZP7AHfbql0adrKr27ptGnWxq9u6bRu1saXVKVuIlIfHPO6XdMRCROxcLsnSJRV1VTz9ptVazZVsUH5ZWs3VbFpp0H2HXg8MQut3s6Bb26MHlkXwZkZ5CbnUH/7un0z86gX/d00lO0jKSIdA7OnfhYThER8ZaSPkl4OyprWLZlD6u3VgaTvG2VlOw+tIxDVnoyJ/XL4qLRfRnUqwsFvbowuHcXBvbMJCNVSZ2IiIiIxDclfZJQGvwBPthWxbIte1j6UfBWuieY4PkMhvTpyil52cw4bSAjc7txUr8scrunq8uSiMgxeDPXt7RXIOB4ckU5u/fXMmV0PwZkZ3gdkoh4QEmfxLV6f4DlJXt5ff1OijfvZnnJXg7WBef+yemWRlFBDz5/VgGnDurBqNwsdccUETlBwSWedIEsngQCjpseWspzq4Lrg9713Fru+cw4Lhrd0jLKIpLIlPRJ3Nmy6yAvr93B6+t38taHu9hf24DPYGRuFp+ekMepg3owYVAPBmRnqAVPRKSDqKUv/jy+vIznVm3nOxeP4ONj+vHNR9/jqw+/y8NfOoMJg3p4HZ6IRJGSPol5zjlWlO3jhdXbeX7VdtZurwIgv2cG08b155zC3pw1tDfdM1M8jlREJHG1tByNxC5/wPGL59ZySl53bjpvKD6f8ZfPn8a0+//LLY+8yzPfOJeuafozUKSz0P92iUnOOVZtreQ/75bx9IpyyvfV4DM4raAn/3vpSCaP7EtB7y5ehykikvDMggmfU1tfXCnevJut+2q47ZKR+HzBXi89uqRy91XjuOoPi/nNi+u57ZKRHkcpItGipE9iSsnugyxYXsZ/3i1jY8UBUpKM84bn8O2LRnDhSTn07JLqdYgiIp2KEezaqZa++PL0inLSkn1ceFLOYeWnFfTk8vF5/PnNzVx75iDyemR6FKGIRJOSPvFcgz/AojU7eOjtj3h9/U4AJhb05PqzB3Pp2FyyM5XoiYiItJVzjudXb+f8EX3o0kIXzv+5eDhPvr+VXzy3lntmjPcgQhGJNiV94pntlTXMX1LCw0u2sK2yhtzu6Xxz8nCumDBAVx5FRGKEhfp3qqUvfpTvq6F8Xw1fPndIi/W53TP44jmDuf/ljXzxnCGMGdA9yhGKSLQp6ZOo21ixnz+8upH/vFtGvd9x7vA+/Hj6aC48KYfkJJ/X4YmISBjNgRx/lpfsBWD8wNZn6LzxvKH8bfFH3PfSBn5/7YQoRSYiXlHSJ1HzfulefvfKRp5dtY3UJB+fmziQL3xssCZkERGJA5rIJX4sL9lLarKPkblZrW7TLT2F684s4P5XNrBhx34Kc7pGMUIRiTYlfRJxq7bu465n1/Lqugq6pSdz8/mFfP5jBfTumuZ1aCIicgyNy52qe2f8WL5lL6P7Z5GafPTeM1/4WAF/+u+H/P7Vjfzi06dEKToR8YKSPomYkt0HufuFdTy+vIys9BS+N/UkrjljIN3StZ6eiEi8sND8ncr54oNzjjXllXxq/IBjbturaxozThvI39/6iG9OGc6A7IwoRCgiXlDSJx1u78E67ntpA39d/BFm8OVzh3LT+UPpnqFkT0Qk7mhQX1zZub+OqtoGhvRp29CJL507hL+/9RF/fO1Dbp82OsLRiYhXlPRJh3HO8diyMuY8vYa9B+u4ckIet0weTn9dORQRiXtO/TvjwqadBwAY3Mbx8gOyM5g+bgCPvFPCNyYNo4fWwxVJSJoqUTrEhxX7ufpPb/Ptf77HoF6ZPPm1c7jrylOU8ImIxLnGhj6lfPFh0879AAzp3faJWWadO4Tqej8Pvf1RpMISEY+ppU/apbbBz+9e2chvX95IWoqPOZeN4bOnDcTnU38gEZFEoIlc4suHOw+QkmQM6NH2i64j+nXjvOF9+MubH/HFc4aQnpIUwQhFxAtq6ZMTtm57FZ+6/03uWbSeqWP68eK3z+Pq0wcp4RMRSSCmQX1xZVPFAQb16kLScZ6LZ507hJ37a1mwvCxCkYmIl9TSJ8fNOce8NzdzxzMf0DUtmT9dV8TkUX29DktERCJJLX1xYfOuAxT0Ov71b88a2otRuVn88fVNfHpCvi7giiQYtfTJcamoqmXmn9/h9idWc9bQXjx7y7lK+EREOgEt2hAfyvfWkHccXTsbmRmzzh3Chh37eWXdjghEJiJeUtInbbZsyx4+8ZvXefvDXfxk+mge/Pxp9OmmBdZFRBKZxvTFj/21DVTVNtCve/oJ7X/pybnkdk9n7msfdnBkIuI1JX1yTM45/v7WR3zmD4tJTfbxn698jGvPLMBMXT9ERBJdIv/S7927lyuvvJKTTjqJkSNHsnjxYnbv3s2UKVMYNmwYU6ZMYc+ePU3b33HHHRQWFjJixAiee+45DyNv2bZ9NQD0yzqxpC8lycf1HxvMWx/u5v3SvR0YmYh4TUmfHFW9P8Btj63gfx9fyVlDe/PEV89mVP8sr8MSEZEoS8SGvm984xtMnTqVDz74gPfee4+RI0dy5513MmnSJNavX8+kSZO48847AVi9ejXz589n1apVPPvss3zlK1/B7/d7/A4Ot70ylPSdYEsfwIyJ+XRLS+aPr2/qqLBEJAYo6ZNWVdf5ufFvS5n/Tgk3XzCUBz9/GtmZWrRVRKQzaezVkWiLs1dWVvLaa69xww03AJCamkp2djYLFixg5syZAMycOZPHH38cgAULFjBjxgzS0tIYPHgwhYWFLFmyxKvwW1TezpY+gG7pKXz29IE8vaKc0j0HOyo0EfGYkj5p0b7qeq578G1eWruDn3xqDN+5+KTjnv5ZRETiX6Iuzv7hhx/Sp08fvvCFLzB+/Hi++MUvcuDAAbZv305ubi4Aubm57NgRnNSkrKyM/Pz8pv3z8vIoK4ut5Q06oqUP4PNnFWDAn9/Y3P6gRCQmKOmTZnZU1vCZPyxmeclefvPZ8Vx7xiCvQxIREa8k6PW+hoYGli1bxk033cS7775Lly5dmrpytqSlls6WxrbPnTuXoqIiioqKqKio6NCYj6V8XzXZmSntXly9f3YGnzylP/OXbGFfdX0HRSciXlLSJ4f5aNcBrvz9YrbsPsiDnz+NT5zc3+uQREQkBiRY707y8vLIy8vj9NNPB+DKK69k2bJl9O3bl/LycgDKy8vJyclp2r6kpKRp/9LSUvr3b36OnDVrFsXFxRQXF9OnT58ovJNDtu2rbVfXznBfPGcwB+r8PLxkS4ccT0S8paRPmqzauo8rfreYqpp6/vGlMzhnWHRPViIiEnsOde9MrKyvX79+5Ofns3btWgBefPFFRo0axbRp05g3bx4A8+bNY/r06QBMmzaN+fPnU1tby6ZNm1i/fj0TJ070LP6WbK+soW8HJX2j+3fn7MLe/PmNTdQ1BDrkmCLinWQvXtTMfgJMBwLADuDzzrmtobrbgBsAP/B151zszYmcgN7+cBdfnFdM1/Rk5s86k8Kcbl6HJCKS8MwsG/gTMIbgsLnrgbXAI0ABsBm4yjm3J7R91M+Ribw8z29+8xuuvvpq6urqGDJkCH/+858JBAJcddVVPPDAAwwcOJB//vOfAIwePZqrrrqKUaNGkZyczP33309SUvu6UXa0nftrGdGv487fXzp3CDMfXMIT723ligl5HXZcEYk+T5I+4OfOuR8AmNnXgf8H3Ghmo4AZwGigP7DIzIY752JrTuQE8+6WPXz+z+/QPzudv91wOv2zM7wOSUSks7gXeNY5d6WZpQKZwPeBF51zd5rZrcCtwPc8P0cmVkMfAOPGjaO4uLhZ+Ysvvtji9rNnz2b27NmRDuuEOOfYdaCOXl06bpbtc4f1ZkTfbvzx9Q+5/NQBCX0BQCTRedK90zlXGfa0C4dOJdOB+c65WufcJmADEFt9JxLMxor9XP+Xd+jTLY35s85UwiciEiVmlgWcCzwA4Jyrc87tJXgunBfabB7wqdBjT86RjX/nJ2DOl1AO1PmpawjQq2vHJX1mxpfOHcIH26p4ZV10J6URkY7l2Zg+M5tjZiXA1QRb+gAGACVhm5WGyiQCtlfWcN0DS0jyGX+9fiJ9uqV5HZKISGcyBKgA/mxm75rZn8ysC9DXOVcOELrPCW3v6Tky0SZySTS79tcC0LNLx57Lp53Sn/7d07n/pQ0Jt1ajSGcSsaTPzBaZ2coWbtMBnHOznXP5wEPAVxt3a+FQLf7CmNksMys2s+JoT4mcCPZV1zPzwSXsPVjHnz8/kYLeXbwOSUSks0kGTgV+55wbDxwg2JWzNZ6cI9WhLz7sOlAH0KHdOwFSk33ceP5Qij/aw9ubdnfosUUkeiKW9DnnJjvnxrRwW3DEpv8Argg9LgXyw+rygK2tHH+uc67IOVcU7SmR411NvZ8v/bWYjRX7+f21Exib193rkEREOqNSoNQ593bo+b8IJoHbzSwXIHS/I2x7z86RiTZ7Z6LZtT+U9HVg985GVxXl07trGr9+cX2HH1tEosOT7p1mNizs6TTgg9DjhcAMM0szs8HAMGBJtONLZP6A45b5y1myaTe/vGqclmUQEfGIc24bUGJmI0JFk4DVBM+FM0NlM4HGi6WenCMbJ+9Qz77YtvtAY/fOjk/60lOSuPG8Iby5cRdvfbirw48vIpHn1eydd4ZOcgHgI+BGAOfcKjN7lOBJrwG4WTN3dqzbF67i2VXb+MEnRjHtFC28LiLisa8BD4Vm7vwQ+ALBC7KPmtkNwBbg0+DdOfLQOn0Sy3YfqAcik/QBXHPGIP7w2ofc/cI6Hpl1hmbyFIkzniR9zrkrjlI3B5gTxXA6jX8Wl/C3tz7iS+cM5oazB3sdjohIp+ecWw4UtVA1qZXto36O1N/28aGypp6UJCMjJTJrB6anJHHz+UO5/YnVLN64i7MKe0fkdUQkMjybvVOia+22Kn6wYCVnDunFrR8f6XU4IiISZzRzY2yrrK4nKz0loi1wMyYOpF9WOr9atE7fB5E4o6SvE9hf28BNDy2la1oK9352HEk+XbYVEZG20pi+eFBZ00BWRkpEXyM9JYmbLxjKO5v38Nr6nRF9LRHpWEr6EpxzjtseW8HmnQf49WfHkdMt3euQREQkjqh7Z3wItvRFftTOVaflk9cjg5898wGBgK4EiMQLJX0J7qG3t/DEe1v51pThnDVU/e9FROT4KOeLD5U19RFv6QNIS07iOxePYHV5JQveK4v464lIx1DSl8BWlu3jx0+s5tzhffjK+YVehyMiInFM3TtjW+OYvmj45Mn9GTMgi188t46aek2yLhIPlPQlqH3V9XzloWX07JLKPZ8Zh0/j+EREpB20OHtsC47pi86k7D6fcevUkZTtrebvb30UldcUkfZR0peAnHN871/vs3VvNfdfPT5ia/aIiEjiaxzTp5a+2BbNlj6As4f15tzhffjNSxvYe7Auaq8rIidGSV8CevL9cp5dtY1vXzSCCYN6eh2OiIjEMdOovphXU++ntiEQlTF94b5/yUlU1dRz9wvrovq6InL8lPQlmL0H6/jRE6sYO6A7XzpHC7CLiEjHUENf7KqqaQCIyuyd4U7ql8W1Zwzi7299xJryyqi+togcHyV9CeanT69hz8F67rxiLMlJ+ucVEZH2OdS9U2lfrKqsqQeIeksfwDenDKd7Rgq3L1yl74hIDFNWkEDe3LiTR4tL+dI5Qxjdv7vX4YiISAJo7NypP+dj177qUNIXxTF9jbIzU/mfi0fw9qbdPLWiPOqvLyJto6QvQdTU+/n+YysY1CuTWyYP8zocERFJEKbV2WNeZWPSF6XZO48047SBjO6fxU+eXE1VqNVRRGKLkr4E8esX17N510F+etlY0lOSvA5HREQSjHruxa7KpjF90W/pA0jyGT+9bCw7qmr5+XNrPYlBRI5OSV8CWFNeydzXPuTKCXl8rLC31+GIiEhCUtYXqw619HmT9AGckp/N588q4G9vfcTSj/Z4FoeItMybfgDSYfwBx63/fp/uGSnMvmSk1+GIiEiCieV1+mpqanjyySd5/fXX2bp1KxkZGYwZM4ZLL72U0aNHex1e1DRO5NLdw6QP4NsXjeC5ldu47bH3efJr55CarLYFkVih/41xbt6bm3mvdB//75Oj6KFF2EVEpIPF6pC+22+/nbPOOovFixdz+umn8+Uvf5mrrrqK5ORkbr31VqZMmcL777/vdZhRUVndQGqSjzSPk6yuacn85FNjWLd9P/e9vMHTWETkcGrpi2M7qmr45fNrOX9EH6ad0t/rcEREJIHFWkPfaaedxu23395i3be+9S127NjBli1bohuURypr6snKSI6JSXcmjezL5eMHcP/LG5h0Ug6n5Gd7HZKIoJa+uParF9ZT2xDg9k+OjokfehERSVyx1r2zurqa2traVutzcnIoKio66jH8fj/jx4/nE5/4BAC7d+9mypQpDBs2jClTprBnz6GxaXfccQeFhYWMGDGC5557rmPeRAeprK73bBKXlvxw2mhyuqXxrUeXU1Pv9zocEUFJX9xav72KR97ZwjVnDKKgdxevwxERkQRloZX6XIy19T300EPk5+dz3XXX8cwzz+D3H39yce+99zJy5KHx8HfeeSeTJk1i/fr1TJo0iTvvvBOA1atXM3/+fFatWsWzzz7LV77ylRN6vUiprGmgW3rsdN7qnpHCXVeezMaKA5rNUyRGKOmLU3c88wFd0pL5+iStySciIpETqx1J/vOf/7BhwwYmTZrEr3/9a/Lz87npppt47bXX2rR/aWkpTz31FF/84hebyhYsWMDMmTMBmDlzJo8//nhT+YwZM0hLS2Pw4MEUFhayZMmSDn9PJ+pgbQOZqbGT9AGcM6wP154xiAf+u4lX11V4HY5Ip6ekLw69uWEnL32wg69eUEhPTd4iIiJREGvdOwGysrKYOXMmzzzzDCtWrGDcuHF87WtfIz8//5j73nLLLdx11134fIf+FNq+fTu5ubkA5ObmsmPHDgDKysoOO2ZeXh5lZWUd/G5O3ME6P13SYm+N3tmXjmRE325865HlbK+s8TockU5NSV+cCQQcc55ew4DsDGaeVeB1OCIikuAaG/piMelrtGfPHh577DEeeeQRdu/ezRVXXHHU7Z988klycnKYMGFCm47vWnjzrY2lnzt3LkVFRRQVFVFREZ0WroN1sdfSB5CeksR9nxvPgboGbpm/HH8ghr9EIglOSV+ceXx5Gau2VvLdqSNIT4m9q3oiIpJYYnWisKqqKv72t79xySWXMHLkSN555x3+93//ly1btnDPPfccdd833niDhQsXUlBQwIwZM3jppZe45ppr6Nu3L+Xl5QCUl5eTk5MDBFv2SkpKmvYvLS2lf/+WZ82eNWsWxcXFFBcX06dPn455s8dwIEZb+gCG9e3Gj6ePYfGHu7h30TqvwxHptJT0xZF6f4C7X1jH2AHd+eTJWqJBRESiJ9Ymchk8eDDPPvssN910EyUlJcydO5cLL7ywTUnqHXfcQWlpKZs3b2b+/PlceOGF/P3vf2fatGnMmzcPgHnz5jF9+nQApk2bxvz586mtrWXTpk2sX7+eiRMnRvT9HY+DtQ1kpMReS1+jT0/I48oJefz6pQ089X651+GIdEqx+wshzTy2rJTSPdX8ZPoYfL7YvPIqIiKJJVa7d27ZsoXMzMwOPeatt97KVVddxQMPPMDAgQP55z//CcDo0aO56qqrGDVqFMnJydx///0kJcVGy5pzjoP1sdvSB8HW4jmXjeHDiv18+5/LGdgzk7F53b0OS6RTUUtfnKj3B7jv5Q2cnNed80dEp7uIiIgIMXqNsTHhe/LJJxk/fjw9evQgKyuLbt26kZWV1ebjnH/++Tz55JMA9OrVixdffJH169fz4osv0rNnz6btZs+ezcaNG1m7di0f//jHO/bNtENNfQDniMkxfeHSkpP4w7VF9OqSxpf+WswOTewiElVK+uLEf94to2R3Nd+YNCxmx1eIiEjiifUzzi233MK8efPYvXs3lZWVVFVVUVlZ6XVYUXOgrgGAzNTYbelr1KdbGn+8roh91fV86W9LtXC7SBQp6YsDDf4A97+8gbEDunPhSTlehyMiIp1QrHXvbJSfn8+YMWM67QXR6rpg4hQPSR/AqP5Z/Ooz43ivZC/f+/f7Lc6MKiIdz9O+AGb2P8DPgT7OuZ2hstuAGwA/8HXn3HMehhgTHl++lY92HeSP1xV12pOaiIh4o/G8E2sTuTS66667uOSSSzjvvPNIS0trKv/Wt77lYVTR09jS1yUttrt3hps6ph/fuXgEP39uLUN6d+Ubk4d5HZJIwvPsF8LM8oEpwJawslHADGA00B9YZGbDnXOdtv2/wR/gNy+tZ3T/LCaPVCufiIh4I1YbZGbPnk3Xrl2pqamhrq7O63Ci7kBtfLX0NfrK+UPZWLGfXy1aR9f0ZG44e7DXIYkkNC8vC/0K+C6wIKxsOjDfOVcLbDKzDcBEYLEH8cWEhe8FW/nmXjtBrXwiIhJ1sX7m2b17N88//7zXYXjmUPfO+Gnpg2AL8l1XnExNvZ+fPLma1GQf154xyOuwRBKWJ2P6zGwaUOace++IqgFASdjz0lBZp+ScY+5rHzKibzemjOrrdTgiItKJxWhDH5MnT+7USV88TeRypOQkH/d8ZjyTR+bwg8dX8ug7JcfeSUROSMSSPjNbZGYrW7hNB2YD/6+l3Vooa/E8Y2azzKzYzIorKio6MvSY8ebGXXywrYobzhmsVj4REfFE4+knVifcuP/++5k6dSoZGRkntGRDvDsYh2P6wqUm+7jvc6dyzrDefO+x91mwvMzrkEQSUsR+IZxzk1sqN7OxwGDgvVAikwcsM7OJBFv28sM2zwO2tnL8ucBcgKKiotg8E7XTn17/kN5d05g+rr/XoYiISCdlNE7kEpuqqqq8DsFT8TqmL1x6ShJzry3iC39ZwrcefY9kn49LT871OiyRhBL17p3OuRXOuRznXIFzroBgoneqc24bsBCYYWZpZjYYGAYsiXaMsWDDjipeXlvBdWcOIi05fn/IRUQkvsVqR5PNmzcftd45R2lpaXSC8VC8LdnQmozUJB6YeRrj87P52sPL+NtbH3kdkkhCiam+AM65VWb2KLAaaABu7qwzdz7w382kJfu4+vSBXociIiISc7N3fuc73yEQCDB9+nQmTJhAnz59qKmpYcOGDbz88su8+OKL/OhHPyIvL8/rUCPq0Ji+mPqT7oR0SUvmrzdM5Gv/eJcfPL6S8r3VfOfiERriItIBPP+FCLX2hT+fA8zxJprYsGt/LY8tK+XyU/Po1TXt2DuIiIhEXGxlff/85z9ZvXo1Dz30EA8++CDl5eVkZmYycuRILrnkEmbPnk16errXYUbcwTo/6Sk+knyJkRhlpibzh2sn8IMFK/ntKxvZtq+GO684mdRkT+YeFEkYnid90txDb2+htiHADWcXeB2KiIh0ck2Ls8dWzgfAqFGjmDOnU18n5mBdQ0K08oVLTvLx08vGkts9g7tfWMeOqlp+d82pdEtP8To0kbilyyYxpqbez18Xb+aCEX0ozOnmdTgiItLJJUb7UeI6WOuP+/F8LTEzvj5pGHddeTKLP9zFp3+/mA8r9nsdlkjcUtIXY556v5yd++u44ewhXociIiLSJAYb+oTgmL5ETPoaXVWUz1++cBrbK2uYdt8bPPV+udchicQlJX0x5uElWxjcuwsfK+zldSgiIiJh6/R5G4e0rLo+QEZK4iZ9AOcM68NTXz+HYX27cvM/lvHDBSupbeiU8/yJnLDE6gQe59Ztr6L4oz18/5KTNFOViIjElFhdnB1g4cKFvPbaawCcd955fPKTn/Q4ouipqfeTluBJH0D/7AwemXUmdz37AX/67yaWl+zlvs+dSn7PTK9DE4kLaumLIQ8v2UJKknHFqYk9vbSIiMSPWL8Gedttt3HvvfcyatQoRo0axa9//Wtuu+02r8OKmtp6P+mdIOkDSE328b+fGMXvr5nAhzsPcMmvX2f+ki0xfUFCJFaopS9G1NT7eWxZGReP7qdlGkREJObE6p/VTz31FMuXL8fnC17HnjlzJuPHj+eOO+7wOLLoqKkP0LeTLWcwdUw/RuVm8d1/v8etj63gife3csdlJzOwl1r9RFrTuX4lYtgzK8vZV13P5yZqMXYREYkdRuwu2dBo7969TY/37dvnXSAeqG3oPC194Qb2yuQfXzyDOZeN4b2SfVx8z2s88N9N+AMx/EUV8ZBa+mLEP97eQkGvTM4YoglcREQkdjRN5BKjbX233XYb48eP54ILLsA5x2uvvdZpWvkg2NKXntI5r+H7fMbVpw/ighE5zP7PCn7y5GqeeG8rP/zkKMYP7OF1eCIxpXP+SsSY9dureGfzHmZMHIjPF+ODJ0REpEOZWZKZvWtmT4ae9zSzF8xsfei+R9i2t5nZBjNba2YXRyW+aLzICfjqV7/Km2++yWc/+1neeustLr/8ci6//HIWL17MjBkzvA4vamo6aUtfuP7ZGTz4+dP41WdOoXRPNZf99k2+/vC7lO456HVoIjFDSV8MeHhJCSlJxpUTNIGLiEgn9A1gTdjzW4EXnXPDgBdDzzGzUcAMYDQwFfitmUXvr/0Ya+gbNmwY3/72tykoKOCee+5h4MCBTJ8+nX79+nkdWlTVdKKJXI7GzLhsfB6vfOd8vnZhIc+v3saFv3yVO5/5gMqaeq/DE/Gckj6P1dT7+feyUi4a3Y/emsBFRKRTMbM84FLgT2HF04F5ocfzgE+Flc93ztU65zYBG4CJUQgSiLmcj2984xssXryYV199lZ49e/KFL3yBkSNH8uMf/5h169Z5HV5UOOeC3Ts72UQuR9M1LZlvXzSCl//nfD5xci6/f3Uj5//8Fe5/eYOSP+nUjutXwsy6RPWqYifw8gc72Fddz1VF+V6HIiIi0XcP8F0gEFbW1zlXDhC6zwmVDwBKwrYrDZVFVGP3zlidyGXQoEF873vf49133+Uf//gH//nPfxg5cqTXYUVFbUPwa9MZ1uk7XrndM7j7qnE8+bWzOTmvOz9/bi0fu/MlfvHcWnYfqPM6PJGoO2rSZ2Y+M/ucmT1lZjuAD4ByM1tlZj83s2HRCTNxPb68jN5d0/jYUE3gIiLSmZjZJ4Adzrmlbd2lhbIWUzEzm2VmxWZWXFFRccIxBo/Vrt0jrr6+nieeeIKrr76aj3/84wwfPpx///vfx9yvpKSECy64gJEjRzJ69GjuvfdeAHbv3s2UKVMYNmwYU6ZMYc+ePU373HHHHRQWFjJixAiee+65iL2ntqqtDyZ96t7ZujEDuvOXL0zkya+dzdmFvbn/lQ187M6X+PETq/lo1wGvwxOJmmPN3vkysAi4DVjpnAtAcJA5cAFwp5n9xzn398iGmZj2Hazn5Q8quPqMgSQnqWuGiEg8MrN04BPAOUB/oBpYCTzlnFt1lF0/Bkwzs0uAdCDLzP4ObDezXOdcuZnlAjtC25cC4d1C8oCtLR3YOTcXmAtQVFTUIW10sTZ75wsvvMDDDz/MU089xcSJE5kxYwZz586lS5cubdo/OTmZX/7yl5x66qlUVVUxYcIEpkyZwl/+8hcmTZrErbfeyp133smdd97Jz372M1avXs38+fNZtWoVW7duZfLkyaxbt46kJO8SrpoGPwBp6t55TGMGdOd310xgw44qfvvKRuYt3syDb2zivOF9uOaMQVx4Ug5JmkxPEtixfiUmO+d+4px7vzHhA3DO7XbO/ds5dwXwSGRDTFxPryynzh/gsvER750jIiIRYGa3A28CZwJvA38AHgUaCF4YfcHMTm5pX+fcbc65POdcAcEJWl5yzl0DLARmhjabCSwIPV4IzDCzNDMbDAwDlkTkjYWJ1e6dP/3pTznzzDNZs2ZNU0tfWxM+gNzcXE499VQAunXrxsiRIykrK2PBggXMnBn8+GfOnMnjjz8OwIIFC5gxYwZpaWkMHjyYwsJCliyJ+Md/VDX1waRPLX1tV5jTjbuvGscb37uQb0waxgfbKvnSX4s5966Xue+l9ZTtrfY6RJGIOFZL33/M7B/AAudci23gzjmNij1B/3m3jCF9ujB2QHevQxERkRPzjnPu9lbq7jazHGDgcR7zTuBRM7sB2AJ8GsA5t8rMHgVWE0wqb3bO+U8s7Pj38ssvd9ixNm/ezLvvvsvpp5/O9u3byc3NBYKJ4Y4dwYbWsrIyzjjjjKZ98vLyKCsr67AYTkRNU/dOtfQdr37d0/nmlOF89cJCFq3ezt/f/ohfPL+OXzy/jomDezJ9XH8uGZNLjy6pXocq0iGOlfT9keDVx3vM7GXgYeBp55xGwLZT2d5qlmzazbemDMdifcCEiIi0JsPM0pxztS1VOud2cKh7Zqucc68Ar4Qe7wImtbLdHGDOiQbbHjHW0Ndh9u/fzxVXXME999xDVlZWq9u5Fpo6Wzp/z507l7lz5wLQ3vGUx9LU0peslr4TlZLk4+Njc/n42Fw+2nWAhcu38vjyMmb/ZyW3L1zFecP7cNGofpw/og85Welehytywo6a9DnnFgALzCwDmEawm8nvzexp4GHn3AtRiDEhLVgevDr4qXHq2ikiEseuJrhe3rMEL4w+n2itb42JTUtJT7yrr6/niiuu4Oqrr+byyy8HoG/fvpSXl5Obm0t5eTk5OcHJU/Py8igpOTR5amlpKf379292zFmzZjFr1iwAioqKIhp/4+yd6t7ZMQb16sLXJg3jqxcWsmprJQvf28oT721l0ZrgdZsxA7K4YEQO54/IYVx+tsYASlxpU38A51y1c+4R59xlwEXAeODZiEaWwJxzPP5uGacOzGZgr0yvwxERkRMUOi8WElxE/etAiZn9zszO9TayjtM0ps/TKDqec44bbriBkSNH8q1vfaupfNq0acybF1wmcd68eUyfPr2pfP78+dTW1rJp0ybWr1/PxImRXybxaA6N6VP3zo5kZowZ0J3vXzKSN2+9kGe+cQ7fuXgEGSlJ3P/yBq743ZsU/d8L3DL/XRYsL2NHZY3XIYsc07G6dwJgZn2Bqwh29cwF/gl8IYJxJbQ15VWs276fn0wf7XUoIiLSTs65SoKLqM8zs17AlcBvzKyncy7uF2FN1BEIb7zxBn/7298YO3Ys48aNA4KTw9x6661cddVVPPDAAwwcOJB//vOfAIwePZqrrrqKUaNGkZyczP333+/pzJ2giVyiwcwYmZvFyNwsbr6gkL0H63ht/U5e+WAHr6yr4PHlwQl083tmMGFgDyYU9GTCwB6M6NdNLYESU46a9JnZl4DPAiOAx4DvOufeiEZgiWzB8jKSfcalJzfvFiIiIvHJzHoAlwOfAXoCx14sLp4kWFPf2Wef3WqX1RdffLHF8tmzZzN79uxIhnVcaho0kUu0ZWemMu2U/kw7pT/+gGNl2T7e2bybpR/t4Y2Nu5qSwK5pyYwfmM2EQT04Oa87J/XLIrd7uuZxEM8cq6XvLIKziC0KX7JBTlwg4Fj43lbOHd6HnpoRSkQkrplZN+BTBC+QnkpwWYX/A152CTIIzkIdPGNtnT451NKXpolcPJHkM07Jz+aU/Gy+eE6wy3DpnmqWfrSH4o92s/Sjvfz6xfUEQv91uqUnM7JfFiflduOk0P2Ivt3oktamjnci7XKsiVyaunCG1hkqCN/HOfdYxCJLUMtL91K+r4bvXDzC61BERKT9NgHPAb8Dnk3IZYxCDROJkcImllp174wpZkZ+z0zye2byqdAazFU19azdVsWabVV8UF7JB9uqeGxZGftrP2rab0B2BgW9MxnUqwsFvYL3g3t3YWDPTP3bSodp65i+B4GTgVVAY4ufI9jlU47DMyvKSUkyJo3s63UoIiLSfgOdcwe9DiKS1BktdmmdvtjXLT2FooKeFBX0bCoLBBxle6tZE0oCP6zYz+ZdB3l6RTl7Dx5+3Si3ezr5PTPJ7Z5ObveM0H06/bMz6Nc9nV5dUtVlVNqkre3JZzjnRkU0kk7AOcczK7dxdmFvumekeB2OiIi0U2PCZ2afAH5CsEdMEsFcyTnnWl/4Lc6opS/2qHtnfPL5DrUIXjS632F1ew/W8dGug2zedSB4v/MApXuqWbZlD9v2lVPvP/w/Ymqyj5xuafTuGrz16ZZKry5p9O6aSu9uafTqkkavrql0z0ihe0aKWg47sbYmfYvNbJRzbnVEo0lwK8sqKd1TzdcnDfM6FBER6Vj3EJzEZUWijOVr1NiIkFBvKkHUNPjxGaQkqaUnUWRnppKdmcop+dnN6gIBx64DdZTvq2br3hq27aumfF8N2ytr2HWgjtI9B1lespfdB2qbxhEeKTXZ15QAZqUnNz1uKmu8pafQJS2JzNRkMlOT6JKaTGZaEpmpSWSkJKl1MQ61NembRzDx2wbUcugK5skRiywBPb2ynGSfcdEode0UEUkwJcDKREv4IGwil8R7a3Gvpj5Auv4A7zR8PqNPtzT6dEvj5LzWtwsEHHsO1rFzfx279tey60Ad+6rr2VddT2V1PZU19U3PK/bXsrHiQLCupr5NLfpmkJmSREZq8mGJYWZqEmnJSaSl+EhL9pGekkRasi9YFv48xUd603ZJJCcZKT5f8D7JSEnykezzkZJkJCf5wsqaP9d3v+3amvQ9CFwLrODQmD45Ds45nllRzplDe5GdqVk7RUQSzHeBp83sVYIXRwFwzt3tXUiS6Gob/OquJ834fEavrmn06poGdGvzfoGAo6q2oSkxrK7zc6DOz8HaBg7W+TlY13DY8wOhssa6qpoGdjbUUdvgp7Y+QG1DgNp6P7UNAer8kUkfgolgMGlMSQ4mgilJwQSy8XHj8yQzfL7Ge/CZkeQ7VO6z4Iys4eVmRpLv8HKfNT7m0PHCjt1Y7rPw1wwew454zaQoDsdta9K3xTm3sKNe1MxuB74EVISKvu+cezpUdxtwA+AHvu6ce66jXtdLH2yrYvOug3zp3CFehyIiIh1vDrAfSAcS8sqe2vliT019gPRkTeIiHcPns6Zunh0tEHDU+QPUhJLAYFLop6Y+QH0gQIPf0eAPUB9w1DcEaAgEqPe7pvt6f3Cben+AhtA29YHgPg2BYPmhbYL7NfiDr9m4jT/sVucP3gdc430wRr9zh+6dIxAguE+oPHz7xnIXVnYienfsR92qtiZ9H5jZP4AnOPwKZntm7/yVc+4X4QVmNgqYAYwG+gOLzGy4c87fjteJCS+s3o4ZXDSq37E3FhGReNPTOXeR10FEgmnJhphVU6+WPokPPp+R7ktK6O+rc4eSwYALSxADhCWRwUQxvPzTi6ITX1uTvgyCyV74CS0SSzZMB+Y752qBTWa2AZgILO7g14m6RWu2My4/mz7d0rwORUREOt4iM7vIOfe814F0tENDZpT1xZqa+gBpCfxHtEg8MTvUjTMWtSnpC1+kvQN91cyuA4qBbzvn9gADgLfCtikNlcW1bftqeL90nxZkFxFJXDcD3zWzWqCeBFqywbRSX8yqbfCTpu6dItIGR/2lMLP/NbOeR6m/MLQ2UUt1i8xsZQu36cDvgKHAOKAc+GXjbi0cqsVLi2Y2y8yKzay4oqKipU1ixqI12wE0a6eISIJyznVzzvmccxnOuazQ87hP+MKpe2fsqW0IKOkTkTY5VkvfCuAJM6sBlhGceCUdGEYwYVsE/LSlHZ1zk9sSgJn9EXgy9LQUyA+rzgO2tnL8ucBcgKKiopg+FS1as51BvTIpzOnqdSgiItKBzKzAObf5KPUGDHDOlUYvqo6ldfpiV11DgG7pbR2pIyKd2VF/KZxzC4AFZjYM+BiQC1QCfwdmOeeqT+RFzSzXOVceenoZsDL0eCHwDzO7m+BELsOAJSfyGrHiQG0Db27YxbVnDtJaIiIiiefnZuYDFgBLOXRxtBC4AJgE/JDgRc24ppa+2FPXECA1mnO+i0jcauuYvvXA+g583bvMbBzBC4ebgS+HXmeVmT0KrAYagJvjfebO19ZVUOcPMHmkunaKiCQa59ynQzNPXw1cT/Di6EFgDfA0MMc5V+NhiO2mC5axq84fIFXdO0WkDTzpE+Ccu/YodXMIrneUEF78YAdZ6cmcVtDD61BERCQCnHOrgdlexxFpTh08Y05dg5I+EWkb/VJEkHOOV9dVcM7wPiSr+4WIiMShxnY+de+MPereKSJtpV+KCFpTXkVFVS3nDe/jdSgiIiInRBO5xC517xSRtmpT904zGwx8DSgI38c5Ny0yYSWGV9cFl5JQ0iciIiIdTd07RaSt2jqm73HgAeAJIBCxaBLMa+sqOKlfN/pmpXsdioiIRJiZTQPODT191Tn3hJfxdDSn/p0xR0mfiLRVW5O+GufcryMaSYLZX9tA8Ue7uf7swV6HIiIiEWZmdwATgYdCRV83s7Occ7d5GFaH0Nydsck5R50/QJrG9IlIG7Q16bvXzH4IPA/UNhY655ZFJKoEsHjjLur9Tl07RUQ6h0uBcc65AICZzQPeBeI/6QsN6lNDX2yp8wc7XqmlT0Taoq1J31jgWuBCDnXvdKHn0oJX1+0gMzWJokE9vQ5FRESiIxvYHXrc3cM4OpRa+mJTvT+YhSvpE5G2aGvSdxkwxDlXF8lgEoVzjlfWVnDW0N76MRYR6RzuAN41s5cJ5knnkgCtfOG0Tl9sqWsItfSpe6eItEFbfyneI3gFU9pg084DlO6p5rwR6topIpLIzOy+0Ni9h4EzgMdCtzOdc/O9ja5jNC3ZoJyPZ599lhEjRlBYWMidd97paSxNSV9ykqdxiEh8aGtLX1/gAzN7h8PH9GnJhhY0LdUwTEmfiEiCWw/80sxygUeAh51zy70NqaOpgyeA3+/n5ptv5oUXXiAvL4/TTjuNadOmMWrUKE/iOZT0qaVPRI6trUnfDyMaRYJ5dV0FQ3p3YWCvTK9DERGRCHLO3UtwsrNBwAzgz2aWDjwMzHfOrfM0wA7U2Vv6lixZQmFhIUOGDAFgxowZLFiwwLukz+8HlPSJSNscNekzs/uAfzjnXo1SPHGvpt7PWx/uYsZpA70ORUREosQ59xHwM+BnZjYeeJDgBdO473vnCzX0BTp51ldWVkZ+fn7T87y8PN5++23P4qnVmD4ROQ7H+qVo7Lay2cx+ZmbjohBTXHt3y15q6gOcXdjb61BERCRKzCzFzD5pZg8BzwDrgCs8DqtDNI3p8zYMz7W0OH3jchbh5s6dS1FREUVFRVRUVEQsnsbunWlq6RORNjjqL4Vz7l7n3JnAeQSnof6zma0xs/9nZsOjEmGcWbxxJz6DiUO0VIOISKIzsylm9iBQCswCngaGOuc+45x73NPgOogvlNgEAp077cvLy6OkpKTpeWlpKf3792+23axZsyguLqa4uJg+fSI3tl9j+kTkeLTpl8I595Fz7mfOufHA5wgu4bAmopHFqTc37mJsXjZZ6SlehyIiIpH3fWAxMNI590nn3EPOuQNeB9WRfKH+nZ085+O0005j/fr1bNq0ibq6OubPn8+0ad7NZ6fF2UXkeLRpIhczSwGmEhykPgl4FfhRBOOKSwdqG1hespcvnTvE61BERCQKnHMXeB1DpDW29Pk7+Zi+5ORk7rvvPi6++GL8fj/XX389o0eP9iwerdMnIsfjWBO5TAE+C1wKLAHmA7MS7SpmR3ln824aAo6zhvbyOhQREZEOkdS0Tl/nTvoALrnkEi655BKvwwDUvVNEjs+xWvq+D/wD+B/n3O4oxBPXFm/cRUqSUTRI4/lERCQxNLX0dfb+nTFG3TtF5HgcNenrDN1WOtKbG3cxfmAPMlLjfoZuERERQGP6YpWWbBCR46Ffig6y72A9K7fuU9dOERFJKE3r9CnriylaskFEjod+KTrIW5t24RycNVTr84mISOJIamrpU9IXSzSmT0SOh34pOsjijbtIT/FxSn53r0MRERHpMKbZO2OSxvSJyPHQL0UHWbJpN6cO7EFassbziYhI4kgKJX3K+WKLlmwQkeOhX4oOUFlTz5ptlZxWoFk7RUQksTSO6dPsnbGlriGAzyBZSZ+ItIF+KTrA0o/24BxMHKykT0REEktj906N6Ystdf6AunaKSJvp16IDvLNpN8k+Y/zAbK9DERER6VCm2TtjUq8uqYzKzfI6DBGJE0r6OsA7m3czekB3MlOPtda9iIhIkJnlm9nLZrbGzFaZ2TdC5T3N7AUzWx+67xG2z21mtsHM1prZxdGMVzlfbPnyeUN57Csf8zoMEYkTSvraqabez3sl+5hY0OPYG4uIiBzSAHzbOTcSOAO42cxGAbcCLzrnhgEvhp4TqpsBjAamAr81s6jNHqbZO0VE4pdnSZ+ZfS10pXKVmd0VVu7ZVcwT8X7pPur8AU3iIiIix8U5V+6cWxZ6XAWsAQYA04F5oc3mAZ8KPZ4OzHfO1TrnNgEbgInRildj+kRE4pcn/RHN7AKCJ6+TnXO1ZpYTKg+/itkfWGRmw51zfi/ibIt3Nu8GUNInIiInzMwKgPHA20Bf51w5BBPDxnMkwYTwrbDdSkNlUaGcT0QkfnnV0ncTcKdzrhbAObcjVO7pVcwTsWTTbobldKVHl1SvQxERkThkZl2BfwO3OOcqj7ZpC2UtpmJmNsvMis2suKKioiPC1JINIiJxzKukbzhwjpm9bWavmtlpofIBQEnYdlG9inm8/AHHso/2cJqWahARkRNgZikEE76HnHOPhYq3m1luqD4XaLwwWgrkh+2eB2xt6bjOubnOuSLnXFGfPn06JFZ17xQRiV8R695pZouAfi1UzQ69bg+CA9dPAx41syEc51VMYBbAwIEDOyLk47amvJKq2gYmqmuniIgcJwsugPcAsMY5d3dY1UJgJnBn6H5BWPk/zOxugkMghgFLohWvlmw4fps3b6aoqChix6+oqKCjknqvxPt7UPzei/f38MEHH0TldSKW9DnnJrdWZ2Y3AY855xywxMwCQG+O8yomMBegqKjIkzNRceN4PrX0iYjI8fsYcC2wwsyWh8q+TzDZe9TMbgC2AJ8GcM6tMrNHgdUEZ/68OZpj3pXzHb+dO3dG9PhFRUUUFxdH9DUiLd7fg+L3Xry/h0heGArn1cJyjwMXAq+Y2XAgFdiJx1cxj9eyLXvpl5XOgOwMr0MREZE445z7Ly33cAGY1Mo+c4A5EQvqKLRkg4hI/PIq6XsQeNDMVgJ1wMxQq5+nVzGP17slexg/MNvrMERERCLOKekTEYlbniR9zrk64JpW6jy7ink8KqpqKdldzbVnDPI6FBERkYhpzPXq/Ur6Ys2sWbO8DqHd4v09KH7vxft7iFb8ni3OHu+Wl+wF4NSBPbwNREREJArqGgJehyBHiPc/diH+34Pi9168vwclfTFu2ZY9JPuMMQO6ex2KiIhIxCnpExGJX0r6TtC7W/Ywqn8W6SlJXociIiIScbUNMTvEPiE9++yzjBgxgsLCQu68885m9c45vv71r1NYWMjJJ5/MsmXL2rxvNLQn/uuvv56cnBzGjBkTzZAPc6Lxl5SUcMEFFzBy5EhGjx7NvffeG+3Qm5zoe6ipqWHixImccsopjB49mh/+8IfRDh1o33cIwO/3M378eD7xiU9EK+Rm2vMeCgoKGDt2LOPGjeuYGT6dc3F/mzBhgoum+ga/G/mDZ9z/e3xFVF9XREScA4pdDJx74uXW3nPkDxesdIO+96T73B8Xt+s40nYNDQ1uyJAhbuPGja62ttadfPLJbtWqVYdt89RTT7mpU6e6QCDgFi9e7CZOnNjmfWM5fuece/XVV93SpUvd6NGjoxp3o/bEv3XrVrd06VLnnHOVlZVu2LBhUf/82/seAoGAq6qqcs45V1dX5yZOnOgWL47u///2foecc+6Xv/yl++xnP+suvfTSaIbepL3vYdCgQa6iouK4XvNo50e19J2Addv3c7DOz3iN5xMRkU5C3TujZ8mSJRQWFjJkyBBSU1OZMWMGCxYsOGybBQsWcN1112FmnHHGGezdu5fy8vI27RvL8QOce+659Ozp3RrI7Yk/NzeXU089FYBu3boxcuRIysrK4uo9mBldu3YFoL6+nvr6esxaW10m9uIHKC0t5amnnuKLX/xiVOMO19730NGU9J2Ad0v2AGi5BhER6TRqlfRFTVlZGfn5+U3P8/LymiUOrW3Tln0jrT3xx4KOin/z5s28++67nH766ZENuAXtfQ9+v59x48aRk5PDlClTov4e2hv/Lbfcwl133YXP512q0973YGZcdNFFTJgwgblz57Y7HiV9J+DdLXvp2SWVgT0zvQ5FREQkKtTSFz3BXlqHO7KlpbVt2rJvpLUn/ljQEfHv37+fK664gnvuuYesrKyOD/IY2vsekpKSWL58OaWlpSxZsoSVK1dGJtBWtCf+J598kpycHCZMmBCx+Nqivf8Gb7zxBsuWLeOZZ57h/vvv57XXXmtXPEr6TsC7W/Zw6sDsmPlxEhERiTQlfdGTl5dHSUlJ0/PS0lL69+/fpm3asm+ktSf+WNDe+Ovr67niiiu4+uqrufzyy6MT9BE66t8gOzub888/n2effTayAR+hPfG/8cYbLFy4kIKCAmbMmMFLL73ENde0uDx4RLX336DxPicnh8suu4wlS5a0Kx4lfcdp38F6NlYc0Hg+ERHpVNS9M3pOO+001q9fz6ZNm6irq2P+/PlMmzbtsG2mTZvGX//6V5xzvPXWW3Tv3p3c3Nw27RvL8ceC9sTvnOOGG25g5MiRfOtb3/LoHbTvPVRUVLB3714AqqurWbRoESeddFLcxH/HHXdQWlrK5s2bmT9/PhdeeCF///vfoxp/e9/DgQMHqKqqAuDAgQM8//zz7Z7NNrlde3dCy0v3AjAuP9vTOERERKJpf22D1yF0GsnJydx3331cfPHF+P1+rr/+ekaPHs3vf/97AG688UYuueQSnn76aQoLC8nMzOTPf/7zUfeNl/gBPvvZz/LKK6+wc+dO8vLy+NGPfsQNN9wQF/G/8cYb/O1vf2uaah/gpz/9KZdccknU4m/veygvL2fmzJn4/X4CgQBXXXVV1Jc9aO93KBa05z1s376dyy67DICGhgY+97nPMXXq1HbFYy31JY03RUVFrri4OCqvdd9L6/nF8+t4//aLyEpPicpriojIIWa21DnXAYsWdQ7tPUfevnAVf3lzMwDr53yclCR1EhIRiUVHOz/ql/s4vV+6jyG9uyjhExGRTmfX/jqvQxARkROgpO84rSjbx9i87l6HISIiEnUf7TrgdQgiInIClPQdh4qqWsr31TB2gJI+ERHpXHwGL6ze7nUYIiJyApT0HYeVZfsAlPSJiEin0j0jhctPzePBNzbxytodXocjIiLHSUnfcXi/dB9mMFpJn4iIdDI/mjaa4X278ZWHlrFsyx6vwxERkeOgpO84rCgLTuLSNU0rXYiISOfSJS2Zv14/kT7d0vj8g0tYvbXS65BEOtSuXbsYN24c48aNo1+/fgwYMIBx48bRtWtXvvKVr0TkNe+55x7++te/tvs4M2bMYP369R0QkSQqJX3HYUXZXk7Oy/Y6DBEREU/kZKXz0BdPp0taMtc9+DYbK/Z7HZJIh+nVqxfLly9n+fLl3HjjjXzzm99k+fLl7N+/n9/+9rcd/noNDQ08+OCDfO5zn2v3sW666SbuuuuuDohKEpWSvjbaUVnD9spajecTEZFOLa9HJg998XQArv7j23yoxE8S3CuvvNK0OPntt9/OzJkzueiiiygoKOCxxx7ju9/9LmPHjmXq1KnU19cDsHTpUs477zwmTJjAxRdfTHl5ebPjvvTSS5x66qkkJwd7kJ1//vl885vf5Nxzz2XkyJG88847XH755QwbNoz//d//BeDAgQNceumlnHLKKYwZM4ZHHnkEgHPOOYdFixbR0NAQjY9E4pCSvjZa0TiJi5ZrEBGRTm5In678/YunU+8PcNUf3mJNubp6SuexceNGnnrqKRYsWMA111zDBRdcwIoVK8jIyOCpp56ivr6er33ta/zrX/9i6dKlXH/99cyePbvZcd544w0mTJhwWFlqaiqvvfYaN954I9OnT+f+++9n5cqV/OUvf2HXrl08++yz9O/fn/fee4+VK1cydepUAHw+H4WFhbz33ntR+Qwk/ijpa6P3S/fhMxiVm+V1KCIiIp47qV8Wj954JilJxoy5b7G8ZK/XIYlExcc//nFSUlIYO3Ysfr+/KfEaO3YsmzdvZu3ataxcuZIpU6Ywbtw4/u///o/S0tJmxykvL6dPnz6HlU2bNq3pWKNHjyY3N5e0tDSGDBlCSUkJY8eOZdGiRXzve9/j9ddfp3v3Q40ROTk5bN26NYLvXOKZkr42WlG2j8KcrnTRJC4iIiIADO3TlUe/fCbdM1K4+o9v8daHu7wOSSTi0tLSgGDrWkpKCmbW9LyhoQHnHKNHj24aH7hixQqef/75ZsfJyMigpqam1WM3Pg4/9vDhw1m6dCljx47ltttu48c//nHTNjU1NWRkZHT4+5XEoKSvDZxzrCjbxxiN5xMRETlMfs9M/nnjmeRmZ3Ddg0t44j21NEjnNmLECCoqKli8eDEA9fX1rFq1qtl2I0eOZMOGDcd17K1bt5KZmck111zD//zP/7Bs2bKmunXr1jF69Oj2BS8JS81WbbC9spaKqlpOVtInIiKdjHPumNv0zUrn0S+fyay/FvO1h9/lo10HuPmCwqYWEJHOJDU1lX/96198/etfZ9++fTQ0NHDLLbc0S8g+/vGPc+211x7XsVesWMF3vvOdplbG3/3udwBs376djIwMcnNzO+x9SGKxtvyYx7qioiJXXFwcseO/uGY7N8wr5p83nslpBT0j9joiInJsZrbUOVfkdRzxor3nyB8uWMmC97ay/P9ddMxtaxv8fO9f7/P48q1cfuoA7rh8LGnJSSf82iKJ7rLLLuOuu+5i2LBh7TrOr371K7Kysrjhhhs6KDKJR0c7P6p7Zxs0zko2UpO4iIiItCotOYlffWYc35w8nMeWlfHZuW9Rvq/a67BEYtadd97Z4nIOxys7O5uZM2d2QESSqJT0tcHq8koG9cqkqyZxEREROSoz4xuTh3H/505l7bYqLv31f3l9fYXXYYnEpBEjRnDuuee2+zhf+MIXmtb7E2mJkr42WL21Uks1iIiIHIdLT85lwVfPpnfXVK57cAm/fnE9/kD8DykREYlHSvqOYX9tAx/tPqiunSIiIsepMKcrj9/8MT41bgB3v7COz859i5LdB70OS0Sk0/Ek6TOzR8xseei22cyWh9XdZmYbzGytmV3sRXzh1m6rxDktyi4iInIiMlOTufuqU7j7qlNYU17Jx+99nX8Wl7RpVlAREekYnnT+dc59pvGxmf0S2Bd6PAqYAYwG+gOLzGy4c87vRZwQ7NoJMKq/kj4REZETYWZcfmoeEwf35FuPvsd3/vU+T60oZ85lYxmQrcWkRUQizdPunRZcwOcq4OFQ0XRgvnOu1jm3CdgATPQqPoDV5VV0z0ght3u6l2GIiIjEvbwemTz8pTP4f58Yxdsf7uaiu1/lT69/SL0/4HVoIiIJzesxfecA251z60PPBwAlYfWloTLPrC4PTuKiBWZFRETaL8lnXH/2YJ7/5rmcNrgn//fUGj5+7+u8tk4zfIqIRErEkj4zW2RmK1u4TQ/b7LMcauUDaCmzarHTv5nNMrNiMyuuqIjMicIfcKzdVqlJXERERDpYfs9M/vz503hgZhH1/gDXPbiEL/21mC27NNGLiEhHi9iYPufc5KPVm1kycDkwIay4FMgPe54HbG3l+HOBuQBFRUURGQ2+aecBauoDGs8nIiISAWbGpJF9OXtYbx747ybue2kDk+9+lc+dPpCvXDCUnG4aWiEi0hG87N45GfjAOVcaVrYQmGFmaWY2GBgGLPEkOoJdO0Ezd4qISOcVjTk205KT+Mr5hbz8P+dz2fgB/O2tjzjvrle485kP2HOgLgoRiIgkNi+Tvhkc3rUT59wq4FFgNfAscLOXM3euKa8kJckozOnqVQgiIiKei9ao9r5Z6fzsypNZ9K3zuHh0X/7w2kbO/tlL/N+TqynfVx2lKEREEo8nSzYAOOc+30r5HGBOdKNp2eqtlRTmdCM12ev5bkRERDqPwb27cM+M8dx0fiG/fWUDf35zM395czPTxw3gS+cO5qR+6oEjInI8lM0cxerySkbmdvM6DBERkU5pRL9u3DtjPK/8z/lcc8Ygnlqxlan3vM5Vf1jME+9tpa5BSz2IiLSFZy19sW7X/loqqmo1nk9ERMRj+T0zuX3aaL4xaRj/XFrC39/awtcefpc+3dK44tQ8rpwwgMIcXaQVEWmNkr5WrN1eBaAuJCIiIjGiR5dUZp07lC+ePYRX11fw0Fsf8cfXP+T3r27klPxsrjx1AJeMzaVX1zSvQxURiSlK+lqxblsw6RveV5O4iIiIxBKfz7hgRA4XjMihoqqWBcvL+NfSUn6wYBU/XLiKM4f24pKxuUwd3U8JoIgISvpatXb7frIzU+jTTScLERGRWNWnWxpfPGcIXzxnCGvKK3nq/XKeXlHO7P+s5AePr2RcfnYwQTwph9H9szCL1lykIiKxQ0lfK9Ztr2J43246OYiIiMSJkblZjMzN4tsXDWdNeRXPrdrGK2t38MsX1vHLF9aR0y2N80f04fwROZw+uKdaAUWk01DS1wLnHOu2VfGp8QO8DkVEROQwZjYVuBdIAv7knLvT45Bijpkxqn8Wo/pn8c0pw6moquW1dRW8tHYHz6zcxqPFpQAU5nRl4uCenD64J6cP7kW/7ukeRy4iEhlK+lpQvq+GqtoGhvfTTGAiIhI7zCwJuB+YApQC75jZQufcam8ji219uqVxxYQ8rpiQR4M/wHul+1iyaTdvb9rFwuVb+cfbWwDI75nBKXnZnJzXnZPzshkzoDtd0/SnkojEP/2StaBx5s4RfZX0iYhITJkIbHDOfQhgZvOB6YCSvjZKTvIxYVAPJgzqwU3nD8UfcKwpr+StD3ex9KM9vLtlL0++Xw6AGQzt05WxA7ozrG9XCvt0pTCnKwN7ZpKcpKWORSR+KOlrgWbuFBGRGDUAKAl7XgqcHskXdC6SR/deks8YM6A7YwZ054vnBMt27q9lRdk+3i/Zx4qyvSzeuIv/vFvWtE9qko/BvbtQmNO16Tasb1cG9+5CWnKSR+9ERKR1SvpasHZ7FX2z0sjOTPU6FBERkXAtzS7WLC0zs1nALICBAwe2/0U72aRmvbumNS0J0aiypp6NO/azYcd+NlTsZ8P2/azcuo+nV5Y3JcY+g9zuGeT1yGBAjwzysoP3A7IzyeuRQW52upJCEfGEkr4WNM7cKSIiEmNKgfyw53nA1iM3cs7NBeYCFBUVJXhbXXRkpacwfmAPxg/scVh5Tb2fDysOBBPBHfvZsusAZXureWvjLrZV1hA44tPP6ZZG36x0+nRLo0/XtOB9+C1U1kVjCUWkA+kX5Qj+gGP99v1ce8Ygr0MRERE50jvAMDMbDJQBM4DPeRtS55aektQ0U+iR6v0Btu2roXRPNWV7qyndc5CyPdVU7K9le2UNK8v2sXN/bbPEMHhcHz0yU8nOTCU7I4XszOCte0YqPcIeZ6Un0zU9ma5ph+4zUpI6XeusiBydkr4jbNl9kNqGgGbuFBGRmOOcazCzrwLPEVyy4UHn3CqPw5JWpCT5yO+ZSX7PzFa38Qccew7WUVFVe+i2v5adVbXsra5n78F69lXXsWHH/tDzOur9R2+89RnBJDCUCHYJJYIZKUmkh24ZqT7Sk5PISA0rCytPT01qqk9L9pGa7CM1yUdKko+UJCMl7HmSTwmmSKxT0neEdZq5U0REYphz7mngaa/jkI6R5DN6d02jd9c0RuYee3vnHAfr/OytrmfPgTr21zawv6YheN94q2n+uKbez77qemrq/dTUB6iu91NT76e63t/uyXqSfBZMBJPCEsPkQ8+Tk4wkM5J8R958JBmtlPlI8h26T/b58JmRnGTBe5/h8wWP67PgTKtmhhn4zDBC943lENouuD2N+3HE/mH7Nd+/sRxo2q/5/o33BF+miYUNyT28nBaftGX78Bbd1rdp+QUay1s9XivHaC2uw16lLe+jlW06UqQavCNx2PSUpKNeIGovJX1HaJy5szBHM3eKiIhIbDEzuqQFW+8GZGe0+3jOOWobAtQekQg2Pm5MEuv9Aer9LnQfoK7hiOf+APUNjjq/n/oG11RW1xAg4BwNAYc/7FZbH8Dv/IeVNd4O29Y1rw8vF0kU4/Kzefzmj0Xs+Er6jrB2exV5PTI0gFpEREQSnpk1de/sTorX4RwX5xwBF3aPw7ngMiMB53CE7kPbHFmOo2m/QNg2re4f9jwQtm34/sE81IXiC4v1sLgPfw/H3IaWD9SW7VuPwTUroy3HOMbxjhYXbYirI0VquRkXoYizMyK7aoAymyNs2LGfYWrlExEREYlpZkZSqKuliBydz+sAYok/4Phw5wF17RQRERERkYShpC9M6Z6D1DUElPSJiIiIiEjCUNIXZsOO/YAmcREREWkUqfErIiISPUr6wjQlfX20XIOIiEgjjZgSEYlvSvrCbNixn95d0+ieGV+zV4mIiIiIiLRGSV+YDRX7Kczp4nUYIiIiIiIiHUZJX4hzjg079ms8n4iIiIiIJBQlfSEVVbVU1TRQ2EdJn4iIiIiIJA4lfSGHZu7UJC4iIiIiIpI4lPSFbKjQcg0iIiIiIpJ4PEn6zGycmb1lZsvNrNjMJobV3WZmG8xsrZldHK2YNuzYT9e0ZPpmpUXrJUVERERERCIu2aPXvQv4kXPuGTO7JPT8fDMbBcwARgP9gUVmNtw55490QBsr9jM0pytmWo1IREREREQSh1fdOx2QFXrcHdgaejwdmO+cq3XObQI2ABNb2L/DfVhxgKF9tFyDiIiIiIgkFq9a+m4BnjOzXxBMPM8KlQ8A3grbrjRUdlRVVVW88sorh5Xl5eVRWFhIQ0MD//3vf5vtU1BQQEFBAbW1tbz8+puU76uBqh1Nxxk6dCj5+fkcPHiQJUuWNNt/+PDh9O/fn6qqKpYuXdqsfuTIkfTt25e9e/eyfPnyZvVjxoyhd+/e7Ny5k5UrVzarHzduHNnZ2Wzfvp01a9Y0q58wYQLdunVj69atrFu3rln9xIkTyczMpKSkhI0bNzarP/PMM0lLS2Pz5s1s3ry5Wf3ZZ59NcnIyGzZsoLS0tFn9+eefD8DatWspLy8/rC4pKYlzzjkHgNWrV7Njx47D6lNTUznrrOA/+YoVK9i1a9dh9RkZGZx++ukALF++nL179x5W37VrV4qKigAoLi5m//79h9VnZ2czbtw4AN5++22qq6sPq+/Vqxdjx44F4M0336Suru6w+pycHEaNGgXA66+/jt9/eENzbm4uI0aMAGj2vYPj++4tXry4Wb2+e/rugb57R/vuiYiIyPGJWNJnZouAfi1UzQYmAd90zv3bzK4CHgAmAy31rXStHH8WMAtg8ODB7Yp1b60jv5uPwuykdh1HREQk0eR2z2B4XyXbIiLxzJxrMaeK7Iua7QOynXPOgoPo9jnnsszsNgDn3B2h7Z4DbnfONb8kHaaoqMgVFxe3Oy7nnMb0iYjEODNb6pwr8jqOeNFR50gREYltRzs/ejWmbytwXujxhcD60OOFwAwzSzOzwcAwoHkfowhRwiciIiIiIonGqzF9XwLuNbNkoIZQN03n3CozexRYDTQAN0dj5k4REREREZFE5UnS55z7LzChlbo5wJzoRiQiIiIiIpKYvOreKSIiIiIiIlGgpE9ERERERCSBKekTERERERFJYEr6REREREREEpiSPhERERERkQSmpE9ERERERCSBmXPO6xjazcwqgI864FC9gZ0dcJxEos+kOX0mzekzaU6fSXMd9ZkMcs716YDjdAoddI7U97k5fSYt0+fSnD6T5vSZNNcRn0mr58eESPo6ipkVO+eKvI4jlugzaU6fSXP6TJrTZ9KcPpP4pX+75vSZtEyfS3P6TJrTZ9JcpD8Tde8UERERERFJYEr6REREREREEpiSvsPN9TqAGKTPpDl9Js3pM2lOn0lz+kzil/7tmtNn0jJ9Ls3pM2lOn0lzEf1MNKZPREREREQkgamlT0REREREJIEp6QPMbKqZrTWzDWZ2q9fxeM3M8s3sZTNbY2arzOwbXscUK8wsyczeNbMnvY4lVphZtpn9y8w+CH1nzvQ6Jq+Z2TdD/3dWmtnDZpbudUzRZmYPmtkOM1sZVtbTzF4ws/Wh+x5exihto3Pk4XSObJ3OkYfT+bE5nR+DvDhHdvqkz8ySgPuBjwOjgM+a2Shvo/JcA/Bt59xI4AzgZn0mTb4BrPE6iBhzL/Csc+4k4BQ6+edjZgOArwNFzrkxQBIww9uoPPEXYOoRZbcCLzrnhgEvhp5LDNM5skU6R7ZO58jD6fwYRufHw/yFKJ8jO33SB0wENjjnPnTO1QHzgekex+Qp51y5c25Z6HEVwR+pAd5G5T0zywMuBf7kdSyxwsyygHOBBwCcc3XOub2eBhUbkoEMM0sGMoGtHscTdc6514DdRxRPB+aFHs8DPhXNmOSE6Bx5BJ0jW6Zz5OF0fmxVpz8/gjfnSCV9wR/qkrDnpejHu4mZFQDjgbc9DiUW3AN8Fwh4HEcsGQJUAH8Oden5k5l18TooLznnyoBfAFuAcmCfc+55b6OKGX2dc+UQ/MMZyPE4Hjk2nSOPQufIw9yDzpHhdH48gs6PxxTRc6SSPrAWyjSlKWBmXYF/A7c45yq9jsdLZvYJYIdzbqnXscSYZOBU4HfOufHAATp5l71QH/zpwGCgP9DFzK7xNiqRE6ZzZCt0jjxE58gW6fx4BJ0fvaWkL3jVMj/seR6dtKk5nJmlEDyZPeSce8zreGLAx4BpZraZYPemC83s796GFBNKgVLnXONV7n8RPMl1ZpOBTc65CudcPfAYcJbHMcWK7WaWCxC63+FxPHJsOke2QOfIZnSObE7nx+Z0fjy6iJ4jlfTBO8AwMxtsZqkEB5Qu9DgmT5mZEeyDvsY5d7fX8cQC59xtzrk851wBwe/IS865Tn91yjm3DSgxsxGhoknAag9DigVbgDPMLDP0f2kSnXzwfpiFwMzQ45nAAg9jkbbROfIIOkc2p3Nkczo/tkjnx6OL6DkyuSMPFo+ccw1m9lXgOYKzCD3onFvlcVhe+xhwLbDCzJaHyr7vnHvau5Akhn0NeCj0B+GHwBc8jsdTzrm3zexfwDKCs/y9C8z1NqroM7OHgfOB3mZWCvwQuBN41MxuIHjy/7R3EUpb6BzZIp0jpa10fgyj8+MhXpwjzTl1zRcREREREUlU6t4pIiIiIiKSwJT0iYiIiIiIJDAlfSIiIiIiIglMSZ+IiIiIiEgCU9InIiIiIiKSwJT0iYiIiIiIJDAlfSIiIiISNWbWy8yWh27bzKws9Hi/mf02Qq95i5ld1wHHmW9mwzoiJpFo0jp9IiIiIuIJM7sd2O+c+0UEXyOZ4ILgpzrnGtp5rPOAa5xzX+qQ4ESiRC19IiIiIuI5MzvfzJ4MPb7dzOaZ2fNmttnMLjezu8xshZk9a2Ypoe0mmNmrZrbUzJ4zs9wWDn0hsKwx4TOzV8zsV2b2mpmtMbPTzOwxM1tvZv8X2qaLmT1lZu+Z2Uoz+0zoWK8Dk0OJpEjcUNInIiIiIrFoKHApMB34O/Cyc24sUA1cGkr8fgNc6ZybADwIzGnhOB8Dlh5RVuecOxf4PbAAuBkYA3zezHoBU4GtzrlTnHNjgGcBnHMBYANwSoe+U5EIU9InIiIiIrHoGedcPbACSCKUeIWeFwAjCCZqL5jZcuB/gbwWjpMLVBxRtjDsWKucc+XOuVrgQyA/VD7ZzH5mZuc45/aF7bsD6N/O9yYSVWqaFhEREZFYVAvB1jUzq3eHJqIIEPwb1ggmbGce4zjVQHpLxw4dqzasPAAkO+fWmdkE4BLgDjN73jn349A26aFjisQNtfSJiIiISDxaC/QxszMBzCzFzEa3sN0aoPB4Dmxm/YGDzrm/A78ATg2rHg6sOrGQRbyhlj4RERERiTvOuTozuxL4tZl1J/h37T00T8ieAf52nIcfC/zczAJAPXATgJn1Baqdc+XtiV0k2rRkg4iIiIgkNDP7D/Bd59z6dh7nm0Clc+6BjolMJDrUvVNEREREEt2tBCd0aa+9wLwOOI5IVKmlT0REREREJIGppU9ERERERCSBKekTERERERFJYEr6REREREREEpiSPhERERERkQSmpE9ERERERCSBKekTERERERFJYEr6REREREREEpiSPhERERERkQSmpE9ERERERCSBKekTERERERFJYEr6REREREREEpiSPhERERERkQSmpE9ERERERCSBKekTERERERFJYEr6REREREREEpiSPhERERERkQSmpE9ERERERCSBJXsdQEfo3bu3Kygo8DoMERGJgqVLl+50zvXxOo54oXOkiEjncLTzY0IkfQUFBRQXF3sdhoiIRIGZfeR1DPFE50gRkc7haOdHde8UERERERFJYEr6REREREREEpiSPhERERERkQSmpE9ERERERCSBKekTERERERFJYEr6REREREREEpiSPhERERERkQSmpE9ERKQDmFm6mS0xs/fMbJWZ/ShU3tPMXjCz9aH7HmH73GZmG8xsrZldHFY+wcxWhOp+bWYWKk8zs0dC5W+bWUHU36iIiMQdJX0h3/vX+7yxYafXYYiISPyqBS50zp0CjAOmmtkZwK3Ai865YcCLoeeY2ShgBjAamAr81sySQsf6HTALGBa6TQ2V3wDscc4VAr8CfhbpN/Xaugpumf9upF9GREQiSElfyCPFJVz9p7e9DkNEROKUC9ofepoSujlgOjAvVD4P+FTo8XRgvnOu1jm3CdgATDSzXCDLObfYOeeAvx6xT+Ox/gVMamwFjJTrHlzC48u3RvIlREQkwpT0iYiIdBAzSzKz5cAO4AXn3NtAX+dcOUDoPie0+QCgJGz30lDZgNDjI8sP28c51wDsA3pF5M2IiEjCUNInIiLSQZxzfufcOCCPYKvdmKNs3lILnTtK+dH2OfzAZrPMrNjMiisqKo4RtYiIJDolfSIiIh3MObcXeIXgWLztoS6bhO53hDYrBfLDdssDtobK81ooP2wfM0sGugO7W3j9uc65IudcUZ8+fTrmTYmISNxS0iciItIBzKyPmWWHHmcAk4EPgIXAzNBmM4EFoccLgRmhGTkHE5ywZUmoC2iVmZ0RGq933RH7NB7rSuCl0Li/iIvSy4iISAQkex2AiIhIgsgF5oVm4PQBjzrnnjSzxcCjZnYDsAX4NIBzbpWZPQqsBhqAm51z/tCxbgL+AmQAz4RuAA8AfzOzDQRb+GZE5Z0BzkFkp4wREZFIUdKHrl6KiEj7OefeB8a3UL4LmNTKPnOAOS2UFwPNxgM652oIJY3RpjOliEj8UvdOEREROSZdIBURiV+eJH1m9nMz+8DM3jez/zSOgQjV3WZmG8xsrZld7EV8IiIicjilfCIi8curlr4XgDHOuZOBdcBtAGY2iuD4hNEEZzz7bWhsRNT4AzqtiYiIHEkNfSIi8cuTMX3OuefDnr5FcAYygOnAfOdcLbApNFB9IrA4WrG9uXEnBb26YAYWgRHrHX3ESAyqtw6PsuPjjMhcApqgQCTisjNSSU3WyIJ4YhZM+Jza+kRE4lYsTORyPfBI6PEAgklgo9JQWdRc+8CSaL6ciEin8s8bz+S0gp5ehyEiItKpRCzpM7NFQL8WqmY75xaEtplNcJrqhxp3a2H7Fi8tmtksYBbAwIED2x0vwOWnDuCcYb1p8LuIdGPp6KukkYkxAsfs4ING4mqzui2JRMegnplehyAnSL+TIiLxK2JJn3Nu8tHqzWwm8AlgUtjCsqVAfthmecDWVo4/F5gLUFRU1K5TUeOrD+yZyWXj89pzKBERkYRiaBIXEZF459XsnVOB7wHTnHMHw6oWAjPMLM3MBgPDgKj1t4zEWDYREZF41ji+XS19IiLxy6sxffcBacALoZPJW865G51zq8zsUWA1wW6fNzvn/B7FKCIi0uk1Xg7VRC4iIvHLq9k7C49SNweYE8VwREREpBWNsy+rpU9EJH5p3mwRERFplYY+iIjEPyV9IiIickxq6BMRiV9K+tCJTEREpFVN3Tt1thQRiVdK+sKYerCIiIgcRqdGEZH4p6RPREREjkntfCIi8UtJn4iIiLRKs3eKiMQ/JX0iIiLSqqbZO5X0iYjELSV9IiIiIiIiCUxJH5qRTERE5FicmvpEROKWkr4wmqFMRETkcBrTJyIS/5T0iYiISKsaL4gq5xMRiV9K+kRERKRVpkVsRUTinpI+EREROSaNfxcRiV9K+kRERKRV6t4pIhL/lPSJiIhI6zSRi4hI3FPSh65eioiItEYj+kRE4p+SvjAaqy4iIifKzPLN7GUzW2Nmq8zsG6Hy282szMyWh26XhO1zm5ltMLO1ZnZxWPkEM1sRqvu1hWZTMbM0M3skVP62mRVE6/1pnT4RkfilpE9ERKRjNADfds6NBM4AbjazUaG6XznnxoVuTwOE6mYAo4GpwG/NLCm0/e+AWcCw0G1qqPwGYI9zrhD4FfCzSL+pptk7lfOJiMQtJX0iIiIdwDlX7pxbFnpcBawBBhxll+nAfOdcrXNuE7ABmGhmuUCWc26xC06Z+VfgU2H7zAs9/hcwySK8poJyPhGR+KekT0REpIOFul2OB94OFX3VzN43swfNrEeobABQErZbaahsQOjxkeWH7eOcawD2Ab0i8R5ERCRxKOkTERHpQGbWFfg3cItzrpJgV82hwDigHPhl46Yt7O6OUn60fY6MYZaZFZtZcUVFxfG9gVZo9k4RkfilpA+dyEREpGOYWQrBhO8h59xjAM657c45v3MuAPwRmBjavBTID9s9D9gaKs9rofywfcwsGegO7D4yDufcXOdckXOuqE+fPu17T43HVAdPEZG4paQvTISHRYiISAILja17AFjjnLs7rDw3bLPLgJWhxwuBGaEZOQcTnLBliXOuHKgyszNCx7wOWBC2z8zQ4yuBl0Lj/iKm8dyoC6QiIvEr2esAREREEsTHgGuBFWa2PFT2feCzZjaOYDfMzcCXAZxzq8zsUWA1wZk/b3bO+UP73QT8BcgAngndIJhU/s3MNhBs4ZsR0XeE1ukTEUkESvpEREQ6gHPuv7ScIz19lH3mAHNaKC8GxrRQXgN8uh1hnjA19ImIxC917xQREZFWNS3ZoP6dIiJxy5Okz8x+Epq6ermZPW9m/cPqbjOzDWa21swu9iI+ERERaaQxfSIi8c6rlr6fO+dOds6NA54E/h+AmY0iOD5hNDAV+K2ZJXkUo4iISKenOc5EROKfJ0lfaN2iRl04NFRgOjDfOVfrnNsEbODQ1NaRi0cjFUREREREJEF5NpGLmc0hOA31PuCCUPEA4K2wzUpDZSIiIuKBpnX6dH1URCRuRaylz8wWmdnKFm7TAZxzs51z+cBDwFcbd2vhUC2eZsxslpkVm1lxRUVFZN6EiIiIAOoVIyISzyLW0uecm9zGTf8BPAX8kGDLXn5YXR6wtZXjzwXmAhQVFelMJCIiIiIi0gKvZu8cFvZ0GvBB6PFCYIaZpZnZYGAYsCTa8YmIiEjQoSUbvI1DREROnFdj+u40sxFAAPgIuBHAObfKzB4FVgMNwM3OOb9HMUob9O7dm4KCAq/DEJEYt3nzZnbu3Ol1GHICrHHJBo/jEBGRE+dJ0uecu+IodXOAOVEMR1cv26GgoIDi4mKvwxCRGFdUVOR1CHKCtGSDiEj882qdvpikE5uIiEjLnK6QiojELSV9IiIi0qqmJRs8jUJERNpDSZ+IiIi0ykLdYNTQJyISv5T0iYiIiIiIJDCvZu8UiZp6f4APyqtYuXUfOyprqfcHSE/xkZacRHZmCnk9MsnvmUG/rHSSk3QdRESkZWrqExGJV0r6JGHtO1jPH17byPx3Sth9oK6p3GcQaOFvlySf0T87nYJeXRjSuwuDe3dhSJ+uDO7dhf7ZGST5NNOPiHQ+WqdPRCT+KemThPTO5t189R/L2FFVy8Wj+nHJybmMy8umX/d0UpKMhoCjpt7P7gN1lO6ppmT3QUr3VLNl90E27zrAv5eVsb+2oel4qck+CnplHpYINiaGPbukNo15ERFJNE1Jn7dhiIhIOyjpC2PoD/dE8MaGnVz/l3cYkJ3BwptPY2xe92bbpCQZKUk+uqWnMKhXl2b1zjl27q/jw4r9bNp5gE07D/DhzgNsrDjASx/soN5/6M+frPRk8ntm0j87gwHZGfTPTmdAdmboPoPeXdPwqZVQRERERDyipK+T+c53vsMTTzxBamoqQ4cO5c9//jPZ2dkA3HHHHTzwwAMkJSXx61//mosvvtjbYE9Aye6D3PT3pRT06sI/vnQ6vbqmndBxzIw+3dLo0y2N04f0OqyuwR+gbG81H+48wKaKYEJYtjfYWvjWxl1UhbUQQjDB7NUljd7dUunTNY3eXdPo3S0t+LhbGr27ppLTLVielZ6iBFFEYpK6d4qIxC8lfZ3MlClTuOOOO0hOTuZ73/sed9xxBz/72c9YvXo18+fPZ9WqVWzdupXJkyezbt06kpKSvA65zZxzfPdf7+OAP15XdMIJ37EkJ/kY1KsLg3p14YIRzesra+rZureasj3VbN1bzdZ9NeysqqVif/C2pryKnftraWhhYKEZdEtLpntmCt0zUshKD9433rJC993Sk8lISaJLWjIZqUl0SU0mMzWJzNRgWVqyT11ORaRDNPaCcergKSISt5T0dTIXXXRR0+MzzjiDf/3rXwAsWLCAGTNmkJaWxuDBgyksLGTJkiWceeaZXoV63J5ZuY3FH+7i/z41hoG9Mj2LIys9hax+KZzUL6vVbQIBx77qenaGEsGd++vYWVXL3up6Kqvr2Rd2W79jf9PjuoZAm2LwGWSGJYJNj9OSyUjxkZqcRFqyj9RkX9h9sKyl8pQkH8lJRrLPSPYFHyf5jBSfjySfNatL9lmo3Nf0OCXJh89QMioSZzSRi4hI/FPS14k9+OCDfOYznwGgrKyMM844o6kuLy+PsrIyr0I7bs457l20nmE5XfnsxIFeh3NMPp/Ro0sqPbqkMqxvtzbvV1PvZ191PQdqGzhY5+dgnZ8DdQ0crPVzsO5Q2cG6Bg7U+qmuD9431u07WMf2+gB1/gC19f7QfYBaf6DNCWV7JTcliYcniD4L3swIPeaI52GPfY11h7ZrTCh9FmyZONY2jceDsPG8RtPI3sbk1CBsu0N1Talr2DGab9dCXdiOLW3feOTwuiMT5da3a8M/wHFQgi6ARruLiCQAJX0k3tXLyZMns23btmblc+bMYfr06U2Pk5OTufrqq4Fg0nSk1v7gmzt3LnPnzgWgoqKio8Jul1fXVbB2exW/+PQpCb20QnpKEukpkely65wLJoENwQTw0L2fuoYA/oCjIeBo8DsaAgEaAg5/+OOAo97v8IeeB7cLPg+WOxr8rW8bcMHOYwHncC54H3CNzx2BwKEy59wR9Y11jfUBnP/I7Q9/7g91r3Vh77/pf4E7dNf4f+PQdoe6uTX+twn/7xO+fVP9kdsfts+hOne0Y4TH4cL3PCL2DtKRv4sOR9eOO5x4JNHOlSIinYmSvjCJclF70aJFR62fN28eTz75JC+++GJTYpeXl0dJSUnTNqWlpfTv37/F/WfNmsWsWbMAKCoq6qCo2+fhJVvo3TWNaae0HLMcm5mFunjGzzhOiR9Fz/zA6xDkBDWeJzSmT0Qkfvm8DkCi69lnn+VnP/sZCxcuJDPz0Li3adOmMX/+fGpra9m0aRPr169n4sSJHkbadvuq63n5gwo+eUouqcn6SouIdKTG66Fq6RMRiV/6C7mT+epXv0pVVRVTpkxh3Lhx3HjjjQCMHj2aq666ilGjRjF16lTuv//+uJm587mV26jzB/jUuAFehyIinZiZ5ZvZy2a2xsxWmdk3QuU9zewFM1sfuu8Rts9tZrbBzNaa2cVh5RPMbEWo7tcWam4zszQzeyRU/raZFUT+jUX8FUREJMLUvbOT2bBhQ6t1s2fPZvbs2VGMpmO8+MF2+ndP5+QWFmEXEYmiBuDbzrllZtYNWGpmLwCfB150zt1pZrcCtwLfM7NRwAxgNNAfWGRmw51zfuB3wCzgLeBpYCrwDHADsMc5V2hmM4CfAZ+J6rsUEZG4o5Y+iWsN/gBvbtjFucP7aKZBEfGUc67cObcs9LgKWAMMAKYD80KbzQM+FXo8HZjvnKt1zm0CNgATzSwXyHLOLXbBGXz+esQ+jcf6FzDJIvzjp+6dIiLxT0kfGpwez5aX7KWqtoFzh/fxOhQRkSahbpfjgbeBvs65cggmhkBOaLMBQEnYbqWhsgGhx0eWH7aPc64B2Af0isibCNFELiIi8U9JXxi1E8WfNzbswgzOGhrRv3lERNrMzLoC/wZucc5VHm3TFsrcUcqPts+RMcwys2IzK46VpXVERMQ7Svokrr1bsodhOV3Jzkz1OhQREcwshWDC95Bz7rFQ8fZQl01C9ztC5aVAftjuecDWUHleC+WH7WNmyUB3YPeRcTjn5jrnipxzRX36dExPCHXvFBGJX0r6JG4551hespdx+dlehyIiQmhs3QPAGufc3WFVC4GZocczgQVh5TNCM3IOBoYBS0JdQKvM7IzQMa87Yp/GY10JvBQa9xcxTWP6IvkiIiISUZq9U+LW5l0H2XuwnvEDexx7YxGRyPsYcC2wwsyWh8q+D9wJPGpmNwBbgE8DOOdWmdmjwGqCM3/eHJq5E+Am4C9ABsFZO58JlT8A/M3MNhBs4ZsR4fdE4zQxEc4tRUQkgpT0SdxaXrIHQC19IhIRZtYFqAlLxI7KOfdfWh8ePqmVfeYAc1ooLwbGtFBeQyhpjBbTiHcRkbin7p0St1ZvrSQ12cewnK5ehyIiCcDMfGb2OTN7ysx2AB8A5aGF1n9uZsO8jtFLaucTEYlfSvrQ4PR4tW77fob26Upykr7GItIhXgaGArcB/Zxz+c65HOAcgouk32lm13gZoBcOde/0Ng4RETlx6t4ZRmt7x5f126uYOLin12GISOKY7JyrP7LQObeb4Iyc/w7NzikiIhJXPG0iMbP/MTNnZr3Dym4zsw1mttbMLvYyPoldlTX1bN1Xw7C+3bwORUQSREsJn5l1MbNrzOyp1rbpPNTUJyISrzxL+swsH5hCcCazxrJRBGciGw1MBX5rZkneRCixbP32/QAMV9InIh3MzFLN7FOhmTXLCU7C8nuPw/KMhbrBqHuniEj88rKl71fAdzn80uF0YL5zrtY5twnYAEz0IjiJbRt2VAEwvK8mcRGRjmFmU8zsQWATwTXw/gbsds59wTn3hLfReUfr9ImIxD9Pkj4zmwaUOefeO6JqAFAS9rw0VCYd5Ac/+AEnn3wy48aN46KLLmLr1q1NdXfccQeFhYWMGDGC5557zsMoj23zroOkJBl5PTK9DkVEEsdzBCdyOds5d00o0Qt4HJOIiEi7RSzpM7NFZrayhdt0YDbw/1rarYWyFi8umtksMys2s+KKiop2xdqZrl5+5zvf4f3332f58uV84hOf4Mc//jEAq1evZv78+axatYpnn32Wr3zlK/j9bVqayhNbdh8kr0cmST7NviMiHWYCwVk6F5nZC6HF1DXEIETdO0VE4lfEkj7n3GTn3Jgjb8CHwGDgPTPbDOQBy8ysH8GWvfyww+QBW488duj4c51zRc65oj59+nRIzJ1hAdqsrKymxwcOHGgaq7FgwQJmzJhBWloagwcPprCwkCVLlngV5jGV7D5Ifk+18olIx3HOveuc+55zbihwOzAeSDWzZ8xslrfReefQkg3K+kRE4lXUu3c651Y453KccwXOuQKCid6pzrltwEJghpmlmdlgYBgQu5lHnJo9ezb5+fk89NBDTS19ZWVl5Ocfyrfz8vIoKyvzKsRj+mjXQQb2zPA6DBFJUM65N5xzXyU4xOBXwJkeh+SZpqTP2zBERKQdYmpVa+fcKuBRYDXwLHCzcy52+xjGqMmTJzNmzJhmtwULFgAwZ84cSkpKuPrqq7nvvvuAlq/gWisLF86dO5eioiKKiopob9faE7HvYD37qusZqJY+EYkQM7vczO4Gfg50cc59weuYvNIZesGIiCQ6zxdnD7X2hT+fA8zxJprEsGjRojZt97nPfY5LL72UH/3oR+Tl5VFScmgOndLSUvr379/ifrNmzWLWrGBPp6KiovYHfJxK9hwEYGDPLlF/bRFJfGb2W6AQeDhU9GUzm+ycu9nDsDyn3p0iIvErplr6JPLWr1/f9HjhwoWcdNJJAEybNo358+dTW1vLpk2bWL9+PRMnxuZqGVt2NyZ9aukTkYg4D7jYOfdn59yfgUuA870NyTuHuncq6xMRiVeet/RJdN16662sXbsWn8/HoEGD+P3vg+sNjx49mquuuopRo0aRnJzM/fffT1JSbE5aV7anGoABPTSmT0QiYi0wEPgo9DwfeN+7cLzV1LlTOZ+ISNxS0kfnmpHs3//+d6t1s2fPZvbs2VGM5sRsq6whIyWJrHR9fUUkInoBa8yscSKx04DFZrYQwDk3zbPIvNDK+G4REYkf+qs5jM5r8WFbZQ39uqe3OtGMiEg7tbSObKfXeS6PiogkHiV9Ene276uhb1aa12GISIIxM3NBrx5tm2jGFAsa33An6hQjIpJwNJGLxJ1tlTX0y0r3OgwRSTwvm9nXzGxgeKGZpZrZhWY2D5jpUWye0UQuIiLx76gtfWaWDnwCOAfoD1QDK4GnQmvqiUSVc44dlbX07a6kT0Q63FTgeuBhMxsM7AXSgSTgeeBXzrnlnkUnIiJyglpN+szsdmAa8DLwNrCD4MlvOHBnKCH8tnOu085oJtG3+0Addf6AWvpEpMM552qA3wK/NbMUoDdQ7Zzb62lgMULdO0VE4tfRWvrecc7d3krd3WaWQ3BK67in81j82FZZA6CkT0QiyjlXD5R7HUcsaBrT52kUIiLSHkdL+jLMLM05V9tSpXNuB8HWP5Go2R5K+tS9U0QkOhrnrulMyxuJiCSao03kcjVQYmZ/NbOPm1lsrtQtncqOyuA1iJxumr1TRCQaOt10pSIiCajVpM85dxlQCLwIfJ1gAvg7Mzs3WsGJHGnXgToAendV0iciEk1q5xMRiV9Hnb3TOVcJzAPmmVkv4ErgN2bW0zmXH40ARcLt3F9L17Rk0lPU8CwiHcvMqmg5tzHAOeeyohxSTDAN6hMRiXttWqfPzHoAlwOfAXoC/45kUCKt2bW/jt5dU70OQ0QSkHOum3Muq4Vbt7YkfGb2oJntMLOVYWW3m1mZmS0P3S4Jq7vNzDaY2VozuzisfIKZrQjV/bpxQXgzSzOzR0Llb5tZQQd/BC2/r1AHT63TJyISv1pN+sysm5lda2ZPA2uA04D/AwY6526JUnwih9l1oJZe6topIrHpLwTX+jvSr5xz40K3pwHMbBQwAxgd2ue3YWPnfwfMAoaFbo3HvAHY45wrBH4F/CxSb+QwGtQnIhL3jtbSt4ngieZ3QL5zbpZz7iWXgNN3Jd47OrZf/OIXmBk7d+5sKrvjjjsoLCxkxIgRPPfccx5G17qdVXX06qKWPhGJPc6514Ddbdx8OjDfOVfrnNsEbAAmmlkukOWcWxw63/4V+FTYPvNCj/8FTGpsBYyGzniuFBFJFEcb0zfQOXcwapHEgCieOz1VUlLCCy+8wMCBh5ZZXL16NfPnz2fVqlVs3bqVyZMns27dOpKSYmvs3K4DtZw6qIfXYYiIHI+vmtl1QDHwbefcHmAA8FbYNqWhsvrQ4yPLCd2XADjnGsxsH9AL2EkENQ3pU9InIhK3jjZ750EAM/uEmb1rZnvMrNLMqsysMnohSkf75je/yV133XVYkrtgwQJmzJhBWloagwcPprCwkCVLlngYZXOBgGP3AbX0iUhc+R0wFBhHcLH3X4bKW7rK6I5SfrR9mjGzWWZWbGbFFRUVxxVw82Md5YVERCQuHHX2zpB7CE7isiIRu3bGqpqaGp588klef/11tm7dSkZGBmPGjOHSSy9l9OjRJ3zchQsXMmDAAE455ZTDysvKyjjjjDOanufl5VFWVnbCrxMJVbUNBBxkZ6Z4HYqIJKCjzN4JwInM3umc2x52/D8CT4aelgLhs2DnAVtD5XktlIfvU2pmyUB3WulO6pybC8wFKCoq0rlbRKSTa0vSVwKsVMIXPbfffjsLFy7kggsu4PTTTycnJ4eamhrWrVvHrbfeSk1NDb/85S85+eSTW9x/8uTJbNu2rVn5nDlz+OlPf8rzzz/frK6lf97WurvOnTuXuXPnAtDeK8jHY9/BegCyM9XSJyIdzznXDcDMfgxsA/5GsHXtaqDbiRzTzHKdc+Whp5cBjTN7LgT+YWZ3A/0JTtiyxDnnD/WoOQN4G7gO+E3YPjOBxQSXUIrqOHv9GSAiEr/akvR9F3jazF4FahsLnXN3RyyqTu60007j9ttvb7HuW9/6Fjt27GDLli2t7r9o0aIWy1esWMH/b+/O46Oq7v+Pvz5ZCYRFBBQICBpECCiViNiqYEVF9AuuFNRKq20qomLVunyjrfZbauuvbm2pbazWtUZrsVjFDXGrVVEUZbECSipJQHAB2RIyk8/vj5mEQBIIJDN3Jnk/H4+Yuefee+ZzL8KZz5xzz1m5cmVtL19paSmHH3448+fPJycnh1WrVtUeW1paSq9evRqsp6CggIKCAgDy8/ObckktYv3WyMLsXbLU0yciMXWSux9ZZ/suM3sLuGVXJ5nZI8BooJuZlQI/A0ab2TAiPYglwI8A3H2JmT0GLAVCwDR3D0ermkpkJtAs4JnoD8A9wINmtoJID9+kZl1lE21fskFERJJVU5K+GcAmoB3QOrtYEqwl27p1K5WVlWRmNrw0QY8ePejRo8ce1zt06FDWrl1bu92vXz/eeecdunXrxvjx4znnnHO44oorKC8vZ/ny5YwYMWKvryEW1kd7+jpreKeIxFbYzM4Fiom0EJOB8K5PAXef3EDxPbs4fgaRNnbn8neAIQ2UVwBn7y6OltZG5jgTEWnVmpL0dXX3E2MeSQJIlHbt4Ycf5uKLL2bs2LFMnjyZE088MeazaObl5TFx4kQGDx5MWloaM2fOTLiZOzdsjQ7vVE+fiMTWOcCd0R8HXo+WtWka3Skikrx2tU5fjblm1iaSvkTxxBNPsGLFCo4//nh++9vf0qdPH6ZOncqrr77aou9TUlJCt27darcLCwv5+OOP+eijjzj55JNb9L1awvqt6ukTkdhz9xJ3n+Du3dy9u7uf5u4lQccVlO09fcr6RESSVVOSvmnAs2a2VUs2xE+nTp2YMmUKzzzzDIsWLWLYsGFceuml9OnTZ/cnt1IbtkSe6eusnj4RiSEzO9jMXjSzxdHtQ83s+qDjCkrtM33K+UREktZukz537+juKe6e5e6dott7PG217J2vvvqKWbNm8eijj/Lll19y5plnBh1SYDZsrSIrPZXMtMQadioirc7dwHVEFkrH3T8gTpOmJCI90ycikvwaTfrMrN+uTrSInF0ds4tzbzSzMjNbGP0ZV2ffdWa2wsw+MrOT9qb+ZLdx40YefPBBxo0bx6BBg3j77be5/vrr+fTTT7njjjuCDi8w67dUaY0+EYmH9u4+f6eyUCCRJBB19ImIJK9dTeTy/8wsBZgNLADWEZnBMxc4DjieyHTUpXv53re7+2/qFpjZYCLfpuYRWbdorpkdXGca65jwBGvK+vfvz0knncTUqVMZO3Ys6elKdCDyTJ+GdopIHHxuZgcRzXPM7Cxg9a5Paf00vFNEJHk1mvS5+9nRJOxc4AKgJ7AF+BCYA8yITh/dkiYAxe5eCayMrkU0gshCtDGXKENYPv30U9q3bx90GAlng5I+EYmPaUARcIiZlQErgfOCDSk4ZjXr9CnrExFJVrtcssHdlwKFMXrvS8zsfOAd4Ep3/wroDbxZ55jSaFmbUpPwPfXUU9xwww2UlJQQDodxd8yMr79um/PobNhSRb9uSoZFJLbc/RNgjJl1AFLcfWPQMQUpQb4PFRGRZmjKOn17xczmAvs3sKsQuAv4PyJDZ/4PuJVIb2JDbUuDXy2aWQFQANC3b98WiDjxXH755cyaNYuhQ4fWftPalq3fuo0uWV2CDkNEWikzu6KRcgDc/ba4BpRgNLxTRCR5xSzpc/cxTTnOzO4GnopulgJ11yTIAcobqb+IyPAb8vPzW2VT1KdPH4YMGaKEL0oTuYhIjHWM/h4IHAE8Gd3+H6BlF0pNQq2yoRURaSNilvTtipn1dPeah+JPBxZHXz8J/NXMbiMykcsAYOcZ1NqMW265hXHjxjFq1CgyMzNry6+4osEvo1u1iqowlaFqOumZPhGJEXe/CcDMngcOrxnWaWY3An8LMLRA1Xzv6OrqExFJWk1K+szsDOBoIl/0/cvdn2jm+95iZsOi9ZUAPwJw9yVm9hiwlMj02NNiPXNnIissLCQ7O5uKigq2bdsWdDiB2rC1CkA9fSISD32Buv/obgP6BRNK8DTWREQk+e026TOzPxBZpuGRaNGPzGyMu0/b2zd19+/uYt8MYMbe1r03EvXLyy+//JLnn38+6DASwvot0aQvKyPgSESkDXgQmG9mTxD5cvJ04P5gQxIREdl7TenpGwUM8ei4DjO7H1gU06gCkmjfZo4ZM4bnn3+eE088MehQAlfT06clG0QklizyEPUDwDPAMdHi77v7e8FFFaztE9kEHIiIiOy1lCYc8xGRoS41+gAfxCYcqWvmzJmMHTuWrKwsOnXqRMeOHenUqVOz6rzxxhvp3bs3w4YNY9iwYcyZM6d2380330xubi4DBw7kueeea274LWpjRSTp65QVyGOoItJGRL/g/Ie7v+vud0Z/2mzCB9u/ENU6fSIiyaspn6D3BT40s5oJVY4A3jCzJwHcfXysgmvrNm6MzdJQP/7xj7nqqqt2KFu6dCnFxcUsWbKE8vJyxowZw7Jly0hNTY1JDHtqU2UIgA6ZSvpEJObeNLMj3P3toANJBJpAWkQk+TXlE/RPYx6F7KCkpIR+/fo1ut/dKSsrIycnp8Xec/bs2UyaNInMzEz69+9Pbm4u8+fP56ijjmqx92iOmqSvo5I+EYm944CLzKwE2Eyks8vd/dBAowqYhneKiCSvRj9Bm9nvgb+6+ytxjEeAn/zkJ1RXVzNhwgSGDx9O9+7dqaioYMWKFbz00ku8+OKL3HTTTXud9P3+97/ngQceID8/n1tvvZV99tmHsrIyRo4cWXtMTk4OZWVlLXVJzbapQj19IhI3JwcdQGLRM30iIsluV5+glwO3mllP4FHgEXdfGJeo4izR2rG//e1vLF26lIcffph7772X1atX0759ewYNGsS4ceMoLCykXbt2jZ4/ZswY1qxZU698xowZTJ06lRtuuAEz44YbbuDKK6/k3nvvbXD9pcYWhS8qKqKoqAiAdevW7eVV7pnNlSHMoH1GYgw3FZHWy93/a2aHsX0il9fc/f0gYwpS7Tp9wYYhIiLN0GjS5+53Anea2QHAJOAvZtaOyNINxe6+LE4xxk1jSU4QBg8ezIwZe7dyxdy5c5t03A9/+ENOPfVUINKzt2rVqtp9paWl9OrVq8HzCgoKKCgoACA/P3+vYtxTGytDZGekJdSfkYi0TmY2HfghMCta9JCZFbn77wIMKzApWpxdRCTp7Xb2Tnf/r7v/2t2/AZxDZL2iD2MemcTE6tWra18/8cQTDBkyBIDx48dTXFxMZWUlK1euZPny5YwYMSKoMOvZXBkiu52GdopIXFwIHOnuP3X3nwIjiSSBbVJK9Mu2aiV9IiJJqymLs6cDY4n09h0PvALcFOO4JEauvvpqFi5ciJnRr18//vSnPwGQl5fHxIkTGTx4MGlpacycOTNhZu6EyEQuep5PROLEgHCd7TCJt5Rr3GxP+gIORERE9tquJnI5AZgMnALMB4qBAnffHKfYJAYefPDBRvcVFhZSWFgYx2iabmNFiGwlfSISH38B3jKzJ6LbpwH3BBdOsGpG1YeV9YmIJK1dfYr+X+CvwFXu/mWc4pGdzJo1i3/961+YGUcffTSnn3560CEFYnNliI4a3ikiceDut5nZy8DRRHr4vt+WF2hPTamZvVNJn4hIstrVRC7HxTMQqe/iiy9mxYoVTJ48GYA//elPzJ07l5kzZwYcWfxtqgzRo2PjM5aKiLQUMxsJLHH3d6PbHc3sSHd/K+DQAlEzvFM9fSIiyUtdJyTut5evvPIKixcvrp2xcsqUKQwdOjTgqIKxuTKsiVxEJF7uAg6vs725gbJ6zOxe4FRgrbsPiZZ1JbLsUT+gBJjo7l9F911HZNKYMHCZuz8XLR8O3AdkAXOA6e7uZpYJPAAMB74AvuPuJc2+2t3QM30iIslvt7N3tiWJthrAwIED+fTTT2u3V61axaGHHhpgRMHZWFGlZ/pEJF7M63wb6O7VNO1L0vuITHxW17XAi+4+AHgxuo2ZDSYyQVpe9Jw/mFnN7Fl3AQXAgOhPTZ0XAl+5ey5wO/DrPb6yvVCzZINm7xQRSV5K+hLYF198waBBgxg9ejSjR49m8ODBrFu3jvHjxzN+/Pigw4sbd2fztrCSPhGJl0/M7DIzS4/+TAc+2d1J7v4qsPMz8BOA+6Ov7ycyKUxNebG7V7r7SmAFMMLMegKd3P2NaOL5wE7n1NT1OHC8xWHxUi3ZICKS/PQpOoH9/Oc/DzqEhFBRVU242rVkg4jEy0XAb4HrASfSQ1ewl3Xt5+6rAdx9tZn1iJb3Bt6sc1xptKwq+nrn8ppzVkXrCpnZBmBf4PO9jK1JUlI0vFNEJNnpU3QCuuSSSzjnnHMYNWpU0KEkhE2VIQA90yciceHua4kMvYylhnrofBfluzqnfuVmBUQT1b59++5NfLVStGSDiEjS0/DOBDRgwACuvPJK+vXrxzXXXMPChQuDDilQtUlfZuIsFi8irZeZHWxmL5rZ4uj2oWZ2/V5W91l0yCbR32uj5aVAnzrH5QDl0fKcBsp3OMfM0oDO1B9OCoC7F7l7vrvnd+/efS9Dj9CSDSIiyU9JH418TRqg6dOn88Ybb/DKK6/QtWtXvv/97zNo0CB+/vOfs2zZsqDDi7vNtUlfesCRiEgbcTdwHZGhlrj7B+x9z9+TwJTo6ynA7Drlk8ws08z6E5mwZX50KOhGMxsZfV7v/J3OqanrLGCexyET275kQ6zfSUREYkVJXx0JNnknBxxwANdccw3vvfcef/3rX3niiScYNGhQ0GHFXU1PX4cM9fSJSFy0d/f5O5WFdneSmT0CvAEMNLNSM7sQ+BVwgpktB06IbuPuS4DHgKXAs8A0dw9Hq5oK/JnI5C4fA89Ey+8B9jWzFcAVRGcCjTXT7J0iIklPD0klsKqqKp599lmKi4t58cUXGTVqFD/72c+CDivutm6LfA5qr4lcRCQ+Pjezg4gOBDGzs4DVuzvJ3Sc3suv4Ro6fAcxooPwdYEgD5RXA2buLI1aU9ImIJC99ik5AL7zwAo888ghPP/00I0aMYNKkSRQVFdGhQ4egQwvElmjSl5Wunj4RiYtpQBFwiJmVASuBc4MNKXhK+kREkpeGdyagX/7ylxx11FF8+OGH/POf/+Tcc89t0YTvd7/7HQMHDiQvL4+rr766tvzmm28mNzeXgQMH8txzz7XY+zXX1qpoT5+Gd4pIHLj7J+4+BugOHAKMBo4ONKgEoGf6RESSl3r6EtBLL70U07pnz57NBx98QGZmJmvXRiaSW7p0KcXFxSxZsoTy8nLGjBnDsmXLSE0NPtHaui3yKE2Wkj4RiSEz60Skl683kclT5ka3rwLeBx4OLrrgafZOEZHkpZ6+Nuauu+7i2muvJTMzE4AePSLrBM+ePZtJkyaRmZlJ//79yc3NZf78necxCIaGd4pInDwIDAQWAT8EnifyDN1p7j4hyMASgdbpExFJXkr6gLb05eWyZct47bXXOPLIIxk1ahRvv/02AGVlZfTps33JqJycHMrKyoIKcwdK+kQkTg509++5+5+AyUA+cKq7Lww2rMSgnE9EJHlpeGddlmiLNuydMWPGsGbNmnrlM2bMIBQK8dVXX/Hmm2/y9ttvM3HiRD755JMGh+1YI/ejqKiIoqIiANatW9eywTegoipMu/QUUlJax5+PiCSsqpoX7h42s5XuvjHIgBKJJnIREUlegSV9ZnYpcAmRtY+edvero+XXARcCYeAyd0+cGUWSxNy5cxvdd9ddd3HGGWdgZowYMYKUlBQ+//xzcnJyWLVqVe1xpaWl9OrVq8E6CgoKKCgoACA/P79lg2/Alm1h9fKJSDwcZmZfR18bkBXdNsDdvVNwoQVPSZ+ISPIKZHinmR0HTAAOdfc84DfR8sHAJCAPGAv8wcz0ab8FnXbaacybNw+IDPXctm0b3bp1Y/z48RQXF1NZWcnKlStZvnw5I0aMCDjaiC3bwrTPUKe0iMSWu6e6e6foT0d3T6vzuk0nfKBn+kREkllQn6SnAr9y90oAd18bLZ8AFEfLV5rZCmAE8EYwYbY+F1xwARdccAFDhgwhIyOD+++/HzMjLy+PiRMnMnjwYNLS0pg5c2ZCzNwJkeGdmrlTRCRYyvlERJJXUEnfwcAxZjYDqACucve3iUyT/Wad40qjZdJCMjIyeOihhxrcV1hYSGFhYZwj2r0t20Ia3ikiErBqZX0iIkkrZkmfmc0F9m9gV2H0ffcBRgJHAI+Z2YFEnpvYWYOtjJkVAAUAffv2bVas3vBbSILYsk09fSIiQal5lK9Kq7OLiCStmCV97j6msX1mNhWY5ZEpI+ebWTXQjUjPXp86h+YA5Y3UXwQUAeTn57dI1qa5IRNTRVWYLu0zgg5DRKRNqwwp6RMRSVZBrdP3D+DbAGZ2MJABfA48CUwys0wz6w8MABJjhXAJTGQiF/X0iYgESUmfiEjyCuqZvnuBe81sMbANmBLt9VtiZo8BS4ks5TDN3cMBxSgJQsM7RUSCt03DO0VEklYgSZ+7bwPOa2TfDGBGfCOSRFZRpXX6RESCVlml72BFRJJVUMM7RZpMwztFRIKnnj4RkeSlpA8amR9UEkF1tbO1KkyWFmcXEQnUNj3TJyKStJT01WGavjPhVIQiw4k0vFNEJFiayEVEJHkp6ZOEtnVbJOnT8E4RkWCpp09EJHkp6ZOEtiWa9Gn2ThGRYFVoIhcRkaSlpE8S2tYqDe8UEUkE67dUEVldSUREko2SPkloGt4pIpIYtoWr+boiFHQYIiKyF5T0SULT8E4RkcSxbmNl0CGIiMheUNJH21qx4Tvf+Q7Dhg1j2LBh9OvXj2HDhtXuu/nmm8nNzWXgwIE899xzwQVZR83sne00vFNEJHCLytYHHYKIiOwFLX5Wh9H612x49NFHa19feeWVdO7cGYClS5dSXFzMkiVLKC8vZ8yYMSxbtozU1GCTrcroM33t0pT0iYgEpWNmGp2y0vnTK58wNq+nRl+IiCQZ9fS1Ue7OY489xuTJkwGYPXs2kyZNIjMzk/79+5Obm8v8+fMDjhIqqiJThLdL1/+qIiJBSUkxfnHaED76bCOXP/oeobCWbxARSSb6JN1Gvfbaa+y3334MGDAAgLKyMvr06VO7Pycnh7KysqDCq1UZHd6ZqeGdIpLEzKzEzBaZ2UIzeyda1tXMXjCz5dHf+9Q5/jozW2FmH5nZSXXKh0frWWFmvzWzuA1ROe6QHvz01ME8t+Qzrv77B1RXt6WHI0REkpuGd7ZCY8aMYc2aNfXKZ8yYwYQJEwB45JFHanv5gAan4W7ss0RRURFFRUUArFu3riVCblRtT1+avp8QkaR3nLt/Xmf7WuBFd/+VmV0b3b7GzAYDk4A8oBcw18wOdvcwcBdQALwJzAHGAs/E6wK+/63+bKwIcdsLy8jOTOOm8XmNthUiIpI4lPS1QnPnzt3l/lAoxKxZs1iwYEFtWU5ODqtWrardLi0tpVevXg2eX1BQQEFBAQD5+fktEHHj1NMnIq3YBGB09PX9wMvANdHyYnevBFaa2QpghJmVAJ3c/Q0AM3sAOI04Jn0Al347l02VIYpe/YT2GWlcM3agEj8RkQSn7hOgra01O3fuXA455BBycnJqy8aPH09xcTGVlZWsXLmS5cuXM2LEiACjjFBPn4i0Eg48b2YLzKwgWrafu68GiP7uES3vDayqc25ptKx39PXO5XFlZlx38iGce2Rf/vjKx/xyzodatF1EJMGpp6+OtvJFZXFx8Q5DOwHy8vKYOHEigwcPJi0tjZkzZwY+cydEevpSU4y0VCV9IpLUvuXu5WbWA3jBzP6zi2Mbao18F+X1K4gklgUAffv23dNYd8vM+L8JQ0hLMe5+bSWbKsP84rQhpKa0kYZURCTJKOlrg+67774GywsLCyksLIxvMLtRUVWtXj4RSXruXh79vdbMngBGAJ+ZWU93X21mPYG10cNLgT51Ts8ByqPlOQ2UN/R+RUARQH5+fky64VJSjBvH59EhM40/vPwxW7aF+M3Zh5GuL+lERBKO/mWWhFYZCut5PhFJambWwcw61rwGTgQWA08CU6KHTQFmR18/CUwys0wz6w8MAOZHh4BuNLOR0Vk7z69zTiDMjKvHHsJPThrI7IXlXPzwu2zdFg4yJBERaYB6+iShqadPRFqB/YAnopOdpAF/dfdnzext4DEzuxD4FDgbwN2XmNljwFIgBEyLztwJMBW4D8giMoFLzCdxacrzetOOyyU7M40b/7mESXe/yd3nD6dHx3axDk1ERJpISZ8ktMpQtXr6RCSpufsnwGENlH8BHN/IOTOAGQ2UvwMMaekYd6cpz7xP+WY/enZux/TihZw+89/c8718Dtm/U+yDExGR3VIXiiS0iqowmerpExFJCifm7c/fLjqKUHU1Z931BvP+81nQIYmICEr6APCGJz+TBKCePhGR5DKkd2f+Me1bHLBvey647x1+89xHhKvVzoqIBElJXx2aaDrxVFSF9UyfiEiS6dk5i79P/Sbfye/D719awXfveYvPN1UGHZaISJulT9OS0CpD1bRTT5+ISNJpl57Kr886lFvOOpQF//2KU377Gv9a/nnQYYmItElK+iShVeqZPhGRpDYxvw9PXPwtsjPTOO+et7jpn0uoqNKyDiIi8aRP05LQKqrC6ukTEUlyg3t14qlLj+F73+zHX14v4X9+9y8WrlofdFgiIm1GIEmfmT1qZgujPyVmtrDOvuvMbIWZfWRmJwURnySOylC1evpERFqBrIxUbhyfx/0XjGBjRYgz/vA6v3hqKVu2hYIOTUSk1Qvk07S7f8fdh7n7MODvwCwAMxsMTALygLHAH8ws5t08TVh3VgKinj4RkdZl1MHdef6KY5k8oi9//tdKjr/1FZ58v7xJi8CLiMjeCbQLxcwMmAg8Ei2aABS7e6W7rwRWACPiF0+83kmaSj19IiKtT6d26cw4fSiPX3QU+2ZncNkj7/GdP73JkvINQYcmItIqBf1p+hjgM3dfHt3uDayqs780WiYtZOHChYwcOZJhw4aRn5/P/Pnza/fdfPPN5ObmMnDgQJ577rkAo4xwd/X0iYi0Yvn9ujJ72tHcfMZQVqzbxP/87l/87xOLWPt1RdChiYi0KmmxqtjM5gL7N7Cr0N1nR19PZnsvHzS8VF6D4z3MrAAoAOjbt28zIm1brr76an72s59x8sknM2fOHK6++mpefvllli5dSnFxMUuWLKG8vJwxY8awbNkyUlODS7hC1U61o54+EZEAxXrQZWqKMXlEX8YN7cmdc5fzwBslzHq3lClH9eNHow6ia4eMGEcgItL6xezTtLuPcfchDfzMBjCzNOAM4NE6p5UCfeps5wDljdRf5O757p7fvXv3WF1Gq2NmfP311wBs2LCBXr16ATB79mwmTZpEZmYm/fv3Jzc3d4dewCDUTOmtnj4RkWDF4+mHzlnp/PR/BvPilaMYN6QnRa99wjG/nsetz3/EF1rYXUSkWWLW09cEY4D/uHtpnbIngb+a2W1AL2AAEGzm0crccccdnHTSSVx11VVUV1fz73//G4CysjJGjhxZe1xOTg5lZWVBhQlEnucDyExXT5+ISFtxwL4duO07w5g6+iBun7uM381bwd2vfcLE/D784OgD6btv+6BDFBFJOkEmfZPYcWgn7r7EzB4DlgIhYJq7awXXPTRmzBjWrFlTr3zGjBm8+OKL3H777Zx55pk89thjXHjhhcydO7fBWdOskZltioqKKCoqAmDdunUtG/xOjjpwX3p3yYrpe4iISOIZsF9H/nDucFas3UjRq5/wyPxPeejN/3LykJ5896gDOLJ/10bbKRER2VFgSZ+7f6+R8hnAjLjGEs83i4O5c+c2uu/888/nzjvvBODss8/mBz/4ARDp2Vu1avscOqWlpbVDP3dWUFBAQUEBAPn5+S0Vdj3dsjN5pGDk7g8UEZFWK7dHR2456zCuOGEgf3l9JcVvr+LpRasZ0COb7x51AKd9ozed2qUHHaaISELTuLk6LC5PLQSrV69evPLKKwDMmzePAQMGADB+/HiKi4uprKxk5cqVLF++nBEj4rZahoiIyC7t37kd140bxJvXHc8tZx1Ku/RUfjp7CUf8Yi6XPfIery5bR7i6tX2NKyLSMoIc3ikBuPvuu5k+fTqhUIh27drVDtPMy8tj4sSJDB48mLS0NGbOnBnozJ0iIiINycpIZWJ+H84ensMHpRt4fEEpT75fzpPvl7Nfp0xOGdqLUw7tyTf6dCElpfV/mSsi0hRK+tqYo48+mgULFjS4r7CwkMLCwjhHJCIisufMjMP6dOGwPl24/tRBvPjhWma9W8ZDb/6Xe19fSc/O7Rg7ZH+OP2Q/jui/D5lp+iJTRNouJX0iIiKS1DLTUhk3tCfjhvbk64oqXvzwM57+YDUPv/Upf3m9hA4ZqXwrtxvHHdKD0QO707OzJggTkbZFSZ+IiIi0Gp3apXP6N3I4/Rs5bNkW4o2Pv2Def9by8kfreH7pZwAcsn9HRh64LyP6d2VE/650y84MOGoRkdhS0gcNLlcgTVNSUhLTGTwhsixE9+7dY/oesaT4g5fs15Ds8QP85z//CToEaYPaZ6Rx/KD9OH7Qfrg7y9du4qX/rOW15Z/z6NuruO/fJQAc1L0DRx64LyP6deWwPl04oGt7PQ8oIq2Kkr669O/7Hvv8889j/h75+fm88847MX+fWFH8wUv2a0j2+CG2y7uINIWZcfB+HTl4v478aNRBVIWrWVS2gfkrv2T+yi/558Jy/vrWpwB0bJfG0N6dOTSnC4fmdGZo78707pKlRFBEkpaSPhEREWlUax0Mk56awuF99+Hwvvtw0aiDCFc7yz7byAel6/mgdAOLyjZwz78+oSocuQFZ6akc1KMDud2zGbBfRw7qns2A/bI5oGt70lK1ApaIJDYlfSIiIrJLZq2/hys1xRjUsxODenbiO0dEyipDYT5as5HFZV+zYu0mlq/dyPyVX/KPheW156WnGv327cCA/bLJ7Z7NAft2IGefLHrvk8X+ndopIRSRhKCkTxJeQUFB0CE0i+IPXrJfQ7LHD63jGqTtyUxLjQ7x7LJD+abKEB+v3cSKtZtYsW4Tyz/bxIerN/Ls4jXUXR8+NcXYv1M7enfJqk0Ee3fJYr9O7ejeMZPuHTPZt0OGEkMRiTklfZLwkv3DouIPXrJfQ7LHD63jGhKFmY0F7gRSgT+7+68CDqnNyc5Mq10jsK7KUJiyr7ZStn4rZV9tpbTO67dWfsnqhVt3SAoBzKBr+4zaJLB7djQZzM6gS/sM9mmfQZf26XTJSqdz+3S6ZGWQkaYkUUT2jJI+ERGRJGFmqcBM4ASgFHjbzJ5096XBRiYQ6Rk8sHs2B3bPbnB/VbiaNRsqWLepknUb6/zU2f5k3WbWbapkW6i60ffpkJFKl/YZdM5Kp1NWGtmZ0Z92aWRnptOxXRodMlLJbpdeuy8rI4V26am0S08lq87vzLQUTVAj0gYo6aP1PqSe6J599lmmT59OOBzmBz/4Addee+0O+92d6dOnM2fOHNq3b899993H4Ycf3qRz46U513DBBRfw1FNP0aNHDxYvXhxE+Hsd/6pVqzj//PNZs2YNKSkpFBQUMH369KSJv6KigmOPPZbKykpCoRBnnXUWN910U9zjb8411AiHw+Tn59O7d2+eeuqpeIffrPj79etHx44dSU1NJS0tLelnKI2TEcAKd/8EwMyKgQmAkr4kkJ6aQp+u7enTtf0uj3N3NlaG2LClivVbqli/dVv0dxUbtmzjq5ryLdvYWBmifH0FmypDbK4MsbEytMuEsSGZaSlkZaTSLi2VrIzU2u2a5DAzLYWMtBTSUyM/GakWeR0ty0xLIb2mLDWFjNQU0tO2b6enGilmpKWkkJICaSkppKZAakoKqWYNlqWmWuR3yo4/aSk1dZmSVZE9oKSvDv3TET/hcJhp06bxwgsvkJOTwxFHHMH48eMZPHhw7THPPPMMy5cvZ/ny5bz11ltMnTqVt956q0nnJvo1AHzve9/jkksu4fzzz49r3C0Rf1paGrfeeiuHH344GzduZPjw4Zxwwglx/TNoTvyZmZnMmzeP7OxsqqqqOProozn55JMZOXJk3OJv7jXUuPPOOxk0aBBff/11XGNvqfhfeuklunXrFvfYk1hvYFWd7VLgyIBikRgxMzq1S6dTu3T6dN3z8ytDYTZXhiNJYEWITZUhKqrCbK0KUxH92botTEWoOvK7pqwqTEVV9Q7HfV1RRUVVNaFwNVVhZ1u4mqpwNdtCkd81s5sGJTXFSDEwDLPIcNkUM4zIb2q265Rb7XbkvBTbXtbo+Wyvx2q2U7afj9XEsX0/keJaxg4bDb1s9PgdyptwTF11J2Jq6L1sD47deY/F4DpaVBJV279bB648cWAMao5Q0ieBmD9/Prm5uRx44IEATJo0idmzZ+/wYXH27Nmcf/75mBkjR45k/fr1rF69mpKSkt2em+jX0LNnT4499lhKSkriGnNdzY2/Z8+eAHTs2JFBgwZRVlYW1z+D5safnR0ZflVVVUVVVVUgsxM29xpKS0t5+umnKSws5Lbbbku6+GWvNPQ/ar1P3WZWABQA9O3bN9YxSYLJTEslMy2Vrh0yYv5e7k5V2KMJYHU0KfTapHBbqJpwtROqdqrdCYWjv6ud6mh5uObHnXB1NeFqtu9zJxyuJuzU7tvhtzvuUO3gRF67e2TboTo6nKu69jjHo3HXbjd2fvQ8fDfnEz0/WubRv5J1R5Lt8LrOX9kdy+tse+1/dnl83T+HhssbPr5mR+PHNvKeTXj/Bt5m13U2eGbzNRZTs+uNSa2xH3mopE8CUVZWRp8+fWq3c3Jydvj2v7FjysrKmnRuPDTnGhLhA29LxV9SUsJ7773HkUfGt7OhufGHw2GGDx/OihUrmDZtWtzjbyy+PbmGyy+/nFtuuYWNGzfGLebdxbYn8ZsZJ554ImbGj370I0320jSlQJ862zlA+c4HuXsRUASQn5+vhxgkZsyMjDTT5DIiCU5/QyUQDX37snNPS2PHNOXceGjONSSCloh/06ZNnHnmmdxxxx106tSp5YPchebGn5qaysKFCyktLWX+/PmBPFfZnGuoeR50+PDhMYtvd5r7Z/D666/z7rvv8swzzzBz5kxeffXV2ATaurwNDDCz/maWAUwCngw4JhERSXBK+iQQOTk5rFq1/bGU0tJSevXq1aRjmnJuPDTnGhJBc+OvqqrizDPP5Nxzz+WMM86IT9BNjG1PjunSpQujR4/m2WefjW3ADWjONbz++us8+eST9OvXj0mTJjFv3jzOO++8uMW+q9iaekzN7x49enD66aczf/78OESd3Nw9BFwCPAd8CDzm7kuCjUpERBKdkj4JxBFHHMHy5ctZuXIl27Zto7i4mPHjx+9wzPjx43nggQdwd9588006d+5Mz549m3Ruol9DImhO/O7OhRdeyKBBg7jiiiuSLv5169axfv16ALZu3crcuXM55JBDkuoabr75ZkpLSykpKaG4uJhvf/vbPPTQQ0kT/+bNm2uHpW7evJnnn3+eIUOGxDX+ZOXuc9z9YHc/yN1nBB2PiIgkPj3TV0eiDLtrC9LS0vj973/PSSedRDgc5oILLiAvL48//vGPAFx00UWMGzeOOXPmkJubS/v27fnLX/6yy3OT6RoAJk+ezMsvv8znn39OTk4ON910ExdeeGFSxP/666/z4IMPMnToUIYNGwbAL3/5S8aNG5cU8a9evZopU6YQDoeprq5m4sSJnHrqqXGLvSWuIRE0J/7PPvuM008/HYBQKMQ555zD2LFjA7sWaZzHbNoCERGJF4vVzDbxlJ+f781Z32nVl1s45paX+M3Zh3HW8JwWjExERFqamS1w9/yg40gWzW0jr//HIp5ZtIYFN5zQglGJiEhL21X7qOGdIiIiIiIirZiSPhERERERkVZMSZ+IiIiIiEgrpqQPaAWPNYqIiIiIiDRISV8dmrtTRERERERaGyV9Im3IF198wbBhwxg2bBj7778/vXv3ZtiwYWRnZ3PxxRfH5D3vuOMOHnjggWbXM2nSJJYvX94CEYmIiIi0LYGs02dmw4A/Au2AEHCxu8+P7rsOuBAIA5e5+3NBxCjSGu27774sXLgQgBtvvJHs7GyuuuqqmL1fKBTi3nvv5d133212XVOnTuWWW27h7rvvboHIRERERNqOoHr6bgFucvdhwE+j25jZYGASkAeMBf5gZqkBxSjSZrz88su1i5PfeOONTJkyhRNPPJF+/foxa9Ysrr76aoYOHcrYsWOpqqoCYMGCBYwaNYrhw4dz0kknsXr16nr1zps3j8MPP5y0tMj3S6NHj+bHP/4xxx57LIMGDeLtt9/mjDPOYMCAAVx//fUAbN68mVNOOYXDDjuMIUOG8OijjwJwzDHHMHfuXEKhUDxuiYiIiEirEVTS50Cn6OvOQHn09QSg2N0r3X0lsAIYEUB8Im3axx9/zNNPP83s2bM577zzOO6441i0aBFZWVk8/fTTVFVVcemll/L444+zYMECLrjgAgoLC+vV8/rrrzN8+PAdyjIyMnj11Ve56KKLmDBhAjNnzmTx4sXcd999fPHFFzz77LP06tWL999/n8WLFzN27FgAUlJSyM3N5f3334/LPRARERFpLQIZ3glcDjxnZr8hknh+M1reG3izznGl0TIRiaOTTz6Z9PR0hg4dSjgcrk28hg4dSklJCR999BGLFy/mhBNOACAcDtOzZ8969axevZpBgwbtUDZ+/PjauvLy8mrPO/DAA1m1ahVDhw7lqquu4pprruHUU0/lmGOOqT23R48elJeX10skRURERKRxMUv6zGwusH8DuwqB44Efu/vfzWwicA8whoYn0GxwQQUzKwAKAPr27dusWLt1zOCP5w1naE7nZtUj0lpkZmYCkd619PR0zKx2OxQK4e7k5eXxxhtv7LKerKwsKioqGq275nXdug8++GAWLFjAnDlzuO666zjxxBP56U9/CkBFRQVZWVktdp0isnuTR/Tl24f0CDoMERFphpglfe4+prF9ZvYAMD26+Tfgz9HXpUCfOofmsH3o5871FwFFAPn5+c1aaa99RhpjhzSUn4pIQwYOHMi6det44403OOqoo6iqqmLZsmXk5eXtcNygQYNYsWLFHtVdXl5O165dOe+888jOzua+++6r3dfQe4hIbOX16kxeL30pKiKSzIIa3lkOjAJeBr4N1MzD/iTwVzO7DegFDADmBxGgiDQuIyODxx9/nMsuu4wNGzYQCoW4/PLL6yVkJ598Mt/97nf3qO5Fixbxk5/8pLaX8a677gLgs88+Iysrq8FhpCIiIiLSOHNvVifZ3r2p2dHAnUSSzgoiSzYsiO4rBC4gspTD5e7+zO7qy8/P93feeSeGEYvI3jr99NO55ZZbGDBgQLPquf322+nUqRMXXnhhC0UmycrMFrh7ftBxJAu1kSIibcOu2sdAevrc/V9AgzMxuPsMYEZ8IxKRWPnVr37F6tWrm530denSZY97DUVEREQkuOGdItJGDBw4kIEDBza7nu9///stEI2IiIhI2xPUOn0iIiIiIiISB0r6REREREREWjElfSIiIiIiIq2Ykj4REREREZFWTEmfiIiIiIhIKxbIOn0tzczWAf9tgaq6AZ+3QD2tie5Jfbon9eme1Kd7Ul9L3ZMD3L17C9TTJrRQG6n/n+vTPWmY7kt9uif16Z7U1xL3pNH2sVUkfS3FzN7Rgr870j2pT/ekPt2T+nRP6tM9SV76s6tP96Rhui/16Z7Up3tSX6zviYZ3ioiIiIiItGJK+kRERERERFoxJX07Kgo6gASke1Kf7kl9uif16Z7Up3uSvPRnV5/uScN0X+rTPalP96S+mN4TPdMnIiIiIiLSiqmnT0REREREpBVT0geY2Vgz+8jMVpjZtUHHEzQz62NmL5nZh2a2xMymBx1TojCzVDN7z8yeCjqWRGFmXczscTP7T/T/maOCjiloZvbj6N+dxWb2iJm1CzqmeDOze81srZktrlPW1cxeMLPl0d/7BBmjNI3ayB2pjWyc2sgdqX2sT+1jRBBtZJtP+swsFZgJnAwMBiab2eBgowpcCLjS3QcBI4Fpuie1pgMfBh1EgrkTeNbdDwEOo43fHzPrDVwG5Lv7ECAVmBRsVIG4Dxi7U9m1wIvuPgB4MbotCUxtZIPURjZObeSO1D7WofZxB/cR5zayzSd9wAhghbt/4u7bgGJgQsAxBcrdV7v7u9HXG4n8I9U72KiCZ2Y5wCnAn4OOJVGYWSfgWOAeAHff5u7rAw0qMaQBWWaWBrQHygOOJ+7c/VXgy52KJwD3R1/fD5wWz5hkr6iN3InayIapjdyR2sdGtfn2EYJpI5X0Rf6hXlVnuxT9413LzPoB3wDeCjiURHAHcDVQHXAcieRAYB3wl+iQnj+bWYeggwqSu5cBvwE+BVYDG9z9+WCjShj7uftqiHxwBnoEHI/sntrIXVAbuYM7UBtZl9rHnah93K2YtpFK+sAaKNOUpoCZZQN/By5396+DjidIZnYqsNbdFwQdS4JJAw4H7nL3bwCbaeND9qJj8CcA/YFeQAczOy/YqET2mtrIRqiN3E5tZIPUPu5E7WOwlPRFvrXsU2c7hzba1VyXmaUTacwedvdZQceTAL4FjDezEiLDm75tZg8FG1JCKAVK3b3mW+7HiTRybdkYYKW7r3P3KmAW8M2AY0oUn5lZT4Do77UBxyO7pzayAWoj61EbWZ/ax/rUPu5aTNtIJX3wNjDAzPqbWQaRB0qfDDimQJmZERmD/qG73xZ0PInA3a9z9xx370fk/5F57t7mv51y9zXAKjMbGC06HlgaYEiJ4FNgpJm1j/5dOp42/vB+HU8CU6KvpwCzA4xFmkZt5E7URtanNrI+tY8NUvu4azFtI9NasrJk5O4hM7sEeI7ILEL3uvuSgMMK2reA7wKLzGxhtOx/3X1OcCFJArsUeDj6gfAT4PsBxxMod3/LzB4H3iUyy997QFGwUcWfmT0CjAa6mVkp8DPgV8BjZnYhkcb/7OAilKZQG9kgtZHSVGof61D7uF0QbaS5a2i+iIiIiIhIa6XhnSIiIiIiIq2Ykj4REREREZFWTEmfiIiIiIhIK6akT0REREREpBVT0iciIiIiItKKKekTiQEz29fMFkZ/1phZWfT1JjP7Q4ze83IzO78F6ik2swEtEZOIiIiIBE9LNojEmJndCGxy99/E8D3SiKx7c7i7h5pZ1yjgPHf/YYsEJyIiIiKBUk+fSByZ2Wgzeyr6+kYzu9/MnjezEjM7w8xuMbNFZvasmaVHjxtuZq+Y2QIze87MejZQ9beBd2sSPjN72cxuN7NXzexDMzvCzGaZ2XIz+0X0mA5m9rSZvW9mi83sO9G6XgPGRBNJEREREUlySvpEgnUQcAowAXgIeMndhwJbgVOiid/vgLPcfThwLzCjgXq+BSzYqWybux8L/BGYDUwDhgDfM7N9gbFAubsf5u5DgGcB3L0aWAEc1qJXKiIiIiKBUNInEqxn3L0KWASkEk28otv9gIFEErUXzGwhcD2Q00A9PYF1O5U9WaeuJe6+2t0rgU+APtHyMWb2azM7xt031Dl3LdCrmdcmIiIiIglAw7dEglUJkd41M6vy7Q/ZVhP5+2lEErajdlPPVqBdQ3VH66qsU14NpLn7MjMbDowDbjaz593959Fj2kXrFBEREZEkp54+kcT2EdDdzI4CMLN0M8tr4LgPgdw9qdjMegFb3P0h4DfA4XV2Hwws2buQRURERCSRqKdPJIG5+zYzOwv4rZl1JvJ39g7qJ2TPAA/uYfVDgf9nZtVAFTAVwMz2A7a6++rmxC4iIiIiiUFLNoi0Emb2BHC1uy9vZj0/Br5293taJjIRERERCZKGd4q0HtcSmdCludYD97dAPSIiIiKSANTTJyIiIiIi0oqpp09ERERERKQVU9InIiIiIiLSiinpExERERERacWU9ImIiIiIiLRiSvpERERERERaMSV9IiIiIiIirdj/B/IULTR+Aiu2AAAAAElFTkSuQmCC\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -610,7 +610,6 @@ "ax.plot(d.time(), d['cell.Vm'])\n", "\n", "ax = fig.add_subplot(2, 2, 2)\n", - "ax.set_xlabel('Time (ms)')\n", "ax.set_ylabel('Vo (mV)')\n", "ax.plot(d.time(), d['amp.Vo'])\n", "ins = ax.inset_axes((0.25, 0.35, 0.7, 0.6))\n", @@ -630,8 +629,9 @@ "ins.set_xlim(-0.005, 0.05)\n", "\n", "ax = fig.add_subplot(2, 2, 4)\n", + "ax.set_xlabel('Time (ms)')\n", "ax.set_ylabel('Recorded I (pA)')\n", - "ax.plot(d.time(), d['amp.I_out'])\n", + "ax.plot(d.time(), d['amp.I_obs'])\n", "\n", "plt.show()" ] @@ -676,7 +676,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfYAAAEGCAYAAACXebelAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAAncUlEQVR4nO3de3yW9X3/8dcn5xMQIAkSEgxnOYoQUVAULa3W2tI612JP2jmpnbZdf9vaWrd1v210Xdu1a9fD5jzMVq26aquttlrqoR44GBDkJBAgQEgkBAgJJOR0f/bHfSMJCUkguXPlvvN+Ph73I9f1/V73dX24vc071/Fr7o6IiIjEh4SgCxAREZG+o2AXERGJIwp2ERGROKJgFxERiSMKdhERkTiSFHQBfSEnJ8eLioqCLkNERKTfrF27ttrdc09vj4tgLyoqoqSkJOgyRERE+o2Z7emsXYfiRURE4oiCXUREJI4o2EVEROKIgl1ERCSOKNhFRETiSCDBbmbfNrO3zewtM/ulmWW36bvLzErNbJuZXRNEfSIiIrEqqD323wMz3H0WsB24C8DMpgFLgenAtcCPzSwxoBpFRERiTiD3sbv7821mVwE3RqaXAI+6eyOw28xKgXnAyq7WV1dXx0svvdSuraCggIkTJ9LS0sKrr77a4T1FRUUUFRXR2NjIypUdVz9hwgQKCwupr69nzZo1HfonT55Mfn4+dXV1rF27tkP/1KlTGTVqFDU1Naxfv75D/4wZM8jJyaG6uppNmzZ16J89ezbZ2dkcOHCArVu3duifO3cuQ4YMoaKigu3bt3fonzdvHhkZGezbt4+dO3d26J8/fz6pqamUlZVRVlbWof/yyy8nKSmJ0tJSysvLO/QvWrQIgG3btlFZWdmuLzExkYULFwKwZcsWqqqq2vWnpKSwYMECADZu3MihQ4fa9aenp3PJJZcAsH79empqatr1Z2VlUVxcDEBJSQnHjh1r15+dnc3s2bMBWL16NQ0NDe36R44cycyZMwF4/fXXaWpqatefl5fHtGnTAHjllVdobW1t1z969GimTJkC0OF7B/ru6bs3G9B3T9+9/v3utTUQzrH/GfDbyPQYYF+bvvJIWwdmtszMSsyspLm5OcolioiIxAZz9+is2GwFcF4nXXe7+1ORZe4GioEb3N3N7EfASnd/KNJ/H/Csuz/R1baKi4tdT54TEZHBxMzWunvx6e1ROxTv7ou7Kehm4HrgPX7qr4tyoLDNYgVARXQqFBEJnrvjDh6ZDjk44bZwP4Tc3+33SNvp73PCy/Fu26n3nb69jjV0V2Mnbaet+fRlerTdbrfTfa3draPjJ9DJMufwnu7r6viGSXlDSE+J/mVjgZxjN7Nrga8AV7p7fZuup4FHzOy7QD4wCeh4okdEBqTWkNPcGoq8nJbWEE2R6bbtza0hmltCNIc8/DOyXEub5Zoi7z853dwaisw7LaEQrSEIhZyQO63uhEJOq4fbWkNt28LzoZM/Q4T72vXz7nS794TaB227UKVNoIYiv8bPENAn+zoLaBk8nv3CQqblD436doIaBOaHQCrwezMDWOXut7v7ZjN7HNgCtAB3uHtrF+sRkR5ydxqaWznW2MLxxlaON7ZEplvatTU0t9LQ3MqJd18hTrRpa2gO0dh2vqmVxkg4h6IYVMmJRnJiAkkJRlJiAglmJCZAgllkOvxKMCI/27ZFps1ISIDkhIR27zu5ro7LhtdnGGZEXoYRmSbSH/49dlrbqWWxcJ1t33fmdbVfX/fvC88nRBo6XV+4ilNOm+2s6WQNXbwFO321HTZjXfZ35py22812erKO05fqrNaz/YxOX0fhiPROKul7QV0VP7GLvuXA8n4sRyRmtIacow3N1NQ3UXPyZ30zR+qbOVrfxJH65nfba0+EQ/t4YwvHTrRwvKmlx8GbnGikJSdGXgmkvzudyLD0ZNKGpJKekkhaUiLpKYmkJiWQnBh5JRnJCQnhIH633d7tT0lMIOkM8ymR9yclnJo+Gean/xIVkc7FxbCtIrEsFHIOHW/iQO0JqupOUFXbyIHaRg7UnaCq9gQH6xqpaWjmyPFwWJ9JgsGw9GSyM1IYlp7MsPRkxmSnkZmSRGZqEkPSwj8zU5PISk0kMyWJrJPzaeHpjJREMlKSSExQiIrEKgW7SBS1hpzKow3sPVxP+eEG3omE94HaRqpqwz+rjzXS0smu9MjMFPKGppE7JJWinEyGRwJ7eEYkvDOSGZ6RQnZ6+OeQtCQSFMgig56CXaSXGltaKauuZ+fBY5QdOs6+w/XsO9zAviP17D/S0CG0h2ckM2poGnlD05g0agijhqaG54ekMWpoajjMs1JJSRoIj5kQkVijYBfpoboTzWw/cIydB4+xsyr8s7TqGHsP17c7dz0yM4WCERnMHDOMD8wcTeGIDAqHZ1A4Ip1RQ9NIS9ZTkkUkehTsIp04WNfI5oqjbK6oZUtFLZsrjlJ26NSdmSmJCYzLyWRa/lA+dGE+E/KymJCbRVFOJlmp+t9KRIKj30Ay6DU0tbJ+Xw1r9xzmzb01bKo4yoHaxnf7C4anMz1/KDfMKWDq6KFMzMuicHg6SYk6VC4iA4+CXQadg3WNrN1zmDfKjlCy5wib9x999zz4pLwsLpuQw7T8oUzPH8a0/KEMS08OuGIRkZ5TsEvcO97Ywqpdh3h5+0Fe2VHN7urjAKQmJXBhQTbLrhhPcdFw5owdTnZGSsDVioj0joJd4o67s+1AHX/cfpCXtx/kjd1HaGoNkZ6cyPwJI7lpXiHFRSOYkT9MV56LSNxRsEtcaG4NsXLnIX67qZIX3q569xz5lFFDuOWyIq6cnEtx0XBSk3RFuojENwW7xKymlhCvlVbz7MZKnt9ygKMNzWSmJHLF5FwWTcnlism5jB7WP89mFhEZKBTsElPcnfX7anhy3X6e3lDB0YZmhqQl8d6po3j/zNEsnJSj+8RFZFBTsEtMeOfoCZ5YV86T68rZefA4qUkJXDvjPJbMzueyiTk6xC4iEqFglwHL3Vm56xA/W7mH57ccoDXkXFw0nNsWjue6WaMZmqbb0ERETqdglwHnWGMLv1xXzk9X7mFH1TGyM5L588vHcdO8sRTlZAZdnojIgKZglwHj8PEmHnhtNw++XkbtiRZmjBnKt26cxYcuzNd5cxGRHlKwS+Aqahr471d28eiafTQ0t3Lt9PO47YrxzBmbjZmGIRURORsKdgnMO0dP8P0/bOcXa8txhyWzx/C5ReOZmDck6NJERGKWgl363dH6Zn7y8k4eeG037nDTvLEsu2I8BcMzgi5NRCTmKdil35xobuXB18v48Us7qT3RzEdmj+FL751M4QgFuohIX1GwS794cVsVf/erTZQfaeCqKbl8+doLmDp6aNBliYjEHQW7RNXBukb+6TdbeHpDBRPzsnjktktYMCEn6LJEROKWgl2iwt3535Jylj+7lYamVr60eDK3LxqvJ8SJiESZgl363K6Dx/jaLzeyatdh5hWN4Bs3zNCV7iIi/SSQYDezfwKWACGgCrjF3SsifXcBtwKtwBfc/bkgapSz19QS4r9e3sl/vFhKalIC/3LDTD5WXEhCgu5FFxHpL0HtsX/b3f8OwMy+APw9cLuZTQOWAtOBfGCFmU1299aA6pQe2nGgjjsfeZNtB+r4wKzRfP36aeQNTQu6LBGRQSeQYHf32jazmYBHppcAj7p7I7DbzEqBecDKfi5RzsKvN1TwlSfeIiMlkXs/XcziaaOCLklEZNAK7By7mS0HPg0cBa6KNI8BVrVZrDzS1tn7lwHLAMaOHRu9QuWMmltDfOPZrTzwWhlzzx/Ojz4+h/OGaS9dRCRICdFasZmtMLNNnbyWALj73e5eCDwM3HnybZ2syjtpw93vcfdidy/Ozc2Nzj9CzuhA7QluumcVD7xWxi0Livj5bZcq1EVEBoCo7bG7++IeLvoI8AzwdcJ76IVt+gqAij4uTXpp1a5D3PnImxxvbOH7S2ezZHanB1VERCQAUdtj74qZTWoz+yHg7cj008BSM0s1s3HAJGBNf9cnnXN37vnjTj5x72qGpiXx1J2XKdRFRAaYoM6xf9PMphC+3W0PcDuAu282s8eBLUALcIeuiB8YjjW28NePb+B3m9/h/TPO41s3zmJIWnLQZYmIyGmCuir+T7roWw4s78dypBuHjzdxywNr2FxRy93XTeXPF47TOOkiIgOUnjwnXXrn6Ak+dd9q9hyu578+OVe3somIDHAKdjmjPYeO84l7V3PkeBMPfmYe8yeMDLokERHphoJdOvX2O7V86r41tLSG+PmyS5lVkB10SSIi0gMKdulg3d4jfOaBN0hLTuDxz85n0igN4CIiEisU7NLOqzuqWfazEnKHpPLQrZdQOCIj6JJEROQsKNjlXSt3HuLPHnyD8TmZ/PTWeeQN0ZPkRERijYJdANhccZRlPy3h/BEZPLrsUrIzUoIuSUREzkEgT56TgWXvoXpuvv8NhqQl8dNb5ynURURimIJ9kDtY18in7l9NSyjET2+dx+hh6UGXJCIivaBgH8TqTjRzywNrqKpt5IFbLmZinq5+FxGJdQr2QaqxpZXP/mwt296p48efnMNFY4cHXZKIiPQBXTw3CLWGnP/32AZe33mI7370Qq6akhd0SSIi0ke0xz4I/fMzW3hmYyV3XzeVG+YUBF2OiIj0IQX7IPPU+v088FoZn7msiNuuGB90OSIi0scU7IPI9gN1fPWJjVxcNJyvXTc16HJERCQKFOyDxLHGFm5/aC2ZqUn88ONzSE7Uf3oRkXik3+6DgLvzlSfeoqz6OP9x00WMGqpHxYqIxCsF+yDwP6+X8cxblfzNNRdoTHURkTinYI9za/ccZvkzW3nvtFHcfqUulhMRiXcK9jhWfayROx5+kzHD0/nOn16ImQVdkoiIRJkeUBOnWkPOFx99kyP1TTz5FwsYlp4cdEkiItIPFOxx6scvlvJa6SG+deMspucPC7ocERHpJzoUH4e2VNTygxd28MEL8/locWHQ5YiISD9SsMeZppYQf/2/GxiWnsI/fmh60OWIiEg/CzTYzeyvzczNLKdN211mVmpm28zsmiDri0U/fLGULZW1fOMjMxiemRJ0OSIi0s8CO8duZoXAe4G9bdqmAUuB6UA+sMLMJrt7azBVxpZN+4/yoxdLueGiMbxv+nlBlyMiIgEIco/9e8CXAW/TtgR41N0b3X03UArMC6K4WNPY0spfPb6BnKwUvv5BHYIXERmsAgl2M/sQsN/dN5zWNQbY12a+PNLW2TqWmVmJmZUcPHgwSpXGjh/8YQfbDtTxzRtmMSxDt7aJiAxWUTsUb2YrgM6OB98NfA14X2dv66TNO2nD3e8B7gEoLi7udJnBYvuBOv7r5V3cOLeAqy7IC7ocEREJUNSC3d0Xd9ZuZjOBccCGyJPQCoB1ZjaP8B562/uzCoCKaNUYD9ydv39qE5mpSdz1/guCLkdERALW74fi3X2ju+e5e5G7FxEO8znu/g7wNLDUzFLNbBwwCVjT3zXGkqc3VLBq12G+fO0URmalBl2OiIgEbEA9ec7dN5vZ48AWoAW4Q1fEn1ndiWb++ZmtXFgwjKUXjw26HBERGQACD/bIXnvb+eXA8mCqiS3f+/0Oqo81ct/NxSQmaIAXERHRk+di1tbKWh5cWcbH541lVkF20OWIiMgAoWCPQe7O3/1qE8PSk/mba6YEXY6IiAwgCvYY9Ou3KinZc4SvXDuF7Aw9NlZERE5RsMeYppYQ33luGxecN4Qb52rkNhERaU/BHmMeWb2HvYfr+er7L9AFcyIi0oGCPYbUnWjmBy+UsmDCSK6cnBt0OSIiMgAp2GPIPX/cxeHjTXz1/RcQeWqfiIhIO2cV7GaWaWaJ0SpGzqyq9gT3vrKb62eN1u1tIiJyRl0Gu5klmNnHzewZM6sC3gYqzWyzmX3bzCb1T5ny73/YQUsopNvbRESkS93tsb8ITADuAs5z90J3zwMWAquAb5rZJ6Nc46C38+AxHntjH5+45HzOH5kZdDkiIjKAdfdI2cXu3nx6o7sfBp4AnjAzDf4dZd/9/XbSkhK48+qJQZciIiIDXHd77L+MHIo/425iZ8Evfae0qo5nN1Zy84IicjR6m4iIdKO7YP9v4IPAbjN7zMw+bGZ61Fk/+vGLO0lLSuTWy8cFXYqIiMSALoPd3Z9y95uA84EngZuBvWZ2v5m9tz8KHMz2HDrOUxsq+MQlYzXWuoiI9EiPbndz9wZ3f8zdPwK8D7gI+F1UKxN+8tJOEhOMZVeMD7oUERGJET0KdjMbZWafN7PXgF8BzwNzo1nYYLe/poEn1pWz9OJC8oamBV2OiIjEiC6vijez24CbgCmED8V/2d1f64/CBrv/fGknALdfOSHgSkREJJZ0d7vbAuCbwAp3D/VDPQIcqD3BYyX7uHFuAfnZ6UGXIyIiMaTLYHf3z5ycNrNZQFHb97j7k1GrbBC754+7aA05n7tS962LiMjZ6W6PHQAzux+YBWwGTu65O+HD89KHauqbeGT1XpZcmM/YkRlBlyMiIjGmR8EOXOru06JaiQDw8Oq9NDS38lmdWxcRkXPQ09HdVpqZgj3KmlpCPPh6GQsn5TDlvCFBlyMiIjGop3vsDxIO93eARsAAd/dZUatsEPrNWxVU1TXy7T+9MOhSREQkRvU02O8HPgVs5NQ5dulD7s69r+xmUl4WV0zKCbocERGJUT09FL/X3Z92993uvufk61w3amb/YGb7zWx95HVdm767zKzUzLaZ2TXnuo1Ys3LXIbZU1nLr5eMws6DLERGRGNXTPfa3zewR4NeED8UDvb7d7Xvu/p22DZHz+EuB6UA+sMLMJrt7ay+2ExPue2U3IzNT+PBFY4IuRUREYlhPgz2dcKC/r01bNG53WwI86u6NhEeUKwXmASv7eDsDyr7D9bywrYo7r5pIWnJi0OWIiEgM61Gwt31QTR+608w+DZQAf+XuR4AxwKo2y5RH2jows2XAMoCxY8dGobz+8/DqvSSY8fFLYvvfISIiwevyHLuZ/a2Zjeii/2ozu/4MfSvMbFMnryXAT4AJwGygEvi3k2/rZFXe2frd/R53L3b34tzc3K7+GQPaieZWHntjL4un5jF6mB4fKyIivdPdHvtG4NdmdgJYBxwE0oBJhEN5BfCNzt7o7ot7UoCZ/Tfwm8hsOVDYprsAqOjJemLVsxsrOVLfzKfnFwVdioiIxIEu99jd/Sl3vwy4nfDjZBOBWuAhYJ67f8ndD57tRs1sdJvZjwCbItNPA0vNLNXMxhH+A2LN2a4/lvxs1R7G52ayYMLIoEsREZE40NNz7DuAHX243W+Z2WzCh9nLgM9GtrPZzB4HtgAtwB3xfEX8pv1HeXNvDV//4DTd4iYiIn2ip1fF9yl3/1QXfcuB5f1YTmAeWrWH9OREbphTEHQpIiISJ3r6gBrpY8cbW/j1hgqunzWaYenJQZcjIiJxQsEekGfequR4UytL5xV2v7CIiEgP9XQ89nHA54Gitu9x9w9Fp6z491jJPibkZjJn7PCgSxERkTjS03PsvwLuI/xIWQ0C00ulVXWs3XOEr113gS6aExGRPtXTYD/h7j+IaiWDyGNv7CMpwXTRnIiI9LmeBvv3zezrwPO0HwRmXVSqimNNLSGeXLef90zNIycrNehyREQkzvQ02GcSHo/9ak4divfIvJyFF96u4tDxJj52sS6aExGRvtfTYP8IMN7dm6JZzGDw5LpycrJSuWJS7D7fXkREBq6e3u62AciOYh2DwpHjTby4rYols/NJStSdhiIi0vd6usc+CnjbzN6g/Tl23e52Fn6zsZLmVucjF3U6Eq2IiEiv9TTYvx7VKgaJX64rZ/KoLKbnDw26FBERiVNdBruZ/RB4xN1f7qd64lZZ9XHW7a3hK9fq3nUREYme7k707gD+zczKzOxfIyOyyTn45Zv7MYMPX5QfdCkiIhLHuhuP/fvuPh+4EjgMPGBmW83s781scr9UGAfcnV+t38/88SMZPSw96HJERCSO9ejSbHff4+7/6u4XAR8nfPvb1qhWFkc2lB9lz6F6PjxbF82JiEh09SjYzSzZzD5oZg8DvwW2A38S1criyG82VJCcaFwz/bygSxERkTjX3cVz7wVuAj4ArAEeBZa5+/F+qC0uhELOMxsruWJSLsMyNO66iIhEV3d77F8DVgJT3f2D7v6wQv3srNt7hMqjJ7j+wtFBlyIiIoNAl3vs7n5VfxUSr37zViUpSQksnjoq6FJERGQQ0HNNo6g1chj+qim5DEnTYXgREYk+BXsUrdl9mIN1jVw/S/eui4hI/1CwR9EzGytIT07kPVPzgi5FREQGCQV7lIRCzvObD3Dl5FwyUnr6SH4REZHeUbBHyfryGqrqGrlmhi6aExGR/hNYsJvZ581sm5ltNrNvtWm/y8xKI33XBFVfbz23+R2SEoyrpyjYRUSk/wRyjNjMrgKWALPcvdHM8iLt04ClwHQgH1hhZpPdvTWIOs+Ve/gw/PwJI/VQGhER6VdB7bF/DvimuzcCuHtVpH0J8Ki7N7r7bqAUmBdQjedsR9Uxdlcf5316hKyIiPSzoIJ9MrDQzFab2ctmdnGkfQywr81y5ZG2DsxsmZmVmFnJwYMHo1zu2Xlu0zsAvG+aDsOLiEj/itqheDNbAXS2y3p3ZLvDgUuBi4HHzWw8YJ0s752t393vAe4BKC4u7nSZoDy35R0uGpvNqKFpQZciIiKDTNSC3d0Xn6nPzD4HPOnuDqwxsxCQQ3gPvbDNogVARbRqjIbyI/Vs2l/LV99/QdCliIjIIBTUofhfAVcDmNlkIAWoBp4GlppZqpmNAyYRHlUuZjy/+QCAhmgVEZFABPXklPuB+81sE9AE3BzZe99sZo8DW4AW4I5YuyL+uc3vMHlUFuNyMoMuRUREBqFAgt3dm4BPnqFvObC8fyvqGzX1TbxRdpi/WDQx6FJERGSQ0pPn+tAfd1QTcrjqAj0bXkREgqFg70Mvvl3FiMwUZhdmB12KiIgMUgr2PtIacl7efpArJ+eSmNDZXXsiIiLRp2DvIxvKazh8vIlFU3KDLkVERAYxBXsfeentKhIMrpysYBcRkeAo2PvIC9uqmDN2ONkZKUGXIiIig5iCvQ9U1Z5g0/5aXQ0vIiKBU7D3gZe2hQehuWqKgl1ERIKlYO8DL7xdxXlD05g6ekjQpYiIyCCnYO+lppYQr5ZWc9UFuZjpNjcREQmWgr2XSvYc5lhjC4t0GF5ERAYABXsvvbqjmsQE47KJOUGXIiIiomDvrVdLq7moMJus1KAGyhMRETlFwd4LR443sXH/US6fpL11EREZGBTsvbBy1yHcYaGCXUREBggFey+8sqOarNQkZhVkB12KiIgIoGDvlddKq7l0/EiSE/UxiojIwKBEOkd7D9Wz93C9DsOLiMiAomA/R6+Uhh8jq9vcRERkIFGwn6PXSqsZPSyNCbmZQZciIiLyLgX7OWgNOa+VHuLyiTl6jKyIiAwoCvZzsGn/UY42NOv+dRERGXAU7Ofg1dJqABZMULCLiMjAomA/Byt3HuKC84aQOyQ16FJERETaCSTYzewxM1sfeZWZ2fo2fXeZWamZbTOza4KorytNLSFK9hzm0vEjgy5FRESkg0BGLnH3j52cNrN/A45GpqcBS4HpQD6wwswmu3trEHV2ZuP+Gk40h7h0/IigSxEREekg0EPxFr6k/KPAzyNNS4BH3b3R3XcDpcC8oOrrzKpdhwGYN0577CIiMvAEfY59IXDA3XdE5scA+9r0l0faOjCzZWZWYmYlBw8ejHKZp6zadYgpo4YwIjOl37YpIiLSU1ELdjNbYWabOnktabPYTZzaWwfo7KZw72z97n6Puxe7e3Fubm5fln5Gza0h1u45wiU6DC8iIgNU1M6xu/virvrNLAm4AZjbprkcKGwzXwBU9H1152bj/qPUN7XqwjkRERmwgjwUvxh4293L27Q9DSw1s1QzGwdMAtYEUl0nVr97fl177CIiMjAFclV8xFLaH4bH3Teb2ePAFqAFuGMgXRG/atchJuVlkZOl+9dFRGRgCizY3f2WM7QvB5b3bzXda2kNUVJ2mI/M6fRaPhERkQEh6KviY8amilqO6/y6iIgMcAr2Hlq96xCg8+siIjKwKdh7aM3uw4zPzSRvSFrQpYiIiJyRgr0HQiFn7d4jXHy+9tZFRGRgU7D3wK7qY9TUNzO3aHjQpYiIiHRJwd4DJWVHACg+X8EuIiIDm4K9B0r2HGFEZgrjcjKDLkVERKRLCvYeWLvnCHPGDic8GJ2IiMjApWDvRvWxRnZXH6dY59dFRCQGKNi7sXaPzq+LiEjsULB3Y+2eI6QkJjBjzLCgSxEREemWgr0bJWWHmVkwjLTkxKBLERER6ZaCvQsnmlvZtL9Wh+FFRCRmKNi7sHH/UZpaQ8xRsIuISIxQsHfh5IVzcxXsIiISIxTsXVi75wjjcjLJyUoNuhQREZEeUbCfgbvz5t4aLhqbHXQpIiIiPaZgP4PyIw1UH2vkosLsoEsRERHpMQX7GazfVwPA7EKdXxcRkdihYD+D9ftqSE1K4ILRQ4IuRUREpMcU7Gewfl8NM8YMIzlRH5GIiMQOpVYnmltDbNp/lNk6vy4iIjFGwd6JtyvraGwJKdhFRCTmKNg7sX5f+ME0CnYREYk1gQS7mc02s1Vmtt7MSsxsXpu+u8ys1My2mdk1QdT35r4acrJSKBieHsTmRUREzllSQNv9FvD/3f23ZnZdZH6RmU0DlgLTgXxghZlNdvfW/ixuw74aZhdmY2b9uVkREZFeCyrYHRgamR4GVESmlwCPunsjsNvMSoF5wMquVlZXV8dLL73Urq2goICJEyfS0tLCq6++2uE9RUVFFBUV0djYyMqVp1Zf1+TsOljPleOyAKivr2fNmjUd3j958mTy8/Opq6tj7dq1HfqnTp3KqFGjqKmpYf369R36Z8yYQU5ODtXV1WzatKlD/+zZs8nOzubAgQNs3bq1Q//cuXMZMmQIFRUVbN++vUP/vHnzyMjIYN++fezcubND//z580lNTaWsrIyysrIO/ZdffjlJSUmUlpZSXl7eoX/RokUAbNu2jcrKynZ9iYmJLFy4EIAtW7ZQVVXVrj8lJYUFCxYAsHHjRg4dOtSuPz09nUsuuQSA9evXU1NT064/KyuL4uJiAEpKSjh27Fi7/uzsbGbPng3A6tWraWhoaNc/cuRIZs6cCcDrr79OU1NTu/68vDymTZsGwCuvvEJra/u/K0ePHs2UKVMAOnzv4Ny/eydNmDCBwsJCfff03dN37zT67p35u9dWUMH+l8BzZvYdwqcDFkTaxwCr2ixXHmnrwMyWAcsAxo0b12eFNbY6F+Ymsmj8sD5bp4iISH8xd4/Ois1WAOd10nU38B7gZXd/wsw+Cixz98Vm9iNgpbs/FFnHfcCz7v5EV9sqLi72kpKSPv4XiIiIDFxmttbdi09vj9oeu7sv7qKYnwJfjMz+L3BvZLocKGyzaAGnDtOLiIhIN4K63a0CuDIyfTWwIzL9NLDUzFLNbBwwCeh4okdEREQ6FdQ59tuA75tZEnCCyLlyd99sZo8DW4AW4I7+viJeREQklgUS7O7+KjD3DH3LgeX9W5GIiEh80JPnRERE4oiCXUREJI4o2EVEROKIgl1ERCSORO0BNf3JzA4Ce/p4tTlAdR+vc7DRZ9h7+gx7T59h7+kz7L1ofIbnu3vu6Y1xEezRYGYlnT3RR3pOn2Hv6TPsPX2GvafPsPf68zPUoXgREZE4omAXERGJIwr2M7sn6ALigD7D3tNn2Hv6DHtPn2Hv9dtnqHPsIiIicUR77CIiInFEwS4iIhJHFOynMbNrzWybmZWa2VeDrifWmFmhmb1oZlvNbLOZfTHommKVmSWa2Ztm9puga4lVZpZtZr8ws7cj38n5QdcUa8zsS5H/lzeZ2c/NLC3omgY6M7vfzKrMbFObthFm9nsz2xH5OTxa21ewt2FmicCPgPcD04CbzGxasFXFnBbgr9x9KnApcIc+w3P2RWBr0EXEuO8Dv3P3C4AL0ed5VsxsDPAFoNjdZwCJwNJgq4oJ/wNce1rbV4E/uPsk4A+R+ahQsLc3Dyh1913u3gQ8CiwJuKaY4u6V7r4uMl1H+BfpmGCrij1mVgB8ALg36FpilZkNBa4A7gNw9yZ3rwm0qNiUBKSbWRKQAVQEXM+A5+5/BA6f1rwEeDAy/SDw4WhtX8He3hhgX5v5chRK58zMioCLgNUBlxKL/h34MhAKuI5YNh44CDwQOaVxr5llBl1ULHH3/cB3gL1AJXDU3Z8PtqqYNcrdKyG8AwTkRWtDCvb2rJM23Q94DswsC3gC+Et3rw26nlhiZtcDVe6+NuhaYlwSMAf4ibtfBBwnioc/41HkPPASYByQD2Sa2SeDrUq6o2BvrxwobDNfgA47nTUzSyYc6g+7+5NB1xODLgM+ZGZlhE8HXW1mDwVbUkwqB8rd/eQRo18QDnrpucXAbnc/6O7NwJPAgoBrilUHzGw0QORnVbQ2pGBv7w1gkpmNM7MUwheJPB1wTTHFzIzwOc2t7v7doOuJRe5+l7sXuHsR4e/gC+6uvaSz5O7vAPvMbEqk6T3AlgBLikV7gUvNLCPy//Z70AWI5+pp4ObI9M3AU9HaUFK0VhyL3L3FzO4EniN89ef97r454LJizWXAp4CNZrY+0vY1d382uJJkEPs88HDkD/VdwGcCriemuPtqM/sFsI7wHS9vosfLdsvMfg4sAnLMrBz4OvBN4HEzu5XwH0x/GrXt65GyIiIi8UOH4kVEROKIgl1ERCSOKNhFRETiiIJdREQkjijYRURE4oiCXSROmdlIM1sfeb1jZvsj08fM7MdR2uZfmtmn+2A9j5rZpL6oSWSw0e1uIoOAmf0DcMzdvxPFbSQRvt95jru39HJdVwKfdPfb+qQ4kUFEe+wig4yZLTo5xruZ/YOZPWhmz5tZmZndYGbfMrONZva7yOOBMbO5Zvayma01s+dOPhrzNFcD606Gupm9ZGbfM7M/RsZCv9jMnoyMR/3PkWUyzewZM9sQGe/7Y5F1vQIsjvyxICJnQcEuIhMIDxG7BHgIeNHdZwINwAci4f4fwI3uPhe4H1jeyXouA04fuKbJ3a8A/pPwIzTvAGYAt5jZSMJjVle4+4WR8b5/B+DuIaCU8BjqInIWFOwi8tvIAB8bCT9K+XeR9o1AETCFcBj/PvKY4L8lPEDS6UYTHia1rZNjLWwENrt7pbs3En68a2GkfbGZ/auZLXT3o23eW0V4RDEROQs6zCUijRDeSzazZj914U2I8O8IIxzK87tZTwOQ1tm6I+tqbNMeApLcfbuZzQWuA/7FzJ5393+MLJMWWaeInAXtsYtId7YBuWY2H8LD8prZ9E6W2wpMPJsVm1k+UO/uDwHfof2wqpMBDcIkcpa0xy4iXXL3JjO7EfiBmQ0j/Hvj3+kYur8FfnaWq58JfNvMQkAz8DkAMxsFNLh7ZW9qFxmMdLubiPQZM/sl8GV339HL9XwJqHX3+/qmMpHBQ4fiRaQvfZXwRXS9VQM82AfrERl0tMcuIiISR7THLiIiEkcU7CIiInFEwS4iIhJHFOwiIiJxRMEuIiISR/4PPFSbtxgb0LUAAAAASUVORK5CYII=\n", "text/plain": [ "
" ] @@ -691,6 +691,7 @@ "fig = plt.figure(figsize=(8, 4))\n", "\n", "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", "ax.set_ylabel('Vm (mV)')\n", "kw = dict(color='#aaa', ls='--')\n", "ax.axhline(m.get('cell.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", @@ -739,7 +740,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index a8f06f9..74040d7 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -5,7 +5,7 @@ "id": "cf0eda98", "metadata": {}, "source": [ - "# Modelling patch-clamp experiments: compensation\n", + "# Modelling patch-clamp experiments: electronic compensation\n", "\n", "In the [last notebook](./artefacts-1-modelling-patch-clamp.ipynb), we introduced an electrical schematic that can serve as a model of the patch-clamp set up, with distortions by leak, offsets, and unwanted capacitances.\n", "To compensate for these unwanted effects, patch-clamp amplifiers contain special circuitry.\n", @@ -233,7 +233,7 @@ "id": "05b12cd2", "metadata": {}, "source": [ - "\n", + "\n", "\n", "_**Figure 4**: A simplified schematic for the steady-state with series resistance compensation._" ] @@ -246,43 +246,31 @@ "Using $\\beta$ for the fraction of $V_\\text{out}$ fed into $V_\\text{ref}$ we find\n", "\n", "\\begin{align}\n", - "V_\\text{out} = V_o - V_\\text{ref}\n", - " = (V_p + IR_f) - V_\\text{ref}\n", - " = IR_f\n", - "\\end{align}\n", - "and\n", - "\\begin{align}\n", - "V_\\text{ref} = V_c + \\beta V_\\text{out} = V_c + \\beta IR_f\n", + "V_\\text{ref} = V_c + \\beta V_\\text{out} = V_c + \\beta R_f I_\\text{obs}\n", "\\end{align}\n", "for\n", "\\begin{align}\n", - "V_m = V_p - R_s I = V_c + (\\beta R_f - R_s) I\n", + "V_m &= V_p - R_s I \\\\\n", + " &\\approx V_\\text{ref} - R_s I \\\\\n", + " &= V_c + \\beta R_f I_\\text{obs} - R_s I \\\\\n", + " &\\approx V_c + (\\beta R_f - R_s) I\n", "\\end{align}\n", "\n", + "where we assume first a perfect op amp ($V_p = V_\\text{ref}$) and then a perfect measurement ($I = I_\\text{obs}$).\n", + "The error in the voltage is given by\n", + "$V_m - V_c \\approx (\\beta R_f - R_s) I$\n", "so if we can choose $\\beta R_f = R_s$ we can compensate for the voltage drop over $R_s$ entirely.\n", + "\n", "In our model, we will assume that the feed-forward rate is set based on an estimate of the series resistance $R_s^*$ and a _fractional compensation_ rate $\\alpha$:\n", "\n", "\\begin{align}\n", - "V_\\text{ref} = V_c + \\alpha R_s^* I\n", + "V_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs}\n", "\\end{align}\n", "\n", "In practice, the feed-forward system is a bit more complicated, and the whole system once again acts as an oscillator with a damping factor dependent on $\\alpha$.\n", "To avoid \"ringing\", $\\alpha$ is usually limited to about 70 or 80%." ] }, - { - "cell_type": "markdown", - "id": "15d76766", - "metadata": {}, - "source": [ - "Outside of steady state, $I$ is contaminated by the various capacitative current, and so the final equation becomes\n", - "\n", - "\\begin{align}\n", - "V_\\text{ref} = V_c + \\alpha R_s^* I_\\text{out}\n", - " = V_c + \\alpha R_s^* \\frac{V_o - V_\\text{ref}}{R_f}\n", - "\\end{align}" - ] - }, { "cell_type": "markdown", "id": "fc428a62", @@ -293,7 +281,7 @@ "To add a bit more realism, we follow [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) in incorporating the finite speed of the summing amplifier as\n", "\n", "\\begin{align}\n", - "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^*/R_f(V_o - V_\\text{ref}) - V_\\text{ref}}{\\tau_\\text{sum}}\n", + "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^*I_\\text{obs} - V_\\text{ref}}{\\tau_\\text{sum}}\n", "\\end{align}\n", "\n", "where $\\tau_\\text{sum} \\approx 0.04$ ms." @@ -326,7 +314,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 1, "id": "775d4495", "metadata": {}, "outputs": [], @@ -381,7 +369,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 2, "id": "177a0f1f", "metadata": {}, "outputs": [], @@ -393,7 +381,7 @@ }, { "cell_type": "code", - "execution_count": 22, + "execution_count": 3, "id": "dbea8388", "metadata": {}, "outputs": [], @@ -406,7 +394,7 @@ }, { "cell_type": "code", - "execution_count": 23, + "execution_count": 4, "id": "2a831ee3", "metadata": {}, "outputs": [ @@ -434,7 +422,7 @@ }, { "cell_type": "code", - "execution_count": 34, + "execution_count": 5, "id": "5d429877", "metadata": {}, "outputs": [ @@ -507,9 +495,9 @@ "id": "f43319ae", "metadata": {}, "source": [ - "\n", + "\n", "\n", - "_**Figure 3**: It's figure 3 again!_" + "_**Figure 5**: The full model with compensation._" ] }, { @@ -526,51 +514,233 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "C3. && \\dot{V}_o = \\frac{V_\\text{ref} - V_p}{\\tau_a}\n", + "C3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C4. && I_\\text{obs} = \\frac{V_o - V_\\text{ref}}{R_f}\n", + "C4. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C5. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^*/R_f(V_o - V_\\text{ref}) - V_\\text{ref}\n", + "C5. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^*I_\\text{obs} - V_\\text{ref}\n", "\\end{align}" ] }, { - "cell_type": "code", - "execution_count": null, - "id": "6d306074", + "cell_type": "markdown", + "id": "3e18bb3d", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "### Simulations\n", + "\n", + "As before, we can code this up in Myokit and simulate a voltage step:" + ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "id": "5b668a14", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'myokit' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn [1], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m m \u001b[38;5;241m=\u001b[39m \u001b[43mmyokit\u001b[49m\u001b[38;5;241m.\u001b[39mparse_model(\u001b[38;5;124m'''\u001b[39m\n\u001b[1;32m 2\u001b[0m \u001b[38;5;124m[[model]]\u001b[39m\n\u001b[1;32m 3\u001b[0m \u001b[38;5;124mcell.Vm = -80\u001b[39m\n\u001b[1;32m 4\u001b[0m \u001b[38;5;124mamp.Vp = -80\u001b[39m\n\u001b[1;32m 5\u001b[0m \u001b[38;5;124mamp.Vo = -80\u001b[39m\n\u001b[1;32m 6\u001b[0m \u001b[38;5;124mamp.Vr = -80\u001b[39m\n\u001b[1;32m 7\u001b[0m \n\u001b[1;32m 8\u001b[0m \u001b[38;5;124m[engine]\u001b[39m\n\u001b[1;32m 9\u001b[0m \u001b[38;5;124mtime = 0 [ms]\u001b[39m\n\u001b[1;32m 10\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 11\u001b[0m \u001b[38;5;124m bind time\u001b[39m\n\u001b[1;32m 12\u001b[0m \n\u001b[1;32m 13\u001b[0m \u001b[38;5;124m[cell]\u001b[39m\n\u001b[1;32m 14\u001b[0m \u001b[38;5;124muse amp.Rs, amp.Vp\u001b[39m\n\u001b[1;32m 15\u001b[0m \u001b[38;5;124muse amp.E_off, amp.E_leak, amp.R_leak\u001b[39m\n\u001b[1;32m 16\u001b[0m \u001b[38;5;124mCm = 20 [pF]\u001b[39m\n\u001b[1;32m 17\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 18\u001b[0m \u001b[38;5;124m desc: The cell capacitance\u001b[39m\n\u001b[1;32m 19\u001b[0m \u001b[38;5;124mI = 0 [pA]\u001b[39m\n\u001b[1;32m 20\u001b[0m \u001b[38;5;124m in [pA]\u001b[39m\n\u001b[1;32m 21\u001b[0m \u001b[38;5;124m desc: The native transmembrane current\u001b[39m\n\u001b[1;32m 22\u001b[0m \n\u001b[1;32m 23\u001b[0m \u001b[38;5;124m# Equation 1\u001b[39m\n\u001b[1;32m 24\u001b[0m \u001b[38;5;124mdot(Vm) = ((Vp + E_off - Vm) / Rs - (Vm - E_leak) / R_leak - I) / Cm\u001b[39m\n\u001b[1;32m 25\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 26\u001b[0m \u001b[38;5;124m desc: The membrane potential.\u001b[39m\n\u001b[1;32m 27\u001b[0m \n\u001b[1;32m 28\u001b[0m \u001b[38;5;124m[amp]\u001b[39m\n\u001b[1;32m 29\u001b[0m \u001b[38;5;124muse cell.Vm\u001b[39m\n\u001b[1;32m 30\u001b[0m \u001b[38;5;124mVc = -20 [mV]\u001b[39m\n\u001b[1;32m 31\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 32\u001b[0m \u001b[38;5;124m desc: The command potential, i.e. the intended voltage clamp potential.\u001b[39m\n\u001b[1;32m 33\u001b[0m \u001b[38;5;124mE_off = 0 [mV]\u001b[39m\n\u001b[1;32m 34\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 35\u001b[0m \u001b[38;5;124m desc: The error in the voltage offset zeroing.\u001b[39m\n\u001b[1;32m 36\u001b[0m \u001b[38;5;124mRs = 0.01 [GOhm]\u001b[39m\n\u001b[1;32m 37\u001b[0m \u001b[38;5;124m in [GOhm]\u001b[39m\n\u001b[1;32m 38\u001b[0m \u001b[38;5;124m desc: The series (or access) resistance. Note that this is in GOhm.\u001b[39m\n\u001b[1;32m 39\u001b[0m \u001b[38;5;124mRs_est = 0.009 [GOhm]\u001b[39m\n\u001b[1;32m 40\u001b[0m \u001b[38;5;124m in [GOhm]\u001b[39m\n\u001b[1;32m 41\u001b[0m \u001b[38;5;124m desc: The estimated Rs, used in Rs compensation.\u001b[39m\n\u001b[1;32m 42\u001b[0m \u001b[38;5;124malpha = 0.7\u001b[39m\n\u001b[1;32m 43\u001b[0m \u001b[38;5;124m desc: The applied fraction of Rs compensation.\u001b[39m\n\u001b[1;32m 44\u001b[0m \u001b[38;5;124mRf = 0.025 [GOhm]\u001b[39m\n\u001b[1;32m 45\u001b[0m \u001b[38;5;124m in [GOhm]\u001b[39m\n\u001b[1;32m 46\u001b[0m \u001b[38;5;124m desc: The used feedback resistance. Depends on the amplifier and its gain settings.\u001b[39m\n\u001b[1;32m 47\u001b[0m \u001b[38;5;124mCf = 0.3 [pF]\u001b[39m\n\u001b[1;32m 48\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 49\u001b[0m \u001b[38;5;124m desc: The stray or feedback capacitance. Depends on the amplifier and its gain settings.\u001b[39m\n\u001b[1;32m 50\u001b[0m \u001b[38;5;124mCp = 4.5 [pF]\u001b[39m\n\u001b[1;32m 51\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 52\u001b[0m \u001b[38;5;124m desc: The true pipette capacitance.\u001b[39m\n\u001b[1;32m 53\u001b[0m \u001b[38;5;124mCp_est = 4.2 [pF]\u001b[39m\n\u001b[1;32m 54\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 55\u001b[0m \u001b[38;5;124m desc: The estimated pipette capacitance, used in C-fast compensation.\u001b[39m\n\u001b[1;32m 56\u001b[0m \u001b[38;5;124mCm_est = 103 [pF]\u001b[39m\n\u001b[1;32m 57\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 58\u001b[0m \u001b[38;5;124m desc: The estimated cell capacitance, used in C-slow compensation.\u001b[39m\n\u001b[1;32m 59\u001b[0m \u001b[38;5;124mtau_amp = 50e-6 [ms]\u001b[39m\n\u001b[1;32m 60\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 61\u001b[0m \u001b[38;5;124m desc: The time-constant of the measuring op-amp.\u001b[39m\n\u001b[1;32m 62\u001b[0m \u001b[38;5;124mtau_sum = 40e-3 [ms]\u001b[39m\n\u001b[1;32m 63\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 64\u001b[0m \u001b[38;5;124m desc: The time-constant of the summing op-amp used in Rs compensation.\u001b[39m\n\u001b[1;32m 65\u001b[0m \u001b[38;5;124mE_leak = 0 [mV]\u001b[39m\n\u001b[1;32m 66\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 67\u001b[0m \u001b[38;5;124m desc: The reversal potential of the leak current.\u001b[39m\n\u001b[1;32m 68\u001b[0m \u001b[38;5;124mR_leak = 1 [GOhm]\u001b[39m\n\u001b[1;32m 69\u001b[0m \u001b[38;5;124m desc: The seal resistance. Note that this is in GOhm.\u001b[39m\n\u001b[1;32m 70\u001b[0m \u001b[38;5;124m in [GOhm]\u001b[39m\n\u001b[1;32m 71\u001b[0m \n\u001b[1;32m 72\u001b[0m \u001b[38;5;124m# Equation 2\u001b[39m\n\u001b[1;32m 73\u001b[0m \u001b[38;5;124mdot(Vp) = ((Vo - Vp) / Rf + Cf * dot(Vo) - (Vp + E_off - Vm) / Rs + (Cp_est + Cm_est) * dot(Vr)) / (Cf + Cp)\u001b[39m\n\u001b[1;32m 74\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 75\u001b[0m \u001b[38;5;124m desc: Pipette voltage (before the voltage drop over the series resistance).\u001b[39m\n\u001b[1;32m 76\u001b[0m \n\u001b[1;32m 77\u001b[0m \u001b[38;5;124m# Equation 3\u001b[39m\n\u001b[1;32m 78\u001b[0m \u001b[38;5;124mdot(Vo) = (Vr - Vp) / tau_amp\u001b[39m\n\u001b[1;32m 79\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 80\u001b[0m \u001b[38;5;124m desc: The voltage at the measuring op-amp output\u001b[39m\n\u001b[1;32m 81\u001b[0m \n\u001b[1;32m 82\u001b[0m \u001b[38;5;124m# Equation 4\u001b[39m\n\u001b[1;32m 83\u001b[0m \u001b[38;5;124mI_obs = (Vo - Vr) / Rf\u001b[39m\n\u001b[1;32m 84\u001b[0m \u001b[38;5;124m in [pA]\u001b[39m\n\u001b[1;32m 85\u001b[0m \u001b[38;5;124m desc: The reported current.\u001b[39m\n\u001b[1;32m 86\u001b[0m \u001b[38;5;124m \u001b[39m\n\u001b[1;32m 87\u001b[0m \u001b[38;5;124m# Equation 5\u001b[39m\n\u001b[1;32m 88\u001b[0m \u001b[38;5;124mdot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum\u001b[39m\n\u001b[1;32m 89\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 90\u001b[0m \u001b[38;5;124m desc: The reference potential, i.e. the command potential with series resistance compensation added.\u001b[39m\n\u001b[1;32m 91\u001b[0m \u001b[38;5;124m'''\u001b[39m)\n\u001b[1;32m 92\u001b[0m m\u001b[38;5;241m.\u001b[39mcheck_units(myokit\u001b[38;5;241m.\u001b[39mUNIT_STRICT)\n", + "\u001b[0;31mNameError\u001b[0m: name 'myokit' is not defined" + ] + } + ], + "source": [ + "m = myokit.parse_model('''\n", + "[[model]]\n", + "cell.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms]\n", + " in [ms]\n", + " bind time\n", + "\n", + "[cell]\n", + "use amp.Rs, amp.Vp\n", + "use amp.E_off, amp.E_leak, amp.R_leak\n", + "Cm = 20 [pF]\n", + " in [pF]\n", + " desc: The cell capacitance\n", + "I = 0 [pA]\n", + " in [pA]\n", + " desc: The native transmembrane current\n", + "\n", + "# Equation 1\n", + "dot(Vm) = ((Vp + E_off - Vm) / Rs - (Vm - E_leak) / R_leak - I) / Cm\n", + " in [mV]\n", + " desc: The membrane potential.\n", + "\n", + "[amp]\n", + "use cell.Vm\n", + "Vc = -20 [mV]\n", + " in [mV]\n", + " desc: The command potential, i.e. the intended voltage clamp potential.\n", + "E_off = 0 [mV]\n", + " in [mV]\n", + " desc: The error in the voltage offset zeroing.\n", + "Rs = 0.01 [GOhm]\n", + " in [GOhm]\n", + " desc: The series (or access) resistance. Note that this is in GOhm.\n", + "Rs_est = 0.009 [GOhm]\n", + " in [GOhm]\n", + " desc: The estimated Rs, used in Rs compensation.\n", + "alpha = 0.7\n", + " desc: The applied fraction of Rs compensation.\n", + "Rf = 0.025 [GOhm]\n", + " in [GOhm]\n", + " desc: The used feedback resistance. Depends on the amplifier and its gain settings.\n", + "Cf = 0.3 [pF]\n", + " in [pF]\n", + " desc: The stray or feedback capacitance. Depends on the amplifier and its gain settings.\n", + "Cp = 4.5 [pF]\n", + " in [pF]\n", + " desc: The true pipette capacitance.\n", + "Cp_est = 4.2 [pF]\n", + " in [pF]\n", + " desc: The estimated pipette capacitance, used in C-fast compensation.\n", + "Cm_est = 103 [pF]\n", + " in [pF]\n", + " desc: The estimated cell capacitance, used in C-slow compensation.\n", + "tau_amp = 50e-6 [ms]\n", + " in [ms]\n", + " desc: The time-constant of the measuring op-amp.\n", + "tau_sum = 40e-3 [ms]\n", + " in [ms]\n", + " desc: The time-constant of the summing op-amp used in Rs compensation.\n", + "E_leak = 0 [mV]\n", + " in [mV]\n", + " desc: The reversal potential of the leak current.\n", + "R_leak = 1 [GOhm]\n", + " desc: The seal resistance. Note that this is in GOhm.\n", + " in [GOhm]\n", + "\n", + "# Equation 2\n", + "dot(Vp) = ((Vo - Vp) / Rf + Cf * dot(Vo) - (Vp + E_off - Vm) / Rs + (Cp_est + Cm_est) * dot(Vr)) / (Cf + Cp)\n", + " in [mV]\n", + " desc: Pipette voltage (before the voltage drop over the series resistance).\n", + "\n", + "# Equation 3\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + " desc: The voltage at the measuring op-amp output\n", + "\n", + "# Equation 4\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + " desc: The reported current.\n", + " \n", + "# Equation 5\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum\n", + " in [mV]\n", + " desc: The reference potential, i.e. the command potential with series resistance compensation added.\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] }, { - "cell_type": "markdown", - "id": "41060279", + "cell_type": "code", + "execution_count": 2, + "id": "aa002393", "metadata": {}, - "source": [] + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'myokit' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn [2], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m s \u001b[38;5;241m=\u001b[39m \u001b[43mmyokit\u001b[49m\u001b[38;5;241m.\u001b[39mSimulation(m)\n\u001b[1;32m 2\u001b[0m d \u001b[38;5;241m=\u001b[39m s\u001b[38;5;241m.\u001b[39mrun(\u001b[38;5;241m10\u001b[39m)\n", + "\u001b[0;31mNameError\u001b[0m: name 'myokit' is not defined" + ] + } + ], + "source": [ + "s = myokit.Simulation(m)\n", + "d = s.run(10)" + ] }, { - "cell_type": "markdown", - "id": "bdc57980", + "cell_type": "code", + "execution_count": 3, + "id": "88e8b7ff", "metadata": {}, - "source": [] + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'plt' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn [3], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m fig \u001b[38;5;241m=\u001b[39m \u001b[43mplt\u001b[49m\u001b[38;5;241m.\u001b[39mfigure(figsize\u001b[38;5;241m=\u001b[39m(\u001b[38;5;241m15\u001b[39m, \u001b[38;5;241m10\u001b[39m))\n\u001b[1;32m 3\u001b[0m ax \u001b[38;5;241m=\u001b[39m fig\u001b[38;5;241m.\u001b[39madd_subplot(\u001b[38;5;241m2\u001b[39m, \u001b[38;5;241m2\u001b[39m, \u001b[38;5;241m1\u001b[39m)\n\u001b[1;32m 4\u001b[0m ax\u001b[38;5;241m.\u001b[39mset_ylabel(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mVm (mV)\u001b[39m\u001b[38;5;124m'\u001b[39m)\n", + "\u001b[0;31mNameError\u001b[0m: name 'plt' is not defined" + ] + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 10))\n", + "\n", + "ax = fig.add_subplot(2, 2, 1)\n", + "ax.set_ylabel('Vm (mV)')\n", + "kw = dict(color='#aaa', ls='--')\n", + "ax.axhline(m.get('cell.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + "ax.plot(d.time(), d['cell.Vm'])\n", + "\n", + "ax = fig.add_subplot(2, 2, 2)\n", + "ax.set_ylabel('Vo (mV)')\n", + "ax.plot(d.time(), d['amp.Vo'])\n", + "ins = ax.inset_axes((0.3, 0.15, 0.65, 0.55))\n", + "ins.set_xlabel('Time (ms)')\n", + "ins.set_ylabel('Vo (mV)')\n", + "ins.plot(d.time(), d['amp.Vo'])\n", + "ins.set_xlim(-0.001, 0.02)\n", + "ins.set_ylim(-400, -50)\n", + "\n", + "ax = fig.add_subplot(2, 2, 3)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Vp (mV)')\n", + "ax.plot(d.time(), d['amp.Vp'])\n", + "ins = ax.inset_axes((0.3, 0.15, 0.65, 0.55))\n", + "ins.set_xlabel('Time (ms)')\n", + "ins.set_ylabel('Vp (mV)')\n", + "ins.plot(d.time(), d['amp.Vp'])\n", + "ins.set_xlim(-0.001, 0.02)\n", + "ins.set_ylim(-85, -65)\n", + "\n", + "ax = fig.add_subplot(2, 2, 4)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Recorded I (pA)')\n", + "ax.plot(d.time(), d['amp.I_obs'])\n", + "ins = ax.inset_axes((0.3, 0.15, 0.65, 0.55))\n", + "ins.set_xlabel('Time (ms)')\n", + "ins.set_ylabel('Recorded I (pA)')\n", + "ins.plot(d.time(), d['amp.I_obs'])\n", + "ins.set_xlim(-0.001, 0.02)\n", + "\n", + "plt.show()" + ] }, { "cell_type": "markdown", "id": "8867cc65", "metadata": {}, - "source": [] + "source": [ + "This shows some pretty interesting behaviour: " + ] }, { "cell_type": "markdown", @@ -645,7 +815,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/artefacts-3-simplified.ipynb b/artefacts/artefacts-3-simplified.ipynb index 88ceb30..5a3d300 100644 --- a/artefacts/artefacts-3-simplified.ipynb +++ b/artefacts/artefacts-3-simplified.ipynb @@ -7,15 +7,47 @@ "source": [ "# Modelling patch-clamp experiments: simplified models\n", "\n", - "In the [last notebook](./artefacts-2-compensation.ipynb) we presented a model of patch-clamp experiments, including various corrections.\n", - "In this notebook, we show how the model can be simplified." + "In the [previous notebook](./artefacts-2-compensation.ipynb) we presented an update model of patch-clamp experiments that included electronic compensation.\n", + "Here, we show how this model can be simplified by omitting the fastest processes.\n", + "\n", + "We start from the main schematic and equations." + ] + }, + { + "cell_type": "markdown", + "id": "18a35d71", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 1**: The full patch-clamp schematic_" ] }, { "cell_type": "markdown", "id": "15d7b73b", "metadata": {}, - "source": [] + "source": [ + "\\begin{align}\n", + "C1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C3. && \\dot{V}_o = \\frac{V_\\text{ref} - V_p}{\\tau_a}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C4. && I_\\text{obs} = \\frac{V_o - V_\\text{ref}}{R_f}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C5. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^*\\frac{V_o - V_\\text{ref}}{R_f} - V_\\text{ref}\n", + "\\end{align}" + ] }, { "cell_type": "code", diff --git a/artefacts/resources/patch-comp-3b-Rs.png b/artefacts/resources/patch-comp-4-Rs.png similarity index 100% rename from artefacts/resources/patch-comp-3b-Rs.png rename to artefacts/resources/patch-comp-4-Rs.png diff --git a/artefacts/resources/patch-comp-5-full.png b/artefacts/resources/patch-comp-5-full.png new file mode 100644 index 0000000000000000000000000000000000000000..b5d14cc1fc965c2fdfb28a0b1339629cea88a7a7 GIT binary patch literal 16248 zcma*OcRZW#7dIZ%E?SD(Rjam&QM*-2C|b2Qt=OZaVz25@YQ&6L8q}t-XR5^q35~r) zmDr-x9?#wH?~mW>`S1DT4k5Yk>pItU&hK8cj!(KXS<&lgAq#sh?QdFF%-DYX&|^-! z@{vwq6MvJH>(S?@p8P$`T*3jLe?;5XI%w(L*D9iQihFeZiAg`X%He6RKh<03(?P?T zQ-53kyZ(bnm+dI$ojn+mV^C@0rY`5z910kv8AUbOXYA%kJk^Q~#d~LE5HUxe8o~}b zBu^wej}nM%z-%CoY8GmJ2?YzL;z@of;xL@0MeUH?jPxa_4F+M&Z~#al2Xn8m5W^l!{8?fz9yWzZgil2;7L4X>nA41p9gr~2cmh&B|YAkv@`d$JtU z5fT}OShL6}m-Z=y4>mIxf;#$P*9MECCxE*THmm-Y43cAf26dg)_DU zU)`=yPyb2vWIwbDS(&;|=G%m9&p!a!8YYis)qI$nFSS~IWDF+gIcR`qL|C1Rt?Pc` zAkY=@spix@&bD$a55_@LGAyXs|2*n&m^az~Iz)&3bCg$9GY4aDbH#7kdDCTS=s~O4 zU^RtViTF*T;1;>+l}%j>dtL_}NOh5D%pT4&I7@w?Ie7QV&iZqP?D6ef58Zq+A2OcF zB!W4}r+95IY%4GW3{1YPF1_wy^K%dEW+#4cBuZ#`LC{T_!0EtGx++5#h{s+`CVgu; zV`nH<(I5VcnZx8^n893WQ~%`*sOJ%EO%oD%`=AuIWZ2D0y?Hoq!J}7Tl7uf8DUhOj zm6IQQdgG`R73FyXUeEasn6d1<8*8$Smw#z&%mwMpkh2<&T%Ek{Ag#%_ri~fAPg`R^ z^$Q*}h_#bPKlca@t9$!!#`Q{ve-MtPt(*mteSL*Zk!&91odlC0*>}Od1YxcaLNxQ& zzFvK^&W$kBmF=oXa>j^379cIpj2+*8_pf>YxJY^Vc@z_N(+UJxAXm(`l!n+-fN`GD zsGX=jXoFnJH)ZOp3g{BYbMBMyn5xh4C~H}cOn-)Nz$5z?5iP>)Qnw|-!JL!iM|aj{ zMKES=@m>OmJo4hKsWH}^kSj<3VWspUUPy5vr`#Q)QHnGae{%*@0Mj^oQ?v-S+v&J^ zQ)kki@yQ6Tg)%uj4c09Gxq(qaJj%9Iefh*#hv0il#9Y~*L1)+y(-C9IJGjhaM5@w+ z9ypm2g~G1Jr^47}sP72`zvvH(DGfTOc}~upKs7IqMsmdVJEv&qpFG?T74P~p5JA07 zo-|4qi16K;9>8|=>!uDCy$3e42-Hm2?V9g3M||=F=)p_aiENjHO5`)zxD+{hSM9v={ffLfwell*ORjD2?kq@$rw97cy!Vx)KrAQ8@#qz+`ffQ_6%jx`ZLUV0HPA z{2wWK)Ay~x;%Rl%HC!ZL&gZ^U9_dH}P?8)&Ccj%L(pJRHg8;`cVps#w?E@6*27}8f z8MHGKyf@l;8CBh(3wmNnb@iwN#6jZ8imiY%bT29ylV6y|-bs}QnkTzR@0-lgX9Wx~ zF32Ob*8jNosoiKrur!Gaa+h#Nvy!*#%cUDeBZ>@T0#PSby*%YA=)2g7B(o>e$ed#5 z_}JfkV^=uXoSmAyHz^mFd3cTLoB=vwpgT>jgPfW`K$&~!xDglEY^lzWHHuxqP__)1 zs_zxY8B5}y4CrU`n01uTa5GeUBi|LyKCU#2at2t|Jao_jw(YkTV4BF5O__pJ+vVYtL^M2T4cH z(ROHnkHNg48@$WuPHW0{#css(^#&4;)=k*aqp_X;c=4b5-d?myQ|bwg9UK-SEiE5j z`E3&x7!Ci+Huio-M_NMxJp|@3V#Q*;Z|@J8i|dKODKx03wcjmaG=wS*9c?0H(N^`s zqv5emF&8$H0TU0j1+4XRXB$lAFWNs zHw!T|TVSVx&-+J~iNX@LEh>&T!bM59NRD*Rv-7&XitP#3@R7g{8>^F#2?aO8drCwl z$~8;!!>1MR24ZZ7sXb2+pj4fI~VXXwYKQ;6uDXmpu$ZDTyatzdNW*r2Jot@ccV7nr9f zxVrPAMcyQuI)HpIwJwZOpO`>p=k>*KhEFUR)70r&abm2^kt z|HVSPHeRIa21t+q*yyQjs4kFZxeX z_PG>bZf#O)(Xdc&g%lXbk)_xSLxH%kx#*Fc#%*(SQR(%)rC`Bsroeuz`(*&z$j4h? z)G(V&8}mdn1vZG-7w-Z!uiy(BFdBZ2rE_Es^lSA6NGN1jeK1%cV;DKvAAagwO$mP%~*YlJ;t@5N%sXng#)%im=8ST;SR@j%{fg^vYu@w^QekTn4-}rPa zz8BoMZ){HB>>>=oBlkX&6%7VO4_)td8DTU>YzxY;8vG{}2#!|qvX`tH#Lkkn?ZF*4;4@FX^9KjP{6I~l&~}3Sr{*w{F)zp+ z4KKB)^8R5+a!0S2`q+n<%9u1VE+KIC!y_ewypOxmgv2zP&i5q$GjoRoBrd`56{Wf? znigNIH$m}wy@ok|;Mu?3bQgVzw4`+;%P7HCBqHUH$R!y1qR})n`{XcrG#(jWs!+(5 z`(rTdUFH;WIpEj>hTRO;M4vEWIG_P2r0Ey~oQdSog|q8g!IYsUHfZKdM6-F<{jwL< znnUZO`%jnrO`WvUY&M_Ua-L5)lz08+1Y1kF@yO4Nk**Vz2(75+I~2A;uRbW4Dbr;7 zK+DC%F#ooBuD{Y!RqJ+P(B8_JeWtK}x&&mv;6)u0r?{LD##5O{dW%Yotc-MAd*8cE z^)FCdkgM{l84=fAtCBgChT%;HP$-jb@Xb{ki7E$(^~8GscK(GzmC#$R9VvAwNJ%V= zn}Hxqh1B0<-mjv`6tm19a4!{FQEH zalR5LC=D>#_Z^gX0&cJM;6YS7sf%8ovj!coWyPr8bY>;Ry8bYeVw+a|(pJ}X*Nu)_ zWNqB_MZJGj@}DdDLQb_eUsExZ;p>Zvnp;pU9yECGAc4sm4qQWw0i1Ej;>lohJP^Rv zov41^9(mC8ckp(_wL{7pp&HPtkXs1&^v>sGC8BJP`B(pXC={M)*?u4ZFMSR*&$MJG za62?3x1dm4)n=86CcsFR5aU`@g}uj|67g#q5DF@%DNbJ~(-8l6K>vS0o_1a-h%lm|G#LT2L|-hDIF#pLkGWm>c+~ zPlToZ*jCVB7Z?%OUj6E`=Q*e!7c;q$xse*ksZQ|CXY+_UCenbr)G6(gJ8m%f2{mhc zX9{W~>UpGoWFLkNV~QC-9LR$gc17r~a>&N~`t@s4v?QnNXm?4c$Eo(aAOje^hD^XU zKi<2N&$$$}LmPX3mEZ~vxsB#uu2+jnmTwCKd7@g+`|AP$wjH6zHz10j2ol%biOe9DF!xy(C_HP560<`~ zu{oYdN~=SoI`vwthm(=?U=6M8xjWj4T;fOX?J0bOgbsfYrKpv&ks^l@axeb7wt_{n zsBG4JXAax*30Y|KzygNOM9wj`QK?FZ)@mFE60`|W2NRQruqh862XAc=tfyDj^OyBM zoBdt#g4av67S5_5VSDO6^YY4h1g;($&HOEAyaxpp2uFkK7?EYoEKk1YNQWAD8asVf z@Qyc2b$FhLYkkzs5_&E{v3{8xa`B}Hjf*jNw#X4Q$&nt zS`L@aP1}WN8Pc{BxK(SIFR}nLvlb`UsXLve$Lx!^eLuDJxC}!MK1I#e_D1dr{*^=j zy)?uV6UYqX7`>>jQnir9MU_M?fDXrghcxZqlzl;Se)2ai*RNkx^?ZLs;Cbz20bci% z`1!4An3)3{tS&Qu?*cET;i zo@Vpib-FU7y7#zHA;QK_|D*qL7fUE-re%(wf2Hjla_Y9jQ_~4uWzgg-mbZMpMNIvB zWNV?0DI);H z6v?%i{4UxQ4;u13XhAL4hk@9I+5Ik#i6bqqHpKYagH6?3!~aX-$7ackEBF#HH@Q}D zryz?AMR$|e8T%rd3okXveu@RF|G?ToUO5adzi)=FSW}q$Q2GX{&0lV;l3{9ldG+(v zO?Q?@zd8@N({BwI(Mxxpecf)^kQ!7woSiePvQaV}{ut;rzZ{jNfQN(BDKD<1k}U}3 zS|_c4vN_jnp}u#`m98#zpZ_QK!!wpKZEm#N`J5iVa>)Gk*m5^TWdA1EmE;=`|T}i1NtGru}jQmUDBc!Z)lP7|j7m z+$oDF*9YPgSM5QJU?;2ZJCVcGkn8tUfG|C(JG!#dw8WUD=+hCxi_4DC9sZ0^hALmn zuv7m}iV5H<5ItliEX-#mm@(u>vCGUUI?WOPb_l2LWW^V;nMVga>kysNqy)TjvLh8MOkX1#yy4La{Yvm zA|&$h;Qpm@4?dZpK4m)du`WqNt2!>y5K#jaJQTNR9{R6{q;u7YwAa%lDiX1RfA>XRC>bAd66#N%y#ujhC` znS|Rfbz+o=#Ov<0%?^1;gTT`*gPuK4s!jSfh{Zrh+nce}5Wa%^dl~x_7kW)mZj5bO zH^sj`6n^dQaEe4SYMhbWO9IAze52;W?hR=y(5*&E$J+SVw!j>_VrWGxar)D5YFb}- z5ZEA<*v*~N_|~=+TsAm(-<67qw_@@8yGH98hi}jE>v1lDUg{e1qpC+5pm${OYu>kc z6B7~z&&WIJ0_g&chfjnfAQUtnVHy_Se|OM=Pg9?u7itIbB}nAl3n+DJM2#b4rhqk9 z5v@`#I)U9Bcza1D`bygIdNyt<>38+u#B>NMLV^tq9Al|B%#4k{UbyMe!8Sbhb~-P^ zJu#7Flo(yeh|relyRTNv-fc{`&f?+Z+sP*RZZY!XB}RNuTox;rlAjzmUyuix zFch$6n$3CUjd+xm?bJEI!E9LsjMlGy^e zMLjC_8Jnlpuy7|a#bfXLTLzCt-$l)89I+rv_=qFsn^oV|J8@cE4-O$6kMQ)kb(}zQ z)0Ewzw4uNX%6;yg`k65sRTJB*gek2*Vub6qCmAi{xLlj?k|3uXC|FVZb1t3}&xbMjb^{I)aAP|Vjr9=&N%<1AYBj)bzZrIwe zwm)&ui*8@A?%r5kb9kIyf)DLgJ?;2qlWF4_z|`|$hjWvx<~HA6P1wRwC^FzIijcq0 zEj5Rg5Mt7_-}S)n8AVm$_k?5STC4z0S{=VzNkbd%p{dzP>-xar9x;+Le1#peLvxNk zy|!I=NqIxa)}Phaj;C`ZkWf;3qEhyqxTAN|q0zM1=|2K|=W2X;@9EAJ-v0f=FAs7$ zuXvsv?WXG~E`*}BHB{8V=RD8$4`E6U1L>0bYYWf3Ds5ZGA0_g7y?OJ-xz@sywP5D1 z*;8zq8V$vVi1!y^2tMLOaZZ*x5VtrAW-O@Px);J;i)!93$=C zSjXy})S}FV+9lWWez@q0rSG?(I1o^6e?-bcV>8NJkjFZ^^NKl~t-+zvySFLyrv_VG za@obS)sbY@xO!BCk;L!CVhr(n{1|=w-Yy;<$a+tH4sI{^i!+q& zV%x8fO4@BaFJ#*iDlg+TE!VnUR_^)xzvO_WkBZZ+I~~?*^}B(y%COZZRH|ufJDDG- zIV!%D6pq{V!KbgTGI&}qd@Tzet)t4{#3?T9PlxiV8k@aVL@z@X1lInFKo3wtmBr4` zm*5bA;0gtFBG$7M8Ri1jPpLW`?Gkf*6}zNO2q&09yiyF$1yA+}t~CzgT{uw8gP>MO zOZ?C1q}gC!`U0-S?Uo-)HqL_e6fN4VNYk~my&)pN!>pi()IV#-u>mK4oDOdgs_g69 zLMISr;uw-lo~*qIa+><;9C|S2A}XzDT7U2XIQhWYP6dzhO-~NCHtLU6lQ#6n>_Cqz z2}eqpi|`m0ZowmwqA}MmcWQUXQzQ6ixoxi4Ao~fnb6Nr)4@+0R z=0-vh38gD798d*C`Q)+baA@(xdAS|m`rgx6bPTSUi%9o4hr-wxQ%M)|` zPb!WM9`h~0(Gj>-lo7axQ+#GT4}h4a-5xFud3TexiV9B#-)5w3{)ZoV;>-eDOe&i) z3|tBobMN@V?@0l`tpzFB2MetVc7u@cFf>=xF7&{&tY02YEvD2FFLOJ;W`*$@+u7>X zHaF;H+)CI508@SRyFU25gecbRVk3bWlJDqp`asdftexfPC==PiLh@5H|BKLD&uBXy zo$oNIAKenkg&9{OR6K{7@+WB3)1X6nxR<>=>=CD1jn5In*;BsYa_^_5ZDWl-_VXb- z?SBQfKARN)G{x@v!j`P4t^5%bLqqVtSxC+BKQd4SB&N_=3xa$Vibj-%QM4sK4A6Uyx064XI%`})Q z;H7Pvl0GMtou}^smMsc9J#f~~klHfJs}@S4v-7C70g&iw!8`XO(&Co-8R>gOkeB-D zaxhC+J$7>#MLeW9)SsTkhU>O?x;7toP3T1AN77kewF$Pgc)#Qk=_>rgo7E=W zV!E;LczI9qyESnfdJ*F2=m?~#2OO!XsW()2f3R5I<+C&12ySb?bKJc?RxT^wif=2|z}#eCuGVCC3;mxu`I_bwY$*-`aw|2uAw(CCJCoWud|vDAE_Pn?xvtxlaY zY@r+Dm3vkp40W#f+sz6Kz!Rs+%Q(+0W1{qeG64PtX|U>E`jKPIewg5{dU+m6!AQvR zsB#1>xmow@m35Dg1pVn^BH7B=VwgZ7HAp}w;rG!}qJ6|4fL(FwPZf>lQ3*J&h2j*f zUih=1U522*HxouEc9loi;2+pvT+m--+bklypJxH6~AF|TXF z*}p5K*|ex;yZ?*n4vpS(Wm^%{_qOUrGF5|QfpuPfFOcx*S&5;9Ro(k23TnUEm%XOP z^HsL3!@zX}p!TmteBpL~VAENM{m$PLGv2L|z~%UE%_To9{eP>`x|>#BpYf~yKv};E z#{~G)d7*Y3Z=(BNiI7oP0J8RJbjIjAnJ=5UEo^s0g9P3r;wq%z8)<2_pclc3hp%E@ z{k1)qVmm@1{B3FL&*RItfYNA|+#-;9{(8f1=Kz?X!m9p5o?6IoD|{y_lubxTs8%FD z^sAO}MXgA!lK+Z~=cG(^eThY9_<7(?`yl`qo%<&UJCy3TB#y1jU9^~JPJ{Dp6Tga$ zw!(eFPe$^KTPEFs?86_Mc~`(WJ9<=Gt|dZ+pii%Vny%5w;z-?AVXZ2_kWLX%@q6|c zjLP`qudIVk5fu`KLJ7D$&K>{79f0%jF<5<9y@v~tD*se{&Sd9dRH@~4k@S!e4**^+ zXD>Trjeal8qoely1XHwlDQX2%fT3qg52$X;@hE(kM5u21^`S0e`&V}y@~!}St`To@ z?m{!5W0w~yHO=iyI4W@}5{;$kzY;2x-g~uS(kZY5}1pZG~b!UIRmr3`EMIqe9?6zn- zC*YJTCATv(Q9Oe6M%s>2%VPSVOHjhoVKMdO*R+aWOI)&d%ry{Hu$}q2=|-cWh*scm z#RJ>o-ji10*W6!63{`4(I=HIcOw7!B>L=_5>_WDj!BDIPg-t&rnH31cd;Cue;0o=| zo8_`ARM2?&OUtWqE?_H4L{M$|TJt00$Hu)T8QCTm35XuRSI?XwirRBOO`B)22MN6G zG`8=`Y2)0BOyg^Q4mht}IGD>rdV%vJ13XYk5hm^UFz?SmJumgF2ehcELa>zKXS?vm zPzebvDk>V=?Np2S5+|~QLxSG{sB1#1jifnVWve2@n+P`=X+lL+YnF;XNEKSH+~H)GI%Iaxjzo*!Bp!snYe~i*=D~ zLze4}%PIels1D3R)Q|Wm$;fv?eE5>lYvU{0GW`U4*; z3uR?xRg-c0@xH4sMMSZE@hw*%03qmxfE_Gskgd?JG2M&0Eh$+4k#|P_M1B#z2ZlH+ zri>aGkNGQ!Cu|_Hylw4l`9gId&&!*O+dhn6%uAf?5&xbI46TA1bt@PnMC!+~4SYK2 z0GY%OtE1;pA%eMF4D&bX+Pt8aca3LF#VXHtXg?P4U3_QKcmYJ8gOzL_z2Q;Um^P6E ziKjL;SS%9!oR|ye1xS#?YlLeMpC^aQh16!go?rq$WM@L@CxcZ+db)QQUYlKL$E{17 z-4?~=-Bh6F2sPC9(4S>fW4@rs@q%80-g|q_3vIskV@?}7D}Aieg=u#;%^-9a3y_yT z8yinGSbt*o)l--Bq;uy9OWJv>V8kVn_FS17G(`5DCghWVo5v@SpEvFZS4+vrFDL#{>KGkNK31ZZ_s1Y2@0Q7xYI$^H;dZA6i`5z%IXf^L_UtL2vgYE@Cyk&> z^KD-zpTh{GrSnLpyKw;7lT%woV{yBg&Xj3{$>T|oNHbe!LQNmtwe;~c7@uR!+`AaQ ztRH2sZ6i-3t!ZZn>JMCpJK38ueGd;C-<~mgKf~WPP*cA+dcM_uIL|@%;Bi0qg$<`!5fv0Hx)cBLKnN<+;!mgeN%EHH%2QF;bMbLkw_pg%1DsLo;Lot_}cQq;emJA>NdybzwEs8-h zi(2FFW;yNa6Yw9>9n)cqq|k=Z_<^zM678@p%j?-Q7ROet$g%QqyFBFF9XBcx=g*>+ z?icG%F2oTnMp<%en`C)nvB1MWUtvzd+9UC4E^r&9fT_X=spi@FsttT9np zT*;$cP3a8u@E4<*cb`uJJk75ZVFNMBa2IHpTC>YPi@yZYFJl`U&p0+oE2^t^(e_2M z%_43;&A7nX_GV@QC;e&Sr|D^FxmE^#8k~Wp(Mz8r&!ft`@3XN4^q&2|nvq?mjI!J} z*4Nw$GlY5NuLkn}WXhCUGwx!n5ul~0k4R53*uOj;if973qLGVcpU(4uX$`b8RDu1= zj9uX%8MMK0m?Fk4qcKB8EnX%-SiFI({ZGGmvRwB>(vY1``(L*2@0b#$EoyEFg>5ze zz|L3vKGM^)S49L=|IU*4Y#Uj�VgSuOW^;LJt;Pe=gVo@{R`Kx;9(_)zqBGnwRFl znfx-#nNQS7Ra6Ky$#4JcdvLmG0VGBEuG=tbUE1?zdMQ)Yn`4X+q}s^&yGg1`r1=PM z@<0Hbf~YtB4a-KR>rQu-EZjCby6{_#HMxD!S0kHYcW~<@*1JFBLG-a8ej@z*?*vzY z>8cz!L}KKnbAc%)W6TzyV1U9%4Yh9?QmDnYno5rr_jiL?U<2oAT-QIJ7GvuuPkE@{bO8eDQ;!mT7onff=LW6H|a!m>aJ@~ek6gq3VF0P z-t9cTSrEcUlJQmhNq!o4@R+pFVjYU;VaH1C@;CP~-fi=b{=THQG2fomhaN!dXnKdD z0PW5r;&kmAUAolJW58Ab<=3lmM4$lf6bTbL8jGP+hnm10UkUydiNCU-x<;ChdHQDU zC;fjN$9{uF_v@w?{TxENq)9Jgzo}8iyt$W75xZvOBBTZng8p3}vk5<5w(}Ue`!5}3 zE-OKOsfeWu`Fu$^nNYL2V&kAC)?ZC#Z}NS)bv{zenpGl8@lt`*>Dv7BASQeJ1KW|W zk~e^xuW~6!JoSi3kkOp?*iyPBlD&%CEOLE$PER|tRr$p;ii~Kpm1@n4mMsA>=_*r9 zQZ@Bq)Ag+$CRF?HMElhr@I~&mkLOwY$$oqIMH^%eY00fAPw=l=Jd-@pppHc1$Xm4Q z4|kaB!@yij@zsQL$wCclg+Nr*eA{>)pVOEuaCgEgJJ8@vjLg zRJbcW7-lU^7%J)k(!6G%*t#~;SS@MOM56Ax)$$Nnk>Z?6Km+*IzRt2|D<&#PE5c6L zEghM*Ffil%#IMqJcn_-3g;gJd*;H)WdCucm)COM|m>oBy*B*3O38 zWUk$Fe`w6!weqa*^+tc>2+mUvTIg;=M@KifK3e+B@z34rdPT-O!n6CsY#2Ucix(OS zUgHwv7yNEkYWV=c( z3dE9Rl;JB0G}y7y7pSaP7KWASNBTqoss6mURm(Jxj4mzFs@Fe4Id#5*P(+%8gm|PV z5=u9!f&grpb)M`05!)8DH|bH`{%daq7Y7OM!5Z$KPw&|e4!s`IfgW)B3fIUg@ALQ; z`owPJl*cvB2ggy;vxPEob0zjthxqw$tA2A41-E|ux!+0ua~`{m^VJ<}=Dv~GyM!5W zc6M$(E_C=9r-*l{b$wIAB+K*8U#cY60h;KnOp_7*PYK(sy3*Ia`{&AzyTLF&q6*NR z076YMBJKQGchwPNI3Oq;Yh#*MBKq0XRYh#9E?YU!k`j>Z*)Uulh8KF^upb-&l(TtB zAYaCxj7@l~x}p7kRlz^yF41p+5|eyi+ChWRh`9=B)YYpfsa5g48HqB(pkug6tfb!6 zbNg#--(Ch`JOEv62H&;}x|Ky*@UO5$raVK_5SG{JyOa40`5Qn!!ImL+wW$~D{TTkU zYVm(}|MR47{&FEI1tiGBza|9<0VOh<_2&q?DLY=qp@q&rhbkeg^je+`lS3BtPK@gI zWe_cT!6w6$h;-c(o8c#rUi}l};Y&dw^#~70wVtw~@?kTIe)vxYUU%7+!ESgPQ;M;R zNh1i8N64ceO>7F5a?~(6whnsVk3wZ4yTM#Ye<_1vvRwVb^kt@d6ruaa>y%u=X6nzUJE$KVj#BA%J1M%3^8Vbe9ltvI&qd@3#kOS?Z$x3 z$=!GhiSY_cG}K;KS!v|1GI7{RzCbiw4Q#Dralr{b?ZI~NU&UHGLl?}S^t5ASz}9qf zLbJC#Q(zsSCb8+{?U$dFeBU6$XjRGO1Uv&MfqX>?+Axe=VfMa}V9=-dD*M0eE7GL` zGU=r6{^>}y>ykUlk-m-hR8w|~;!x=T9F=Z#8-e~qAyyHGbMTX2anf0mc5O|h0qM>c zqhFp{D|k)s`v9L~5}VXCKE2T^V`SWElD?Kxp28Dpv~Jm>M{tMG)AohkmIT|EAs#k#EU+v>{Mm%QC(z264W)CnN7YbQD5V6;zEdz7+I+#R=O!Sc-q zM+MYJ6=fTO@<¨$4Z;fMIHfW_&tr15egU&{I&ZfV{2AS^;+Z(7P!jMp8-p8^Q)z z68!O>Y=;5YlNQPqD?L-+e^m=!lmfIEmKv1vUtBm6nOpps6wrnkQ_md2#8_bk+tUfh zu5`?=eyM=JDMn$WRx-5My1fG0Xv6YAg9i6F&5dqT%R;fEjPGD)okQo1pqIIYMPL!? zVSj)BbOMh``c~sY$M8nT!Db(jEIuP$obEJoDZ}mnDNlxdc+db~v9s!;qN2G-Fz0PU zK$GpxUn*JfYnU_P1d2Q7RC*e=~_0wi&DY z7dk4AQ5$(Sh#mBP@AvG1abs6k7bIk>-W&}cy!Y7`U^Yvdnwq8$dBXPbTv z_i=pra<>%0D~E366oUfKZ4wOzRgle-18VMfe&XQ;4X+g*K`o|HI}<4xB)SK z`V_zUno-%6_;RU7Ui8kFh>eqf#Og{ed>&~5VA99NomR&~=W4$3$Su>pV_Cv|a7gn! zS##U1QhAajAnd^X2U9&3J~_2rSmtEN5sxsq{&N)Dk=XLfN~kKOPN`-bt0yiR;n8*H zj1t;#`=rhEKKG>RI-OA2Vk1?DJUEVR%8f!oWcFGpP2DZ*cWHU_NdlAZS$KrNiML02 zYscNb67{2!C|kj;+)x?!M=h_DM)@VW1BD#P-hZc!4Fky}{bWzo7r=&80S?#LdGWVe z6tWFcob`P;0!*Pf0+`?LRgHFksR88FpC9j!J|IG125wHNZOAksKwzk0l0N%+FF$)q z1sL4g-gxFziblx~aMOY8D|`mcO)P<$!wwLy&y+kDkj(NR z|KVkN+~$<49I+Sk8rtwdrn~BS*2C&V9)*R_J$Zlx^y;UR5EXTtu5&Y(sdGci%iMOR zR3UPO2X;b%U~z%Ri%ojQ&%o9xg@tf3E3=m!Niq(oB%p&} zLiB^kVtcVccKEBU`U&rsIQfY$Cj%Zm*i7}XlPnbLiI_!f+Axhzf&deL#I871y?4KSB?(R@G#a=> z8=agzz-7s|dH|qtM&4uG)AqrG2imp_ce@yDixky?eDvnBUD`-%x?eiw@tfN-?_Y|-@6)zQ80oL*DYwTUcjPY5)1ii z3!jr$DiBvHP|2YEDD{m924_=a%U5$y#$EG6iA9QH6Bd9bs^CPH0wy{~W0Eg^NL3Q;#!%dmU zU%#A+{8m2vPvj4@(bQ2YBz8N!>si|U%^B(wX(7=d!JC*!p?pmtwqF=nz1sHAF;xfz z^TCXdM!nmJurt^cXfguS={X`2FDocxgQfZoU+SK<8fW$pPd z_Rdcp6IFFS3wc0=BB9xz_y-FfstWy?fC;OwaQ@2AOmpEe(d#P)!bj5sT+pF2Z(^O-!?iBiV>b? zwh(28rd6O6;4qAW)Bq&30BDo2Qe8Ffs&W8< zahu0i-=;D#k_l^XfK%ZQ_Wuh;1R!9~7COSV0RH};I8;8^{=oyX=RNU`t3L}sorzQp zN3V9}Gpzc>^`sMutM%qk_w~H31LIl3_PUp3U-tRqnu; zQh=~+ZjkM5@%Dd(fuan%X;l341f{nRUQ-G6F9AzJ1pf9x+P>o~xGRex*8dIWB~+my zs>3k%9N@iNe*K93;dB>>C*J{*y8CCrLs1C{EX;L~%4_6g-B%cHL(!W=+2 zy)zQ^yT!E}CqmPwI%Pyl&-Ss}%@PrAVq&sC1dtn{%~R0qFpDQod_SVHOp82R_z0#z z*c|c_UwaQ^%x=9ra0xz^M2(Ak|7vL{)Qj`y<&_F~oC__lJb>y^n}ww%(0!s-c*q7i zWNvshs0?-)e9?yx+TWZ?8O&7y81$Ql%6&UQwg)86&~(JU3xT_<=Y}()N)|l;<}!7F zq6Kra*Y5rK&%&V%g~M&WTb-04@R@Gma~}_n1JrfUPOrwrOEim-YV8_O8y{N5e;zsq zINKBbb@OnI?Hj9>t?sV z>YIr=j5?+DU(45jxhaZ1-EQ??LAsDTk_bRB9s&*_$kV4!-wOB-dXOw3fLdwieL(K* zc#F%2!*zA>1L>j$LBHe)`dt!leq7MJBRd?eiv$3I#^22;^c0s*8-5=sbrBmo&m~8S z4Lro$d>nLNYcZTMx3C6-OccI&@2}!L(KrR5`%1}_tzmCa1^8IDY*&Iu?C(7Ac%`+D zqa!~6zmSaGN&uWMJ%~n`cm~vX3H9=&?rZZ5c$!W)*nF1-r|dIng3n)Ls-xgoPsT`8 zF{U&|OPT)2`q)68j-LKdWxHu9tH+6Jd#VwDZhCrpS*n+3dy4ukGH>{Oa`!{+3B3TG ztOKeaU2#G$XS^EmmDY;9LBErKM7DgO2YAHje`FL5*QNKmh#ORlygJr3c8(JXc42Is z^`kF0tNay3%}W4E>m`sB&Pyw=l);^}UWEY|XsRDb?LrxHGP?dSl8JE|sPY8X^DY;A z(%WYEMNmtn)lvF#7fh)y8anX!auv)#^$7^S9s34AYGN=hV9^%%l0$}N5{9y#JLlG& zr`+1B_m~I}6&EkBI|v4-cznS_YDT5sNn-SNwNBdHM|7*$w`@H_w6Cv^EOU1SACFnJ zz(ncUrCtEevA?sBA1BrFMIry0UT~**$KG1YG7_orb(3sUb8-rY;9X#D_>2n-y>P9G zICP2BARmZI$JegJ%rRwaSI>ZK2Raqm;?6q&8qfpKr#`0z_FX4XgpqX^y3u=_fyy7y zkBkGI?vEH%-Ui~^R{)sK19BiFX+}-nr!#oUa~M7C(mF ztUwQb{inaeVv7xP-K{-q-$+{2e40K)BF|4IIvb*+qpL@b+t2Vvyz|ugZ?K-~j?WV= zwccGYT$Mo&$+D;EzplmT67m}y*A~n$dSGh?$I*6VyZyz--M}+x0Q*Vjf{_4-f0;thCT)iWl%7Dz@cATA^(~X|1gw^tp57Cw zi1XwwU{V)pki6(K3hyF(d)>T0tPke-;J*QMBN#&!kUvf6Wk#`W)l!#g6x$~~!w{mm#N$`&Wd zp%SnuOd$=R1RQ|h`+%V+WC@$&BU?kZo2enrTAx?^CHw4OcC$XtxtF}VEuE^+nw#dxU%s+COOyyUtlO=|tf^?!kVFb>E>-kdRmeVG=Xk6- zy#AsKAbHaPnUewColf{ko!dy@(c(KCc3S~mozl-M;%4?RH1q)Qp7G^CZ>KdKT}QT3T<%lkf@?ckOKQ`?=9-BgiMOCX<&0Q`C;9N~t>2j4vITZl&l9r9$ zw!Q1s0ae~@vGdK`4$wX9ru|grzjljPs=OL6x<9aL>~Bm+tH1p9gR|Y|TQucn<3ji@ zVBJo(#NI?K%nvS=5A;P10?a!OATFpSfRkh6{BU8xP-ROFD4{ITRsS1OhK(QXTr2z^ zZR}8lJfFp`gDFRq%o7d)a>}>|TMSvJwfU#t;CltUcmOrIc=*P+WCI{X`TC-dd1WZy z2cVIFs-yn!05kyT@)&?^_N7bN_WuijKw&ajVQP53Ifw91k#CF-OkU9CWZ?e0-MYhj z1|%w5Pt455W!d5?$<-5jm!!!OyO(y~Zmd=bfUV^tE<#%Zw)EaH^8ES-XZ`~I3qTX2 zBdL<3Tdfi+uQzSflTBQg(jid8rtjnFs?%@?*lz+WElLCU`zLTL9FDl;1r^KRKRwtQIGBSk z1dD_M-PHfOYs)oFX(QH#i}Fae;{dCZ<6lppU09~uc|GI=^v2k1$1;Z-eY$c7sLGx% z?H)lvfTBTy>z=Q}H^2%=6?oO*w^piUTJ<;p$4MPR+`Hb!ENCQ?0QfWkz*p-R3d$Kn zz$z;dIg^l;6vf(!8-+0o;=GAFK>Mjp#~x=W6(pExvf_h2UDP0kc;AwBBFq_jS`0LM z=lD0{JV;1+CRaP0y!;fz!;Q}ACBXXV{ObYtA6(|I{^mjpX(U$}|0TJDoH}M;O$0ij zXIE&|Lp&)qpTupnTLO=@Df-{ja|Rv)U_ld+KrNP~3GT-7>cLe3-pVgga*K5+d#4>xFW4_IH=| z3w)TnuE7riiGUC~H}8e!txbjJy?*@nY>Ry45X{HB3Cg|+b^1tmK_rfWmRIMQ0`cB! z1zAqnmE4@Leues|>>Nx^Ax7W)?iPso<2+`F`_0oNjN#R*g;(P`KdF;9(vB_(W@>H_ zCgHW@?Jb<}>g2xW+a_eln3vW=%*FnuPVps13LicsMkcl=W}D!xTOO;L1->~6R-wup zk?8P`@fG+xPOLxZLT`~83#F^?&pz4w8cEb%fiEz<|DkEB>ht{CTUVyRQb>U z{@G9z^R)!Qh9@Y2)Fm=Jq-e~;TT3px(cA+*5{ATl6FP;HE&buyue zb&Ee2^=!@I-3Khh+{|$N9FBX@1zAPIgD*oiXg$A>ZzNS!qiTsKtahNK>5+zq(fC@t zDSiy^LV2$;hmz)sz;&QtHxzCjg?4_13WHHGWrWq_#Y1_gcbJMKm;sg4#g&Wd=gO73 zI^DC72T`FtfUb+=88HB@QuCy)I=G_xRW0vm{JRHq7hUJYMr|=zmO%t`&D)8Gxu7bm zr|9f#zx=g`rMrO${t_U)4V?P#!h+E}R6h^5nRmY`l{ra5iJFI^`}*%zO>w^xiKF2Mvn-?ys=&PIfOZdvgrcS8qWPZ^oG4=OhqUqvhHfq?~}J`pSKnBn)Ik zCkgNgwGq^bn@B31YdB2;O~I($IM5Agb-moNm9eP1h~(v_;xvp8!BAEb8kxRE4uU1@ z|9^X=p+x=j8Y@ItEqdY`PJ2-Pe48a^>BjwW2ud3HuQPD8+PO+3iS5EYavL((21eZg zts6uK^?x16y^`O@g-#O72e*QbIj<(ELaBjhGH?k5y+@0rQ3q8N4YrB3VTw7U+>kQJ zrTRQCUSNpk6D(uOV!4=dMIfE`kIu4-$_3m^hqp+N z;Pd)!{_BS*a*t_K6wwwd{8cCo)$|P*-mq_kOb~S>N8OwR|C#KgFzhBOMvqSyma0lT@Xt z@||3^1sOXz*qM>QHC@WtCqZ;y0J0(5|Mk3dK+i8b@lcn(Xl3vmRB{)Kb-3Y38L=u1 zR7IEzAc|rmV;+RV3-6KEKPY+%l&6U^0+kOXzpD0lN@^+`&Afq91*#YCU)e`dtb zs__sRNhp6$myzEmRWQo@B?T{sHZ1I60MjgV@uB-JOA%Ln;Nj;lunE!~v)>>!#?FAg zKu^TFft@2@=KaiBx|;n0kLD$gNjKzu^td7a)uk5ndmXNDdlq+O9}@$2{$&qscsL}R zS;Gk_@l_xnwKSVp0m`i^T&o1-xyE0ZeCybG`F=?6pJ0l zq~+SNviU+&B#YI^Xq?#NjG-FL)>l(=40fYSC;=sn(x~C#golq1`ju)^hNT}vq_cKz zQ?5|V*kEw}h(NY#&P8i@IH5pDWGT8t~u1gt*@Fklz9|#f&41} zRT2Mm4W15wn1?sgu|cQ(_b`~^npS=UKWGxPLpu(sARTL+{|PFn%h-9`XvH}kYiw0TY zv7&=k4yA)Jj_w~<7oG@SI2Xd%f1jFIu^Gv(F`g}vZC#8NWwiV-Dm@U@WBmV)ThzYT%&-(S9lEpbyU z;4Mwhy?SV7|^6FDVv_T`yNXj`j&oPlM$R3*8jATPXW`60( z#4p4$D>rz`t7%@z$+lDxWjLPfFES*Ac{wnzZRSmPizFQ%=~Ts~v_;GsQna7X9gF-K zXl%{pQyeHUOUX)z2@%U5%#$a2Ct@@+GeIktm*kzIcKj=`9!rP#^eX5HO(@@0a3xy7 z);xD8yzp7}hNzvl$Z#lS{iEXNCYvx9DZyxo$&0EUe)1Z!qAaG6RMW6YW}J#}CT)ho zbJ^3OGuMn*rY#+AZ3HA%iZR26^f~@%cxlTym0RX5i%nwq3lTlcWDwn0kNA>=_lZZ< zt2dm!M&?to=mJpbzQylkO-~gG3NOOXT|HZ`)aU%cS;kJ0-s?fQ&}X-pm?-q=&ll97 z4+|WF43J^3UuGbw*xO9nbwbP$tQu{)oaQwcA5R_DO1i7xy7X|(-HkCz0Ssud;`ngS z`+f_hG^ccKZmIWqgBTjhW{+F&DC-pLRs)?H+5Z&6&li5NX+pa(v%8HC5?ncZ++5+S z07odF>n}c5cZUB?=?zOmvrE`{Q&xBy@t%pQ8T4JAKEb^L@^} zXvNyvxfc>bP?-2}QKlzER&3l?>>(nDPUE(U!Ij<^3u5`_dO<|ic_k?-e^L5b5A-pF z_nax(?6DEdtm&eP%sAXPniBsp&oq8ekv@Cu(<5fQW9*;qRhU+4YlU#-grsuHP`P~D+1O!F=a+m773Hk(RGbN6gB&58y%LKee& zW6Uki-=+hflX#?Da?>6n-x{j%<7`MucypUg1?EP@e}SKHYYnTWOaM{laXsgC( z-o_=pMA-u5;ZFh9#Rjdd#$kq~8q(<($&#{N;OK^%(RvHMaS8IunkZcywsK{i}N zr8iwy=To^$Y9x3^PJULQ{(7^KxON3DRW_GdQlIyhWhiG9Y7f@9xaPbNn2oJEw^tQJ zD@|vbqHHyQXrxtR&Ngqus9%P`aO__0ORK5P0%6X{2 zz}I!A(Lf;$fLNta{*O`Fv1bH*#8&=8ZY^Mp?0hH8NG=HNM0HN;AKmNQqtM5o1|!ws zO9!l0zR3FlUBB%rGt%;E8?v2j;=3zoAQEA|59t6KGW>3 zO*F#%T{&sDp^@-)Twb59>R=BN$rG>GSc$gj5i&E9C^0sdK{%$V^YV>H?oWlyKI4lk zvG_nLyCCdNMoh_|5P8yBnDLoZ}sa5Yvn zA%#-TmYaMYzB4D`pRBjw4jVLnKF?1BdBsi{VJ~^GVIYF(sYQHUq1d!{_E9HA1{19$L0ELu%>?Y zm^=t>tB>m$E5nD7&i`kW?6wA54&NTnMWw5Fc{^s0P5dNxWDcDRy+bNMHkg}BQJ9@% z-krx02*_?8Z)w?D+ZbERK5^<0j)K{n?$qc; z(M2#Fi#>?|{TL+BgZ07MNmA9kSeDHW+*ALQEc0-d!`&5Xy)wCQ-q;0RyNhRvZxIm& zx3ceK1Tox6-EVXMqV~=wQWSsK}NV+We>=7J}Xavp0daZj!y#@p)?k za<%nHrSlhPR$Y<1#2HVfN?Nrc)Fj8`P|T5U#S$H1)y9d#Npdz1cLom6wcZ`KX?b0x zP9v;*G^IH8Fg|g7xX@;1qWvc4b#&1C{j}y=ulp30KoLCXDxH~RwGLtQwU`uS!~2U> zwX{~|13vnQX2~RKDCNidb_bL$@%{ctxNB>>lt-?(MipxLX~=X~!u_%b!++x&d?rZ1 z(x~W{@bXI#3^b7Soy4K(Sa^-!1ic_n+x^%3w`(DABqP4aN*yer)B9t1y+4RMaO*4I zc4Nm$MSu9=-ddkjRjc{R?sQxDhrPBnTVS~x0I6~@GSfgmum5Xr?&T{#3p*fCHW9mD zWnMd@WP|dt(no&PUx=$)xTn3&!kkJIO1lRZp3Y>4aM;R7McH=fdJ}b-?V5FX_}}@g zr>7@JSs)8BP05mn&C@tkz*g7Z8|Kc_68%^|a7IiIQ|{PgrWV749w8mA>K}*iFX7)0 z{V_B&d^FMEldr!r!ZssV6tXd`u|J8T8`~FO8bFWt2qpBjx1Px0SD?`dyVTamuuAOU0Zk=~Mo)pLj{$kDkGL+K%NTgHXg&7T&URj#QYe2s69vCdW%IJYuMXSCEv+! zIf`ropo6b`jtajU-hu`|Qr}kNzQ78wB8+i^Ny*8L9#hSaZ;P`EJCMIVUKuGVE%g+@ zbJ=ngr{)Q2M@94+$+RilC+!!dXPhOfw|Dca2VV!Elgy?(DXUA`hnmRN_WVlibI-=i zuU+=goc+DleJOI~8Xu1T!YkrFjWNBixN+%9Vburl%p*6|5HPv>q}Ic!JRGzK1gbHT z^Xg~~FYV_i?^M?JGrKo_3WFx9op0N;>!;Ls42tKfJuZFo`ZY98(>n}a()cNd150|O2%@8Iu!eS;QOVXBEbg{&7|WzyK< zhEAsUMqSg)JKGySK-Wk^@G?%kNO z4(Mo9h)Q!Qt0^|rUt=4k8~ru{yV~JttjeZo?&V#w(4U`M-gZ$IGOEQ@wV@xOKfPbW zlRXz3eNNi#xDIooKJ70rvFs?&aCQnn;H!e?Pwhjd-t_0IOFXEwQ)SK0$-E_0tvi#O zsN;Mvh=WZ^zxsyYwUSW+ z{~-fe@rJv*7>C9t$ggcTlkVoG`<3+2m;T_@=j+@*_!b|0`5O}3GRVDvL>~?Z`%L&W zx{ud+9B(FQyLHAhvAk}Ccs*Y~ zGtXc8=@Z&Wx+xw&1__6#zw-bZ^f{-Pc!GHQFAIPt^+ywq{U{6=1J9HiqSAz{&$z+@ z0rQ32ak^`Ye~LyLjBEAd>;?h7#9E@{Dr21Umjn(D=y2FZk8m_0jGEu`Zkq&fZ%uC zps6b`;({oGT+rf=|N5zH!de=PaZWF=zk=xVlM9|KOCkx`=_B%k)<%8GCH0NK{#pfT za1Ku|pBAH3zaEYLd4c^B6R zVz@W%RdvWBBjYD`;tg!oS>XO|glstvNxxpS*h>Lr=^CfcapL>MJaJFi)n14hWzzPx zH;OPm*Nya3wfGWLzulGT*bE9Mqs+oPhk2G;WcpK76Le3!9yeSdB_`n_+YN z{>nqC#jZ?gV3>5gbi{!jb}fd5a9xJ{!Z-_HM=wNEoqlyC=1&8|$xxV{A(1mC0nj5) zs!4iS7ok$I)^7;q?TVaGq_lVRY+d3X?AV)45dJi1s;+pb7(C{l<)&>LHdyFw%`4+T z0CxSGk@mVRek2x1Ju7L2ALP z-#)yJ8prZolylMX?-2@NeRIzR$zyU2$_A|m=fMAlCg*b$ge_d0j@Tv=)A*$Az5%I6 z!|44M*Pc|t;8EvYUb~9SJ-SUVc*}RL@sZZOOh=8H`6~O^L4m({2~1?{OAA#6?^BzB z0?jaBU0+lW_AYXC8?AOWeyi1{=&U_)tjG6CYXTfCIteTMlsGWsJyLEx`Iu~(%NGHh z?>&i2XOU_3-)?efdor9m`tLChw&pS-Ju;V*oAJ0stVU-izGt+qOGGqykgo4TPFq zt$m{MjB(}dP*cK2BZ|8xBTKotr0ww6 zB}EPOR|eguTf;_x7O%D2pg!H6+!#ySd?Xj?jkYlwugvs{#pa9E_XpdVxFeb16HZ8h z7^Qe3T2V;1_vre9`1|giDzM;_#sBPp>CB7V8w=W)&X0vKe40yDA5l$%Nfy5)QfqZf zcZ*L%(+zf%YFCKm{~5V7aStC&7l!%mHiBDTdLc~GpTB|J#{! zh6$DN;l{(iokc8z!5diy&;}2Jyp6?sV`l)*TK{~Px_9s1k0O1J=Ab3gJL-a=w(X?n zqLDh0;JX<=5&^7t(KHP-6PI|p_4VslRza0lpPw=)tCZXv9mq6*qEEc(O0d{?C7oxq)GdzZVvV3CWx;mWJZ9)M8eJ8b+ELBFJmeB#f$(9y^M;-*$DBC1 z)ELrdf>O@dFPqf&tXAJ%|49{67k{$5{N@dxfL6r80-5-orJDjUG8l&zZZ~iC_wwcN z)tZF1!{stZ&Pto;SARk2FA*ct1rdJ`%>Wr3`??r#^S;5J=M~R6(e27Skej%n-vsO_mv%^q_Qc%~6b8O3wMhOL);Ur+@d6nP# z{4CThsjus4&CImF{hZYq`1%?1upkjDS~NbvLHf&&PJ#+uS$c@3FRoqvczlCYQe0eI z7CT^MWTY*9?V6f}xqX?KhTH6Mj}dXz3>mB-%aHwQ{a|^p5Fp->j2b!zOIJKJC?{+f za>sw$WKv0Mt45Qrn~8uO?`t=h4?YI$V3cfaZGF+=gXw&)#M^uK!dqlY+a7!Sj-kKD ze2B5e597Ddm5+RzO5#LRs>;h((S0%eH z`YFNvYJ#!gklduON__S62RgW=*(^M{5a2TX9J!!8dT*lxk24x4|H%!hw;UA97??xr z=%=OU0=@?9M``m^{pSfs5->a5kHh^9N4jim7~V9Xb;eFjUURbJzmY0OzlQ~3?-7RF zJUkUwCQCo4c34n#J1mCNE)yk{i;tCVa(Xud+n^y-82+%oPK2>E#>XqPKRkY0Q9{2* z6%WDlQ(7eVBZUuyeyGk*DiTONA>Bb?*V zF#b|JF@uAv;iC4{C0pc3U9s$B^~Y#fSq1IzNJ@lKZdE>;r06B0zQ)3Pw>6(1?`{J0 zq$pUVyv;}C_|6n}{cadB`MXbLq0W_`o;!;_ZSw>*pC}-CL6IN-o|$i4ZK#4gW$JG+t$A()t1;-_7Zdbfu1iAep&ihrC&%gmOa?F+Tqdz@-W1 zQ?5v|K@P{{L*xgDff{%b+?q!WWw{x6YSR+D7FRo zT-5PF7ch^422X|vrn0s30X_2#dy7SBfq!}>B+e)5fC!MvB5?GiSAe{8pT%QKP?~ZL z;obxUKK*0`M$dYo`tNUBzqU8!s>6Hg!6}$2W)Tk>e>P{Bulo?s9ro*x>1zMMF@D6d zu%;jEhc!tR8Eh8x*QzspZNl)$D4{>IPT9FL;@k!3l5&kQpWCl@1#k3Y@{9b&Td?Kb z=T1b#bshJ?4u(y83e^uMH(}nJtD6;?H! zdy}Yvq>&0+?fXW?8{oOp{1%MAWD|S5sQ4NCG2elE{ZYfCBwAij0@m&bv}iEO9cC8P zP8$kYWU-^qyWZQE#n>*dUYC)pHSu7% z&H=Njdl4|#E#?q5&r?-AkJG;t7N5u^A^tCZgK!fBlDx+R;Z)QEv?<}l?Jv2h4xbpE z{FDLYJ1V{auig(?8S(i+Zr`g+O_z=^LlHT=2uBk?gaSld8L%7EdS*ZaVLl5@|xjUzZ-wD z{8XJK9+LbR%YIhg$R5kR`(e8V|7=)V*aY``Qp%d6oF2l!Zrpl$xDtyELn`$Wrjymh z8|#wo~=YHuUuNz5^WEF9!mZ`kzDFibLtc!UCvM5`2yd%Z*J?6dJ_QC0oi-rEyU zHYd!wfvZ}^|NLfn=ld~72r71iFVMv+XfO-Y_WIB6L%geG+Tc&gmB4|S7%nk;x4WW| zZi4=<^{5(aAQGxkUh7;5u$ZjDRa5{2_DD(~PQ=P&)Usn2En9h6oKw6$i|L3Ac&AzD zQ!dT++-mv|ET$qoh<)>nk)gkpMbP3-BFyvciKa8JOnQ)vKl&M0ZGKrVF}_HQfkp;o zuzXQd>ysQELXCfs-Oe59h?VPZO4M=rq^!R1{#I$R`{)^ChIxKr2f1h1|Fn6r&!}uz z1BwKJc$WXw0_2}@A9yS`-CA*NVLTA~Iqe$nb))}I!-#)iSPwx}#^-Aik8pY{KoVV$XNtAubt+vpt{DoclxK3bi^6JR0LadMXbHiY9_QpJ!e{>X$oovxpU3w}G?Z(dr{vG*N-^&VVfIx6mE%Kg z>y&AC6Hmt+Y#QSc3HenhYr=dok4GsGrGtjBJpJ!4}8ok0cisM@~cYHlyLyX&C!A!xZ}wLauTez;*5cfKvDZ z*cx96BVE89Y^0$LL27i1v{0^j7s_Ig5?fQ;W3J8fv$Q8|rS`U<++48`uy0bckM5}P z7%bem+4~5Pd{yRN!qN40zhCGBC{Z0}=>7AH(S4;~P3&4*h8JWTPm3z{WO-SV{=#MR$wm6qrC>Q52ojgOpH zm*Q6@n`r)wJ$x(Y{+(Md?GlkeIHHurZ+9EJvXG07utO%bGs~|nkw!{Va_pDgu8qx=^i3NZFtdnva;AbFNfW)G ze|G77h<`x(4jUrDFoz}x+>od)p5;g=kMjqw*A`lIK=%G%dDA?%Nz)AMFQ0FPn@ioO zfYRaf@gki~s(z3bNQgo64}x?>Sw5_Yq*q0NIkgRt|Kb4Y(V==%g=Y|vUDB@Y5*+MoB^_cb3>*wn}Q%eRq9HZd{&0_(Yi)u*0h zB&a24Oy5M(io^l6wrB8{mThf6f}Z>r=2tsy)hGpnMQ#G#&%^?tgd5<=FBBn2bkhw0L?dWdoDj@ z8Uy4ye2mqi0bn#DhpNs_EC8;3P^&HP`k#rCo zMo&yhoQUYmIxBON?Z<(cSS_@4)5+e%)3VBDLtsD4YKD&-z#hEVw=SuDViSB7S;inVFgE@=o{|^x=j#4&JZw^k}`M3is*LA1b;X)Bg!5 z_27Y-=O5dkNr(IRuVrsAk=H!5JLjV>(W`MPnVly3JJ^<*6-Kj-W(R8BZF52#(eRCI zALhp>4QU&=KgZZR4rsD900gVpC`(#1raD^Ahh1ayhE2WaS73Gzf3(Ib_czhMQV<@M zn;-gsH^S>88#J09YRHlZQG&#Yd?rEhrOOnxPNGX=`p^Wyp>JK?AM=A*ywG`=_YZ@iagBc?HN%x$j&^-c`%u2)?q)AIA8| zNW~pVvssRfy~{G_(taq?4)?!W&9bSr8A5r`8SASR+kV5%yTSl|B(b}yDZb?5n2Xgk;+im2G8TB%t*TpAyc7rIE_-lBm4}&1%J}Ne2-rLauhT_i{HBAoY zFlCi z>YBAPLLvpAQ}oB#vNb}c*>|EYMNTni3rZ()`_CV=jzFHoqJ1EEiuCcTj4 zp*!8Ncpx|N3EI%;L=I#Wt<2D-D%D0K_;2A}b$v$eRbw6S=MlXe#I;x>!s_}X!yg(H zg}~5CKP|7ViIHq;9_NRs6sQS}*6vA8UZ2zi7dzBL91q4N`ot}95x;@>p1EO7iK8YXnhsA{jctiISK2FuZd?dR;XtM^MQa!?+Y^wY!ho0qG*2>lE_>jToqn6E3C5l>=#@azGE|lXTOTck&}41j6l$*c+o|FJvrq zW$;5|jCRa2mQ@__^HfO}kK)bZe2$xRjWWu+Of-mjN)SRUWq+2j~j-iFbl#}WLJ z9-f@E1BQn${N*+*S^x>kkAy{het8jo03cDF|7@qzfad;7bvH82@6PDDNU;+c6Zgjz zvy-X#GwA&x|BB0MG>yudQ1W?Q#F}9z>>j9H|KRdU4>Gec5{4cJheJfg*wPig5p2MK zj5x)vLII@+s-n+{>iwv3)gQLcL1E5?xU^&;rNb?V?(vBqn@be@rc`DeiHcT+=0_c# zS*eMYRFlAMorOPl%M*zN2YLrn%P{mfB)T&ANi$}+UcLk6VWDqs^nK~~LLz}D4(H|V z;f}OK*s$0cjCKTHboL=Od3(@J>fz+S$|9!XL`Grh2FGN=^ySI%-*TOAWCO3&Aez(s zRZDN6*V>QPm0Y@BylZXEyL|gOU^g(Bxk<}#-*bTg{ylW<7Ot@O8L&2N*bx&TD(y{w z!z<}mr=pJ5$^gyp{!od9gx2Z7oL}2kx4@KTm4l`^DGV8$f@L9kn@k2s(A})|O1q>P z2Z$s8TK~p{>9eHE8qG_7inWgu$<8-`1`BCEsml-OzQ}q>0|#)UN86f!&9?ZL`j!e* zEyxt8q8234Q6fu6$Oe_}AB{gMY?ay7SF3Sf{41@QeSjiiz&8m8Dm5nRJO;N+4J{sJAU^&Bp(_`W#Zras{(yj~4B z+`_(1^O+RDby!3k6v!C1HD;2Nr~b_MS+}Vjrx&*iQv;u4cqYMitjF+mmE(`w54kzl z^LGb5sUc#MeWgaCeG@|2tb$BI-|rB}GzlLxe0gX;mx3Ym_r%UK8SVBto|48Je7vSw zLS}y9fUcA`|M~Mrhm-a##K-)*76_-9tDONDk}ogFxs)B0<|=rgAFof29quf-=BtM^ zM4cRtX`gPTJ$d^Ox%X7~7!MG*>Ar=dYeSH$3;?{QijmpyKF0_-;0`hl4c=pP=!JXHrtqB?r!e|;umeEQ>j5v8tTI#P z*b!wHHvcBizmw@-3Jh@BrtVu4jecec>_X?uEUPZj9Sa&rk5AnvrF*>WFaGEV-_&$vJwN9h&lnuK11!6Q~R&u%gMHN+Q>`_F;NdOQcfafP94aB-iQXmHr?Io%Z4HY?fU+zYFd5L}b83G| zdCGCH-*INo^b%g%GRX50+d;!sl)w^81w1Ku6X3Q!sAxE?s*5^#B5s@w#QMD3pY*JA zIkb;uZ{nDI%sT7&!Iu))Jp8<@ps&)dauMK^Sylt6d zZK58alEwRPQh5|*ruA@H(;dXsj-SQ8czU=k|J42~%t_7RwzBuA{{npL5eLXU+uBk;j2$#R05%jZ7KGexJrE-VlR+pM^x?d|U?&k9QON3l+=y?$yvu zQta^CN60GZ`NR_-6Wsd#EnT!MRec>dkOi|k(~xe!&bKG5h9Rvuttq+{@WfXJ9`$7ip=Zdq0&tK%Oh%l+^D{+s@+EO$H)w~H~QYHTHP0nN4bc4FeC{4z=6hO)3^^e8CdZXg&S>l`mJUl$A zPWERU=jZ2H1(aTD?u{17NhJ-fY<}T*2;6AH^1*{c4W%r(uzcX<4B%}7(CwJ@8w{O1 zS56r;+>tA;tQ8N?sgQPI+RvK+enb8(o-&{&CYkhR5h(lgzM9=7UXne&zo`aa240j= zyiq5+Yv9yTSXg)h;7l_J*mdG^p_>$^P0Huh#+fKqA+^_NG`gAPA27JzcG$1EFWNc( z!V}FWRji&RMOgLe+!d@!s5(EDk@2L;eMtMjqP25nG zz0MeSu2+ZQ4q(g!J$U`Ek#5~Y-wV#4CdLSRK=G{Qw^MC Date: Wed, 17 Jan 2024 17:30:22 +0000 Subject: [PATCH 29/77] Working on prediction --- artefacts/appendix-A-op-amp.ipynb | 45 +- .../appendix-D-laplace-and-filters.ipynb | 2 +- .../appendix-F-uncompensated-models.ipynb | 225 ++-- artefacts/appendix-I-inspecting-noise.ipynb | 4 +- artefacts/appendix-K-compensated-models.ipynb | 820 ------------ artefacts/appendix-K-sigworth-rs.ipynb | 249 ++++ artefacts/appendix-L-compensated-models.ipynb | 1170 +++++++++++++++++ artefacts/appendix-Z-references.ipynb | 205 +++ artefacts/artefacts-2-compensation.ipynb | 453 ++++--- artefacts/artefacts-3-simplified.ipynb | 8 +- artefacts/resources/op-amp-5-inverting.png | Bin 0 -> 3516 bytes artefacts/resources/patch-comp-5-full.png | Bin 16248 -> 16347 bytes artefacts/resources/patch-comp-6-dual.png | Bin 0 -> 15696 bytes .../resources/patch-comp-6-no-leak-eoff.png | Bin 13929 -> 0 bytes .../resources/patch-comp-7-no-leak-eoff.png | Bin 0 -> 14087 bytes 15 files changed, 2052 insertions(+), 1129 deletions(-) delete mode 100644 artefacts/appendix-K-compensated-models.ipynb create mode 100644 artefacts/appendix-K-sigworth-rs.ipynb create mode 100644 artefacts/appendix-L-compensated-models.ipynb create mode 100644 artefacts/appendix-Z-references.ipynb create mode 100644 artefacts/resources/op-amp-5-inverting.png create mode 100644 artefacts/resources/patch-comp-6-dual.png delete mode 100644 artefacts/resources/patch-comp-6-no-leak-eoff.png create mode 100644 artefacts/resources/patch-comp-7-no-leak-eoff.png diff --git a/artefacts/appendix-A-op-amp.ipynb b/artefacts/appendix-A-op-amp.ipynb index 613d6a2..f6e187f 100644 --- a/artefacts/appendix-A-op-amp.ipynb +++ b/artefacts/appendix-A-op-amp.ipynb @@ -104,7 +104,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "\n" + "" ] }, { @@ -118,6 +118,47 @@ "\\end{align}" ] }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Inverting amplifier" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "An \"inverting op-amp\" has a voltage applied to its \"inverting input\", as shown above.\n", + "We can analyse this using the same procedure.\n", + "\n", + "Starting with the current through either resistor:\n", + "\n", + "\\begin{align}\n", + "\\frac{V_1 - V^-}{R_1} &= \\frac{V^- - V_2}{R_2} \\\\\n", + "R_2 V_1 &= (R_1 + R_2)V^-- R_1V_2 \\\\\n", + "\\end{align}\n", + "\n", + "and then using $V_2 = A(V^+ - V^-) = -AV^-$:\n", + "\n", + "\\begin{align}\n", + "R_2 V_1 = -\\frac{R_1 + R_2}{A}V_2 - R_1V_2 \\approx -R_1V_2\n", + "\\end{align}\n", + "\n", + "to get\n", + "\n", + "\\begin{align}\n", + "V_2 \\approx -\\frac{R_2}{R_1} V_1\n", + "\\end{align}" + ] + }, { "cell_type": "markdown", "metadata": {}, @@ -190,7 +231,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-D-laplace-and-filters.ipynb b/artefacts/appendix-D-laplace-and-filters.ipynb index 4878473..5aa65f6 100644 --- a/artefacts/appendix-D-laplace-and-filters.ipynb +++ b/artefacts/appendix-D-laplace-and-filters.ipynb @@ -1955,7 +1955,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-F-uncompensated-models.ipynb b/artefacts/appendix-F-uncompensated-models.ipynb index 9364e45..1485f59 100644 --- a/artefacts/appendix-F-uncompensated-models.ipynb +++ b/artefacts/appendix-F-uncompensated-models.ipynb @@ -84,7 +84,7 @@ "And finally\n", "\n", "\\begin{align}\n", - "4. && R_f I_\\text{obs} \\equiv V_\\text{out} = V_o - V_c\n", + "4a. && R_f I_\\text{obs} \\equiv V_\\text{out} = V_o - V_c\n", "\\end{align}" ] }, @@ -120,8 +120,7 @@ "5. && R_fC_f \\dot{I}_\\text{obs} = I + C_m\\dot{V}_m + C_p\\dot{V}_p - I_\\text{obs}\n", "\\end{align}\n", "\n", - "(equations S2.8 and S2.5).\n", - "This gives us a full model in (1, 3b, 5)." + "(equations S2.8 and S2.5), resulting in a model (1, 3b, 5)." ] }, { @@ -129,9 +128,7 @@ "id": "c8ad8948", "metadata": {}, "source": [ - "### Relationship to Sigworth-style model\n", - "\n", - "Using equation 4, $R_fI_\\text{obs} = V_\\text{out} = V_o - V_c$, we can rewrite equation 5 as an ODE for $V_o$:\n", + "Using equation 4a, we can rewrite this as an ODE for $V_o$:\n", "\n", "\\begin{align}\n", "C_f(\\dot{V}_o - \\dot{V}_c) &= I + C_m\\dot{V}_m + C_p\\dot{V}_p - \\frac{V_o - V_c}{R_f} \\\\\n", @@ -142,7 +139,7 @@ "2c. && C_f\\dot{V}_o = \\frac{V_c - V_o}{R_f} + C_p\\dot{V}_p + C_f\\dot{V}_c + \\frac{V_p - V_m}{R_s}\n", "\\end{align}\n", "\n", - "So that we can write the same model as (1, 2c, 3b, 4).\n", + "for an equivalent formulation (1, 2c, 3b, 4a).\n", "\n", "Comparing to\n", "\\begin{align}\n", @@ -157,30 +154,25 @@ "id": "b0b8ecb8", "metadata": {}, "source": [ - "Equation _2c_ has a practical downside, in that it has an explicit term $\\dot{V}_c$, which is not usually available in simulation software.\n", - "To avoid this, we will stick to the (1, 3b, 5) formulation in simulations." - ] - }, - { - "cell_type": "markdown", - "id": "f7976baf", - "metadata": {}, - "source": [ - "### Alternative $I_\\text{obs}$ definition?\n", + "### Alternative formulation\n", + "\n", + "Alternatively, we can define\n", "\n", - "An alternative way to look at the same result is to use $R_f I_\\text{obs} = V_o - V_p$, which again is equivalent to assuming an ideal op-amp situation, and leads directly to equation 2b:" - ] - }, - { - "cell_type": "markdown", - "id": "62ee48c4", - "metadata": {}, - "source": [ "\\begin{align}\n", - "C_f(\\dot{V}_o - \\dot{V}_p) &= I + C_m\\dot{V}_m + C_p\\dot{V}_p - \\frac{V_o - V_p}{R_f} \\\\\n", - " &= \\frac{V_p - V_m}{R_s} + C_p\\dot{V}_p - \\frac{V_o - V_p}{R_f} \\\\\n", - "C_f \\dot{V}_o &= \\frac{V_p - V_o}{R_f} + (C_p + C_f)\\dot{V}_p + \\frac{V_p - V_m}{R_s} \\\\\n", - "\\end{align}" + "4b. && R_f I_\\text{obs} = V_o - V_p\n", + "\\end{align}\n", + "\n", + "with which we can derive 2b. from equations S2.8, S2.5, and S2.10:\n", + "\n", + "\\begin{align}\n", + "R_f C_f \\dot{I}_\\text{obs} &= I_\\text{in} - I_\\text{obs} \\\\\n", + " &= I + C_m \\dot{V}_m + C_p \\dot{V}_p - I_\\text{obs} \\\\\n", + " &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - I_\\text{obs} \\\\\n", + "C_f (\\dot{V}_o - \\dot{V}_p) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - \\frac{V_o - V_p}{R_f} \\\\\n", + "C_f \\dot{V}_o &= \\frac{V_p - V_m}{R_s} + \\frac{V_p - V_o}{R_f} + (C_p + C_f) \\dot{V}_p\n", + "\\end{align}\n", + "\n", + "so that we can write the same model as (1, 2b, 3b, 4b)." ] }, { @@ -190,11 +182,32 @@ "source": [ "## Three models\n", "\n", + "Summarising:\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2a. && (C_p + C_f)\\dot{V}_p &= \\frac{V_o-V_p}{R_f} + \\frac{V_m-V_p}{R_s} + C_f\\dot{V}_o \\\\\n", + "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3a. && \\tau_a\\dot{V}_o = V_c - V_p \\\\\n", + "3b. && \\tau_c\\dot{V}_p = V_c - V_p \\\\\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4a. && R_f I_\\text{obs} = V_o - V_c \\\\\n", + "4b. && R_f I_\\text{obs} = V_o - V_p\n", + "\\end{align}\n", + "\n", "From the above, we can distill three models:\n", "\n", - "- **Model A** - A \"Sigworth-style\" model (1, 2a, 3a, 4).\n", - "- **Model B** - A \"Weerakoon\" or \"Lei-style\" model (1, 2b, 3b, 4).\n", - "- **Model C** - A hybrid model (1, 2a, 3b, 4)." + "- **Model A** - A \"Sigworth-style\" model (1, 2a, 3a, 4a).\n", + "- **Model B** - A hybrid model (1, 2b, 3b, 4a)\n", + "- **Model C** - A Weerakoon or \"Lei-style\" model (1, 2b, 3b, 4b) or (1, 3b, 5)" ] }, { @@ -290,8 +303,8 @@ "dot(Vo) = (Vc - Vp) / tau_amp\n", " in [mV]\n", "\n", - "# Equation 4\n", - "I_out = (Vo - Vc) / Rf\n", + "# Equation 4a\n", + "I_obs = (Vo - Vc) / Rf\n", " in [pA]\n", "\n", "''')\n", @@ -321,7 +334,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -352,24 +365,24 @@ "ax.set_xlim(-0.005, 0.05)\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", - "ax.set_ylabel('I out (pA)')\n", - "ax.plot(dA.time(), dA['amp.I_out'])\n", + "ax.set_ylabel('I obs (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_obs'])\n", "\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "45a1d294", + "id": "58c4e632", "metadata": {}, "source": [ - "### Model B: The Weerakoon / Lei formulation" + "### Model B: The hybrid model" ] }, { "cell_type": "code", "execution_count": 5, - "id": "2cd4366c", + "id": "16b95491", "metadata": {}, "outputs": [], "source": [ @@ -377,7 +390,7 @@ "[[model]]\n", "cell.Vm = -80\n", "amp.Vp = -80\n", - "amp.I_out = 0\n", + "amp.Vo = -80\n", "\n", "[engine]\n", "time = 0 [ms]\n", @@ -391,12 +404,12 @@ "I = 0 [pA]\n", " in [pA]\n", "\n", - "# Equation 1 (S2.10)\n", + "# Equation 1\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", "\n", "[amp]\n", - "use cell.Vm, cell.Cm, cell.I\n", + "use cell.Vm\n", "Vc = -20 [mV]\n", " in [mV]\n", "Rs = 0.01 [GOhm]\n", @@ -412,13 +425,18 @@ "tau_c = tau_amp * (Cf + Cp) / Cf\n", " in [ms]\n", "\n", - "# Equation 3b (S2.12)\n", + "# Equation 2b\n", + "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf)\n", + " in [mV]\n", + "\n", + "# Equation 3b\n", "dot(Vp) = (Vc - Vp) / tau_c\n", " in [mV]\n", "\n", - "# Equation 5 (S2.5 and S2.8)\n", - "dot(I_out) = (I + Cm * dot(Vm) + Cp * dot(Vp) - I_out) / (Rf * Cf)\n", + "# Equation 4a\n", + "I_obs = (Vo - Vc) / Rf\n", " in [pA]\n", + "\n", "''')\n", "mB.check_units(myokit.UNIT_STRICT)" ] @@ -445,7 +463,7 @@ { "cell_type": "code", "execution_count": 7, - "id": "9743a5c9", + "id": "02fb78e4", "metadata": {}, "outputs": [], "source": [ @@ -457,12 +475,12 @@ { "cell_type": "code", "execution_count": 8, - "id": "c705e87b", + "id": "1745173b", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -495,37 +513,32 @@ "ax.set_xlim(-0.005, 0.05)\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", - "ax.set_ylabel('I out (pA)')\n", - "ax.plot(dA.time(), dA['amp.I_out'], label='Model A')\n", - "ax.plot(dB.time(), dB['amp.I_out'], label='Model B')\n", + "ax.set_ylabel('I obs (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_obs'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.I_obs'], label='Model B')\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "70188a91", + "id": "1171a0f2", "metadata": {}, "source": [ - "We can see two differences here:\n", - "\n", - "- The pipette voltage $V_p$ overshoots in Model A, but not Model B\n", - "- Because of how we chose the initial conditions, $I_\\text{out}$ is non-zero at the first simulation point." + "We can see that pipette voltage $V_p$ overshoots in Model A, but not in Model B." ] }, { "cell_type": "markdown", - "id": "58c4e632", + "id": "45a1d294", "metadata": {}, "source": [ - "### Model C: The hybrid model\n", - "\n", - "To see whether the difference is due to 2a vs 2b or 3a vs 3b, we can look at the hybrid model C." + "### Model C: The Weerakoon / Lei model" ] }, { "cell_type": "code", "execution_count": 9, - "id": "16b95491", + "id": "2cd4366c", "metadata": {}, "outputs": [], "source": [ @@ -533,7 +546,7 @@ "[[model]]\n", "cell.Vm = -80\n", "amp.Vp = -80\n", - "amp.Vo = -80\n", + "amp.I_obs = 0\n", "\n", "[engine]\n", "time = 0 [ms]\n", @@ -547,12 +560,12 @@ "I = 0 [pA]\n", " in [pA]\n", "\n", - "# Equation 1\n", + "# Equation 1 (S2.10)\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", "\n", "[amp]\n", - "use cell.Vm\n", + "use cell.Vm, cell.Cm, cell.I\n", "Vc = -20 [mV]\n", " in [mV]\n", "Rs = 0.01 [GOhm]\n", @@ -568,18 +581,13 @@ "tau_c = tau_amp * (Cf + Cp) / Cf\n", " in [ms]\n", "\n", - "# Equation 2a, Vo version\n", - "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf)\n", - " in [mV]\n", - "\n", - "# Equation 3b\n", + "# Equation 3b (S2.12)\n", "dot(Vp) = (Vc - Vp) / tau_c\n", " in [mV]\n", "\n", - "# Equation 4\n", - "I_out = (Vo - Vc) / Rf\n", + "# Equation 5 (S2.5 and S2.8)\n", + "dot(I_obs) = (I + Cm * dot(Vm) + Cp * dot(Vp) - I_obs) / (Rf * Cf)\n", " in [pA]\n", - "\n", "''')\n", "mC.check_units(myokit.UNIT_STRICT)" ] @@ -587,7 +595,7 @@ { "cell_type": "code", "execution_count": 10, - "id": "02fb78e4", + "id": "9743a5c9", "metadata": {}, "outputs": [], "source": [ @@ -599,12 +607,12 @@ { "cell_type": "code", "execution_count": 11, - "id": "1745173b", + "id": "c705e87b", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -640,13 +648,23 @@ "ax.set_xlim(-0.005, 0.05)\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", - "ax.set_ylabel('I out (pA)')\n", - "ax.plot(dA.time(), dA['amp.I_out'], label='Model A')\n", - "ax.plot(dB.time(), dB['amp.I_out'], label='Model B')\n", - "ax.plot(dC.time(), dC['amp.I_out'], '--', label='Model C')\n", + "ax.set_ylabel('I obs (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_obs'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.I_obs'], label='Model B')\n", + "ax.plot(dC.time(), dC['amp.I_obs'], '--', label='Model C')\n", "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "70188a91", + "metadata": {}, + "source": [ + "Like in model B, $V_p$ does not overshoot in model C.\n", + "\n", + "Because of how we chose the initial conditions, $I_\\text{obs}$ is non-zero at the first simulation point in models A and B, but zero in model C." + ] + }, { "cell_type": "markdown", "id": "86d3be68", @@ -666,7 +684,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -700,12 +718,12 @@ "ax = fig.add_subplot(3, 3, 8); ax.set_ylabel('Vp (mV)')\n", "ax.plot(dB.time(), dB['amp.Vp'] - dC['amp.Vp'], label='Model B - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", "\n", - "ax = fig.add_subplot(3, 3, 3); ax.set_ylabel('I out (mV)')\n", - "ax.plot(dA.time(), dA['amp.I_out'] - dB['amp.I_out'], label='Model A - Model B'); ax.legend(); ax.set_xlim(*xlim)\n", - "ax = fig.add_subplot(3, 3, 6); ax.set_ylabel('I out (mV)')\n", - "ax.plot(dA.time(), dA['amp.I_out'] - dC['amp.I_out'], label='Model A - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", - "ax = fig.add_subplot(3, 3, 9); ax.set_ylabel('I out (mV)')\n", - "ax.plot(dB.time(), dB['amp.I_out'] - dC['amp.I_out'], label='Model B - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", + "ax = fig.add_subplot(3, 3, 3); ax.set_ylabel('I obs (mV)')\n", + "ax.plot(dA.time(), dA['amp.I_obs'] - dB['amp.I_obs'], label='Model A - Model B'); ax.legend(); ax.set_xlim(*xlim)\n", + "ax = fig.add_subplot(3, 3, 6); ax.set_ylabel('I obs (mV)')\n", + "ax.plot(dA.time(), dA['amp.I_obs'] - dC['amp.I_obs'], label='Model A - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", + "ax = fig.add_subplot(3, 3, 9); ax.set_ylabel('I obs (mV)')\n", + "ax.plot(dB.time(), dB['amp.I_obs'] - dC['amp.I_obs'], label='Model B - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", "\n", "plt.show()" ] @@ -727,7 +745,7 @@ "id": "f83e9081", "metadata": {}, "source": [ - "## Models A and B with a high parasitic capcitance" + "## Models A and C with a high parasitic capcitance" ] }, { @@ -806,11 +824,11 @@ "source": [ "new_Cp = 4.5 * 20\n", "sA.set_constant('amp.Cp', new_Cp)\n", - "sB.set_constant('amp.Cp', new_Cp)\n", + "sC.set_constant('amp.Cp', new_Cp)\n", "sA.reset()\n", - "sB.reset()\n", + "sC.reset()\n", "dA = sA.run(10)\n", - "dB = sB.run(10)" + "dC = sC.run(10)" ] }, { @@ -821,7 +839,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -841,25 +859,25 @@ "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", "ax.plot(dA.time(), dA['cell.Vm'], label='Model A')\n", - "ax.plot(dB.time(), dB['cell.Vm'], label='Model B')\n", + "ax.plot(dC.time(), dC['cell.Vm'], label='Model C')\n", "ax.legend()\n", "\n", "ax = fig.add_subplot(1, 3, 2)\n", "ax.set_ylabel('Vp (mV)')\n", "ax.plot(dA.time(), dA['amp.Vp'])\n", - "ax.plot(dB.time(), dB['amp.Vp'])\n", + "ax.plot(dC.time(), dC['amp.Vp'])\n", "ax = ax.inset_axes((0.25, 0.10, 0.7, 0.55))\n", "ax.plot(dA.time(), dA['amp.Vp'])\n", - "ax.plot(dB.time(), dB['amp.Vp'])\n", + "ax.plot(dC.time(), dC['amp.Vp'])\n", "ax.set_xlim(-0.005, 0.25)\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", - "ax.set_ylabel('I out (pA)')\n", - "ax.plot(dA.time(), dA['amp.I_out'])\n", - "ax.plot(dB.time(), dB['amp.I_out'])\n", + "ax.set_ylabel('I obs (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_obs'])\n", + "ax.plot(dC.time(), dC['amp.I_obs'])\n", "ax = ax.inset_axes((0.34, 0.40, 0.6, 0.55))\n", - "ax.plot(dA.time(), dA['amp.I_out'])\n", - "ax.plot(dB.time(), dB['amp.I_out'])\n", + "ax.plot(dA.time(), dA['amp.I_obs'])\n", + "ax.plot(dC.time(), dC['amp.I_obs'])\n", "ax.set_xlim(-0.005, 0.25)\n", "\n", "plt.show()" @@ -870,7 +888,7 @@ "id": "37187c14", "metadata": {}, "source": [ - "Here we see the expected ringing behaviour in Model A, while the simplified equations in Model B show a simpler response." + "Here we see the expected ringing behaviour in Model A, while the simplified equations in Model C show a simpler response." ] }, { @@ -880,10 +898,11 @@ "source": [ "## Conclusions\n", "\n", - "- Model A, which uses the op-amp equation from Sigworth 1995a, exhibits more complicated dynamics than Model B, which is based on a dominant-pole approximation of Model A.\n", + "- Model A, which uses the op-amp equation from Sigworth 1995a, exhibits more complicated dynamics than Models B and C, which are based on a dominant-pole approximation of Model A.\n", "- However, the differences in $V_m$ are neglible, while differences in $V_p$ and $I_\\text{out}$ occur only in the first $\\mu$s under normal circumstances.\n", "- When $C_p$ is made large, the difference between the models becomes more notable, and Model A can exhibit ringing. However, this lasts for less than a ms.\n", - "- If we assume an ideal op-amp, so that $V_p = V_c$ (and $\\dot{V}_p = \\dot{V}_c$), then Model B equals Model A." + "- If we assume an ideal op-amp, so that $V_p = V_c$ (and $\\dot{V}_p = \\dot{V}_c$), then Model C equals Model B.\n", + " - Alternatively, we can think of Model C as a variant with $V_\\text{out} = V_o - V_p$." ] } ], diff --git a/artefacts/appendix-I-inspecting-noise.ipynb b/artefacts/appendix-I-inspecting-noise.ipynb index 1ef077d..45d6614 100644 --- a/artefacts/appendix-I-inspecting-noise.ipynb +++ b/artefacts/appendix-I-inspecting-noise.ipynb @@ -12,7 +12,7 @@ "metadata": {}, "source": [ "In this notebook we take a brief look at stochastic noise.\n", - "This type of noise is a _much bigger issue_ for single channel measurements, and much more thorough explorations of noise can be found e.g. in [Sigworth 1995](https://scholar.google.com/scholar?q=Sigworth+Electronic+design+of+the+patch+clamp), [Benndorf 1995](https://scholar.google.com/scholar?q=benndorf+low+noise+recording), or the [Axon Guide](https://www.moleculardevices.com/en/assets/ebook/dd/cns/axon-guide-to-electrophysiology-and-biophysics-laboratory-techniques).\n", + "This type of noise is a _much bigger issue_ for single channel measurements, and much more thorough explorations of noise can be found e.g. in [Sigworth 1995](https://doi.org/10.1007/978-1-4419-1229-9_4), [Benndorf 1995](https://doi.org/10.1007/978-1-4419-1229-9_5), or the [Axon Guide](https://www.moleculardevices.com/en/assets/ebook/dd/cns/axon-guide-to-electrophysiology-and-biophysics-laboratory-techniques).\n", "\n", "Reducing noise is also a major point of interest, but will not be discussed in this notebook.\n", "Instead, we have a quick look at the stochastic and periodic noise we might see in a manual whole-cell patch experiment, and discuss how this relates to uncertainty quantification." @@ -925,7 +925,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-K-compensated-models.ipynb b/artefacts/appendix-K-compensated-models.ipynb deleted file mode 100644 index 11202e6..0000000 --- a/artefacts/appendix-K-compensated-models.ipynb +++ /dev/null @@ -1,820 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "fc24dbb4", - "metadata": {}, - "source": [ - "# Appendix K: Compensated patch-clamp models" - ] - }, - { - "cell_type": "markdown", - "id": "aff7c8af", - "metadata": {}, - "source": [ - "In [Appendix F](./appendix-E-non-ideal-op-amp.ipynb) we compared uncompensated patch-clamp models, omitting voltage offset and leak current for simplicity.\n", - "In this appendix, we compare models of _compensated_ patch clamp, again omitting offset and leak." - ] - }, - { - "cell_type": "markdown", - "id": "7d17c4f4", - "metadata": {}, - "source": [ - "The schematic is shown below:\n", - "\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "5d0303e8", - "metadata": {}, - "source": [ - "## Sigworth-style model (1, 2a, 3a, 4, 5a)\n", - "\n", - "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "2a. && (C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s} + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3a. && \\tau_a\\dot{V}_o = V_\\text{ref} - V_p\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "7f702770", - "metadata": {}, - "source": [ - "## Alternative op-amp equation (1, 2b, 3b, 4, 5a)\n", - "\n", - "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "4962e0c4", - "metadata": {}, - "source": [ - "## Lei-style model (1, 2c, 3b, 4, 5a) or (1, 2b, 3b, 4, 5b)" - ] - }, - { - "cell_type": "markdown", - "id": "09112c29", - "metadata": {}, - "source": [ - "Following Lei et al. (2020), we get\n", - "\n", - "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I && \\text{(Equation 2.3)}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3b. && \\tau_c\\dot{V}_p = V_c - V_p && \\text{(Equation 2.4)}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref} && \\text{(Equation 2.5)}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "76868171", - "metadata": {}, - "source": [ - "and we can combine two equations to find\n", - "\n", - "\\begin{align}\n", - "I_\\text{in} &= I + C_p \\dot{V}_p + C_m \\dot{V}_m - (C_p^* + C_m^*) \\dot{V}_\\text{ref} && \\text{(Equation 2.6)} \\\\\n", - " &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} && \\text{(Equation 2.3)}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "ceea30dc", - "metadata": {}, - "source": [ - "Then, we define\n", - "\n", - "\\begin{align}\n", - "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref} && \\text{(No equivalent)}\n", - "\\end{align}\n", - "\n", - "and insert into Lei et al. Equation 2.7 to find\n", - "\n", - "\\begin{align}\n", - "R_f C_f \\dot{I}_\\text{obs} &= I_\\text{in} - I_\\text{obs} && \\text{(Equation 2.7)} \\\\\n", - "C_f (\\dot{V}_o - \\dot{V}_\\text{ref}) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} - \\frac{V_o - V_\\text{ref}}{R_f} &&\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "2c. && C_f \\dot{V}_o &= \\frac{V_\\text{ref} - V_o}{R_f} + C_p \\dot{V}_p + C_f \\dot{V}_\\text{ref} + \\frac{V_p - V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "For a model (1, 2c, 3b, 4, 5a)." - ] - }, - { - "cell_type": "markdown", - "id": "d1ac36aa", - "metadata": {}, - "source": [ - "**Alternatively**, and equivalently, we define\n", - "\n", - "\\begin{align}\n", - "5b. && R_f I_\\text{obs} = V_o - V_p && \\text{(No equivalent)}\n", - "\\end{align}\n", - "\n", - "with which we can derive 2b. from Lei et al. Equation 2.7:\n", - "\n", - "\\begin{align}\n", - "C_f (\\dot{V}_o - \\dot{V}_p) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} - \\frac{V_o - V_p}{R_f} \\\\\n", - "C_f \\dot{V}_o &= \\frac{V_p - V_o}{R_f} + (C_p + C_f) \\dot{V}_p + \\frac{V_p - V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "resulting in an alternative expression for the same model: (1, 2b, 3b, 4, 5b)." - ] - }, - { - "cell_type": "markdown", - "id": "284e2438", - "metadata": {}, - "source": [ - "## Three models\n", - "\n", - "This leaves us with the following equations:\n", - "\n", - "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "2a. && (C_p + C_f)\\dot{V}_p &= \\frac{V_o-V_p}{R_f} + \\frac{V_m-V_p}{R_s} + C_f\\dot{V}_o + (C_p^* + C_m^*) \\dot{V}_\\text{ref} \\\\\n", - "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3a. && \\tau_a\\dot{V}_o = V_\\text{ref} - V_p \\\\\n", - "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref} \\\\\n", - "5b. && R_f I_\\text{obs} = V_o - V_p\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "1defaf9b", - "metadata": {}, - "source": [ - "and three models:\n", - "\n", - "1. Sigworth-style **model A** (1, 2a, 3a, 4, 5a)\n", - "2. Hybrid-style **model B** (1, 2b, 3b, 4, 5a)\n", - "3. Weerakoon/Lei-style **model C** (1, 2b, 3b, 4, 5b)" - ] - }, - { - "cell_type": "markdown", - "id": "6fdd1973", - "metadata": {}, - "source": [ - "## Simulations\n", - "\n", - "We now run simulations for a single step from -80 to -20 mV.\n", - "The ionic current is set to 0, and we assume all voltages were at -80mV at the start of the step." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "122937ff", - "metadata": {}, - "outputs": [], - "source": [ - "import myokit\n", - "\n", - "import numpy as np\n", - "import matplotlib.pyplot as plt" - ] - }, - { - "cell_type": "markdown", - "id": "dbc86249", - "metadata": {}, - "source": [ - "## Model (1, 2a, 3a, 4, 5a)\n", - "\n", - "We start with a (1, 2a, 3a, 4, 5a) model, with a switch to turn compensations on or off." - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "73fbb8b7", - "metadata": {}, - "outputs": [], - "source": [ - "mA = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Compensated model (1, 2a, 3a, 4, 5a)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Vr = -80\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 1\n", - "time = 0 [ms] in [ms] bind time\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 20 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 20 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "tau_amp = 50e-6 [ms] in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", - " in [pA]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", - " in [mV]\n", - "dot(Vp) = ((Vo - Vp) / Rf + (Vm - Vp) / Rs + Cf * dot(Vo) + I_inj) / (Cp + Cf) : Eq 2a\n", - " in [mV]\n", - "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", - " in [mV]\n", - "I_obs = (Vo - Vr) / Rf : Eq 5a\n", - " in [pA]\n", - "''')\n", - "mA.check_units(myokit.UNIT_STRICT)" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "6a758bc7", - "metadata": {}, - "outputs": [], - "source": [ - "def plot(m, d, axes=None, label=None):\n", - " if axes is None:\n", - " fig = plt.figure(figsize=(15, 10))\n", - " t_zoom = 0.05\n", - " \n", - " # Top left: Vm\n", - " ax1 = fig.add_subplot(3, 2, 1)\n", - " ax1.set_ylabel('Vm (mV)')\n", - " \n", - " # Top right: Vo\n", - " ax2 = fig.add_subplot(3, 2, 2)\n", - " ax2.set_ylabel('Vo (mV)')\n", - " ins2 = ax2.inset_axes((0.3, 0.35, 0.65, 0.55))\n", - " ins2.set_xlabel('Time (ms)')\n", - " ins2.set_ylabel('Vo (mV)')\n", - " ins2.set_xlim(-0.005, t_zoom)\n", - " ins2.patch.set_alpha(0.5)\n", - "\n", - " # Middle left: Vp\n", - " ax3 = fig.add_subplot(3, 2, 3)\n", - " ax3.set_xlabel('Time (ms)')\n", - " ax3.set_ylabel('Vp (mV)')\n", - " ins3 = ax3.inset_axes((0.3, 0.15, 0.65, 0.55))\n", - " ins3.set_xlabel('Time (ms)')\n", - " ins3.set_ylabel('Vp (mV)')\n", - " ins3.set_xlim(-0.005, t_zoom)\n", - " ins3.patch.set_alpha(0.5)\n", - "\n", - " # Middle right: V_ref\n", - " ax4 = fig.add_subplot(3, 2, 4)\n", - " ax4.set_xlabel('Time (ms)')\n", - " ax4.set_ylabel('Vref (mV)')\n", - " ins4 = ax4.inset_axes((0.3, 0.15, 0.65, 0.55))\n", - " ins4.set_xlabel('Time (ms)')\n", - " ins4.set_ylabel('Vref (mV)')\n", - " ins4.set_xlim(-0.005, t_zoom)\n", - " ins4.patch.set_alpha(0.5)\n", - " \n", - " # Lower: I_obs\n", - " ax5 = fig.add_subplot(3, 1, 3)\n", - " ax5.set_xlabel('Time (ms)')\n", - " ax5.set_ylabel('Recorded I (pA)')\n", - " ins5 = ax5.inset_axes((0.3, 0.35, 0.65, 0.55))\n", - " ins5.set_xlabel('Time (ms)')\n", - " ins5.set_ylabel('Recorded I (pA)')\n", - " ins5.set_xlim(-0.001, t_zoom)\n", - " ins5.patch.set_alpha(0.5)\n", - "\n", - " kw = dict(color='#aaa', ls='--')\n", - " ax1.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", - " ax1.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax2.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax3.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax4.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " else:\n", - " [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5] = axes\n", - " \n", - " ax1.plot(d.time(), d['amp.Vm'], label=label)\n", - " if 'amp.Vo' in d:\n", - " ax2.plot(d.time(), d['amp.Vo'])\n", - " ins2.plot(d.time(), d['amp.Vo'])\n", - " ax3.plot(d.time(), d['amp.Vp'])\n", - " ins3.plot(d.time(), d['amp.Vp'])\n", - " ax4.plot(d.time(), d['amp.Vr'])\n", - " ins4.plot(d.time(), d['amp.Vr'])\n", - " ax5.plot(d.time(), d['amp.I_obs'])\n", - " ins5.plot(d.time(), d['amp.I_obs'])\n", - " \n", - " return [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5]\n" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "97305329", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sA = myokit.Simulation(mA)\n", - "sA.set_constant('amp.alpha', 0)\n", - "sA.set_constant('amp.beta', 0)\n", - "sA.set_constant('amp.tau_sum', 1e-9)\n", - "dA = sA.run(5)\n", - "plot(mA, dA)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "1f8a15c3", - "metadata": {}, - "source": [ - "Now we switch compensation on and run again" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "0420c74b", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.0009316421158516164\n", - "4.942386538786536e-05\n", - "8.411668444807674e-05\n" - ] - }, - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA5AAAAJNCAYAAABHm5phAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOzdeXxU1dnA8d+ZNXsCWYAQIEDCHtbIIoKg7CKIWotWxaWlUpdabbVWW+3burZatVor1rVV0Vp3BAUFVASRNWEn7CEhG2RPZj3vH3cSEhJCgCSTSZ5vP9NMzrn3zjNDnDPPnE1prRFCCCGEEEIIIU7H5O8AhBBCCCGEEEIEBkkghRBCCCGEEEI0iiSQQgghhBBCCCEaRRJIIYQQQgghhBCNIgmkEEIIIYQQQohGkQRSCCGEEEIIIUSjWPwdQGsTExOjExMT/R2GEEKIFrBhw4Z8rXWsv+MIFNJGCiFE+9BQ+ygJ5EkSExNZv369v8MQQgjRApRSB/0dQyCRNlIIIdqHhtpHGcIqhBBCCCGEEKJRJIEUQgghhBBCCNEokkAKIYQQQgghhGgUSSCFEEIIIYQQQjSKLKIjGiW/Ip/c8lzKXGV4tZcoexQxwTF0DOqIUsrf4QkhhGiDdh0toaTSxcD4SIJtZn+HI4QQAkkgxSlorfnh6A98mPEhG3M3cqT0SL3HRQdF0z+6PyM6jWBc13H06dBHEkohhBDnpNTh5o63N/HVzlwA7BYTV4/szl1T+hARZPVzdEII0b5JAinq+PLQl/x949/ZW7SXCFsEo7qM4up+V9MtvBth1jCUUhyvPE5OeQ67ju1iW8E2ntn4DM9sfIbOoZ2ZljiNGT1n0D+6v7+fihBCiAD0u/fTWbU7j3um9SU5Lpwvth3l32sP8sW2ozxx5RAuSI7xd4hCCNFuSQIpquVX5PPI94+w7OAykqKS+NPYPzEtcRpBlqDTnptXnsfqrNUsP7ic/2z/D69te41B0YOY228u03pOw262t8AzEEIIEeh2HS3h4y1Z3H5REr+YkATA5AGd+MnoHtz17mauffl7fj6+F7+e2herWZZyEEKIlqa01v6OoVVJTU3V7XGT5N3Hd7Ng2QIKHYUsGLqAeQPnYTWd3TChIkcRi/ct5p1d77CvaB9R9ijmJM3hqr5XkRCe0MSRCyHE2VNKbdBap/o7jkDREm3kr/+7hcVp2ay57yKiQmy16ipdHv706Xbe/P4Qw7pH8ferh5HQIQQqi2D355D5A1QWg8cBUd0hOhl6jocOPZo1ZiGEaGsaah8lgTxJe0wgN+Rs4PYvbyfYEsw/Jv2Dvh37Nsl1tdasO7qOd3a9w1eHvsKrvYxLGMfcvnMZ23UsJiXfHAsh/EsSyDPT3G2ky+Nl2P8t45KULjx+5eBTHvdpWha//V864aqSd3t9RreD74PHCfYICO4AJjMUHgavCwBHTB82JI3lQKc+HHGX4fA4UChCrCHEh8aTEJ7AgOgBdAjq0GzPTQghAklD7aMMYW3ntuZv5ZZlt9A5tDMvTn6R+LD4Jru2UopRXUYxqssojpYd5b3d7/He7vf4ReYv6BbejR/3/TGXJV1GpD2yyR5TCCFE4Np8uJBSh5sJfWMbPG7m4HhS2Yn68DZi9+axPnY2KZf8HHuP0WAyvpzUHjcbMz7hkx1v80XhTkpyvoScLwlCEWQNBZOFUlcpbq+7+rrdwrsxsvNIxnUdx5j4MYRYQ5r1+QohRCCSHsiTtKceyKNlR7lm8TXYzDbenPEm0cHRzf6YLo+LLw99yds732Zj7kaCzEFc0usSru53dZP1fAohRGNJD+SZae428skvdvGPlXvZ+PvJRAY3MI1i30p468d4w+N5NfZe/pQWTmJ0CI/MSeH8pBjWZa/jz9//mf1F+wm2BDOp+ySmxwyn36H1xGx6C1VZBD3G4jn/DvIThnKw+BDp+elsydvCuqPrKHOVEWQOYmK3iczoNYOx8WOxmmX1VyFE+yFDWM9Ae0kgy13l3LD0Bg6VHOLf0/9NcofkFo9h17FdvL3zbRbvW0ylp5KUmBQuS7qM6T2nE24Lb/F4hBDtjySQZ6a528gf/fM7XB7Nh7eOPfVBuTvgpYugQyLM+xRCo/l2Tz73f5jOwePH6TvgK7I8q+gW3o0FQxZwcfeLa/ckOkpg4xuw5nkoPgJxA+GCX8HAOWC24PK42JS7iS8OfsHnBz6n0FFIpD2SKT2mMDtpNoNjBst2VUKINk8SyDPQXhLIP6/9M+/uepfnLn6O8Qnj/RpLkaOIj/d+zPt73iejMIMgcxCTe0xmRq8ZjOoy6qwX8xFCiNNp7wmkUmoa8AxgBv6ltX6soeObs430ejUpD33OlSMS+OPsQfUf5CyDhROh4jjc8g2Ed66u2nf8EPM+u4XjriN4j4/nx8k3cfvEgXQMtdV/LY8L0t+Db/8G+buMhHTsL2HINWA1Vh93eV2syVrD4n2LWXF4BRXuCnpF9mJO0hxm9p5JTLBsJyKEaJvadAKplPoLcCngBPYCN2qtC3119wE3Ax7gDq3156e7XntIINdlr+PmL27mugHXcc9599SuLM2FA99A9hYoPARFmVByFFwVxgIFZhvYQsAaavwM7gBhnYxbeGfjFtEVIuKNMpO50XFprdlWsI3397zPkv1LKHWVEmGL4KLuFzGlxxRGdhkp24EIIZpUe04glVJmYDcwGcgEfgCu1lpvP9U5zdlG7ssr5aInV/HEFYO56rxu9R+09Hew9h9w/YfQa0J18f6i/fz0i59S4a7g3mGPsCotig83HSHYauZHqd24bkwPeseG1X9Nrxd2fQbfPAlZGyGsM4y5FYZfD8FR1YeVucpYun8pH2R8wJa8LViUhfEJ45mTPIcLul6AxRQ4y0rExMSQmJjo7zCEEE3swIED5OfnN8m12noCOQX4SmvtVko9DqC1vlcpNQB4GxgJxAPLgT5aa09D12vrCWS5q5zLP74cszLz3qz3CLYEG9/kbnkHtn8Eh9YA2kgUI7tBZIKRDFpDjDKPA5zl4CozflYcg5IcKMuFGgsRAKDMvoQy3nfreiK5rJlkWup+O+zwOPjuyHd8cfALVh5eSamrlCBzECM6j+D8Ludzfvz59I7qLcOIhBDnpJ0nkGOAh7TWU32/3wegtX70VOc0Zxv5WXo2v3hzI5/cdgEpCfUsrpa7E/45FoZdC5c+U11cNZ/foz28NOUl+nToA0BGbgnPr9jLp2lZuDyacckxzBnWlUkDOhERVM/IFq1h/yojkdz/NViCIeUKOO+nED+s1qH7CvfxYcaHfLz3YwoqC4gJjuHS3pdyWdJl9Irs1aSvS3NITU2lLX/WEaK9asr/ttv0Kqxa6y9q/LoWuNJ3fzawSGvtAPYrpTIwksk1LRxiq/Lc5ufIKs3i1WmvEuz1wpf/B2v/aSSEcQPhwnuh7zToNAjOZMEArxfKC6Ak27gVH4HiLN/tCORshz3Ljcc5mS0cQjpASDQEd4SQaOwhHZkY3JGJ9m44k3/KWkcOq0sPsuZYBn85shqACGsYgzr0YVCH/qRED6RPh2Q6h3XBZLaByWLc2mCCqbXGoz24vW482oNXe41ydHV9fefUOgZdp66mmom5QtX+Wc9rWlV2qmOrfm/wnHqOrb6vav9e65hTXEcIcVpdgcM1fs8ERvkpFg4UGO1Dz9jQ+g9Y9nuwhcJFv68uKneVc8dXd1DuLueN6W9UJ48ASXHh/O3HQ/ndjP6888Mh3vr+EHe9uwWrWTEuOZbpgzozvk8snSKM4aooZfRq9ppgjML54WVI/y9s+g/ED4fUm2DALAiKpFdUL+5KvYvbh9/Ot5nf8kHGB7yx7Q1e3foqQ2OHMid5DlN6TCHMdopeTyGECGABn0Ce5CbgHd/9rhgJZZVMX1mDSkpKWLlyZa2yhIQEkpKScLvdfPvtt3XOSUxMJDExEYfDwZo1dfPT3r17061bN8rLy1m3bl2d+j59+hAfH09JSQkbNmyoU9+/f386depEYWEhmzdvrlM/aNAgYmJiyM/PZ+vWrXXqhw4dSlRUFJv2b+Kt7W8xOmw0tm+WU7nnRwQ5CnD1m411wm/I8kaze/du2F0Eu1dXnz9y5EhCQkI4fPgwe/furXP9MWPGYLfbOZBfxoEDxwA70AtMvSAKLph5ARaLhYw9ezh6YBfmynwoK8BcmYfZUUTnSBu6/Diuwiys+QcJ9m4lxFNMsK4AwAaM990Ass1m1gYHscVeytbSY7ycswGPL2mwe710d7tJdLlJcLmJ9niI9mii3RDhhVCvJtTrxa7h5F0o1UmJlKpKshSgwQs4FTiVwqUUTgUuBQ6lcPh+dyiFw6SoVApHjTqHSZ2477tVmoxjKmuUOZXCo8CtwIOq/ulR4Pb99EiCdEZq/ruqk362Rq1xTEhrjAngp6GTuGbiAw2+7+Xk5LBjx4469SNGjCA8vN0v1lXffwp1/rmVUvOB+QDdu3dvtmAOFZQTHWojzF7PR5PsNNjzBVz8Bwg15h16tZcHVj/AruO7+PtFf6+VPNYUG27ntouS+cWEJDZnFvJZWjZLth7lq525APSODWVUr2iGdotiaLcoeseGYe4yBGY9C1P+BFsWwQ//go9vg8V3Q/JkGDAbek3AGhbHxO4Tmdh9IvkV+Xy691Pez3ifB797kIfXPsyY+DFc3P1iJnabSFRQVHO9dEII0aICIoFUSi0HOtdTdb/W+iPfMfcDbuDNqtPqOb7ez0E1G8eePXuec7yt1at7XsWkTCwoLCPl8COUhiayccBvSL7oWqzh4ZCVdU7XL3d6OFDkIbdcc9yhOV6pKXR4+cfOdRwrd3G8tIKSSoXTGwvEAv0avF6QchNpqqSj3Uuk2UmQLidElxOmHIRUOgh2OBirNBeHmDhuPk4uBRSaSjhuKWe9vYKvQhx4VP0ffU0arNqEAkxKobTRf6W08Ydj8qWPbqXxKI0L7ymv1VgWrbBqE1atsGLCqk3YMGM3WbBiwu7yEuY1YUZh0gozYDFZsJstmLTC7XRh0goTqvoYm8WC1WIFrXE4HNWPVfXHb7XYMFvMaK/G6XCgqd17Z7VZsZiN+kqH01eqa9TbMJvNeLwenA4nuvpU4xibzY7JZMLj9eBwOqlJA3a7DZPJhNvjweVy1vkP0Dhf4fa4cbnctXpGAex2OyiFx+3B5XadFJ1xfaUULrcbt9td/cR1jfMVGPWe2kOsNRBkN3oe3G4XHs/Jo9uV8fiAy+XC6z2zeqUUNltVvROv13tSvQmbzRi+7XQ60bp2vclkwmY16h1OR52eYpPJjM1qjBJwOBx1XjuzyYy1oXqz2fjbASodlZzMYrZgsViq60/uRbZYzFjMFjQah8NZ93yLBYvZjFdrnM4zr7daLZhNZrxeL06Xq556KwO6N7BSp2iMTKDmZMMEoE5DoLVeCCwEYwhrcwVzsKCc7tGn2Hdx9TPGaJXUm6uL/rP9Pyw7uIxfp/66UYvBmUyK4d07MLx7B+6/pD/bsor5bm8+qzMK+GRzFm99fwiAEJuZpLgweseG0Ts2lN6xs+h5xVwSSrcRmvERatuHsPNT46KdUqD3BOg1kZjuY7hh0A3MGziPLXlb+PzA53x56EtWZa7CrMykdkplXIKxv2RyVLKMlhBCBKyAnwMJoJSaB9wCXKy1LveV1ZrLoZT6HGOuR4NDWNvqHMhtBduY++lcfqY6cse+zTDiRpj+OFjOfFGakkoX6UeK2J5VzL78MvbnlbEvv5ScYket4+wWE50igugUYScmzE5ksJWIYCvhdgsRwVYigi1EBFkJDzLuhwdZCbGasVtN2MwmLOaT+wjPjNaaYmcxueW55JbnUuQootRVSomzhDJXGZWeSrTWeLUXj/YY9/Hi1d7qYaF2sx2b2YbNZMNutmM1W40yk80oNxvldrOdIEtQnftB5iDsFqPMpM7t+Qghml47nwNpwVhE52LgCMYiOtdorbed6pzmbCPHPvYV5yV24Om5tecbcvwAPDvMWNhmyp8BOFh8kCs+voIxXcbw7EXPnnMy5vVq9uWXkZZZSFpmEXvzStmbW0pWUe0vV4KsJuLDrYwKPsL5Kp0Ux0a6laZh1i68ykxFRC9cMQPRnVMwdxmENaY3+1QZXx75mq8OfcXeImMUT8egjgyJHcKwuGEMixtG/+j+LbpInMyBFKJtkjmQjeRbgvxe4MKq5NHnY+AtpdRTGIvoJAN1x4+2E0+v/xtRmLlx/xa45Ck47+bTn+RzvMzJd3sLWL03nx/2HyMjr5Sq7x0ig630ig3lgqRYesWG0ismlMSYUOIjg4kItvj1G1alFJH2SCLtkX7Z51IIIVoz3+JztwGfY2zj8UpDySM03zSPTl26klVYgbfEXef6Iwo/IxwoHXQd61euxKu9PJPzDCZtYpKeRG5ubpNM8wjXZXQszmBCBEyIAHqbqXSH0KF7X3IrYPfhXHZn5nC80s2G8jiWV17EccdELF4Ho0w7GW7azYBjBxlQ+A1d935Yff1+WtGRjlygYtlj7kRaCGQEudlQspYVh1cAoLQizBNBmLsjYZ4Ygr2x2L0dCSWKHnGJWC2KspJiKsorMKFRyhhwYTZBfHxXtm5cx39e/Dsej4eRY8dx0dTpmPBiMZtIiO+MCU1Bfh7OynJMaMrLy9iwfi1mk4mwsFAUmvKyMjxuN8o32kZpjdlsIjQkGDSUl5ehvR5Ag+8LV2VWWIPsePFSXlmOx+vFizb+pzDqbTa01lQ4KvBqLxrQyjjGZDJhqTFSAmrP0TeZzFisFqD2KBvjOGMkhdliBk29IxnMZrMxygZwnWIkhNlsRtcYCaFq1Vsxm014tcblrGckhO98r9eL6xQjJUwmE9o3kuLkkRxV9V6Pt3qUTU12mw2lTHg8Htz11ttRSuHxeHC53XWG3lXVu+sZhQO1R+G464zCOVHvqmeUjgLsVfUuF56TR+HUGqXjxFNnFI7C7hul43Q6q7+4r2I6aZROQ/X1jdIxm0xYq0bxnHaUTmWdEVKnH6VjxtKIUTxaaxxOR51642+noXqr8belvacYpWOtMUrnRH1W3n4eXHgdHSKiuXPu06d932tomkdDAj6BBJ7DmHS3zJesrNVa36K13qaUehfYjjG09dbTrcDaVm3NS2ft0e+5u+A44VMeblTymHm8nCXpR1mcns2WzEK0hjC7hfMSOzBzcDxDukUyqGskMWGyrYYQQgQqrfVnwGf+jiPzeDkaiAs56SOw9hK852PofRE6PB7I5uuSr9nn2Me10dcSaalntdYmFGRRDOgSzqjwcLJiYXdYYe3wtGbw8PG4mMGu/YfZue8Q6S6Nt7KYDhUHCXPmkhBcSXhlNuFlh7nAeYTppSWElZRiQpNvMrE5yM4Om409tjL22PLZE7S/1mMEV3iJ83iI8njpoDx08HoJ93gJ9mqCtBfbLi9v/T2Xh+Z3JDrSzL3PfsT0pFV06+RbCK/I+BFeY6691uB0r8GjoOh47fn2Hl01F1/h0eAu8/1uUnhMRnmtj/JVeY3ZdztZzfr6uJu53tNAvT7N+eda7/Xdzra+5qfW5qh3N1G9Osf6Uw3Qaqp6f/3t+eFv67ipnPftm+lQ5uXOU4TVFNrEENam1BaHsP7mvzNZXbKfZV1nETr1lKuz43B7WJJ+lP+sPcj6g8cBGBgfwZQBnbkgOYYhCZHnPKxUCCFak/Y8hPVsNFcbuTojn5/863ve/tloxvSOPlGxbyW8MRuueBlSruRY5TEuef8ShsQO4YVJLwTuPEKPGyoLwVkG7kpwlYOrEtwVlFcWcbgsiyMVeWQ7i8hyFZPrKuG4u4JCdznHPRUUuyuo1Many/KMcnI/zCXx14kA5H2aB0DszNhTPnzx48X87u37MCsTZmXGjOnE/eqfJ903WbBU3zfXOObEsSZlwoTy/TShlMKEr9x3X9W4D74eP6WqJ68rVJ2Vt/EdU3ON7tr3av4dnNzXpOqW1n+3zpn1aczn5tNd51T1tS99imMa+O20xxgLIYg27OIJU/nPey9hMVsZnDz6nK7VpoewioYd2fQaX5QdYF5wN0KnPFLvMYXlTv71zX7eWneIY2VOesaEcs+0vlyS0oUe0adYTl0IIYRoInklxhCuuIiTRrVsfhvskdDvEgD+sfkfVLgruOe8ewI3eQQwW4zVZH0rytYUAvT13Rri1V4cHgfvvPsOyw4v48krn0RrzXvO99i4fiMPX/FwreNrztk//+/n8/MhtzTZ0xFCtA4hQWEM7zeu2R9HEsi2rCSH/6x5FFOIjWsu+VedPRFLKl288u0B/vXNPkqdbib378T1YxI5v3c0JlMAN8xCCCECSm6JMYcoNrxGAul2GKudDpwD1mAOFB3gvd3vcVXfq+gV1ctPkbYeJmUi2BJMqDWUYEswnUONxeqjgqIIs4URHxZf6/iFCxeycOFCAPLy8lo8XiFE2yEJZFulNcUf38b/gi1MT7iQzhEJNao0/9t4hEc/20FBmZMpAzpx15Q+9Osc4ceAhRBCtFd5JQ6CrCbCa+4BeeBbcJZC/0sBeGHLC9jMNn4++Od+irJ1SkhI4PDhw9W/Z2ZmEh8fX+e4+fPnM3/+fMBYqVEIIc6WJJBtVfp/+STnOyqiO3LdsFuri48UVvCb/27hu70FDO8exSs3nMeQblH+i1MIIUS7l1viIDbcXntY6q4lYAmGnuPZW7iXJfuXcOOgG4kOjj71hdqh8847jz179rB//366du3KokWLeOutt/wdlhCiDZMEsi1ylqOXP8QHHWIZ0LEv/aP7A7AkPZt7/peG16t5eM4grj6vuwxVFUII4Xd5JQ5ia67qrbWRQPa+CKzB/Cv9XwRZgrhh4A1+i7G1slgsPPfcc0ydOhWPx8NNN93EwIED/R2WEKINkwSyLVr7PNsrc9ll6sIDyZfj8Wqe+HwnL67ax9BuUTw7dxjdo0P8HaUQQggBGAlk79iwEwU5W6E4Eyb8lqzSLJbsX8I1/a+hQ1AH/wXZis2YMYMZM2b4OwwhRDshCWRbU5ID3z7N+90GYldlXNRtCre+uZGl245yzajuPHjpAOyWU21YI4QQQrS83BIHo3vVGJq6Z5nxM3kK/97+GgrFdf2v809wQgghapEEsq355kkqPA4+M1UyMWESt/1nJ9/vP8YDl/Tnp+Nk1TohhBCti9vjpajCRXSY7UThgW8htj+l9lDe3/M+03pOo0tYF/8FKYQQoprsCt+WlOXDxjdY3ncCpe5ydu7uzw8HjvHM3KGSPAohhGiViipcAEQFW40CjwsOrYXEC/hk3yeUu8v5Sf+f+DFCIYQQNUkC2ZasWwjuCj4NDcamY9i6L5qnrhrK7KFd/R2ZEEIIUa/qBDLE1wOZtRlcZegeY3l759sMih7EoJhB/gtQCCFELZJAthXOMli3kMI+U1mTn05JwUAemTOYy4ZJ8iiEEKL1KvQlkJEhvh7IA98AsD40jP1F+5nbb66/QhNCCFEPSSDbio1vQMVxngvpi8bDzN7TuHpkd39HJYQQQjSoqNyXQFYNYT24GmL78UHmCsKt4UxNnOrH6ERjZRzayvhXBjL/xfPZuW+jv8MRQjQjSSDbAq1h3UuUxI3graxt2HQcj8+c7u+ohBBCiFr+/O/rGf3qQIpKj1WX1ZoD6XHDobWUdh/NsoPLmNZzGkGWIH+FK85ApbOM/u4ObLQVc/tX17HjgCSRQrRVkkC2BQdXw7G9PHp8BOaQvfy4/0ysslWHEEKIVsar3ZSZTFRUllWXFZY7Ad8cyNxt4Czl87BQKj2VXJZ0mZ8iFWdqUNIoXpz/LX9MvociMzz0xU24XE5/hyWEaAaSQLYFG16n3BTKxxYrKC+zk2UzYSGEaE+UUj9SSm1TSnmVUqkn1d2nlMpQSu1SSk2tUT5CKZXuq3tWKaVaIFAAvNpbXVQ1BzIiyAJHjF6rD0v20DuyNykxKc0ekmhal1xwPXNDJrLd7uGx//7M3+EIIZqBJJCBrvwYnm0f8l/n+XTtcYDEiET6dOjj76iEEEK0rK3A5cDXNQuVUgOAucBAYBrwD6VU1RCVF4D5QLLvNq25g1S+jx1eravLiipchNstWMwmyNrIgdCObD6+k8uSLqMlclrR9H55xTOMqLDysWMDuw9t8nc4QogmJglkgKvYuAiz18kPMVPIde7g4u4XS4MrhBDtjNZ6h9Z6Vz1Vs4FFWmuH1no/kAGMVEp1ASK01mu01hp4A7isueNUGO2T9riqy4rKXSdWYM3axJK4bigUM3rJaJpAZbaYmT/6cTTw2LI7/R2OEKKJWfwdgDg3hatfZbe3F8PGx7Eq3c34hPH+DkkIIUTr0RVYW+P3TF+Zy3f/5PJmpVTdHsjCChdRIVZwVUDOdr5I6s+I6BHEhcQ1dzhnrbKykk8//ZRvvvmGrKwsgoODGTRoEJdccgkDBw70d3itwvlDJzN5TWc+Dcnhq/T/cVHKFf4OSQjRRKQHMoDt3bGZLhW7yUyYyYGKDUTYIhgcO9jfYQkhhGgGSqnlSqmt9dxmN3RaPWW6gfL6Hne+Umq9Ump9Xl7e2YRezeR7VK/XXV1WXOEiIsgKR9PJsJjIcBe36q07HnroIc4//3zWrFnDqFGj+PnPf85VV12FxWLht7/9LZMnTyYtLc3fYbYK8yY/TReXhyd/eBSP1+PvcIQQTUR6IAOU1poNn71Cb+D8WTfxl1U3MjZ+LBaT/JMKIURbpLWedBanZQLdavyeAGT5yhPqKa/vcRcCCwFSU1PrTTIbq2oOpMd7YhGdUoebbqEhcGQ9X4SGYMLEpB5n81RbxnnnncdDDz1Ub91dd91Fbm4uhw4datmgWql+SYOY/MVA3gjdyVvfP811Y+72d0hCiCYgPZAB6ru9BQwu+oqjUcPIspZTUFnAuIRx/g5LCCFE6/IxMFcpZVdK9cRYLGed1jobKFFKjfatvno98FGzR1O9CuuJHsgyp5swuwV9ZANLwyNI7ZxKTHBMs4dytioqKnA4HKesj4uLIzU19ZT17c2MqX9lSIWLf+58g2Jnsb/DEUI0AUkgA5DWmkWfLaOf6TDRI3/MN5nfoFCM7TrW36EJIYTwA6XUHKVUJjAGWKyU+hxAa70NeBfYDiwFbtVaV40lXAD8C2Nhnb3AkuaO0+T72KG9JzoySyvdhNrN7Mndwn6LYkqPKc0dxjl588036datG9dffz1LlizB45GhmQ0Z2LsHI5wXUKI8PLPyd/4ORwjRBCSBDEArd+XRO/cLNApryhy+yfyGlJgUOgZ19HdoQggh/EBr/YHWOkFrbddad9JaT61R97DWurfWuq/WekmN8vVa60G+utt8q7E2L18PZM35cGUOD+FWzefOXEzAxT0ubvYwzsUHH3xARkYGF198Mc8++yzdunVjwYIFfP3116c/uZ0acfHvuazYxbvZq9hwdL2/wxGiTWqJt/AqMmEuwGiteXr5bp6xrkN3P5/jVhvp+eksGLrA36EJIYQQDapahVVrYw6k0+3F6fGS4Mni3ZAgUsMSW/Xw1SoRERHMmzePefPmUVBQwHvvvcftt9/OsWPHOHz4sL/Da3XGDejO94tn0zXkEx5c9Rveu2IJQZYgf4clRJPyai8OjwOnx4nD46i+X+mprC6rU+c26pze2vVOj7POOU5vPWU1j/M6sWNvkecqCWSAWX/wOIVHdpFoz4T+t7H6yGo0mvFdZfsOIYQQrZuJqh5IYw5kmcP4aa3czD6blasCbCuq48eP8/777/POO+9w7Ngxrrji3Laq+M1vfsMnn3yCzWajd+/evPrqq0RFRQHw6KOP8vLLL2M2m3n22WeZOtXoZN6wYQM33HADFRUVzJgxg2eeeabV7QetlKLnhFv4+Zcf8wdrPn9b/xT3jZbhrKJpaa1xe921ErSq+yeXNbbuVAlgfee7a6wufTZMyoTdbMdmtmE32bGardjN9uoym9lGhD0Cu8koq6/+VV5tolezYZJABph/fbOPS4LSjMXW+0zlm/R/Eh0UTf/o/v4OTQghhGhQVQ9k1RDWUl8CebByAwAX9rncP4GdgZKSEj788EPefvttNm7cyKxZs3jggQeYOHHiOSdukydP5tFHH8VisXDvvffy6KOP8vjjj7N9+3YWLVrEtm3byMrKYtKkSezevRuz2cyCBQtYuHAho0ePZsaMGSxdupTp06c30bNtOrOGJ/LgF9dwbdHL/GfX2wyIGcjspIZ2oBGB6Gx64RweBw53PYlcjV65qp66+pK9mtfW9e9G1Gg2k606IQuyBBnJXFVSZ7afSOAs9lrJW82fNW9nUtcUOylIAinqOFhQxhfbc1gRtwOsfXBHdWd11momdpuIScl0ViGEEK2bwgyA17eNR5nTSCDT3AdJQpHQobffYmusnj17MnXqVBYsWMC0adOwWq1Ndu0pU04sIDR69Gjee+89AD766CPmzp2L3W6nZ8+eJCUlsW7dOhITEykuLmbMmDEAXH/99Xz44YetMoG0WUx0H3s1o1f8j13Bbv5vzf/RObQzo7qM8ndobcrZ9sKddqhlC/fCnSrpCrIEEWmPPOdkzW4+kQRWlVlN1sD+PO2qbLGHkgQygLy6+gCRpkp6lGyE0beQnp9OsbNYtu8QQggREEy+DrqqbTzKHG4wlZNuquBGayc/RtZ4hw4dIiQkpNkf55VXXuHHP/4xAEeOHGH06NHVdQkJCRw5cgSr1UpCQkKd8tbqmtGJLFhxM//IfpAbe/fnF8t/wZMTnmRCtwn+Dq1Jaa1xemv3mp2chJ0qMat0V55VL5zDe6IXryl74epLumoOo6x5TM0euzrnn6LXrvpcs3Fuu93PXGtwlkJlMVQWnbg5qn4vrF3nqHmc777HgbFTU/Nrp/9KgafC6eF/GzL5VeIR1BEXJE/lm8xvMCszY+LH+Ds8IYQQ4rRMyvjYUdUDWerwEBy2HY+CC2OG+DO0RqtKHj/99FN+//vfc+DAATweD1prlFIUFze81+GkSZM4evRonfKHH36Y2bNnV9+3WCz85Cc/AepfXVEpdcry+ixcuJCFCxcCkJeX12CMzSUy2Eq/8yaxZN1KXt23igWDLuCOr+7g+gHXc/vw27Gbm34BELfXTZmrrDoxq/RUnrjv+1nhrmgw0TvdsMmTkzun13lOMSsUQZagehOtWkncufS+naLOZrYFdi+cP3ncRmJXcdxI+CoKTyR/FYWnLqtKBH2Li52SJQiCIo2bPQKCoiCqBwRFnCj7dFFzPsMTobTIo4hztmRrNiUONzOD08AeCd1Hs27HiwyMGUiELcLf4QkhhBCnpVTdRXQiwjcR5PGQknCBP0M7Y3feeSfvv/8+KSkpZzT3cfny5Q3Wv/7663z66ad8+eWX1ddNSEiotbprZmYm8fHxJCQkkJmZWae8PvPnz2f+/PkApKamNjrepnbj2ERmrZnLLLWRl/OLeXLQlby+/XWW7F/CNf2vYUriFLqFd2vwGk6Pk4KKAo5VHqOgsoCCioJaP49VGOXHKo9xvPL4WfXIWZSl/nlwvp60MGsYtqCGE7KqXrVTDck81XBKi7K0uoWQ2g23w0jmaiV8hY0rc5Y0fG2zzUj6gqOMn2FxEJNs3K9KDIMiTySEQZHGZ/6qMkvdL1gqXR7SjxTxw4FjrN93HJAEUtTwzg+H6dkxiNijX0PSRZR5nWzN38pNg27yd2hCCCFEo1QtolM1hLW40oEz9BCTyiswdxrkz9DOWLdu3Rg0aFCTftBfunQpjz/+OKtWrao1THbWrFlcc8013HXXXWRlZbFnzx5GjhyJ2WwmPDyctWvXMmrUKN544w1uv/32JounOXTrGMIFKcn8aedPeOzIc/x+wBymTX2FhWkLeXrj0zy98WniQuJICEsgyh6F2WTG4XFQ6iw1EsaKAkpc9X9QD7YEEx0UTXRwNN3DuzM0bijRQdFE2CIIsgQRbAmunkcXZA6q7uWr/ulL+Nr1UMpApzW4ys8+CXRXNHx9a6iR0FUlgVHdIGhQ7cQwOMqX9J1UZgmq3gv3bBWWO9lw8Dg/HDjO+gPHSMsswukxei6T4sLO6dpnQv7rCAAH8sv4fv8xHhtrQm3IgaTJbMzZiEd7OK/zef4OTwghhGgUc/UiOsYqrPuKd+I2uxjrcEOHnv4M7Yw98cQTzJgxgwsvvBC7/UTPwF133XXW17zttttwOBxMnjwZMBbS+ec//8nAgQO56qqrGDBgABaLheeffx6z2XgtX3jhheptPKZPn94qF9A52fxxvbh0yxh+3m07Pb/8P85L/ILzprzEweKDfJf1HWl5aWSVZnG49DAerwe72U6oNZQ+HfoQHR9NdFA0HYM7VieL0UHRdAzqSIi1+eemihagNbgqjKGgNW+NTQK9roavX9WrF+xL8mKSTvQCVid8HeqWBUWCxdZMT7p++aUO1u4rYO2+AtbtP8bunFIArGZFStdIbhybSGpiR0b06EDHUBupb7VMXJJABoD/bjiMScGMsF1GQa8LWbfnHawmK0Pjhvo1NiGEEKKxqoew+ub67CnegNIwKigezIH1keT+++8nLCyMyspKnM5zm/NWJSMjo8HHu//+++uUp6amsnXr1iZ5/JaSkhDJmF4x3JI/j6Vhu1DvXAfzV9Ijogc9Inpwdb+r/R2iaApag6OkbiJY61ZYf7nHcerrKlPd5C4yoZ4kMKr+JNBkbuYnfvaOlTn5fl8Ba/YVsGZvAXtyjYQx1GZmRGJHZg2JJzWxI0MSogi2+e95BNa7dTukteaDjUe4sE8sEVmvQ8feEJnA99nfMyR2CMGWYH+HKIQQQjRK9SI6viGsBys20duhiY5pmZUDm9KxY8f44osv/B1GwJo/vhc3vlbAyjF/Y+Lq62HR1XD9R2AL9Xdo4mRej6+Xr4GE71Q37Tn1da0hRk9f1S0mqfbvNW81E0J7+DkPBW0tCsudrN13rLqXcedRY3h2iM1MamJH5gzvyphe0QzqGonV3HoWN/JbAqmUCgUqtW7oL0tsOlxIVlElv5ncC75YDYOvoshRxM5jO1kwZIG/wxNCCCEazVTVA+nxUOIsId+1h1mVJcaXowFm0qRJfPHFF7X2bhSNd2GfWJLjwnh8i2LC5QtR/70BFl0DP34T7C03l6td8bjOPAGsOG4kjw0tRGSPMJK7qmQvsuupE8GaCaE1qGWedytS6nDz/b4CVmcYvYw7jxajNQRZTZyX2JFLh8Qzulc0gxNaV8J4shZLIJUxc34u8BPgPMAB2JVSecBnwEKt9Z5zuP6vgb8AsVrrfF/ZfcDNgAe4Q2v9+bk9i5a3OC0bm9nElA7Zxv4wPS9kfc56NJqRXUb6OzwhhBCi0UzKNwdSe1mXvQ6NlwsqKiA6yc+Rnbnnn3+eJ554ArvdjtVqbfQ2HsJgMiluubA3d/93CytMo7lo9vPw0a3w2iVwzbsQHhj7gvqN2wHlx6C8ACp8P8uPGbfq3wtqHHPc2GLilFTtJDCkI0T3bkQiGAlma0s964Dj9nhJO1LEt3vy+XZPPhsPHcft1dgtJlITO3DXpD6M6R3N4IQobJbWmzCerCV7IFcAy4H7gK1aGxMglFIdgYnAY0qpD7TW/znTCyulugGTgUM1ygZgJKwDgXhguVKqTyD1eHq9miXp2YzvE0No5kqjsOd41qW/SJA5iMExg/0anxBCCHEmVHUC6WF11mqs2sqQSofxQTXAlJScZsl+cVqzhsbzt+W7ee6rDCYuuBoV3BHeuxH+NQnm/BMSx/o7xJbhqjh1MniqBNFZeurr2cIhpAOERENwR+MLmpCOxv2Qjr7kL6p2ImiPBFPgJDCt2cGCMr7ek8+3e/L4bm8BJZVulIJB8ZH8bHwvxiXFMLxHB4KsrXcu5um0ZAI5SWtdZ1kkrfUx4H/A/5RSZ/sVxt+Ae4CPapTNBhZprR3AfqVUBjASWHOWj9HiNmcaw1d/PbUvpK2CzikQ0pF1R9cxLG4YVvnGRwghAopSKgiYCYzD+HKzAtgKLNZab/NnbC2hagirV3v4Lvs7ero7YmVvQPVAHjhwgMTExFPWa605cuQICQkJLRdUgLKaTdxyYW8e+HAr32bkM67vNLhhsZFEvjYDzvspTLgPQmP8HWrjVG0hUSvxq5EInioZdJWf+pr2yBPJYGgsxPYzksCQjicSxJDoGr93qHe/QNF8CsudfLe3gG/25PNtRh6HjxlbgXSNCuaSlC5ckBzD+b1j6Bjasiu4NqeWTCA/UEq9BXyktS6r74D6EszTUUrNAo5orbectBdTV2Btjd8zfWUBo2r46qTkcFj8PYycT2FlIRmFGUzv2fqX6RZCCHGCUuohYBbGiJzvgVwgCOiDMQonCLhba512Ftf+C3Ap4AT2AjdqrQt9dfVO51BKjQBeA4IxppL8Umt95juun1GcxseOzIqjHCk9whWVCZSpEEJDY5vzYZvUb37zG7xeL7Nnz2bEiBHExsZSWVlJRkYGK1as4Msvv+SPf/yjJJCN9KPUBP6xIoO/LdvNBUkxqK7DYcF38NWfYe0LsOlNGDEPhl0HnQa23OIpWhu9fI1KBo+f+N1deeprBkWdSPTCu0CnQSeSwZMTwapkUDoLWh2vV7Mtq5iVu3JZsSuXzYcL8WoIt1sY0zua+eN6cUFyLInRIU26T2xr0pIJ5EsYQ0qfVkqtAN4GPtNan3bta6XUcqBzPVX3A78D6pvBXt+/WL0No1JqPjAfoHv37qcLp0VorVm69SjjkmOIyN8CHif0HM+m3E0AjOg0ws8RCiGEOEM/aK0fOkXdU0qpOOBsG6FlwH1aa7dS6nGM6SL3nmY6xwsYbd9ajARyGrDkLB+/Uap6IDcUG52tY8rKOGrpSu8A+pD13//+l+3bt/Pmm2/yyiuvkJ2dTUhICP3792fGjBncf//9BAW1v8VBzpbdYua2i5L53QfprNqdx4S+ccZKrNMehRE3wuqn4Yd/wff/NHqqe02ArqkQ1x+iuhtJVkN/P1VbSTiKobLYWBDGUWzMCayTDJ40bNRzqo+ovvmCVT2AkQnQZUjtYaO1egV9w0YDbKsacUJRhYtv9uSxcpdxyy91oBQMToji9ouSGd8nliEJkVha8cI3TanF/pK11h8BHymlgjG+gZ0H/FMp9RnwttZ6WQPnTqqvXCmVAvQEqnofE4CNSqmRGD2O3WocngBkneL6C4GFAKmpqc367WtjZeSWcqSwglsnJsGhRYCCbqPYsPVf2Ew2BsUM8neIQgghzkywUsrum1pRh9Y6F6NX8oxprWvuJ7EWuNJ3v97pHEqpA0CE1noNgFLqDeAymjmBNJuMjx2bSnaQEJbAEMde9gcNINBmQA4YMICHH37Y32G0GVeOSOAfK41eyAv7xJ7otYntA5f9Ayb9EXZ+Ajs+gS2LjISyislqJJy2ULAGg/aCx21sJu8qN5JH376j9VIm36IxvkSvQyJ0HVajF7C+ZDCqVe8lKM6d1pod2SWs2JXLql15bDh0HI9XExls5cI+sUzsF8v45Fiiw9rncOEW/ypEa10BvAO8o5QaDLyOkUye8X+JWut0IK7qd1+DmKq1zldKfQy8pZR6CuNb12Rg3bk/g5axYpfxGWJC31j4+Dtj2EZwFBtzNzIoZhB2c/v8gxVCiAD2E+AfSqmlGKNwvmimhd1uwmhn4dTTOVy++yeXNyuF8e28QzsZ3XkkselrWW/vdpqzRFtns5i4/aIk7v1fOst35DJ5wEkrsIbFQupNxs3rgfzdUJABxw9CeT44y8FZZiSMJjOYLMbNGmxsMREUCUERNe5HnhhOGhQli8cIAMocbr7Zk189NDWn2Piub1DXCH4xoTcT+sYytFsHzKbAGTHRXFo8gVRKdQKuwhhS0wX4L3BjUz+O1nqbUupdYDvgBm4NpBVYV+zMo1/ncOLDrZD5AwyZS7mrnO0F27lp0E3+Dk8IIcQZ0lrPUUpFAHOAO4CXlVIfYYzC+fp05zc0ncM3ygel1P0Ybd6bVafVF0oD5fU9bpNN8zDV+KA+KqIXZrwUBwXU8gSimVw+PIEXV+3j8aU7mdg39tRDAU1mY/hqXP+WDVC0STnFlSzfkcPy7Tms3luA0+0lPMjC+ORYLuwby4Q+scRFyJD0k7XkPpA/A64G+gLvA/dorVc35WNorRNP+v1hIODGmJRUuvjhwDF+Oq4X5Gw1JnF3H0N6fjoe7WF4p+H+DlEIIcRZ0FoXY4y8eV0pFY0x1PTvSqmOWusGu+JONZ2jilJqHsYKrxfXWAznVNM5Mn33Ty6v73GbbJpH1T6QACOtHQAoCY4/l0uKNsJqNnHPtH7c8p8NvLs+k2tGtY41KUTbUjU0dfmOHJbvyCEtswiA7h1DuG50Dy7uH8d5iR2xtpO5jGerJXsgzwceA5ZX7QEp6rc6Ix+3VzOxbywcetco7D6GtIOfAZASk+LH6IQQQpwrpVQH4HLgx0BHjO2szuV604B7gQu11jX3BKh3OofW2qOUKlFKjcZYEfZ64O/nEkPj4jyRQHYsLQCgPDRweyA//vhjvv7a6Dy+8MILufTSS/0cUWCbOrATqT068NSy3cweGk+oXRadEefO6faybv8xlu/IYdn2HI4UVqAUDO0WxW+m9mXKgE4kxYW12RVTm0NLLqJTPUzVN/cxsebja63fb6lYWrsVO/MID7IwvEcHWL8GIrtDZFfS8tLoGdmTSHukv0MUQghxhpRS4RgL1VwNDMdI7v4MrGiC7TOeA+zAMt+HoLVa61tOM51jASe28VhCMy+gA2BSxrf6NmWBwoM4tRl3SH2jclu/++67j3Xr1vGTn/wEgGeffZbvvvuORx991M+RBS6lFPdf0p85//iOF1ft5a4pff0dkghQJZUuvtqZy7LtOazalUeJw02Q1cQFSbHccXESE/vFERcuQ1PPlj/mQL4CDAa2AVU9kRpjWGu7p7Vm1e48xiXHYDUpOLQWel6I1pq0/DTGdR3n7xCFEEKcnf3A5xjbZyw9m72PT0VrndRAXb3TObTW64EWXdK7qgcy2hKJ99gBsnQMdltgbq69ePFiNm/eXD2vc968eQwbNkwSyHM0rHsHZg7uwotf7+NHqd3o1jHE3yGJAHGszMny7Tks3XaUb/fk4/R4iQmzMSOlC5MGdOKCpBiCbbJ6blPwx9iA0VrrAX543IBwsKCco8WVnN87Bo7vh9Ic6D6azNJMjlUeY3DsYH+HKIQQ4ux0P2l4abvjUcb3xsND+qBz9nBYxxJsDdwPdIWFhXTs2BGAoqIiP0fTdtx/SX++2pnLQx9v4+UbzvN3OKIVO1pUyRfbj7J061G+338Mj1fTNSqY68b0YPqgzgzrLqumNgd/JJBrlFIDtNbb/fDYrd7afcackNG9ouHwp0Zh99Gk5aUBMCR2iL9CE0IIcQ6qkkel1EzgTxhTOcwYK6JqrXWE/6JrGT3t3fi/vAISe05E7f6SwzqFIGtgLlZx3333MWzYMCZOnIjWmq+//lp6H5tIl8hg7pyUzCOf7WTZ9py623qIdu1QQTlLt2WzZOtRNh0qBKB3bCgLLuzNtEGdGRgfIfMZm5k/EsjXMZLIo4CDEw2ndK0Ba/YVEBtup3dsKPywHmxhENuPtAMfE2wJpndUoG23LIQQ4iRPYyygk94Ecx8DitlsYU5pGXs8bkzl+WTqOHoGWA/kbbfdxjXXXMPVV1/NhAkT+OGHH9Ba8/jjj9O5c2DO52yNbhzbk/c2ZPLQx9sYmxRNiE0W1GnPMnJL+Cz9KEu2HmVHdjEAA+Mj+PWUPkwb1JmkuHA/R9i++ONrv1eA64BpwKUYS47LsmUY8x/X7itgdK9o45uTIxsgfhiYzKTlpTEoZhAWk7yBCiFEgDsMbG1vySMAVYvolBwGIFPHBtycpOTkZO6++24SExN5+umn6d69O7Nnz27S5PGvf/0rSiny8/Oryx599FGSkpLo27cvn3/+eXX5hg0bSElJISkpiTvuuIO28mdlNZv482UpHCms4Imlu/wdjvCD/fll/P3LPUx7+msmPfU1f1u+m1CbmQcu6c8390xk8R3juO2iZEke/cAf2cghrfXHfnjcVu9AQTk5xQ5G9+oIrko4mg5jfkGlu5Kdx3Yyb+A8f4cohBDi3N0DfKaUWoUxEgcArfVT/gupZSjfgjP2koMAHNaxBFkCK4H85S9/yS9/+UsOHjzIokWLuPHGG6msrOTqq69m7ty59OnT55yuf/jwYZYtW0b37if2Qdy+fTuLFi1i27ZtZGVlMWnSJHbv3o3ZbGbBggUsXLiQ0aNHM2PGDJYuXcr06dPP9Wm2CiN7duSG8xN57bsDTB3YmTG9o/0dkmhmh4+V82laNp+mZbEty+hpTO3RgQcvHcCMlC50ipCVU1sDfySQO5VSbwGfULvhbPersFbNfxzTKxpytoLXBV1T2XlsJ27tlgV0hBCibXgYKAWCgMBcgvSsGfOSgnw9kId1XMD1QFbp0aMH9957L/feey+bNm3ipptu4o9//CMej+f0JzfgV7/6FU888QSzZ8+uLvvoo4+YO3cudrudnj17kpSUxLp160hMTKS4uJgxY8YAcP311/Phhx+2mQQS4J5pfVm5K5ffvLeFz+8cL3tDtkFZhRV8lp7NJ2nZbDlcCMCQblE8cEl/ZqR0IT4q2L8Bijr88V9hMEbiOKVGmWzjgZFAxoXb6RkTCt+vNwoTUtlyeBmAJJBCCNE2dNRaTzn9YW2PMhnJor30MB6znXwiAnYRHZfLxdKlS1m0aBFffvklF154IQ8++OA5XfPjjz+ma9euDBlSe8G8I0eOMHr06OrfExISOHLkCFarlYSEhDrlbUmIzcJffjSEq15cwx8/2cYTV8pigm1BTnEln6Vn82laNhsOHgdgUNcI7p3Wj5mDu8j2La1ciyeQWusbW/oxA4HWmu/3HWNUzfmP4V0gIp60vDS6hnUlJjjG32EKIYQ4d8uVUlO01l/4O5CWVrUyor0si4rQBChTBAXYIjrLli3j7bffZvHixYwcOZK5c+eycOFCQkNDG3X+pEmTOHr0aJ3yhx9+mEceeYQvvqj7Z1HfvEal1CnL67Nw4UIWLlwIQF5eXqNibS3OS+zILyb05vkVexnTO5o5wxJOf5JodfJLHSzxJY3rDhxDa+jXOZxfT+nDJYPjjQ4UERBaLIFUSj0A/ENrfewU9RcBIVrrT1sqptYkq6iSo8WVpPboYBQcWQ9dRwCQlp/GsLhhfoxOCCFEE7oVuEcp5QBctKNtPPDNgTRpN+VBxtYMgZZAPvLII1xzzTX89a9/rd4D8kwsX7683vL09HT2799f3fuYmZnJ8OHDWbduHQkJCRw+fLj62MzMTOLj40lISCAzM7NOeX3mz5/P/PnzAUhNTT3juP3tV5P68MP+49z/wVZSukaRFBfm75BEIxwvc7J021E+Tctizd4CvNrYcuOOi5K5dEgXWQAnQLVkD2Q68IlSqhLYCORhzP9IBoYCy4FHWjCeVmWjr/t+ePcOUH4Mju2DYdeRU5bD0bKjsv+jEEK0EVrrdvyJ6cRw1dIgY9VSmzmwhrCuWLGiWa6bkpJCbm5u9e+JiYmsX7+emJgYZs2axTXXXMNdd91FVlYWe/bsYeTIkZjNZsLDw1m7di2jRo3ijTfe4Pbbb2+W+PzNYjbxzNVDueTZb7n1zY387xfnEybzIVulogoXn287yuK0bFZn5OP2ahKjQ/jFhCRmDulC307hsk9jgGux//K01h8BHymlkoGxQBegGPgPMF9rXdFSsbRGGw8dJ8hqol+XcNj3lVGYkEp6fjoAg2Nk/qMQQgQypVSi1vpAA/UK6Kq1zjzVMYGuahVWgFK70QNptwRWAukPAwcO5KqrrmLAgAFYLBaef/55zGaj5/aFF17ghhtuoKKigunTp7epBXRO1iUymGfmDuWGV3/gl29vYuH1qZhNkoi0BiWVLpbvyOHTLdl8vScPl0eT0CGYm8f15NLB8QyMj5CksQ3xxxzIPcCeln7c1m7joUIGJ0RhNZuM4asoiB9GWvpL2Ew2+nXs5+8QhRBCnJu/KKVMwEfABk6MxEkCJgIXAw8CbTeBVCeSxWJrHAA2SSDrdeDAgVq/33///dx///11jktNTWXr1q0tFJX/jUuO5cFLB/CHj7bxxNKd3Dejv79DarfKnW6+3JHLp2lZrNiVh9PtpUtkEPPGJDJzSDxDEiIlaWyjpO+/Fah0edieVcTNF/QyCo5sgNh+YA9nS94W+kf3x2q2+jdIIYQQ50Rr/SOl1ADgJ8BNGCNxyoEdwGfAw1rrSj+G2PxqJJCFNqMHUhJIcaauH5PInpxSXvx6Hx1Dbfz8wt7+DqndqHR5WLEzl0/TsvlyZw6VLi+x4XauGdmdmYO7MLx7B0zSK9zmSQLZCmw9UoTLoxnePQq0NhLIPtNxeV1sL9jOj/r+yN8hCiGEaAJa6+1A3W6kdsJUI4E8bokFvMbIGyHO0IOXDuB4uZNHl+ykwuXhlxcnS29XM3G4PXy9O59P07JYvj2HMqeH6FAbV45IYObgeM5L7ChDidsZSSBbgU2HCgEY1r0DFB6C8gLoOozdx3dT6amU/R+FEEK0DTU+ZB63xKHUUSzywVOcBYvZxDNzhxFkNfP08j1UuDz8dlo/SSKbiNPtZXVGPp+kZbFsWw4lDjdRIVYuHRLPzMHxjO7VEYt8+dNutXgCqZTqCdwOJNZ8fK31rJaOpbVIO1JE16hgYsPtsH2zUdhlGGl5aQAMiZEVWIUQQpyaUupPwGzAC+QCN2its3x19wE3Ax7gDq31577yEcBrQDDGENpf6vo2FmzSQGssokMINrNJPvCLs2Y2KZ64YjDBVjMvrtpHpdPDg5cOlCGUZ8nl8bJmbwGL07JZuu0oRRUuwoMsTBnYmZlDunBBUoyMGBCAf3ogPwReBj7BaOjava1HihjU1bf9V9ZmMFmg00DS9r9HbHAsnUM7+zU+IYQQrd5ftNa/B1BK3QH8AbjFN+dyLjAQiAeWK6X6aK09wAvAfGAtRgI5DVjSrFFaQ6rvOtxemf8ozpnJpPi/2QMJtplZ+PU+KlweHr18sAypbCSH28O3e/JZsvUoy7bnUFThItRmZvKATswcHM+4PjHYLYG1V6tofv5IICu11s/64XFbpZJKF/vzy7h8WFejIHszxPYHaxBpeWkMjh0s384KIUQbo5SaBYz3/bpKa/3JuVxPa11c49dQoKoncTawSGvtAPYrpTKAkUqpA0CE1nqNL543gMto5gRS205s/u70eGULD9EklFLcN70fwVYzz3y5h0qXlyevGiK9ZadQ4fSwclcuS7Ye5auduZQ63IQHWZjUvxPTBnXmwj6xBFklaRSn5o8E8hml1IPAF4CjqlBrvdEPsfjdtiyjzR+UEGksoJO1GfrN4HjlcQ6VHOKKPlf4N0AhhBBNSin1KDASeNNXdIdS6nyt9X3neN2HgeuBIoxtQQC6YvQwVsn0lbmovV1IVXnzstgBKA3vhdPtxSYf8EUTUUrxq8l9CLaZeWzJTvJLHTx11VA6Rwb5O7RWoaTSxVc7c1m69SgrduVS6fLSIcTKJSldmJbSmbG9Y2REgGg0fySQKcB1wEWcGMKqfb+3O1uPFAEwKD4SijKh4hh0GUp6fjoAg2NkAR0hhGhjLgGGaq29AEqp14FNQIMJpFJqOVDfnIb7tdYfaa3vB+73zXm8DWNPyfqGsOgGyut73PkYQ13p3r17QyGelslkYobjEX556WScu8vkA6tocrdc2JuYMDu//3Ar0575mscuT2HaoC7+DssvCsudLNuew9KtR/lmTz5Oj7Hlxo9GdGP6oM6M7CkL4Yiz448Ecg7QS2vt9MNjtzpbjxTROSLIWEBnx2ajMH4YW/LWYFZmBkQP8Gt8QgghmkUUcMx3P7IxJ2itJzXy2m8BizESyEygW426BCDLV55QT3l9j7sQWAiQmpp6TovsKGC7TsRpCcPpLpEEUjSLK0ckMLx7FHe+s5lb/rORH6d24w+XDiDU3vY3Hzh8rJyvduayfEcOa/YW4PZqukYFc+3oHkxP6cwI2adRNAF//Je0BaPhzPXDY7c66UeKGNTV99khazMos7GAzvYX6dOhDyE1FhwQQgjRJjwKbFJKrcDIqcZzmt7H01FKJWut9/h+nQXs9N3/GHhLKfUUxiI6ycA6rbVHKVWilBoNfI8x9PXv5xJD4+I0fmqMOZAyR000l16xYfxvwfn8bdluXli1l9V787lnWj9mpnRpUwmU2+Nlw8HjfLUzl6925rIntxSAnjGh/HRcL6YP6szghEhZT0M0KX8kkJ2AnUqpH6g9B7LdbeNR6nCzL7+MWUNqLKAT1x+P2UZ6fjoze830a3xCCCGajlLqOeAtrfXbSqmVwHkYCeS9Wuuj53j5x5RSfTGmhhwEbgHQWm9TSr0LbAfcwK2+FVgBFnBiG48lNPcKrFD9IVZrbcyBlB5I0YysZhP3TOvHhX1iefDjbdzx9ib+9c0+fju9H+f3jvF3eGftSGEFqzPy+WZPPqt25VJc6cZqVozs2ZG5I7tzUb84esaE+jtM0Yb5I4F80A+P2SrtyC5Ga0hJiDixgE6faewv2k+Zq4zBsTL/UQgh2pA9wJNKqS7AO8DbWuvNTXFhrfUpV1zTWj8MPFxP+XpgUFM8fmNV9YFobfRAyiI6oiWM6hXN4jvG8eGmIzz5xS6ueel7LuwTy0/H9WRs75hW3yN5rMzJd3vzWZ1RwHd78zlYUA5ATJidqQM7c1G/OC5IjiE8yOrnSEV70WIJZI1vXle11GO2dumZNRbQKT4C5fnQZQhp+WmALKAjhBBtidb6GYyVyHtg7M34qlIqCHgbY6uN3X4NsAVU90Bi9ECGB7X9OWmidTCbFFeMSOCSwV14Y80BXli5l+teXkf3jiHMHdmNK0ckEBfu/xVbtdYcKChn8+HjbDlcxPf7j7Ej21ixP8xuYVTPjlw/JpGxSdH07RQuQ1OFX7TkO3ezffMaqHZkFxMTZiMuIgh2GkkjXYaQlrmECFsEPSJ6+DdAIYQQTU5rfRB4HHhcKTUMeAVjdE6b33itqqNHa3C6ZR9I0fKCrGbmj+/NvPMT+XxbDm99f5Anlu7iqS92M6pXR8YnxzIuOZb+XZo/OdNak1fqYFtWMZsPFbL5cCFbMgspLHcBEGw1M7RbFL+e0ofzk2IY3DVSVk0VrUKLJZDyzWtdu3JK6Nc5wvjlaBqgoNNAtmx6jMGxg+VbJSGEaIOUUlZgGkZbeDGwCvijX4NqIco3iNVbNYRVEkjhJ3aLmVlD4pk1JJ59eaW8uz6TFTtzeXTJTh5dspOYMDsje3agT6dw3y2MHtGhZ7zwk9erKal0c/h4Ofvyy9ifV8a+/FL2++6XONyAscBUn7hwpg7ozNDuUQztFkVyXJgkjKJVavGxI+35m9eaPF7N7pwSfjLK18uYvQVikilVsLdwL1MSp/g3QCGEEE1KKTUZuBpjH8h1wCJgvta6zK+BtaDqVVirFtGRD8eiFegVG8Zvp/fjt9P7kVNcyTd78vl6dx5bMgtZsvUo2rd5jVIQZrMQarcQajcTZrcQFmQh1GYhxGam3OmhuNJFcYXb99NFicNdfX7VNeIjg+kVG8rlw7vSMyaUvp0jSEmIJKwdbDMi2oYW/0ttz9+81nSwoIxKl5d+ncONguw06D6arQVb0WiGxAzxb4BCCCGa2u8w9mj8tdb62OkObotqbeMhq7CKVqhTRBBXjkjgyhHGNqkVTg9780rZnVPCgXyjx7DM4abM4am+X1BaTrnTQ4jNTESwlfioYPoFhxMRZCUi2EpEkIWuUcH0ig2jR3QIQdZ21Wci2qCWXESn3X/zWtOuoyUAxhDW8mNQnAldBpOWZ8yFHBTbogvjCSGEaGZa64n+jsHfam3jIUNYRQAItpkZ1DXyxJ7dQgha8p37d8AaoL/W+lKt9ZvtNXkE2HG0BJOC5E5hxvBVMBbQyUujd2RvImwR/g1QCCGEaGK1tvFwe894PpkQQgj/a7F3bq31RK31S+112M7Jdh0tJjEm1BjG4EsgdacU0vLSZP9HIYQQbZJJySI6QggR6NrEbF2l1O3AbYAbWKy1vsdXfh9wM+AB7tBaf+6/KGvbebTE2P8RjBVYI7uR6SnnuOO4JJBCCCHapBNzII1FdOzSA+kXBw4cIDU1tVmunZeXR2xsbLNcu7lIzC0j0GIOtHgBdu7c2SKPE/AJpFJqIjAbGKy1diil4nzlAzAW6hkIxAPLlVJ9tNYe/0VrKHe6OXSsnCuGGxO0yU6DzoPZkm/0REoCKYQQoi2qSiCdbi+A9ED6SX5+frNdOzU1lfXr1zfb9ZuDxNwyAi3mQIsXaLYvhk7WFt65FwCPaa0dAFrrXF/5bIz9JR1a6/1ABjDSTzHWsjunFK0xVmB1lEJBRvX8xxBLCL0je/s7RCGEEKLJVe0D6ZAEUgghAlZbeOfuA4xTSn2vlFqllDrPV94VOFzjuExfmd/tOloMQN/O4ZCzFdDVK7CmxKRgNsnyzkIIIdqeqh5Ih8uXQMoQViGECDgBMYRVKbUc6FxP1f0Yz6EDMBo4D3hXKdWLE4u91aTrKUMpNR+YD9C9e/emCLlBGbml2C0mEjqEQIaxbUdlbF92fb+LGwfd2OyPL4QQQvhD1SI6lW5jNonNIl+YtjXz58/3dwhnTGJuGYEWc6DFCy0Xc0AkkFrrSaeqU0otAN7XWmtgnVLKC8Rg9Dh2q3FoApB1iusvBBYCpKam1ptkNqWM3FJ6xYZhNik4ugVCYtjhKsSt3TL/UQghRJtV9c1udQ+kDGFtc+RDd8uQmJtfoMULLRdzW3jn/hC4CEAp1QewAfnAx8BcpZRdKdUTSAbW+SvImjLySkmKCzN+yU4zhq/mpwOQEpPix8iEEEKI5lM9hNXXA2k11zdYSAghRGvWFhLIV4BeSqmtwCJgnjZsA94FtgNLgVtbwwqsFU4PmccrSIoNA7cTcncYK7DmbSEhLIHo4Gh/hyiEECJAKaV+rZTSSqmYGmX3KaUylFK7lFJTa5SPUEql++qeVUo1ezZX9RCVvh5Iu/RABoylS5fSt29fkpKSeOyxx+rUa6254447SEpKYvDgwWzcuLHR57bGmG+66Sbi4uIYNGhQi8ULZx/z4cOHmThxIv3792fgwIE888wzrT7myspKRo4cyZAhQxg4cCAPPvhgq4+5isfjYdiwYcycObOlQj6nmBMTE0lJSWHo0KFNs1Kr1lpuNW4jRozQzSk9s1D3uPdTvTgtS+uszVo/GKF1+nv64ncv1vesuqdZH1sIIURtwHrdCtqeprhhTNv4HDgIxPjKBgBbADvQE9gLmH1164AxGCNLlwDTT/cY59pGFlU4dY97P9W3vrlB97j3U/3ljqPndD3RMtxut+7Vq5feu3evdjgcevDgwXrbtm21jlm8eLGeNm2a9nq9es2aNXrkyJGNPre1xay11qtWrdIbNmzQAwcObPZYmyLmrKwsvWHDBq211sXFxTo5ObnVv85er1eXlJRorbV2Op165MiRes2aNa065ipPPvmkvvrqq/Ull1zS7PE2Rcw9evTQeXl5Z/SYDbWP8tVfC9ubVwpgDGHNNhbQORqVQE55jsx/FEIIcS7+BtxD7QXj6t3SSinVBYjQWq/xfVB4A7isuQOs6uKs3gfSLIvoBIJ169aRlJREr169sNlszJ07l48++qjWMR999BHXX389SilGjx5NYWEh2dnZjTq3tcUMMH78eDp27NjscTZVzF26dGH48OEAhIeH079/f44cOdKqY1ZKERZmTOlyuVy4XC5aYCDEOf9tZGZmsnjxYn760582e6xNFXNTkwSyhWXklmI2KRKjQ+FoGtjCSXMVAjAkdoh/gxNCCBGQlFKzgCNa6y0nVZ1qS6uuvvsnlzerE6uwyiI6geTIkSN063ZiXcKEhIQ6ycmpjmnMua0tZn9pqpgPHDjApk2bGDVqVPMG3Mh4GjrG4/EwdOhQ4uLimDx5ckDEfOedd/LEE09gMrXc+9e5xqyUYsqUKYwYMYKFCxeeczwBsQprW7Inp5QeHUOMRjN7C3QexJb8NOxmO3079PV3eEIIIVqp02xp9TtgSn2n1VOmGyiv73GbbKurE/tAVm3jIQlkIDA6qWs7uafoVMc05tzmcC4x+0tTxFxaWsoVV1zB008/TURERNMHeZJzjdlsNrN582YKCwuZM2cOW7dubfZ5p+cS86effkpcXBwjRoxg5cqVzRViHef6Oq9evZr4+Hhyc3OZPHky/fr1Y/z48Wcdj7xzt7CMvFJ6x4WB1wNHt0KXIWzO3czA6IFYzVZ/hyeEEKKV0lpP0loPOvkG7MOY37hFKXUAY9uqjUqpzpx6S6tM3/2Ty+t73IVa61StdWpsbOw5PQfly1sd1UNY5WNIIEhISODw4RMd2ZmZmcTHxzfqmMac29pi9pdzjdnlcnHFFVfwk5/8hMsvvzwgYq4SFRXFhAkTWLp0afMG3Mh4TnXM6tWr+fjjj0lMTGTu3Ll89dVXXHvtta06ZqD6Z1xcHHPmzGHdunPbmELeuVuQy+PlQH4ZyXFhcGwfuMqojOvP9mPbGRY3zN/hCSGECEBa63StdZzWOlFrnYiRHA7XWh/lFFtaaa2zgRKl1Gjf6qvXA80+Ma3qC/NK6YEMKOeddx579uxh//79OJ1OFi1axKxZs2odM2vWLN544w201qxdu5bIyEi6dOnSqHNbW8z+ci4xa625+eab6d+/P3fddVdAxJyXl0dhYSEAFRUVLF++nH79+rXqmB999FEyMzM5cOAAixYt4qKLLuI///lPq465rKyMkpISAMrKyvjiiy/OuZdXhrC2oIMF5bi92reAzloAtoeE4va6GRo31L/BCSGEaHO01tuUUlVbWrmpvaXVAuA1IBhjFdYlzR1PVQJZtYiObOMRGCwWC8899xxTp07F4/Fw0003MXDgQP75z38CcMsttzBjxgw+++wzkpKSCAkJ4dVXX23w3NYcM8DVV1/NypUryc/PJyEhgT/+8Y/cfPPNrTbm1atX8+9//7t6qwaARx55hBkzZrTamLOzs5k3bx4ejwev18tVV13VIttinOvfhj+cS8w5OTnMmTMHALfbzTXXXMO0adPOKR5V33jZ9iw1NVWvX7++Wa69dGs2t/xnIx/fNpbB25+E7//Jy7Mf4elNz/L1j7+mQ1CHZnlcIYQQ9VNKbdBaN8GmWO3DubaRTreXPg8soWtUMEcKK1h738V0jgxqwgiFEEI0hYbaR/nqrwVl5BpbePSODTNWYI0bwOb8NBIjEiV5FEII0eaZqhbRccsQViGECFTyzt2CMnJLiY8MItRmhuw0dOcUtuRukeGrQggh2oWqFQEdLtnGQwghApW8c7egjLxSkjqFQ1EmVBzjYMfuHHccZ2jsUH+HJoQQQjS7qkXnZRVWIYQIXPLO3UK8Xs3e3DKSYsMgezMAm+3Gth2yAqsQQoj2oHoRHY+RQFrN/ttzTwghxNmRBLKFHCmsoMLlMVZgzdoEJgubnceIsEWQGJno7/CEEEKIZldz42ubxeTXTduFEEKcHUkgW0hGnrGATlJcGBzZ6FtAJ50hsUMwKflnEEII0T5U5Yx2Gb4qhBABSd69W8he3wqsSbGhkLWJos6D2Fu0V4avCiGEaFdMvgxSFtARAgoKChg6dChDhw6lc+fOdO3alaFDhxIWFsYvfvGLZnnMp59+mjfeeOOcrzN37lz27NnTBBGJQGPxdwDtRUZuKR1DbXR0ZEJlIZsiY6AIWYFVCCFEu1I1aNUqPZBCEB0dzebNmwF46KGHCAsL49e//nWzPZ7b7eaVV15h48aN53ytBQsW8MQTT/DSSy81QWQikMi7dwvJyC01FtDJ2gTAD8qBzWRjcOxgP0cmhBBCtJyqIazSAynEqa1cuZKZM2cCRmI5b948pkyZQmJiIu+//z733HMPKSkpTJs2DZfLBcCGDRu48MILGTFiBFOnTiU7O7vOdb/66iuGDx+OxWL0IU2YMIFf/epXjB8/nv79+/PDDz9w+eWXk5yczAMPPABAWVkZl1xyCUOGDGHQoEG88847AIwbN47ly5fjdrtb4iURrYi8e7cArTUZeaX0rlpAxxLEDyX7GRI3BLvZ7u/whBBCiBajZAirEGds7969LF68mI8++ohrr72WiRMnkp6eTnBwMIsXL8blcnH77bfz3nvvsWHDBm666Sbuv//+OtdZvXo1I0aMqFVms9n4+uuvueWWW5g9ezbPP/88W7du5bXXXqOgoIClS5cSHx/Pli1b2Lp1K9OmTQPAZDKRlJTEli1bWuQ1EK2HvHu3gGNlTgrLXdUL6BR1HsjOY7s4r9N5/g5NCCGEaFFVQ1hlD0ghGm/69OlYrVZSUlLweDzVSVxKSgoHDhxg165dbN26lcmTJzN06FD+/Oc/k5mZWec62dnZxMbG1iqbNWtW9bUGDhxIly5dsNvt9OrVi8OHD5OSksLy5cu59957+eabb4iMjKw+Ny4ujqysrGZ85qI1kjmQLSCjagGdmGBYtYWNAyaji/NI7Zzq58iEEEKIliWL6Ahx5ux2Y8SayWTCarVW9+SbTCbcbjdaawYOHMiaNWsavE5wcDCVlZWnvHbV/ZrX7tOnDxs2bOCzzz7jvvvuY8qUKfzhD38AoLKykuDg4CZ7niIwyLt3C6jawqOfNRtcZfxgs2A322X+oxBCiHZH5kAK0fT69u1LXl5edQLpcrnYtm1bneP69+9PRkbGGV07KyuLkJAQrr32Wn7961/XWoBn9+7dDBw48NyCFwFHeiBbQEZuKSE2M3GFaQCsd+YxJFbmPwohhGh/qoaw2iWBFKLJ2Gw23nvvPe644w6Kiopwu93ceeeddZK76dOnc911153RtdPT0/nNb35T3fv5wgsvAJCTk0NwcDBdunRpsuchAoPSWvs7hlYlNTVVr1+/vkmved3L31NY7uKTbm9RtHsJ4zqFs2DIAhYMXdCkjyOEEOLMKKU2aK1lPkEjNUUbmfLg55Q43EzqH8e/5slaAEK0tDlz5vDEE0+QnJx8Ttf529/+RkREBDfffHMTRSZak4baR/n6rwXszS01FtA5tIY18f3RaMbEj/F3WEIIIdoIpdRDSqkjSqnNvtuMGnX3KaUylFK7lFJTa5SPUEql++qeVVWTqpo9WOOHDGEVwj8ee+yxerf4OFNRUVHMmzevCSISgUbevZtZmcNNVlElKVEOOLaPb0OCiLBFkBKT4u/QhBBCtC1/01oP9d0+A1BKDQDmAgOBacA/lFJm3/EvAPOBZN9tWksEaTH5FtGRVViF8Iu+ffsyfvz4c77OjTfeWL2fpGhf5N27me31LaAzjF1oYLUjl/Pjz8dsMjd8ohBCCHHuZgOLtNYOrfV+IAMYqZTqAkRorddoYy7LG8BlLRGQ2WR89JAeSCGECEzy7t3Mqrbw6Fmexu7gMPKdRYztOtbPUQkhhGiDblNKpSmlXlFKdfCVdQUO1zgm01fW1Xf/5PJmZzXLNh5CCBHI5N27me3JLcVqVkTkbeTbuEQAxsZLAimEEOLMKKWWK6W21nObjTEctTcwFMgGnqw6rZ5L6QbK63vc+Uqp9Uqp9Xl5eef8PMzVQ1hlJI4QQgQiGbjczHZkFzMgxoLp6BZWJafQL6wfsSGx/g5LCCFEgNFaT2rMcUqpl4BPfb9mAt1qVCcAWb7yhHrK63vchcBCMFZhPbOo67L65j7arfIdthBCBCJ5925mO7KLmRFxgFyl2ew8xsXdL/Z3SEIIIdoY35zGKnOArb77HwNzlVJ2pVRPjMVy1mmts4ESpdRo3+qr1wMftUSspqpVWGURHSGECEjSA9mMjpU5ySl2MKbTFr4MC0ejmdJjir/DEkII0fY8oZQaijEM9QDwcwCt9Tal1LvAdsAN3Kq19vjOWQC8BgQDS3y3Zle1/bTMgRRCiMAkCWQz2pFdDEDv4nU80zGOXpHx9Irq5eeohBBCtDVa6+saqHsYeLie8vXAoOaMqz5eXwZplwRSCCECkrx7N6PtWcXEcZzy0gzW42Byj8n+DkkIIYTwK48vgZQeSCGECEzy7t2MdmQXMyckjU/CQvGimdlrpr9DEkIIIfzK6zV+yhxIIYQITPLu3Yw2HS5ktu17PozsyPC4YSRGJvo7JCGEEMKvPF7fEFZZhVUIIQKSvHs3k/xSB8X5WRSoXew3ay5PvsLfIQkhhBB+565KIC2yD6QQQgQiSSCbyYaDx5lpXsvrkeHE2Tswo+cMf4ckhBBC+J3TbSwCG2KTBFIIIQJRwCeQSqmhSqm1SqnNSqn1SqmRNeruU0plKKV2KaWmtmRcazPyGBa2nO+Dg7h20I1YzdaWfHghhBCiVXK4jUmQoXZZCF4IIQJRwCeQwBPAH7XWQ4E/+H5HKTUAmAsMBKYB/1BKtcjXnVprKra+z+sxHrraori639Ut8bBCCCFEq+f0GAmk9EAKIURgagsJpAYifPcjgSzf/dnAIq21Q2u9H8gARtZzfpNbuWEN+R0WkWGz8cAFfybIEtQSDyuEEEK0ehaTAiDUJj2QQggRiNrCu/edwOdKqb9iJMTn+8q7AmtrHJfpK2tQSUkJK1eurFWWkJBAUlISbrebb7/9ts45iYmJJCYm4nA4+GjJ27yY/xcKQsxcEzwR917NYQ7TrVs3ysvLWbduXZ3z+/TpQ3x8PCUlJWzYsKFOff/+/enUqROFhYVs3ry5Tv2gQYOIiYkhPz+frVu31qkfOnQoUVFR5OTksGPHjjr1I0aMIDw8nKysLHbv3l2nfuTIkYSEhHD48GH27t1bp37MmDHY7XYOHDjAgQMH6tRfcMEFWCwWMjIyyMzMrFM/YcIEAHbt2kV2dnatOrPZzLhx4wDYvn07ubm5teptNhvnn2/8k6enp1NQUFCrPjg4mFGjRgGwefNmCgsLa9WHhYWRmpoKwPr16yktLa1VHxUVxdChQwH4/vvvqaioqFUfHR1NSkoKAN999x1Op7NWfVxcHAMGDADgm2++wePx1Krv0qULffv2Bajzdwdn9re3Zs2aOvW9e/eWvz3525O/vQb+9kTL6xEdSkZuKR3DbP4ORQghxFkIiARSKbUc6FxP1f3AxcCvtNb/U0pdBbwMTAJUPcfrU1x/PjAfoGfPnucUa0x4N/plxTAg5CIGxl14TtcSQggh2ppXbziPdfuPEREkawMIIUQgUlrXm1MFDKVUERCltdZKKQUUaa0jlFL3AWitH/Ud9znwkNa67lflNaSmpur169c3e9xCCCH8Tym1QWud6u84AoW0kUII0T401D62hTmQWUBVV99FwB7f/Y+BuUopu1KqJ5AM1B1HJYQQQgghhBCiUQJiCOtp/Ax4RillASrxDUXVWm9TSr0LbAfcwK1aa8+pLyOEEEIIIYQQoiEBn0Bqrb8FRpyi7mHg4ZaNSAghhBBCCCHaprYwhFUIIYQQQgghRAuQBFIIIYQQQgghRKME/CqsTU0plQccbIJLxQD5TXCdtkRek7rkNalLXpO65DWpX1O8Lj201rFNEUx70ERtpPw91yWvSf3kdalLXpO65DWpq1nbR0kgm4lSar0sDV+bvCZ1yWtSl7wmdclrUj95XQKT/LvVJa9J/eR1qUtek7rkNamruV8TGcIqhBBCCCGEEKJRJIEUQgghhBBCCNEokkA2n4X+DqAVktekLnlN6pLXpC55Teonr0tgkn+3uuQ1qZ+8LnXJa1KXvCZ1NetrInMghRBCCCGEEEI0ivRACiGEEEIIIYRoFEkgm5hSappSapdSKkMp9Vt/x9MaKKVeUUrlKqW2+juW1kIp1U0ptUIptUMptU0p9Ut/x+RvSqkgpdQ6pdQW32vyR3/H1FoopcxKqU1KqU/9HUtroJQ6oJRKV0ptVkqt93c8ovGkjaxN2se6pH2sS9rHU5P2sa6WaCNlCGsTUkqZgd3AZCAT+AG4Wmu93a+B+ZlSajxQCryhtR7k73haA6VUF6CL1nqjUioc2ABc1p7/VpRSCgjVWpcqpazAt8AvtdZr/Rya3yml7gJSgQit9Ux/x+NvSqkDQKrWWvb9CiDSRtYl7WNd0j7WJe3jqUn7WFdLtJHSA9m0RgIZWut9WmsnsAiY7eeY/E5r/TVwzN9xtCZa62yt9Ubf/RJgB9DVv1H5lzaU+n61+m7t/hsupVQCcAnwL3/HIsQ5kjbyJNI+1iXtY13SPtZP2kf/kQSyaXUFDtf4PZN2/qYnTk8plQgMA773cyh+5xuKshnIBZZprdv9awI8DdwDeP0cR2uigS+UUhuUUvP9HYxoNGkjxRmR9vEEaR/r9TTSPtan2dtISSCblqqnrN1/QyROTSkVBvwPuFNrXezvePxNa+3RWg8FEoCRSql2PaRLKTUTyNVab/B3LK3MWK31cGA6cKtvGKBo/aSNFI0m7WNt0j7WJu1jg5q9jZQEsmllAt1q/J4AZPkpFtHK+eYx/A94U2v9vr/jaU201oXASmCafyPxu7HALN98hkXARUqp//g3JP/TWmf5fuYCH2AMjRStn7SRolGkfTw1aR+rSft4Ci3RRkoC2bR+AJKVUj2VUjZgLvCxn2MSrZBvQvzLwA6t9VP+jqc1UErFKqWifPeDgUnATr8G5Wda6/u01gla60SM95OvtNbX+jksv1JKhfoW1kApFQpMAWQFy8AgbaQ4LWkf65L2sS5pH+vXUm2kJJBNSGvtBm4DPseY9P2u1nqbf6PyP6XU28AaoK9SKlMpdbO/Y2oFxgLXYXxjttl3m+HvoPysC7BCKZWG8UFzmdZaluUWJ+sEfKuU2gKsAxZrrZf6OSbRCNJG1iXtY72kfaxL2kfRWC3SRso2HkIIIYQQQgghGkV6IIUQQgghhBBCNIokkEIIIYQQQgghGkUSSCGEEEIIIYQQjSIJpBBCCCGEEEKIRpEEUgghhBBCCCFEo0gCKYQQQgghhBCiUSSBFEIIIYQQQgjRKJJACiGEEEIIIYRoFEkghRBCCCGEEEI0iiSQQgghhBBCCCEaRRJIIYQQQgghhBCNIgmkEEIIIYQQQohGkQRSCCGEEEIIIUSjSAIphBBCCCGEEKJRJIEUQgghhBBCCNEokkAKIYQQQgghhGgUi78DaG1iYmJ0YmKiv8MQQgjRAjZs2JCvtY71dxyBQtpIIYRoHxpqHyWBPEliYiLr16/3dxhCCCFagFLqoL9jCCTSRgohRPvQUPsoQ1iFEEIIIYQQQjSKJJBCCCGEEEIIIRpFEkghhBBCCCGEEI0iCaQQQgghhBBCiEaRRXTaKK01ewv3silvE26vGxMmekT2YEjsEIItwf4OTwghhPCbclc5Pxz9gayyLEyYCLYGMzhmMD0ieqCU8nd4QgjRqkkC2ca4vC7e2PYGb+98m5zynDr1FpOFEZ1GcHW/q5mQMAGzyeyHKIUQQoiWt69oH0+uf5Lvsr7D7XXXqY8NjuWSXpdwdb+riQ+L90OEQgjR+kkC2Yak5aXx4HcPklGYwdj4sSwYsoCRXUYSag3F7XWz69gu1ues57P9n3HnijtJCEvglyN+ydQeU+UbVyGEEG2Wy+vixS0v8vLWlwmxhHBt/2sZ23UsyVHJaDRFjiI25m5k9ZHV/Hv7v3lj+xvM6DmDXw7/JZ1DO/s7fCGEaFWU1trfMbQqqampOhD3uNpWsI0bl95IpD2S3438HRO7TzzlsW6vm68OfcWLaS+y+/huRnQawe9H/57eUb1bMGIhhPA/pdQGrXWqv+MIFIHYRmqt+cN3f+DDjA+Z2Wsmv079NdHB0ac8Prs0m7d2vsVbO97CbDLz05SfcuOgG7GarC0YtRBC+FdD7aMsotMGZJdmc9uXt9HB3oG3L3m7weQRjGGsUxKn8O7Md/nDmD+wt3AvV31yFa9tfQ2P19NCUQshhBDNb2HaQj7M+JCfD/45j457tMHkEaBLWBfuTr2bjy77iLHxY/n7pr9z7WfXsrdwbwtFLIQQrZskkAGuxFnCL778BQ63g+cvfp6Y4JhGn2s2mflRnx/xwewPGNt1LE9ueJKfLfsZ+RX5zRixEEII0TIW71vMc5ufY2avmdw69NYzOjchPIG/TfwbT014iqzSLK765Cre3fUuMnJLCNHeSQIZwFxeF3evvJsDRQd4auJTJHVIOqvrxATH8MzEZ/jT2D+RnpfOVZ9cxYacDU0crRBCCNFy1h9dz+9X/57UTqn88fw/nvVc/8k9JvPB7A84r/N5/Gntn3hg9QNUuCuaOFohhAgckkAGsH9u+SdrstfwhzF/YHSX0ed0LaUUlyVdxpuXvEmwJZibP7+Z17e9Lt+0CiGECDhFjiLuWnkXXcO68vTEp7GZbed0vZjgGJ6/+Hl+MeQXfLL3E6797FoOFh9somiFECKwSAIZoLJLs3l92+vM6DmDOclzmuy6fTr0YdHMRUzoNoG/rv8rd6+6m1JnaZNdXwghhGhuL6W9RKGjkL9c+Bci7ZFNck2zycyCoQt4YdIL5JTnMPfTuXx56MsmubYQQgQSSSAD1DOrHwSvmzuPF8NHt8GX/web34aSo+d87XBbOH+b8DfuHnE3Xx36ims+u4Z9RfuaIGohhBCieR3O38mbO/7DZaE96bfqGVjyW/j2aTj4HXhc53z9sV3H8u7Md0mMSOTOFXfy7MZnZQE6IUS7IvtABppDa0lf8QcWk83PCovokrUYLMFQlgfa14B1GQLD58Hgq8AeflYPo5TihkE3MCB6AL/5+jdcs/gaHh77MBf3uLgJn4wQQgjRRJzl8P0/+dv2l7DaTNy281sIjgNHCThLjGPsEdB/Fpx3E8QPh7OcFxkfFs/r01/nke8f4aX0l9hWsI3Hxz1OVFBU0z0fIYRopWQfyJO06j2ufvgXLP41N3WNZ29wGJ9d8i6hUT2MBtDrgdztkLEc0v8HOelgC4dR82H0rRDa8LLlDTladpRfrfgVWwu28rOUn3Hr0Fsxm8xN+MSEEMI/ZB/IM9Nq28iyAnjrR6Tlp/OT+M78oselLBj7B7AGGfUVx2H/N7D7c9j2AbjKIGEkXHgPJE0660QS4L3d7/HI948QFxLH0xOfpl/Hfk30pIQQwn9kH8i2YNVfYPHdpCeN5webmZuH3UZoh8QTjZ7JDJ1T4IJfwS3fwE+/hKSL4Zun4OkU+OrPUFl0Vg/dObQzr01/jSuSr+Cl9Je49ctbKXKc3bWEEEKIJlWUCa9Og5xtvDrwYiJsEcwb+8CJ5BEguAMMmAWXPQ9374Dpf4GSbHjzSvjXxbBv1Vk//JV9ruT1aa/j9rq57rPr+GTvJ03wpIQQovWSBDIQpL8HK/4Mg3/M6wlJhFvDuaLPFac+XilISIWrXodfrIU+U+Drv8AzQ+C7v4PrzJcft5vtPHT+Qzw45kHWHV3Hjz/9MTuP7TyHJyWEEEKcI48L3rkWSo5y+IoX+fL4dn7c98eEWENOfU5QpDE65/aNcOmzUJIDb8yCNy6DIxvPKoyU2BTemfkOg2IG8btvf8dj6x7D5T33+ZZCCNEaSQLZ2hVnw+K7IeE8sif9nmWHvuTKvlcSag1t3Plx/eBHr8H8VcZ8jy8egL+PgI1vgMd9xuFc2edKXpv2Gi6vi+s+u042VRZCCOE/3zwJWZtg9nP8p3gHFpOFq/td3bhzLTYYMQ9u3wBTH4GjafDSRHj3esjfc8ahRAdH89KUl7huwHW8ueNNblx6I4dLDp/xdYQQorWTBLI10xo+vg3cDpjzIu/v+xitNXP7zj3za8UPheveh3mfQHgX+Ph2+Mdo2P6R8ThnYHDsYN6Z+Q7DOw3nT2v/xO1f3U5BRcGZxySEEEKcrSMbYdUTMPjHVPaZyid7P2Fyj8nEhsSe2XWsQTDmVrhjM1z4W8j4Ep4fZbSTRUfO6FIWk4V7zruHv4z/C/sK9/GjT37ERxkfyRetQog2RRLI1mzbB8aiOJP/D0+HRD7Y8wHnx59PfFj82V+z53j46XL48ZvGvMl3rze+cd274owuExMcwwuTXuC3I3/Lmqw1XP7x5aw6fPZzSIQQQohG0xo+vRPCOsH0J1h2cBklrhKu7HPl2V8zKAIm3mckkiPnw5ZF8Oww+Px+KD92Rpea1nMa7816j34d+/HA6ge4e9XdFFYWnn1sQgjRikgC2Vp5PbDqcYjtB+fdzHdZ35FTnsPlyZef+7WVgv4zYcF3cNkLUJYP/74MXp8FB9c0ukfSpEz8pP9PWDRzETHBMdz21W38cc0fKXYWn3uMQgghxKnsXAzZW+Di30NwFO/veZ/u4d1J7dQEC+qGxcL0x4yhrSlXwtp/GGsIrHzcWM21keLD4nl5ysv8asSvWHF4BVd8fIV80SqEaBMkgWyttn8IeTuNJcZNZj7d9ylR9igmdpvYdI9hMsPQa4xGctpjkLPVWMnuXxfD1vcbveFycodk3r7kbW4YeAPv73mfWR/M4rN9n8mQHSGEEE1Pa1j5GHTsDSlXkV2azfqc9cxOmo06h+046ojqDpf9w/iyted4WPkIPDUQPrsHCvY26hJmk5mbBt3EWzPeIsIewW1f3cadK+7kaNnRpotTCCFamCSQrdV3z0FMHxgwB4fHwarMVVzc/WKsZmvTP5bFDqMXwJ3pMOOvxjes790IT/aFJfcaCxScJhm0mW3cnXo3b1/yNp1DO3PvN/dyzeJr+D77+6aPVwghRPu1/2tjr+Nxd4PZwvJDywGYmji1eR4vrj/MfRNuWQ0DZsP6V+Dvw+GVabDhNagoPO0l+kf3592Z7/LL4b9k9ZHVzPxgJk+tf0q2xBJCBKSATyCVUn9RSu1USqUppT5QSkXVqLtPKZWhlNqllGqmlqUZZG2CrI1w3k/BZGJN1hrKXGVM7jG5eR/XFgojfwa3rYdr/mt847r+VVg4wVhw55unIHdng8nkgOgBvDnjTf7v/P8jvzKfn37xU36+7OdsL9jevLELIYSoo022ketfNvZ1HGRsZ7Xs4DL6duhLj4gezfu4nQfBnBeML1snPWTMi/zkl/DXPvDfG2DHp1B56ikcVrOVn6b8lI8u+4ipiVN5bdtrTP/fdP6V/i/KXeXNG7sQQjQhFejDDJVSU4CvtNZupdTjAFrre5VSA4C3gZFAPLAc6KO19jR0vdTUVL1+/frmDrthH98Bae/C3TshOIr7v72flYdXsvLHK7GamqEHsiEVhcZw2s1vw+G1Rll4F+g1wbh1H2MM86ln2JDD42DRzkW8lP4SRY4iRnUexdX9rubCbhdiMVla8EkIIUT9lFIbtNZNMHGudWpzbWTJUfjbQBh1C0x9mJyyHCa9N4nbht7Gz4f8vGVj0RqyNxuL7aT/F8oLQJmNfZh7TYCeF0KXIWAPq/f03cd38/eNf2dl5koi7ZHMSZrDVX2volt4txZ9GkIIUZ+G2seA/xSvtf6ixq9rgaol2GYDi7TWDmC/UioDo6Fc08IhnhlnOaS/Z3yzGhyF0+NkxaEVXNT9opZPHgGCo2DEDcat8DDs/Qr2rYDdn8OWt41jgiKh82DonAJxA6BDD4jqjj2iK/MGzuPy5MtZtHMR7+5+lztX3knn0M5cmXwl03pOa/5vjIUQoh1rc23klkXgdcOIGwGqh69OSZzS8rEoBfHDjNuUP8OhtbBvpdFGfv0XYyE8FET3NtrHzikQnWx86RrVnT5Ryfz94r+zOXczb2x/g39v/zevb3udC7pewBV9ruD8+PMJtgS3/PMSQojTCPgE8iQ3Ae/47nfFaCyrZPrKGlRSUsLKlStrlSUkJJCUlITb7ebbb7+tc05iYiKJiYk4HA7WrKnb9vbu3Ztu3bpRXl7OunXr6tT36dOH+Ph4SkpK2P3Js4xwlfGfvN6kv7qMTM92SqwlHM3qw11vrye/oACtwavBi0ZriIyMwm6343A4KCoqrHP9qA4dsFltVFZWUlxcd75Fh44dsVqsVFRUUFJSd/hNdHQ0ZrOF8vJySks7AXNR9qvoYTlAb89e+llzSDi6l/iDL2PXjurzvCiOqw6U2zoyzhzJAB3C99Z4vnEU8dzm53hu83PEuELopxPo7e1GSEUEHpfXOFlrFEb7HBkRDmgqy8txuZxGORrQmJUiIiwEhZfK8jK8bhcKLyY0JryYlSI0JAgTXhwV5WiPC4U2jtFezCZFaJANhcZRUQ7ajdLGuSY0ZpPCZrcDUF5ZSSUuyk3e6pvDrHFaFW6lKXe7cCsvbjQuZdy8JvCYFS40Tq/7RDmglQYUmBQajcdrPHcNaF+Hrobq3l2vNuqr+noVyvcaKd9LplE1BhRU1ZmUMkYda2/12VXXMKFOnO+tfX0wVto1ztfG9WvUKQ0mk8kXha4+H18JVNUbT0RXxV8jRrPJbDygV1cvunTi+YHJZPadr/F6vbUfH4XZZAKl0F7te361VV1fe7146xltYTabAfB6vXUWfVKAqare40VzpvUKk9nUIvUeT91OI6UUylR1/jnUa/B666s3oUyqCeqNf9uTmXz/tg3VX9JnHhekXMnWrVvr1A8dOpSoqChycnLYsWNHnfoRI0YQHh5ep7yNC+g2sri4GPd3b+AMTuafS/ZT7t7Hd7xLmLkrr64so6JyA3l5+cb7a412MqpGG1lYWFjn+h06dsRmtVJZWUlRUd02smN0NFaLhfKKCkqK62kjY2KwmM2UlZsoLTkPOI+QiFL6uXfSw3OAPpXZJOxeS8y2D2qdV04wRaYoIm0dudESyUV056ugEtYeWsM3R77Bok0kOjrQXyWS6O2GrrTidDlPtI9olIKIiHCU1lRUlON2uarbRxMak4KIsFCU9lJZYbSRJrwovCg0FgWhIcG+NrIMr8dttH9aG/UmCPa1kc6KcvB6fG2scb7ZZKpuI0sryylTbspNXipMXiqUlwqLxmMx4VKaSo8Tl699dCuNGy8eE3jMJlx4cWgPboy62m2k8Xp5vN6T2keNRlU3GvW1IapWG6drlPuOUb56XxtV1ULWbSN1rfOrr6NM+KprtcHVx5gUvlawug07cXUwVbfRxvVrt3G+NtTXxtVsg6sex2T2tcE12siarby5+nxvnesb559oI6ueX616i9n32tVTr2q3gXWmN51lffVRSmGubuPqnq9Mymgn8LWBJ1++KevdtdswrXyfr0wm0Cc+v9Vkqm5Dz7b+RBtZb73v3/ZU9SGmYJ77+Ury8/PPuo1sSEAkkEqp5UDneqru11p/5DvmfsANvFl1Wj3H1zteVyk1H5gP0LNnz3OO90wVV7r5YEUGn6UdYUH+1+SbInhwbxIenAR12YQlPIhNu2NR+hhutweT7/3SZOQe2CvLMJsr8Xg8OBx1/4jslaWYzWbcbjdOZ936bEcpJpOpgfoSTCYTLpcLl+tE/T4SWUEiwSoYZVF4vJVEu7LpQh7x5NFF5xOnC+joLifSVUx37xH6V5Tz82IX+RYP34bYWBFSyZqgMr5Vu7HbvPR3uhjkcDDQ4aSny028201Umbfef8xqZad5gWtMLXGgKDJZKDKZKDKbKcJModNMkclEsd1EsclEsdlEqUlR4ruVmhQlZkW5At2IFf6U1tg0WDW+n8bvNpNRFuwFs9HsYdKqRkLs+3etahh8JSZfuda6+vFr/iHrGj9r3sdoE33pXf3HVR1Tp/zk++rEe3et5NZ74r4+6Txd45eqRr8qrhNVvudj0kYDrE6OQZ14Lqaa5b438Kr7ptqPW+df6XSzvdtAfYN/med6fXPrrM8ryTrNie1DW28j07PLePLbLWTsTOcj717+7PoJrx13oswlhCbvRxdM4uMDWSiMD3pVbWNVO2kvL8VsrsDj8dTbxtkrSjCZTXjcHpyuuvWZlcXVbaTrlPUKt8uNy11VH8JWhgPDCQoKQtkUNoro5D5CF/KNdlLn0cFbREdnOVHOLEZ6ixlX6sSMk7QgEytDglkR6uQTSwGwgc5WN4McTgY5HPRzukhwuenidmM7XRvYyDZSA8XKTJHJuBWbzRQpE0ddZqNtDDLayBKzosR0op002khwNXIFXKvWWH1tpNFO+tpMjN+DvNpo97QvgaO6pcDka4Cq3rJUjVvNNrLq+Zx8X0ODbWCdn+oU5518v542EozvNXV1S2X8v/fk87XxJXLNduxEfVUbSfWXiKdrI6vjrxmH6UT89f4rtYI2rKZW14bb6hbVirEVtpGh3orTnHRuAn4OJIBSah5wC3Cx1rrcV3YfgNb6Ud/vnwMPaa0bHJ7T0vM7VuzK5c5FmymqcDG6WxD/LriGvF5zcEx7kpgwK5d8OInzu57PY+Mea7GYWozHDR4HRWV5rMn6jrSCbWwt3M2Oon1Uep3VhwWbg+gaEkeULYIwSwhh1lBCLSFYTRZQJpQyYVImnNpNubuScncFFR6H72clFa4Kyt3llLrKKHc3vFCB3Wwn3BZOhC3CuNkjqu9Xl9dTFmQJwma2YTPbsChL0y4lL4RoNm19DiQEbhtZ7nRz/wdb+WDTESKCLPwpZjmz8xey5cpviE1IZmXWJzz6w5/436z/0adDnxaJqcVoDR4n2lXBzvyt/JCzga3Hd7G1cA+Hy2tvARIX1JFOQTGEWUMJs4YQZgkl2BKECROYTChMaKDC66DCXUm5p4IKd6Vx311BhbuCMnc5pc5S3Np9ypAUijBbWL3tY0PtZag1FJvZht1sx2qyYlIBv36jEO1Cm54DqZSaBtwLXFjVMPp8DLyllHoKY4GAZKDu2Bg/envdIX73QTr9Okfw1s9GMbDoa3inkvjz50JMKNsLtnPccZyx8WP9HWrzMFvAbCHSFsq0DolM8xW7vW72Fe0jsySTrNIsjpQe4UjpEYqdxRx1FVNSdoQyVxlur9v41k4bA1lsZhvBlmBCLCHGT2sIsfaI6t9DraG1GrhIe2SdZNFutvv1JRFCiKYUqG1kcaWLuS+uZcfRYu64OJmfj+9F6OuPQdcRDBk0GICNW9YRFxxHclSyn6NtBkqBxY6y2Onf7QL6d7uguqqwspCMwgyyy7I5UnqErNIscstzKXGVkFOWTamrlApXhW+Ip2/oo1IEW4JrtZFh9ghiQzsRYgkhxBpSqz0Mt4XXThDtEYRZwyT5E0IAbSCBBJ4D7MAyX6/PWq31LVrrbUqpd4HtGMN2bj3d6nIt6YcDx3jgw62MT47ln9eOINhmhh8+B3sk9DASxjVZxhfBY+LH+DPUFmcxWejToU/b+0ZZCCFaXsC1kVpr7ly0md05Jbw8L5WL+nWC0lxje6uLHgCMOeHfZ3/P+ITx7W7ER1RQFKmd23SnuRCilQv4BFJrndRA3cPAwy0YTqO4PF7u/yCdLv/P3n3H11XXjx9/fe7IzbzZO2nTvUtpQ2kBWZaNRUCgoICiVsGB4FdE61dRQQT9+nMhWgVFGQURLEtGZUOhdEDpnmmz9x53fn5/nHtvbpLbNG1y781N3k8f13vvOeee+84lzfu+z2elxvP7a040iketYe8rMOUsMBuzra6vXs+09GlkJWRFOWIhhBCxKBZz5LNbq3l1Vx0/vHi2UTwC7DNmW2WasVzlzqadtDhaxt0FViGEGA2kL0IU/GtTBXtqO/jhxbNJifctzVG3EzpqYOoyAHrcPWyp3cLSfEmOQgghxge3x8s9/9nF3EI7159S0rtj/6uQlGMshUFvD50l+UuiEKUQQoxvUkBGmNaav71bxsy8FM6Zndu7o8w39fmkTwCwuXYzTq9Trq4KIYQYN9btrKWypZuvnzUNsymwPoORIyd9IrCs0fqq9UxPny49dIQQIgqkgIywzYeb2VXTzudPKek7buPQ25BaDGkTAaP7qtVkZWHOwihFKoQQQkTWw+8dpjAtoe8F1qYD0F4NJcZEMt3ubrbUSQ8dIYSIFikgI+yl7bVYzYqL5uf3bvRfXS05rc/V1QU5C0i0JkYpUiGEECJyGjscvLu/gcsWFva2PkJvD50So4fOptpNuLwu6aEjhBBRIgVkBGmteWVHLUunZPWOfQSo3wVdjYGrq43djexu3i1XV4UQQowbr+2ux6vh/Ll5fXeUvQ3JuZBpzAe0vsrXQydXeugIIUQ0SAEZQWWNXRxs6OScWTn9dviurvqW79hUuwmAk/NPjmR4QgghRNS8u7+BjKQ4ZufbezeG6KGzsXYjJ2SfQIIlIUqRCiHE+CYFZARtLGsCYMnkzL47Dr0D9kJILwFgS90W4s3xzMqcFeEIhRBCiMjTWvPe/kaWTM7oOz9AyyFor4KJpwDQ6epkV9MuaX0UQogokgIygjYfbsYeb2FKdnLfHZWboKg0cHV1c91m5mfPx2qyhjiLEEIIMbaUN3VT1drD0v4XWCuNHjkUlgKwtX4rXu2VCeaEECKKpICMoM2HWjhxQjqm4MkBOhug5TAUGMnQf3X1xJwToxSlEEIIEVlbK1sAOHFCet8dlZvBbIPcOYDRQ8ekTJyQfUKEIxRCCOEnBWSEdDnd7KlrZ0FxWt8dVVuM+0KjgJSrq0IIIcabHVVtWEyKabn9euhUbYH8+WA2euRsrtvMjPQZJMclhziLEEKISJACMkL21XWgNczKT+m7o3IzoCB/AdB7dXV+9vyIxyiEEEJEw47qNqbmJGOzmHs3ej1Q9WGgh47b62Zr/VbpoSOEEFEmBWSE7KntAGBabr8CsmozZE2HeGPWuQ/rPmRa2jS5uiqEEGLc2FHVxuwCe9+N9bvB1RnoobO3eS/d7m4W5CyIfIBCCCECpICMkL117cSZTUzMSOzdqLXRAulLjl7tZVvjNuZlz4tSlEIIIURktXa7qGt3MCPUBVYItEB+3PAxAPOyJEcKIUQ0WaIdwHixt7aDydlJWMxBNXtbJXTWBZLjobZDtDvbJTmOkKysLEpKSqIdhhBihJWVldHQ0BDtMMQIOdTYCUBJVlLfHVVbwGaHzKmAUUCm29IpTC6MdIhjjuRHIcamSOVHKSAjpKyhk5n9xz/WbDPu843Z5OTq6sgqKSlh48aN0Q5DCDHCSktLox2CGEFljV0AlGT2KyBrPobcuWAyLrx+XP8x87Ln9V0nUhwXyY9CjE2Ryo/ShTUCvF5NRUs3xemJfXfUbTfuc2YBxgysiZZEJqdOjnCEQgghRHQcajBaICf0H+JRtxNyZwPQ4ezgQOsB5mbNjUaIQgghgkgBGQH1HQ6cbi9F6Ql9d9TthNTiwAQ62xq2MSdrDmaTOcRZhBBCiLGnrLGLPHs8CXFBua+1AhxtkGMUkNsat6HRzM+SGcqFECLapICMgIpmo3tOUf8WyNodgeTo9DjZ3bxbrq4KIYQYVw43dTIhs38PnR3Gvb+AbDCGfEiOFEKI6JMCMgIqmrsBKM4IaoH0uKBhT6D76v6W/bi9bmZnzo5GiEIIIURUVLf2UJjWv4eOv4A0cuTupt0UJheSakuNcHRCCCH6kwIyAvwFZGFa0BXWxn3gdQWuru5q2gXAzPSZEY9PCCGEiAavV1Pb1kOuPb7vjtodYC+ChDTAyJEz0mdEPkAhhBADSAEZAVUt3aQnWvuO76j1TaDjmyBgd/NuEiwJFKcURyFCIYQQIvKaupy4PJr81H4FZN2OQH7scnVxqO0QMzPkAqsQQowGUkBGQH27g5yU/slxJygzZE0HjKur09OnywQ6Qgghxo2a1h6Avi2QHhfU7w50X93bsheNZkaGtEAKIcRoIAVkBDR0OMhKieu7sW6HsTiyxYbWmt1Nu+XqqhBCCJRSSUqpcXE10V9A9mmBbNzvG+IxB4Bdjb4hHpIjhRBiVJACMgLqOxxkJ9v6bdwN2cbV1MqOSjpcHXJ1VQghxiGllEkpdY1S6nmlVB2wC6hWSm1XSv1CKTUt2jGGS3WbUUDmBReQDbuNe1+O3NW8C3ucnfyk/EiHJ4QQIgQpIMNMa019u4PslKAC0uOC5jLIMr4T7G4ykqVMoCOEEOPSa8AU4HtAnta6WGudA3wCeA/4uVLqc9EMMFzq2nowmxRZwRdZG/cZ95lTAQI9dJRSUYhQCCFEf5ZoBzDWdTo99Li8fZNj8yHQnkBy3Nm0E5MyMS19zF5kFkIIcWTLtNau/hu11k3Av4B/KaWskQ8r/Bo6nKQnxmE2BRWHjfshOQ9sybi9bvY07+HKGVdGL0ghhBB9RKwFUikVr5T6jFLqN0qpfyql/q6Uuk0pNSdSMURDfbsDoG8LZNN+4z5jCmBcXZ1kn0S8Jb7/y8eV73znO8ycOZP58+dz6aWX0tLSEth39913M3XqVGbMmMFLL70UvSCFEGLkPe3rwpp0pANCFZhjQVOng4ykfrVx4/7ABdbDbYdxeBzjfvyj5EchxGgSkQJSKXUH8C6wFHgf+BPwBODG6JrzilJqfiRiibSQBWS/7jm7mnfJ+EfgnHPOYdu2bWzdupXp06dz9913A7Bjxw7WrFnD9u3befHFF7npppvweDxRjlYIIUbMn4FPAQeVUo8rpT6tlIo72ovGguZOFxlJ/X7Uxn2QaVxg9a+RPN7XgJT8KIQYTSLVAvmB1nqh1vrbWutHtdbrtNbPaa1/pbX+FPBZYEwmy4YOo4AcML4jPg0SM2jpaaGms2bcX10FOPfcc7FYjF7VS5YsoaKiAoC1a9eyYsUKbDYbkyZNYurUqWzYsCGaoQohxIjRWq/VWl8NTASeAq4HDiulHlRKnRPd6MKrsdPRt4DsboGuhj4XWK0mK5NTJ0cnwFFC8qMQYjSJVAGZoJSyHWmn1rpOa70xQrFEVOgWyP3G1VWl2N1sTKAjLZB9Pfjgg1xwwQUAVFZWUlxcHNhXVFREZWVltEITQoiw0Fp3a60f11pfCpwLnAi8GOWwwqq5q18LpH+IR2bvEI+paVOxmsfkENDjIvlRCBFtkZpE57PAH5RSLwKPAS9rrcdFH4vGDgdKQXpiUIJs3A8lpwLjr3vOsmXLqKmpGbD9rrvu4pJLLgk8tlgsfPaznwWMmWz7O9JsfKtXr2b16tUA1NfXj1TYQggRdkqpXOBKYAWQD/wT+EJUgwojj1fT3OUko39+BMicitaaXU27OKPojOgEGGGSH4UQsSIiBaTW+lKllB24FPgm8IBSai3wmNb6zUjEEC0t3S7s8dbeGeacXdBW0Wd68pyEHDITMqMYZeSsW7du0P0PPfQQzz33HP/9738DSbCoqIjy8vLAMRUVFRQUFIR8/cqVK1m5ciUApaWlIxS1EEKEj1Lqy8DVwAyMLqy3aa3fiW5U4dfS5URr+rZANu4DZYL0Ehq6G2jqaRo3PXQkPwohYkXEZmHVWrdprR/SWl8AzAM+BH6nlCof/JVDo5T6H6WUVkplBW37nlJqn1Jqt1LqvJF4n2PV2u0iLTGo603zQeM+wxjPsbt5N9MzpkchstHnxRdf5J577uGZZ54hMTExsH358uWsWbMGh8PBwYMH2bt3L4sXL45ipEIIMaJOAX4OFGutvxGO4nE05sjmLicA6Un9WiDTJoDFFhjiMT1dcqTkRyHEaBLxdSCVUunAZcBVQAbGGlfDPWcxcA5wOGjbbIxuQHOAAmCdUmp6pLvOtnS5SE0IKiCDZmB1e92UtZZxasGpkQxp1Pr617+Ow+HgnHOMOSOWLFnCH//4R+bMmcOVV17J7NmzsVgs3HfffZjN5ihHK4QQI0NrHeim6puRvISg/Ky1fmo45x+tObKxwyggM5P6TTLnW+Jqf4vRnXVamqyRLPlRCDGaRKSAVEqlAJ/G6KKzEHgGuBN4TYfqwH/s/h9wG7A2aNslwBqttQNjavR9wGJg/Qi835C1dvcvIP1rQE6msqMSp9fJ5LTxPbuc3759+464b9WqVaxatSqC0QghRGQppR4E5gPbAa9vs8bo1jocozJH9rZA+nKk1tB0AIqNFrQDrQfIiM8gLT4tUiGNuM7OTuLj44dd1El+FEKMJpFqgTwIvATcD7w4kgsiK6WWA5Va64/6DRwvBN4Lel7h2xZRrd0uitITeje0HIaEDIi3s7/OmHh2SuqUSIclhBBi9FmitZ49kicczTmytdv4KpDmn0SnpwUcbZA2EYB9LfuYkhZb+dHr9bJmzRoeeeQRPvjgA2w2Gw6Hg+zsbC688EJWrlzJtGnSoiqEiG2RKiAnaK27jvfFSql1QF6IXauA72NMdz7gZSG2hWztVEqtBFYCTJgw4TijDG1AC2TLYWN8B73dc6QFUgghBLBeKTVba73jWF4UqzmyrdsNQEq876tIi6+HbdoEtNYcaDnAxZMvHrH3i4SzzjqLZcuWcffddzN37lxMJmOqiaamJl577TVuv/12Lr30Uj73uc9FOVIhhDh+kZqFtQtAKXUx8FOM8R1mjASmtdb2o7x+WajtSql5wCTAf2W1CNislFqMcTW1OOjwIqDqCOdfDawGKC0tHYkutf7zDpxEp+Uw5MwCYH/rfvKS8kiyJo3UWwohhIhdD2EUkTWAg94cOX+wF8VqjmzvcaEUJMcNLCDruurocHXEXAvkunXrsFoHrlmZkZHB5ZdfzuWXX47LNWKdsIQQIioiPYnOrzEm0Pl4JMY+aq0/BnL8z5VSZUCp1rpBKfUM8KhS6lcYEwRMAzYM9z2PRYfDjcere1sgtYbWcphxPgAHWg7EXPfVnp4ennvuOd566y2qqqpISEhg7ty5XHTRRcyZMyfa4QkhRCx7ELgW+JjeMZDHbbTnyLYeN8k2Cyb/MldBBeT+FmON5FgrIC+99FKuueYaLrnkEpKSQl8cDlVgCiFELInYMh4+5cC2EZo4Z1Ba6+3AE8AO4EXga5GegdU/viNQQHbUgbsH0ibi8Xo40HogppLjHXfcwSmnnML69es5+eST+cpXvsKVV16JxWLh9ttv55xzzmHr1q3RDlMIIWLVYa31M1rrg1rrQ/5bON5oNOTIth5jneSAlsNgs0NCeu8Qj9TYGuLx5S9/mWeffZZJkyZx1VVX8e9//xun0xntsIQQYkRFugXyNuAFpdQbGN1zANBa/2okTq61Lun3/C7grpE49/Fo6fIXkL4JAoKurlZ1VOHwOGKqgDzppJO44447Qu679dZbqaur4/DhwyH3CyGEOKpdSqlHgWfpmyOHOwur/zwl/Z5HNUe297h7xz8CNB8y5ghQiv0t+0m3pZOZkBmt8I7LJZdcwiWXXEJ3dzfPPPMMDz30EF/96le58MILufrqqwPLcAghRCyLdAF5F9ABxANxRzk25rX1b4Fs8V1ITpvA/tbYu7ra3d2Nw+HAZrOF3J+Tk0NOTk7IfUIIIY4qAaNwDJ70ZiSW8RiV2rpDtECmGzOwHmg9ENMTzCUkJHDVVVdx1VVXsXXrVq6//noeeughPJ6INvIKIURYRLqAzNBah5oNbkxq6+k/w5yvgEwtZv+ed4HYmoH1kUce4aabbuL888/n6quv5txzz5UFi4UQYoRorb8Q7Rgiqb3HTUFavPFEa6OAnPQJtNbsb9nP+SXnRzfAYaitreWJJ55gzZo1VFdXc8UVV/DXv/412mEJIcSIiPQYyHVKqXFTQHY6QkxRnpgJtmQOtB4gJyEHe9ygE9COKk8//TT79u3jk5/8JL/97W8pLi7mxhtv5M0334x2aEIIEbOUUj9QSmUMsv9s3yzmY0pbj4sUfwtkdzM42yFtAg3dDbQ522JqiIffn//8Z84++2wWLlzInj17uPfeezlw4AD33HMPCxYsiHZ4QggxIiLdAvk14DallANwMcRlPGJVh6+ATLIFFZBBa0DGUuujn91u5/rrr+f666+nsbGRJ598km984xs0NTVRXl4e7fCEECIWfQw8q5TqATYD9RhDPaYBC4B1wM+iFl2YtPe4sYdYAzIwxCMGc+S7777L7bffzrJlywJrQAohxFgT0QJSa50SyfeLNn8BmRxcQObOQWtNWVsZy6csj2J0w9Pc3MxTTz3F448/TlNTE5dffnm0QxJCiJiktV4LrFVKTQNOBfKBNuBhYKXWujua8YWD1pr24BbIQAE5kUOtOwGYZJ8UpeiOX3A31a1bt1JWVobb7Q5su+yyy6IRlhBCjKiIFJBKqRKtddkg+xVQqLWuiEQ8kdLpcGM2KWwWE3i90FIOMy6gsaeRTlcnE+0Tox3iMWlvb+ff//43jz32GJs3b2b58uX84Ac/4KyzzsK3SLUQQojjpLXeC+yNdhyR0On04NUh5ghIm0BZ+X9IsCSQkxi7k7LdcMMNbN26lTlz5gRaIpVSUkAKIcaESLVA/kIpZQLWApvo7Z4zFTgL+CTwI2DMFZBJcWajuOqoBY8D0iZS1loGQIm9JKrxHatJkyZx3nnnceONN3L++efLYshCCCGOi3+W8t4WyHLfGpBpHGo7xET7xJi+MPnee++xY8eOaIchhBBhEZECUmt9hVJqNvBZ4AaM7jldwE7gBeAurXVPJGKJpHaHuzc5tlYa96lFHGozrrTGWgvk4cOHSUxMDPv7/PKXv+Q73/kO9fX1ZGVlAXD33XfzwAMPYDab+e1vf8t5550X9jiEENGntaat2011WzfVLT1Ut465VDEu+SeZS/a3QLZVgr0QgENth5iVOStaoY2IpUuXsmPHDmbPnj2i55X8KMT45nB7qGtzUN3aQ3VrNzWtRl6sae2hui1y+TFiYyC11juAVZF6v9Gg0+EmyeZb5qK9yrhPyedQ1atYTVbyk/KjF9xx8BePzz33HP/7v/9LWVkZHo8HrTVKKdra2ob9HuXl5bzyyitMmDAhsG3Hjh2sWbOG7du3U1VVxbJly9izZ48sISLEGOBwe6hq6aGiuYvK5m6qWnuobukOJMfq1h66nH3XzsuKUqzhopS6R2v9XaXUFVrrf0Y7nkjw/zdNivP9HW+rAnsBLo+Lyo5Kzp8Uu0t4AFx//fUsXbqUvLw8bDZbIE9u3br1uM8p+VGIsc1/wbSixciHlS3dVDZ3U+F7XN3aTUOHc8DrkuLM5KclkGePj1iskZ6FdVzpdHh6Z2Bt8xWQ9kLKdpUxIWUCZlNs/oH/1re+xVNPPcW8efNGvIvRLbfcwr333ssll1wS2LZ27VpWrFiBzWZj0qRJTJ06lQ0bNrB06dIRfW8hxMjrcXmobPElwOZuKpq7qPDdV7Z0U9vm6HO8UpCTYiM/NYHpuSmcMT2H/NR48tPijfvUBJav+1GUfpqwuVAp9QPge8C4KCA7nUYLZGJcUI7MnUNFRwUe7Ym5IR793XDDDfzjH/9g3rx5IzYbq+RHIWKb1pr6Dkef4rB/keifgNMv3mqiIC2BovRE5hTYyU9NID81nrzU+MB9oLcjUPrHyPwsUkCGUYfD3TtBQFslmOMgMZNDbYdiOjkWFxczd+7cES8en3nmGQoLCznhhBP6bK+srGTJkiWB50VFRVRWVo7oewshjk+300Nli78o7A4Uh/5kWN/et0C0mJQvGSZwxvRsCtMSKUo3nhemJ5Brj8dqHnfLH7wINABJSqk2fEtcMYaXuupy+FogbWbwuIx5AuyFMTvEo78JEyawfPnIzbQu+VGI0c/t8VLT1jOwQGzpLRCdbm+f19jjLRSmJ1KckcjSKZkUphm50H+fmRQ3KseDSwEZRp0ON/mpvubktipIyceD5nD7Yc4oPiO6wQ3Dvffey4UXXsgZZ5yBzWYLbL/11luP+tply5ZRU1MzYPtdd93Fz372M15++eUB+7TWA7Yd6R/T6tWrWb16NQD19fVHjUcIMbgel4eK5i7Km4JbD7upaOmmsrlrQHcaq1kFEt/ZM3KM4jDDuHpamGYUiGbT6EuG0aS1/g7wHaXUWq31JUd9wRjQpwWyvQbQYC8YMwXkzJkzueaaa/jUpz7VJ08ONgur5EchRjetNc1dLg41dnK4qYvDjV0cauricJPR5bSmrQePt++/yaxkG4XpCczOt3PO7FwjP6YZebEwLaFP62EsiXgBqZS6DDgN4+rq21rrpyMdQ6R0ONx9u7DaC6nqrMLtdcfk+lZ+q1atIjk5mZ6eHpzOgX2xB7Nu3bqQ2z/++GMOHjwYuLpaUVHBwoUL2bBhA0VFRZSXlweOraiooKCgIOR5Vq5cycqVKwEoLS09ptiEGK/aelwcbuyirLGTQ41dgceHm7qoaesh+DtqnNlEoa/FcPbs3EBhaLQiJpKTYsMkBeJx0VpfopSaCEzTWq9TSiUAFq11e7RjG2ndvjGQiXHmoCEeBZQ1vEu6LZ1UW2oUoxu+7u5ubDZbn6LvaMt4SH4UIvrcHi9VLT0cagoqEhuNIvFwU9eALqa5dhsTMhJZPCkjkAv9LYgFaQnEW2NzuNrRRLSAVEr9AWPpjsd8m76ilFqmtf5aJOOIlA6Hm+TgArJw0Zi4utrU1BTySuhwzJs3j7q6usDzkpISNm7cSFZWFsuXL+eaa67h1ltvpaqqir1797J48eIRfX8hxrqWLicHGzo52NBJWUMnh5q6KGvs4nBjJ81drj7HZiXbmJiZyNLJmUzMTGJiZiLFGQkUpyeSlSwFYrgopb4MrAQygClAEfBHjKWuxpTOwCQ6lt5J5uwFHDpwiJLUkugFNkL++te/jti5JD8KMbI8Xk1VS7eRDxt782JZYxflTV24g1oR4ywmitMTmJiZxOJJGUzISGRiZiITMoxup2O1QDyaSLdAngHM1b4+F0qph4CPIxxDRGite2dh1dooIGddPCYKyGXLlvHyyy9z7rnnRuT95syZw5VXXsns2bOxWCzcd999MsOcECF0Od2UNXQFEuKB+k4ONnRwsKFvkWhSUJCWwMTMRC6Yl8/EQEJMYkJmYu+FLxFpXwMWA+8DaK33KqVyohtSeHT5ruIn9GuBPNR6iFMKT4liZMNz5513ctNNN5GRkRFy/6uvvkpXVxcXX3zxiLyf5EchQtNaU9PWw8H6Tg4ECkQjN5Y3deP09I5FTIwzU5KZxOx8OxfOy2NiZlKgUMxNiZeLpiFE+lvCbmACcMj3vBg4/jmtR7EelxevxujC2tUEHocxA2trGSnWFDLiQyeXWHDfffdx7733YrPZsFqtI7qMh19ZWVmf56tWrWLVqnG1CowQIXm9mqrWbvbXd7KvroMD9R2BlsX+ayTm2eOZlJXE+XPzmZyVxKSsJCZlJ1GcnkicZdxNVBMLHFprp38Mm1LKgjHcY8zpdHqIM5uM38O2KrAm0mm2UtddF9MXWOfNm8enPvUp4uPjWbhwIdnZ2fT09LB3714+/PBDli1bxve///1hvYfkRyF69bg8lDUaF0z313Wwv76D/fWdHKjvCPR0ALBZTJRkJjE1J5lls3OZlJlEiS8v5qTYRuVENaNZpAvITGCnUmqD7/lJwHql1DMAWuuRm7IsyvwTBCTFWYwZWMG4ulqxhYn2iTH9i9rePuaG4wgx6jjcHsoauthf38E+X1I0CsZOul29STEt0cqkrCSWTsn0FYnJlGQlUpKZ1DsGW8SKN5RS3wcSlFLnADcBz0Y5prDodrqN1kcwcqS9gEPthwFiepbySy65hEsuuYS9e/fyzjvvUF1djd1u53Of+xyrV68mISEh2iEKEZOaOp2BXBhcKJY3d/UZp1+YlsDk7CSuKC1mSk4yk7OMQjHfLi2JIynS3y5+GOH3ixr/BAF9u+cYU5SfmHtiFCM7fmVlZZSUlBxxv9aayspKioqKIheUEDGuy+lmX10He2o72FvbHkiQh5u68PZLilNzkjl5UiZTc5KZkm1cSc0YpVN8i+PyXeBLGEM7vgK8APwlqhGFSafTQ1KggDRmKR8LQzz8pk2bxrRp06IdhhAxp7nTyZ7advbUGTlxb20He+va+8z4bbOYmJydzPyiVC49sZApvpw4KSupd21ZEVYR+ZSVUr8HHtVavxGJ9xsN/C0EiXHmwAQBPUmZVHdW82n7p6MY2fH7zne+g9fr5ZJLLmHRokWBrjn79u3jtdde47///S8//vGPpYAUIoQel4d9dUYi9BeLe2o7+lw9jTObmJSVxJyCVJafUOBLisYt0FojxiSllAnYqrWeC/w52vGEW5fTTWLwJHMTT6WsrQyFojilOLrBCSHCrqXLyZ7aDvbUtgfy4d66Dho6etcOTrZZmJqTzNkzc5iem+K7eJpMYVqCtCZGWaTK9L3A/yml8oHHgce01h9G6L2jItACaTVDQxUoM+VeJxods91z/vnPf7Jjxw4eeeQRHnzwQaqrq0lMTGTWrFlceOGFrFq1ivj4+GiHKURUuT1eDjZ0srOmnT017UZyrOvgUGNnoEXRYlJMzk5iXlEqly8sYkZeMtNyU5iYkYjFLGMTxyOttVcp9ZFSaoLW+nC04wm3ToevBdLrhfbqwBqQ+Un5xFskjwgxVjjdXg40dLCrup2dNW3sqm5nV00btW29hWJSnJmpuSmcNSOb6bkpTMs1cmJBarz0sBmlIlJAaq1/A/zGt77VCuCvSql4jOU81mit90Qijkjyt0AmWH1dWJNzOdRhrNU0wT4hmqENy+zZs7nrrruiHYYQo0Jjh4NdNe3srG5jV42RFPfUduB0G7O7mU2KiZmJzMxL4VMnFDA9N5npuSmUZCbJJDYilHxgu2+egE7/xrE0P4Bfl9NtdDXrrAevG+wFHK5/LabzI8B3v/td7rnnHv75z39yxRVXRDscISJGa019u4OdNe3s8uXEndVt7K/vwOUxrp5azYqpOSmcOiWLGXkpgWKxMC1BCsUYE9GOwlrrQ8A9wD1KqROBB4EfAWOub1aggPSPgbTnU97uKyBTYjtBCjHeON1e9td3sMt39dSfIOvae6+gZqfYmJmXwudPKWFmXgoz8+xMzk4at2tEiePy42gHECldTg95dqvR+giQkk/lwUrOnnB2dAMbphdeeIE777yTu+++WwpIMWZ5vJqDDR1sr2pjW2Ur26uMgrGps3ecYn5qPDPyUjhzRg6z8ntzolV62YwJES0glVJW4HyMVshPAm8wRhNmn0l0OuogvYTy9nLSbGmkxKVEOTohxJF0OtzsqmljW2Ub26uMxLi3tiOwZlSc2cS03GQ+MS07kBRn5qeQlWyLcuQiVo3LeQKcHl9+rAWgKyGNpp4mCpMLoxzZ8Jx//vlkZWXR2dmJ3W4PLHMVjuWuhIgEh9vD3toOtle1BvLizur2QENJnMXEzLwUzp2da1w8zbczMy+FtMS4KEcuwilSk+icA1wNXARsANYAK7XWnYO+MIb1GQPZUQvFiylvL5fJAYQYRZo6nYEi0bi1crChMzCpTUZSHHMK7HzhtBJm59uZnW9nUlaSjFMUI23czRPQ4/IYrfO+ArLSZFygKUqO7UnYfvGLX/CLX/yCSy65hLVr10Y7HCGOSbfTw45qo1D0tyzurWsPdEFNsVmYVWBnxeJi5hakMqfQzpTsZGlVHIci1QL5feBR4H+01k0Res+oCnRhNXuhqxGSc6loepN5WfOiHNnIeOqpp3j77bdRSnHaaadx6aWXRjskIQZV197DxxWtfFzZGkiM1a09gf2FaQnMKbBzyQmFzCmwM6fQTp5dBvCL8BuP8wT0uL3EW029BaTH+LdYkFwQzbBGzNq1azl06BB79+5l2bJldHd343a7SUmRHkhidHB5vOypbeej8la2VrTwUUUre2rb8fhme8tMimNOYSpnzshmTkEqcwrsTMhIlNlPBRC5SXTOisT7jCb+FshEVzOgcSVlUl1ezQWTLohuYCPgpptuYt++fVx99dUA/OlPf2LdunXcd999UY5MCENDh4OPK1rZ6isYP65sCcz4phRMzkpi8aQMo1AsSGV2vp30JOluI6JrPM0T0OPyYLP4hnjEp1HZUw8Q811Y/f785z+zevVqmpqa2L9/PxUVFXz1q1/lv//9b7RDE+OQ16s52NhpFIq+gnF7VRsO34RvqQlWTihOY9msHOYXpTGvMJVcu00uoIojktU2w8TfAhnvaACgJi4ej/aMiS6sb7zxBtu2bQv8Ybn++uuZN29stKyK2NPpcLOtspWPfInxw/IWKlu6gd5i8ZQpWcwtTGVeoXEVNckmf/rE6DNe5gnQWvu6sJqgpcboodNeQYIlgYz4jGiHNyLuu+8+NmzYwMknnwzAtGnTqKuri3JUYryoa+th8+EWPqpoYWtFC1srWmnvcQPG0Kq5hXauXTKR+cVpnFCUyoSMRCkWxTGRb1Fh0u3yEGc2YekyrqqWK6NLwFgoIGfMmMHhw4eZOHEiAOXl5cyfPz/KUYnxwOXxsrum3VcsGgXj3rr2wPqKxRkJLJiQxudPKWF+USpzClNJlmJRjHLjbZ4Al0fj1RDvb4FMzqGqo4rC5MIx8yXWZrMRF9fbq8Htdo+Zn02MLm6Pl1017Ww61Mzmw81sOtRMRbNxEdViUszMT2H5CQWcUJTG/OJUpmYnyzh+MWzyzSpMup2ePuM7KrzGP+ailNieIACgsbGRWbNmsXjxYgA++OADli5dyvLlxlJlzzzzTDTDE2OE1ppDjV18VNHCh+VGwRjc5SYjKY75RamcPzePBcVpzC9KJVNmQhWxaVzNE9Dj9vXQ8U+iU7iIyo7KMdN9FeCMM87gZz/7Gd3d3bzyyiv84Q9/4FOf+lS0wxJjQHOnk82He4vFj8pbA73ecu02Fk1M5/OnlLBwYjqz8+2ylJQICykgw6Tb6TEWSfYVkOWuVuJMceQk5kQ5suH7yU9+ErZz/+53v+P3v/89FouFiy66iHvvvReAu+++mwceeACz2cxvf/tbzjvvvLDFIKKj0+Hmo4oWthxuYfOhZraUtwTWlArucnNCcRoLitMoSpeFh8c8rxe6m42/o511RmtVx9jrBjje5gno8Q/xsJqgow6dnEtl0w4W5S6KcmQj55577uEvf/kL8+bN409/+hMXXnghX/rSl4Z1TsmP44/Xq9lX38GmQ82BFsYD9UbHBLNJMafAzlUnFbNwYjqLJqZTkCoTv40LWoOjrTcnRiE/SgEZJt0uT+8akPGpVHTWUJRShEnFbreBr3/961xzzTWcccYZYTn/a6+9xtq1a9m6dSs2my0wXmTHjh2sWbOG7du3U1VVxbJly9izZw9ms1xVi1Vaa8oau9jsS4ibD7ewu6Yt0BV1SnYSZ8/MYeGEdE6ckMa0HOlyM2Zo7SsK+yW9jlrorDfuO+p8j+tAe0KcZFrEwxYjx+EyehEkKQe4OmlLTKWjtmPMzMDq9XqZP38+27Zt48tf/vKInFPy4/jg9njZUd3G+weaeP9gEx+UNdHa7QKMXjcLJ6TxmUVFLJqQzvyiNON7phgbtAZHe2/u658TAznTt83jGHgOZQKmRCRcKSDDpDt4javkXMrby2O+++q0adP49re/TXV1NVdddRVXX301CxYsGLHz33///dx+++3YbEY3xJwco7V27dq1rFixApvNxqRJk5g6dSobNmxg6dKlI/beIrw6HG62lrcEisUth5tp7jKSYorNwoIJaZxz1lROnJjOicVpsgBxrNEaelp6E1twkutTJPoKQ69r4DlMVkjOMW4p+ZB/gu95LiRlG/fJOcbj55ZF/EcUI8fh68Ka6mkEoMJq/HuP9TUg/UwmEyeccAKHDx9mwoQJI3JOyY9jk9PtZWtFC+8fNArGTWVNdPpm8Z+UlcT5c/IoLUmntCSDkkyZ6CYmOTqCisH+hWG9L0f6Hru7Q5xAQVJWby7MnAbJvpyYlNP3cWIGPHtyRH6sMVFAKqW+AXwdcAPPa61v823/HvBFwAN8U2v9UqRiMrqwmqG9Fp2cQ3l7OaV5pZF6+7C4+eabufnmmzl06BBr1qzhC1/4Aj09PVx99dWsWLGC6dOnD+v8e/bs4a233mLVqlXEx8fzy1/+kpNOOonKykqWLFkSOK6oqIjKysrh/jgijKpbu/mgrJlNZU18UNbMrn6ti8tm5bJwYjoLJ6QzNScZs6wrNfoEusiEKAQHFIV14HEOPIfJ0pvgknIgd25QsgsqCpNzID7NmDZXjLjRliN7fC2QKS5juGelyfjjUJgydsZAVldXM2fOHBYvXkxSUlJg+/HOESD5cWzodnrYUt7MhoNNvH+gic2HmwPj+qfnJnPpwkJOnpTJ4kkZ5NrjoxytOCJXN7TXDMyHoR67ukKcQEFiZm/+K17S+zgpp/dxcq5xnGn0tTTHfAGplDoLuASYr7V2KKVyfNtnY0yFPgcoANYppaZrHbI/1IjrdnlI8LVANhfMp6vr8JiYgRVg4sSJfPe73+W73/0uW7Zs4YYbbuDHP/4xHs/RP9ply5ZRU1MzYPtdd92F2+2mubmZ9957jw8++IArr7ySAwcOoLUecPyRrsKtXr2a1atXA1BfX3+MP5k4Hh6vZk9tOxvLmth4qJmNZc2BZTQSrGZOnJDG18+aysKJ6ZxYnE5qojXKEY9zHnfQ1U/frd3/2JcQ/Ykx1NVQZfYVf74CMHvmkRNffBqYpOtxNI3GHOkfA5nsNlogK7Vx8WEsTaLzox/96JhfI/lx7Olxedh8qJl39jfw/oEmPqpoweXRKAWz8+189uSJLJ6UweJJGWTIWsTRFRhzX9MvL/bPk7XGxdVQEjJ8F0azoeikgS2E/vyYmAXm2C7BYjt6w43Az7XWDgCttX8E6SXAGt/2g0qpfcBiYH0kgup2ekhPjIOOOsptSdA1NpbwAHC5XLz44ousWbOG//73v5xxxhlDTpbr1q074r7777+fyy67DKUUixcvxmQy0dDQQFFREeXl5YHjKioqKCgIPVZm5cqVrFy5EoDS0thu8R2tup0ePixvCRSMmw810+4w1pfKSbFRWpLOF0+bRGlJOrPy7Vhl7GJk+LvJdNT2FoD9C8KOGuhsAAZ+6SQ+DVLyfFdDT+4tAoNbCZNzjQQpRWEsGXU50t8CmeT0FZDuTuxxdlLiUsL91mE3nLkCJD/GPo9Xs6Oqjbf3NfDu/gY2HGzC4fZiNinmFaZyw2mTOHlSBosmZpCaIBdTI8LVY7QGHulCqf95R13o4RXWRCP3peRB7myYcrZvqEVe36IwKRvM4+e/6VgoIKcDn1BK3QX0YEyD/gFQCLwXdFyFb1tEdLs8pFmMCQLKfVMox/r4jldeeYXHHnuM559/nsWLF7NixQpWr17dp3vOcHz605/m1Vdf5cwzz2TPnj04nU6ysrJYvnw511xzDbfeeitVVVXs3bs3sISICL/6dgebDjWxsayZDw41s72yFbevP+r03GQuPqGAk0rSKZ2YQXGGzIw6orwe6GocpJUw6MqoK8SSgSZLbwGYWgSFC31FYm5vQvQXhhZZAmWMGnU50t8CmeBoAJOFyu6GMdP6GK65AiQ/jk7+CeHe2dfAO/saeHd/Y2DSmxm5KXz25ImcOtXokpoSP36Ki7ALnowtVE4Mzpk9LSFO4B9X6MuBObN9uTCvtzj0505b7F/YCoeYKCCVUuuAvBC7VmH8DOnAEuAk4Aml1GQg1LfYEJfdQSm1ElgJjNiA926nhxyMfs/lyotCxfz4jp/97Gdcc801/PKXvyQjI2PEz3/DDTdwww03MHfuXOLi4njooYdQSjFnzhyuvPJKZs+ejcVi4b777pMZ5sJEa83++s6g7qhNlDUav8dxFhMLitL48umTOanEGL8ok90cJ4+rt6Wwvdp3HyL5ddaHnoXUZu9NdvkLYHpe7/MUf6thHiSkS2vhOBBrOdK/DqTN0QBJOVR2VjIlNTIzB4ZbuOYKkPw4etS3O3h3f4OvaGwMDNkoSI3n3Nm5nDYti6VTMslJkTGMx8zrNS6a+vNiR01QnuzXpTTUuHtLQm8OzJ4Ok073XSzNDepR4xuDH+NdSKMtJj49rfURp9xTSt0IPKWNgQAblFJeIAvjampwn9EioOoI518NrAYoLS0NmUCPVbfLQ5ZqAaBSO8hOzMZmju0r/K+99lpYzx8XF8fDDz8cct+qVatYtWpVWN9/PHK4PWyrbOWDMmPs4qZDTYHZUdMTrZSWZHD14gmUlmQwt9COzSJfTAbVpzCsCZEEfbeuhoGvVaagiWVyIW9ebyHY/4po3Mi0+o92Wmu63F20OdpodbbS5mijzXmEsSfjWKzlSH8X1rjuenRKDlUdVZxeePpwTzuqDGeugFAkP0aP0+1lY1kTr++p58099eyqaQcgNcHKKVMy+eqZUzhtapbMkjoYrxe6m3oLwcCF02pfzqzuvYjqdQ98fWJmb27MmnaE1sJco7VwnPw3cHvdtDvbaXO2BXJju7M9Yu8fEwXkUfwbOBt4XSk1HYgDGoBngEeVUr/CmCBgGrAhUkF1uzyke5sBqHJ1xHz3VTE2NHU62XSomY2HmthU1szWylacvhngJmUZs6P6pwyfnJUkydAvUBj6E11w0gtqSTxiYehLcqlFUFRqLFORnGvcp/juk7JH5UxrI6HH3UOro9VIdM623sf9CsPgROh/7NYDv0zYiO2LcRH2b0ZZjvR3YbV019OQmoPD3RTzPXT6G85cASL6Kpq7eH13Pa/vrufd/Q10OT3EmU2UlqTz3fNncurUTOYUpMoM4lpDV5PvIml139bC4KKwvSb0+MKEDCM3puT5JmTz58W83vw4hodY+IvA4Px4xHzY73lnqGErRC4/joUC8kHgQaXUNsAJXO+70rpdKfUEsANj6vKvRWoGVq9X43R7SfMXkI4mTkyXAesisrTWHGjoZFOZUTBuPNTMgXrjD47VrJhbmMr1SyeyaGIGpSXpZCWPzT/Qg/K4esdOtFcPbCn0tx6GmnimT2FYCEWLxnRh6PK4jGIvKIkFF4J9nvfb5/SG6Grko1CkxKVgj7OTakvFHmcnPzmf1LhU7DZ7n+32ODt2m53P/e5zEfzJY96oy5H+AtLcVU9l7iToGDszsIZ7rgARHg63hw8ONvP67jpe31PPvroOAArTErj0xELOnJHDKVMySbKNha/NQ+AfYxiyJ03QhdOOmtBdSePTegvBrGlBBWGeb6iFr9XQGvvdfLXWdLo6++S9I933z5Udro5Bzx1vjg/kPXucnfykfGZkzOiTD/s/vup3V0Xk5475fwlaaycQ8tuE1vou4K7IRtQ7vsPuacGNoqa7noLk0LOiCTFSelwePq5sDXRF3XSoOdAdNS3RyqIJ6XxmURGlEzOYX5RKvDX2i5oj8q9h2FYN7VXGfVtV72P/fWc9QyoM/QkvxgvDUF1eBm0ZDDquO+QCx72SrcmBJJYal8qUtCkDk5xvX3BhmGxNxqRknGa4jMYcaax7p1FdjVRajYlFxkovnXDPFSBGTnlTF6/vqeeN3XW8u78x0Mp48uQMVpxUzJkzcpiSPcZ64mhtTCoTqqWwf88aj2Pg6+NTey+UTjxlYF4MFIYJEf/RhsvpcR61ADxSIegZ5NqbxWTpk/eyE7OZkjYlcGG0/wXS4MejeehbzBeQo1G307fGlbeFuuRMPNozZq6uitGjocPBpkPNRpfUsia2Vbbh9PR2R/3krFxKJ6ZTWpLO5KxkTGOlq43X42s1rDKKwuCCMHhbqO4dCRlgLzASXf4JkFIQc4WhV3vpcHWE7P7ZP7kd69XOBEsCKXEpgYRWlFxEamZvcgskun4tgylxKVhMkk7E0PS4PNhVF8rrotJ37WCsXGQN91wB4vh5vZqPK1t5ZUctr+yoZXetMV6sOCOByxcWceaMbJZOySQxLkb/lrmdvUMs2qpC37fXgLtn4Gttqb4cmAcTlh6hK2kexCVG/uc6Bh6vhw5Xx5ALweCc2eMJ8bn4KBTJccmBQjA1LrVPT5n+98H5McEyNmenj9F/JaNbj29MWaKrhcqkNMA5ZpKjiA6H28OOqjY+LG9hy+EWPixv4XCTb3ZUs4l5Ral84dQSFk1MZ9HEdDJjtTuqszNEQdivMOyoHTgzqcniS3L5kDsXpp1rPPYXi3bfvlF0VdQ/LrDV2WoksX4FYciWQd8gea/2HvG8VpO1zxXNnMQcpqZNPWJyCy4I48wyq64IP4fbS77FuJhRqV1kxmcSb4n9rmxi9HG4Pazf38grO2pZt7OW2jYHJgUnlWTwg4tmcdbMnNE/3j/QnbR6kPx4hDH4lvjeXFhY2psLg7uSpuSNqonZtNZ0u7uPqQD03x9tEpn+F0knpEwIWQD2v0+2JmMexReWo0EKyDDwt0AmupqoSk4GmihMkhZIMTRaaw41dvFhuVEobilvYWdVb+tinj2eBcVpXHPyBEonpjO3MAa6owam5q4avFtpT+vA19rsvQlwysyggrDA2GYvgMSsqCxXobUOXO3sUwgGJTX/9j7bHK2Djgs0K3OfIi8tPo2J9okhW//6twzGm+NH95chMe71uDzkWTpBQ6Wnc8xNoCOiq7XLxau7jVbGN3bX0+n0kBhn5ozp2SyblcvZM3NITxolF8vcTmMcYcjCMKjlMFSrYWJWby4sXOTLiflB9/nGUk5RygeBcfP9LoqGujja/94daiZWH4uy9BkWkRmfyaTUSUctAuUi6ciSAjIM/BME2JzNVMVlodyKvKRQS3QJYcyM+lFFCx+VG7cPy1sCYxcT48zMK0zlC6eVcGJxGguK08lLHWVX6t2OfldGQ1wdbQ8x0F6ZervJZE6BktOMpGcv7Nt6aEsO/4/gdfcp7vontMFaCgcb+5BgSQgUeKm2VErsJUaxF1QEpsalBvb7tyVaZDp4MXb1uDzkmtvBDRXOFuZnTI12SCLGlTd1BbqmbihrwuPVZKfYWL6gkHNn57J0SmZkL7T6xxoeqSD033fWD3yt2RZUGC7s15umoLcFMQIzk/qHTAzWS+ZI90cbN59iTelTCOYm5g6pNVDy4+ggBWQYBBeQleYccuJysJqtUY5KjAZdTjfbKtuMYrHCuJU3GX9klYJpOcmcMzuXBcXpnDghjWk5yVjMUZpgZEACPEK30q7Gga+1JvYmu+IloQvD5NwRX8h3QLdQ/4Qw/VoC+yfBwcYGBo998Bd6hcmFRkILKvqC9/u3y9VOIQbqcXkpNrXjBmp6GrlwjEygIyJHa83O6nZe3FbNyztqA2szTs9N5iunT+ac2bmcUJQWnrH/Wht5r63Slxd9962VvY/bq8HVNfC1wePwC04cWBjaC8LSaujxeozlIvrlwcDjEBdJ/Tl0sCET/WcJLUwuZFbGrAETxAT3kkmNSyU5LlnGzcc4+a8XBt0uD2Y8WBwtVOGmUJLjuFXX3sP6/Y28d6CRLYdb2FPbjtc36WdhWgILitP43MkTOaE4jbmFqSRHaopwj9sYSxhqZtLgbaGuIPbpNlPab5yhr0tpfOpxJ8D+3UKDr3qGSnzBs4Y6Qs0a5+Pv9uIv9nISc5iWPq1PUgsUgEGPZeyDECPL4faQpdqptZjxaK9MMieGRGtjEpwXPq7hP9uqOdTYhUlBqW8847JZuZRkDXMsn9drtAoGisMqaKsIelxp5Mb+uUaZe4dU5M2D6ef3diMNtBrmD3vZiuBuoUMpAP3bjzY2MCUu5YgXSYNbAPsUhKN8llARXlJAhkGPy0s6HSg0lZ5uFsoEOuPKntp2/rW5gld31rHXt5ZUSryFhRPSOXdOHicUpTK/KI3slDD84Q1M0V07cFxFcGHYWQf9ryqa44xuMfZCyF8AMy7sLQz9rYfH0G3G3y20fzLr3y20f4E40t1C/Y/H6kxoQsQap9tLhmqjKt4OIGMgxRFprdlS3sJ/Pq7mhY9rqGzpxmxSnDIlk6+eMYVzZ+cOfdI4r6f3wmlw62FrULHYXgX9x9+ZrL7isNC4aDrL9zi1sHf7Mc7efaRJ1I5WDHa5Q7Rq+sNUpj45MD0+nZLUkpDDJIJzo8yiLY6H/MaEQbfLQ4Zqww3UujtkBtZxwOH28OSmCh7bcJi9lQ0UmFpYNCGVG85I58SSLKYVZmOOSzRmAT3W7swelzG5TE8rdLcYM6211xiJsKO293G773nItZvSeq+C5s7pHWQf3K00MTNkq2GPu6e36Gv4uG9rYIgroUPpFgohrngmFfYtAqVbqBBjktPjJZ1WKpLsgJZJ5kQfWmu2V7Xx7NYqnvuomsqWbqxmxSemZfOtZdM4Z3YuaYn98oDXY+TC1oojtx621wycwdsS31sETlza+zhwX2jkxhCTtGmt6XJ3Gbmvec+RL5SGKAiP1lsmOO/lJeYxPX36ES+O+lsKZU1dEUlSQIZBj9NDpmozuuegpXvOGPfWzgre+vcfmet4jZ9bq5mc0ESC1qgaoAZ4v98LlNkoJK0JYEkwurRYE4ztHpcx2YzHacy61tMaehyFX0K6MZYwOddIfv7H/sV8/UVjXCLd7m5aHa009zTT4mjxFX+ttNYfpq3yyJPFSLdQIcRIcrk1abqNrbYETKqHvGSZZE7Avrp2nvmomuc+quJAQycWk+IT07K49ZzpLJuRTqqzFloOwO43oKUcWsuh5bBx31oJXlffE1oTe4vBSWf0djH1b0stgoR0vGjane0DWwHbdtJa/96gE6q59ZFnC40zxZFmSwvkyAkpE0jLTuvtEiq9ZUQMkwIyDHrcHjJop8pifLzSAjk2ebya1Q//mD1tj7E+z8JjJhOQBCSRYLIyOT6LqfE5TLVlMNVqZ6opmVxtQnl6wNVjFIbuHnB1G/detzH7mtlqdCe1xBkth/FpxpjC+FRISEPHp9OdmEar1Uazu5MWRwstPS00O5p7C8SmQ7RUtxj7fPsHWyT3iN1C/YWgJDohxAhxeLykeluptCSSm5iK1SSTzI1Xhxu7eHZrFc9+VMWumjbyVRMXF3Ryz6IO5sXXEd96EN7eC88d7jfsQhkXSlOLoXAR3lmX0GnPpS0xk/aEFNri4mnTXtpc7cbkMf51Arv30Nr6QZ9eNEdbWzfRktgn901NmxoyJ/bPlbK2qRjLpIAMg26nh0zVSqWvgJTuOWOP1+Pljr9cwrO2g8QnxbEsbwnzJn2SOLONFkcLtV217G/Zzzst+1jb9FHgdcnWZKamTWVK2hSyEwtJtiaTZE0izhyH2+vG5XHh8hq3dme7rwCsprVlV6AQbHG0DLqGYKotlXRbeqDry8yMmaTZ0kizpZEeb2z3P5duoUKISHO5vaR4W6k0JUgPnXGorr2HZz+sYsOWzSTUbGKu6SC/TKhkavJB3J4OmltNtLSb2RSXQHtqHm3ZRbRNnENbXCJtZjNtCtq0m3ZXh2827d101GzCW33kIlChSIlLCVwUTbOlUZRcFPoCab+JY2QWfSEGkgIyDLpdHjJVO5UWCwpZA3KoPvzwQ7761a/S09ODxWLhD3/4A4sXLwbg7rvv5oEHHsBsNvPb3/6W8847L6qx/uCvn+bZ+DJO8mTw/1Y8TWpi5hGPbelpYV/LPva37Gdvy172t+zn1cOv0uxoHvQ9TMoUKPLSbGkUJBcwJ3NOn21p8Wmk29JJizcKQnucXQbDCyFGNafbg93TQqXOYKkUkEMSS/kxlG6nhzc/2MjeTf+kq2sDCXHVFFtdVBZbeMFi5VFrHM0qAzfp/V8JrsPQClaTtc+SERnxGZTYS7DH2Y0x9b4LosGFov9xkjVJxgcKMYLkm2YY+Ne42hGfSE6irAE5VLfddhs/+tGPuOCCC3jhhRe47bbbeP3119mxYwdr1qxh+/btVFVVsWzZMvbs2YPZHJ0xdH997qc8az3IKc50/nDDq5iPspZhWnwapXmllOaV9tnu1V66XF10uDpwepxYTVasZitWkxWLyUKCJUESnhBizLG6O/Dips7bIzOwDlGs5MdgHreHl99Zw/pdj3PYc4CD8V6aUs2QChBPiimVouR8Cu0TmZ+QFegl4+8h4y8U/UWgdAkVYvSQAjIMelweckxtrLPGSfecY6CUoq2tDYDW1lYKCoyxo2vXrmXFihXYbDYmTZrE1KlT2bBhA0uXLo14jLWNlfytdg0lXhP3Xv30UYvHwZiUieS4ZJLjkkcwQiGEGN2SPS2BOQIkRw5NLORHv7e3/IfnttzPh54DVMYpiId8t5kFCVM4acpZzC05k8lpk7HH2aMWoxBieKSADIMeXxfWKrNJ1oA8Br/+9a8577zz+J//+R+8Xi/vvvsuAJWVlSxZsiRwXFFREZWVlVGJ8Zf//jJNFhPfmXwrqclH7rYqhBAitCR3C5U2KSCPRSzkx/Uf/Yd73v8u+20aZdLMcsexLPFkLvvEN5icNzsqMQkhwkMKyDDodnlIpY1aZZIZWPtZtmwZNTU1A7bfdddd/Pe//+X//b//x+WXX84TTzzBF7/4RdatW4fWesDxR5r5c/Xq1axevRqA+vr6kQ0eSLAkcaYzi4s/8YURP7cQQowHdk9L7yRzUkAGxHp+nD7xRKzvm7jSNJcrTruVmZMWjvh7CCFGBykgw6Db6cFp6cCDXZJjP+vWrTvivuuuu47f/OY3AFxxxRV86UtfAowrquXl5YHjKioqAt13+lu5ciUrV64EoLS0NOQxw/GT6/854ucUQojxJMXbSoXFgtVkIScxJ9rhjBqxnh8z0/L458oPR/y8QojRR2boCAOHy0Wb2VhzT1ogh66goIA33ngDgFdffZVp06YBsHz5ctasWYPD4eDgwYPs3bs3MPucEEKI2KG1JlW3UmkxU5CULxOFDZHkRyHEaCItkGFgcbRQbTFmQJM1IIfuz3/+MzfffDNut5v4+PhAV5s5c+Zw5ZVXMnv2bCwWC/fdd9+omGFOCCHEsXF7NRm0sckaR2FKcbTDiRmSH4UQo4kUkGFgczRTZbGgQNaAPAannXYamzZtCrlv1apVrFq16pjOV1ZWFpZuOvX19WRnZ4/4ecNJYo4MiTkydu3aFe0QxHFyebykqw6qLBZmSw+dIYuV/Aix+TdFYo6MWIs51uKFyOVHKSDDIN7VTGWimey4VFkDMooaGhrCct7S0lI2btwYlnOHi8QcGRJzZITri68IP6fbS4Jqp8WsZI6AKApXfoTY/ZsiMYdfrMUca/FC5PKjDD4IgxRvK9UWCwWJudEORQghhBg1nG4vrjhjPcOi5KIoRyOEEOJ4SAEZBnZvK9UWM/nSPUcIIYQIcHq8dFm6AVnCQwghYpUUkGHwOecT1Fos5NknRDsUEQb+adBjicQcGRJzZMRizMLgdHtptzoBKEyRAnIsisV/nxJzZMRazLEWL0QuZhVqEdrxrLS0VA+3v3PDT9M5a0IR3z/5+1w98+oRikwIIcRIU0pt0lrLoMohGm6O3FXdwtP/XMBTqWlsuG7LERe9F0IIEV2D5UdpgQyD/8nOAiA/KT/KkQghhBCjh7fTGOKRbUqW4lEIIWKUFJBhsCkhHpACMha9+OKLzJgxg6lTp/Lzn/98wH6tNd/85jeZOnUq8+fPZ/PmzUN+7WiL94YbbiAnJ4e5c+dGJFa/4425vLycs846i1mzZjFnzhx+85vfjPqYe3p6WLx4MSeccAJz5szhRz/60aiP2c/j8XDiiSdy8cUXRyrkYcVcUlLCvHnzWLBggczSOop5uxuptFjItqZHOxRxjGItPw43ZsmR4Y9ZcuSxGVU5Umstt6DbokWL9HDN/dtcPfdvc3VLT8uwzyUix+1268mTJ+v9+/drh8Oh58+fr7dv397nmOeff16ff/752uv16vXr1+vFixcP+bWjKV6ttX7jjTf0pk2b9Jw5c8Ia50jFXFVVpTdt2qS11rqtrU1PmzYt7J/xcGP2er26vb1da6210+nUixcv1uvXrx/VMfv93//9n7766qv1RRddFPZ4RyLmiRMn6vr6+mN+X2CjHgW5J1Zuw82Rm99/Q5/84Gz9nSevH9Z5RGTFWn4cbsxaS46MRMySIyMX8/HkyMHyo7RAhpE9zh7tEMQx2LBhA1OnTmXy5MnExcWxYsUK1q5d2+eYtWvXct1116GUYsmSJbS0tFBdXT2k146meAFOP/10MjIywhrjSMacn5/PwoULAUhJSWHWrFlUVlaO6piVUiQnJwPgcrlwuVwR6bY33N+NiooKnn/+eb70pS+FPdaRilnEhnZHI50mE3nxsbU493gXa/lxuDGD5MhIxCw5MnIxjzQpIMNIxnfElsrKSoqLiwPPi4qKBvzxPdIxQ3ntaIo3WkYq5rKyMrZs2cLJJ58c3oCHGM9gx3g8HhYsWEBOTg7nnHNOTMT8rW99i3vvvReTKXIpYrgxK6U499xzWbRoEatXr45M0OKY1XXVAJBry4lyJOJYxFp+HG7M0SI5UnLkkYy2HCkFZBgkeDXnuGT8Y6wxWuv76n8R4EjHDOW1I2048UbLSMTc0dHB5Zdfzq9//Wvs9vC38g83ZrPZzIcffkhFRQUbNmxg27Zt4Ql0iPEc7ZjnnnuOnJwcFi1aFLb4Qhnu5/zOO++wefNm/vOf/3Dffffx5ptvhidQMSxdzjYA7PFp0Q1EHJNYy4+DxXOsx0SS5EjJkUcy2nKkFJBh4AVM8tHGnKKiIsrLywPPKyoqKCgoGNIxQ3ntaIo3WoYbs8vl4vLLL+ezn/0sl112WUzE7JeWlsaZZ57Jiy++GN6AhxjPkY555513eOaZZygpKWHFihW8+uqrfO5znxvVMQOB+5ycHC699FI2bNgQ9pjFsXO4OwFItMkQj1gSa/lxuDFHi+RIyZHhiBnCkCOPNDgyVm7AAuA94ENgI7A4aN/3gH3AbuC8oZxvJCbRWfDXOfo7f7lw2OcRkeVyufSkSZP0gQMHAgOUt23b1ueY5557rs8A5ZNOOmnIrx1N8fodPHgwohMEDCdmr9err732Wn3zzTdHLN7hxlxXV6ebm5u11lp3dXXp0047TT/77LOjOuZgr732WsQmCBhOzB0dHbqtrS3weOnSpfo///nPkN6XMT6JzmjLkb99/FY9929z9fqtLwzrPCKyYi0/DjdmP8mR4Y1ZcmRkYj7eHDlYfox6chvuDXgZuMD3+ELgdd/j2cBHgA2YBOwHzEc730gUkPP/Okff9kBkfqHEyHr++ef1tGnT9OTJk/Wdd96ptdb6/vvv1/fff7/W2vgDfdNNN+nJkyfruXPn6g8++GDQ147meFesWKHz8vK0xWLRhYWF+i9/+cuojvmtt97SgJ43b54+4YQT9AknnKCff/75UR3zRx99pBcsWKDnzZun58yZo3/84x9HJN7hxBwskslxODHv379fz58/X8+fP1/Pnj37mP79jYMCclTlyF8++lU9929z9da97wzrPCLyYi0/DjdmyZHhj1lyZGRiPt4cOVh+VMb+2KWUegl4UGv9uFLqauBTWutrlFLfA9Ba3x103B1a6/WDna+0tFRv3LhxWDHNe2geF3smc/cN4Z9lTAghxPFTSm3SWo/ZhSNHW4782cNf4DHPRp5d9jglhbOP+zxCCCHCa7D8aIl0MGHwLeAlpdQvMcZ0nuLbXojRbcevwrctrNxuFwBKyRhIIYQQUfctRlGOdHq7AUhOSg/3WwkhhAiTmCgglVLrgLwQu1YBnwRu0Vr/Syl1JfAAsAwINY1WyOZWpdRKYCXAhAkThh3vNZZFzJ945rDPI4QQQhxNLOXIpdOWY91vIzUpc1jnEUIIET1joQtrK5CmtdbKmKu2VWttj2YXViGEELFhHHRhlRwphBDimA2WH8dCP8sq4Azf47OBvb7HzwArlFI2pdQkYBog87oLIYQYTyRHCiGEGFEx0YX1KL4M/EYpZQF68HWz0VpvV0o9AewA3MDXtNae6IUphBBCRJzkSCGEECMq5lsgtdZva60Xaa1P0FqfrLXeFLTvLq31FK31DK31f6IZpxDjVWNjIwsWLGDBggXk5eVRWFjIggULSE5O5qabbgrLe/7617/m73//+7DPs2LFCvbu3Xv0A4UYpSRHCjG6SY4UsSjmx0CONBnfIUT43HHHHSQnJ/M///M/YXsPt9vNwoUL2bx5MxbL8DpZvPHGGzz88MP8+c9/HqHoxGgz1sdAjjTJkUKEj+RIMZqM9TGQQogY9Prrr3PxxRcDRtK8/vrrOffccykpKeGpp57itttuY968eZx//vm4XMbyOJs2beKMM85g0aJFnHfeeVRXVw8476uvvsrChQsDifHMM8/klltu4fTTT2fWrFl88MEHXHbZZUybNo0f/OAHAHR2dnLRRRdxwgknMHfuXB5//HEAPvGJT7Bu3TrcbnckPhIhhBACkBwpRjdpgexHKVUPHBqBU2UBDSNwnrFEPpOBxttnUgB4gFogBcgF9vm2pwB7MJYjyAP2A23AFKARaAVm+I53A+lAKlAW4j3cQJ3v+QygA6gEcnzn3uk7Zh6w3ffeqfT+2zf74gRjcpFKoGu4P/wwjLffk6Eaic9lotY6eySCGQ9GKEfK7/NA8pmENt4+F8mRx2e8/Z4MRVjz41iYRGdEjdQXCaXURukW1Zd8JgONt89EKXUH0KG1/qVS6kzgf7TWF/u2u7TWdymlNmL84ZvhW3rgJ0ATsA5413cqC0ay2q+1Prffe6wGXtVar/E9fx1YpbV+Ryl1NvA9rfU5vn1vAt/0nesl4L/Ac1rrt4LO9wiwRmv97Ih/IEM03n5Phko+l8gbiRwp/90Gks8ktPH2uUiOPD7j7fdkKML9mUgBKYQYLRxBj126t3uEF+NvlQK2a62XHuU83UD8Ec7t7fc+XsCitd6jlFoEXAjcrZR6WWv9E98x8b5zCiGEENEiOVKMGjIGUggRK3YD2UqppQBKKatSak6I43YCU4/lxEqpAqBLa/0w8EtgYdDu6RhdeIQQQojRSnKkiBgpIMNndbQDGIXkMxlIPpOBQn4mWmsn8BngHqXUR8CHwCkhDv0PcPoxvuc8YINS6kNgFXAngFIqF+jWWg+ciSCy5PckNPlcYpP8dxtIPpPQ5HMZSHLkQPJ7MlBYPxOZREcIMeYopZ4GbtNaD2uBKqXULUCb1vqBkYlMCCGEiC7JkWK4pAVSCDEW3Q7kj8B5WoCHRuA8QgghxGghOVIMixSQI0wpdb5SardSap9S6vZoxzMaKKUeVErVKaW2RTuW0UIpVayUek0ptVMptV0pdXO0Y4o2pVS8UmqDUuoj32fy4+M9l9Z6t9b6zeHGpLX+q9Y66gtcKaXMSqktSqnnoh3LaKCUKlNKfayU+tA3I6GIEZIj+5L8OJDkx4FGMj/C2MqRkh8HikSOlC6sI0gpZcZYo+ccoAL4ALhaa70jqoFFmVLqdIw1hv6utZ4b7XhGA6VUPpCvtd6slEoBNgGfHs+/K0opBSRprTuUUlbgbeBmrfV7UQ4t6pRStwKlgF1rfXG044k2pVQZUKq1lnW/YojkyIEkPw4k+XEgyY9HJvlxoEjkSGmBHFmLgX1a6wO+wcxrgEuiHFPU+a5yNUU7jtFEa12ttd7se9yOMStaYXSjii5t6PA9tfpu4/4Kl1KqCLgI+Eu0YxFimCRH9iP5cSDJjwNJfgxN8mP0SAE5sgqB8qDnFYzzP3ri6JRSJcCJwPtRDiXqfF1RPgTqgFe01uP+MwF+DdyGsR6XMGjgZaXUJqXUymgHI4ZMcqQ4JpIfe0l+DOnXSH4MJew5UgrIkaVCbBv3V4jEkSmlkoF/Ad/SWrdFO55o01p7tNYLgCJgsVJqXHfpUkpdDNRprTdFO5ZR5lSt9ULgAuBrvm6AYvSTHCmGTPJjX5If+5L8OKiw50gpIEdWBVAc9LwIqIpSLGKU841j+BfwiNb6qWjHM5porVuA14HzoxtJ1J0KLPeNZ1gDnK2Ueji6IUWf1rrKd18HPI3RNVKMfpIjxZBIfjwyyY8Bkh+PIBI5UgrIkfUBME0pNUkpFQesAJ6JckxiFPINiH8A2Km1/lW04xkNlFLZSqk03+MEYBmwK6pBRZnW+nta6yKtdQnG35NXtdafi3JYUaWUSvJNrIFSKgk4F5AZLGOD5EhxVJIfB5L8OJDkx9AilSOlgBxBvqmMvw68hDHo+wmt9fboRhV9SqnHgPXADKVUhVLqi9GOaRQ4FbgW44rZh77bhdEOKsrygdeUUlsxvmi+orWWablFf7nA20qpj4ANwPNa6xejHJMYAsmRA0l+DEny40CSH8VQRSRHyjIeQgghhBBCCCGGRFoghRBCCCGEEEIMiRSQQgghhBBCCCGGRApIIYQQQgghhBBDIgWkEEIIIYQQQoghkQJSCCGEEEIIIcSQSAEpRAxQSmUGTWdeo5Sq9D3uUEr9IUzv+S2l1HUjcJ41SqlpIxGTEEIIEUzyoxCRJ8t4CBFjlFJ3AB1a61+G8T0swGZgoW/ttuGc6wzgc1rrL49IcEIIIUQIkh+FiAxpgRQihimlzlRKPed7fIdS6iGl1MtKqTKl1GVKqXuVUh8rpV5USll9xy1SSr2hlNqklHpJKZUf4tRnA5v9yVEp9bpS6v8ppd5USu1USp2klHpKKbVXKXWn75gkpdTzSqmPlFLblFJX+c71FrDMl3SFEEKIsJP8KET4SAEpxNgyBbgIuAR4GHhNaz0P6AYu8iXJ3wGf0VovAh4E7gpxnlOBTf22ObXWpwN/BNYCXwPmAp9XSmUC5wNVWusTtNZzgRcBtNZeYB9wwoj+pEIIIcTQSX4UYoRIASnE2PIfrbUL+Bgw40tSvuclwAyMpPaKUupD4AdAUYjz5AP1/bY9E3Su7Vrraq21AzgAFPu2L1NK3aOU+oTWujXotXVAwTB/NiGEEOJ4SX4UYoRIk7kQY4sDjKuaSimX7h3k7MX4964wktvSo5ynG4gPdW7fuRxB272ARWu9Rym1CLgQuFsp9bLW+ie+Y+J95xRCCCGiQfKjECNEWiCFGF92A9lKqaUASimrUmpOiON2AlOP5cRKqQKgS2v9MPBLYGHQ7unA9uMLWQghhAg7yY9CDJG0QAoxjmitnUqpzwC/VUqlYvwN+DUDk9d/gH8c4+nnAb9QSnkBF3AjgFIqF+jWWlcPJ3YhhBAiXCQ/CjF0soyHECIkpdTTwG1a673DPM8tQJvW+oGRiUwIIYSIHsmPYryTLqxCiCO5HWOygOFqAR4agfMIIYQQo4HkRzGuSQukEEIIIYQQQoghkTGQ/WRlZemSkpJohyGEEEIIIYQQUbFp06YGrXV2qH1SQPZTUlLCxo0box2GEEIIIYQQQkSFUurQkfbJGEghhBBCCCGEEEMiBaQQQgghhBBCiCGRAlIIIYQQQgghxJBIASmEEEIIIYQQYkhkEh3Bvrp2PixvZW9dO063l6xkGxMyEpmcncTMPDtmk4p2iEIIIYQQQohRQArIcWzDwSZ+9sJOPixvASDObCLOYqLD4Q4cY4+3sHRKJufNyeOc2bmkxFujFK0QQgghhBAi2qSAHIe01vzu1X386pU9FKYl8KNPzeYT07KZlJWE2aTodLg53NTF7pp21u9v5M299by0vRabxcTZM3O4eH4BZ8/MISHOHO0fRQghhBBCCBFBSmsd7RhGldLSUj3W14H81cu7+e2r+7jsxELuunTeUQtBr1ezpbyZZz+q5rmt1TR0OEi2WbhoXj6fKS2idGI6Skk3VyGEEEIIIcYCpdQmrXVpyH1SQPY11gvIFz6u5qZHNnNVaTE/v3zeMRd+Hq/mvQONPL2lkhc+rqbL6WFiZiKXnVjEZQsLKc5IDFPkQgghhBBCiEiQAvIYjOUCsr7dwTn/7w0mZiTy5I2nYDUPbxLeToebF7fV8K/NFby7vxGAJZMzuHxhERfOyyfJJj2khRBCCCGEiDVSQB6DsVxArnr6Yx7/oJwXv3U6U3OSR/TcFc1dPL25kn9trqCssYsEq5nLZsTxmfx65iZ3YO2sgfYqaKsGZ0e/VyuwpUBSFiRmGrekbEifCOmTwF4IJllxRgghhBBCiEgYrICUJqJx4mBDJ2s+KOfaJRNHvHgEKEpP5BsnJfP17Hrqt70Gh94hZ98h2Gfs96JwxWdjTS/EFG+H4K6z2gud9VC/C7oawdXV9+TmOEibCFnTIHcO5M6FvHlGcSmFpRBCCCGEEBEjBeQ48dC7ZZiV4mtnTR3ZE7t6YPfzsOVh2P8aCk2OzQ4TluCZ8AU+VjN44bCVf+1x0diisXWYOHVqFp+cmcMnZ+aSlxo/8JzOLuioheYyaC7D23SApqa91DTvpbbiddqVwqkUDosNR0ouzuRsdFIO5pR8LCn5WKyJWEwWbBYbKdYUkuOSSbYmkxKXQqotlXRbOmaTzCArhBBCCCHEsZICchzocLj516YKLpyXR3aKbWRO6uyCD/4C7/wGuhogtRjO+C7MvNBoITSZMQMLfLfveLy8d6CR/+6s47+7anl1Vx2r2MaM3BROmZrJyZNSycxo5GD7biraK6jpqqG2s5baLuPm9rohEUjM6v/TQXcHdB+EhqGFrlCkx6eTEZ9BZkImOQk5FCQXUJBcQH5SPoXJheQl5RFnjhuZz0oIIYQQQogxQsZA9jMWx0A+/N4hfvDvbfzrxlNYNDF9eCfzemDjg/DmL4xWwslnwanfhElnDrk7qdaaD8oP8djH/2VL7VbqXXtRcVUokwcAExbS4rIpTMlngj2fvKQ8cpNyyUs07lNtqdjMNuLMcdjMNqzKgmqtwFOzFU/NVtxVH+Ku/Zjuzjo6TCY6zGba04rpyCihxZ5LY0IqjWYzjc5WGnsaqeuqo66rDq/2BmJUKLITsslPzqcgqSBQYE6wT6A4pZi8xDxpxRwDsrKyKCkpiXYYQgghhBhHysrKaGgYYstHlMgYyHHumY+qmJaTzMIJacM7Uf0eWPs1qNgAE0+FK/4GE08Z0ku11mxv3M66Q+t4u/JtdjfvBiDRlkhp/iwyrafg7CikvDaTXeUWDrk1h4D9dhsnFqeTODGNksI0ChJSSEsM0TKYPhFL+kQssz6Fv401tb0Gqj6Eqi3G7dAW6KwzdiozZM+EghNhwpm48uZRm5JNtaOJqo4q49Zp3H/c8DGvHH7FaAX1sZgsFCUXUZxSTHFKcaCwLE4ppjC5UFovY0RJSQlj7YKREEIIIUa30tKQdVnMkAJyjGvocLCxrImvnzX1mNd8DPB64b374L8/BWsCXLoa5l/ZdyKcIzjcdpgn9z7Jy2UvU9lRiUVZWJi7kJsX3swpBacwI33GgJY8l8fLzuo2thxuYfPhZrYcbuHF7TWB/Xn2eGbmpzAzz86s/BSm56ZQkplEQly/FsGUPJhxvnED0Braq30F5YfG/Z4X4cOHsQJFJgtF2bMg/wTImQW5Z8K82ZCSh0d7qeuqo7y9nPL2cg63Hw483lS7iS5378Q/JmUiLzGPYruvuEzpLS6LU4pJtMpamUIIIYQQIjZJATnGrdtRi1fDeXPzju8EPW3w7xth13Mw82K46FeQkjvoS9xeN29UvMETu5/g3ap3sSgLSwuW8tUTvspZxWeRaksd9PVWs4n5RWnML0rj+lNKAKMQ3lHVxq6aNnZVt7Ozpp139h3A5entgp2fGk9JZhIlWUlMzjLuizMSKEhLwB5vNQpee4Fxm3mR8SKtoa2yb1G592X48OHegOJTMefMJj9nFvk5s1mcPRNmnglJmb5TaJp6mgYWl23lrDu0jhZHS5+fLyshiwkpEyhKKQoUl/4WzKN9NkIIIYQQQkTTuCgglVLnA78BzMBftNY/j3JIEfPS9hqKMxKYnW8/9he3VsIjn4H63XD+z+Hkrw7a6tjmbOPRnY/y5J4nqe2qJTcxl68t+BqXT7uc7MTsYfwUkJVs4/Tp2Zw+vfc8Lo+XA/Wd7Kltp6yhk4ONnZQ1dPLitmqau1x9Xp9ss1CQFk9+qlFQFqbFk5eaQFZyHFnJKWQXnkPm9IuwmH3jODsboX4n1O2Euh3G/bZ/Qc+DvSdNyoGs6aiMSWRmTCYzcwoLMiZD0Vlg610qpc3ZFiguy9t6i8z3qt/jmf3P9InTHmfvbbHs14KZlZB1/K3IQgghhBBCjIAxX0AqpczAfcA5QAXwgVLqGa31juhGFn5Ot5f1BxpZcdKEYy886vfAPz5ttEBe+xRMPvOIh3a5unh016M8uO1B2p3tnFJwCt87+XucUXQGFlP4fsWsZhMz8lKYkZcyYF9rl4uDjZ1UNndT1dJNZUs31a3dVLX0sK2ylcZOZ8hzpidayUq2kZVsIyMpEXvCydgTTsM+yYp9loVc1UxuzwEyuw5gb9tDQnsZlj0vojrr+54oMdPX2lmE3V7AHHsBc+yFkDoDCs+GlHyIS6Tb3U1le2WfLrGH2w7zccPHvHTopT4T+yRYEvp0hc1LyiMnMYfshGxyE3PJSszCarKO6GcshBBCCCFEsDFfQAKLgX1a6wMASqk1wCXAmC8gt1a00OPysmRy5rG9sH4P/M3XxfOG/0DevJCHOT1O/rnnn6zeupqmnibOKDqDr5/4dWZmzBxm5MOXmmhlQWIaC4rTQu7vcXmoae2hocNBQ4eD+g4nDe2OwPOGDie7atpo7XbT1u3C6fEGvToemO27GbKsDmbZGphuradE1ZBHA9mtjWQ17SHd8y5JntYBMTisqTgT80lPyMYen8GchHS8CRmQMA+VfybexDTqLVCtu6nxtlPdXUNFZzkHWw/yVsVbOL19i2D/8iS5iblGYZmYTU5iDpnxmaTHp5NuM5YuSY9PJ9WWikmFnjW3s7OT+Ph4zGaZZVYIIYQQQvQ1HgrIQqA86HkFcHKUYjkuh6r28MaHT3L2iVdSlD91yK97/2ATAIsnZQz9zZoOwkMXG48//xxkzxhwiNaalw+9zC83/pKazhpOyjuJ35z4GxbkLBj6+0RZvNVMiW+c5FD0uDy0dbto7XbR1uO773b77nu3V3a72evy0OP00OVy0+300O30oL1d2N31ZHgayKOJfNVEvruR/J5GslQV6ewmXbVjV9193rcAOMH32KXNdBJPJwl0kkCdOZ5qSxy1FisNFhONVkVLJ7S11LDDXE6H2UG3yTXgZwFQWmEjnngSsXkTadjQQM36SloONmG2WvC6vCTYkyhZMIcFy84ip2AKVlMSFlMCJlM8caYELCYrJgUmpTApjVIK5X+O8dzYByZl9H5Wgee9x/gbx/1t5L3PNUqDUjqwX2kNSoNvHxjnNp5r4zWB4417E4D2b/ft0xqlwOF0cvDw4d7Phd738h874HlQTP5zoXzb+72u9zWavn0AdO+97n3uP8a/vJLu8z9je5/n/v8NOJ7Adv9z+vx/qOcEzjEwUh36CD1gy1HO3//sobaER/g6f8fimWOPisFPI2wRh/WjCM/JY++/XvjE4u9yuIT3kxjdn3Njaw3/+E/viLrzl1xHdnpBFCM6NuOhgAz1G9TnG4tSaiWwEmDChAmRiOmYrN/2PL+of4z4bclcmf/NIb/uvQONzMhNISNpiEtKdDbAw5eDxwlfeDFk8VjeVs5dG+7incp3mJkxk5+c8hOW5C8Z82Pz4q1m4q1mcuzxwzqP16vpcXvo8hWW3S7jvtrl4bDHi8vRg+5uRnU3obqbMPc0YelpxuJoxuzqNG7uTizuTvLcnUzwdhPnaSXO2YXN20Wc7sGqe4tGJ9BsNtNsNtFkMu5bgh43m8089usqMmYlUXJpChRPotNitDy6O9w07NzPvx7ejH2RnbRT0vr8LBatSfBqErSXBN/jOK2xak0cmjgNVv/zwD2Y0JgAk6/g8j9X2ij2TBjFlsn3XA043tjmReFvF/Yq8ALat82r8BVRfZ/7j9G+bS6Xl4rqp3r3BV4XdFyf7cp3jt5twefsu823XQU/H3y7V6nAYyGEEEKMTTXuRu6teyTwfNKh+VJAjjIVQHHQ8yKgKvgArfVqYDVAaWnpqPvulhBntJI53d1HObKXy+Nl06FmPrOoaGgvcDvgsauNGUmvewZy+nZD9Xg9PLzzYX635XdYTBZuX3w7V824KqxjHMcik0mRGGchMW6wz614kH1D4PUaFwHcPcS5HeS6e8h1O8DdY9y8bvB6jHvt4d4zHFjNKvDc43HR4eqi3d1Jx4Iu2q/ooqmnA5dy0+1x0u110O1106PddHvddGsX3V43XV43Tu3Bpb10ag8t2oNTewPbnHhwaQ9erX1FlsbrayXz0rttONq3tlP9aDV4If30dLIvzjZaLlGYUMb/lPIVoYoeUyN74hMD243LIP5j8W3z/y/E88Dr+p4/9PFGM6xxrO9dVNCZFCHOEeKcIfcT4nj6PPdTA/6/d0+f56rf8wFH9LYeH+EMIZ73fVfj9WqEK+ajXjMcMdFPFsceQfRjPh4jH7UO8wchn3O4zxpu8lmEW1h7n8TAB10Yl8tdJTcHns+dsjiK0Ry78fDt/wNgmlJqElAJrACuiW5Ix8ZiMVoQgxeyP5q9tR10OT0smph+9IO1hue/DRUb4IqHYELfHr6VHZWsensVm2o3cVbxWfxgyQ/IScw5pp9BRJDJBKZ4sA6ttbT/tDtmwNLZyetPP81jj73A888/P+IhDkZrjVd78eLtfayNEjPwWGtMJqPcMinjXns1834yj61vbaW4qJilJy/l0dJHmTNnzhHfq/S3pXzxhJUR/OmEEEIIMd6lpWSx/IwvRTuM4zbmC0ittVsp9XXgJYzvxg9qrbdHOaxjYvbNrOk9hgJyW6Uxacu8wiGsK7jpb7DlH/CJb8OcT/fZ9fyB5/npez8F4M5T72T5lOVjvrvqeOV0OnnhhRd49NFHefHFF7n88sv56le/GvE4lFKYlRkzxzaJz/r165k2dRozphpdr1esWMEzzzwzaAEphBBCCCGOzZgvIAG01i8AL0Q7juNlMR97C+S2qlaSbRZKMo8ySUzdTnjxdph8Fpy1KrDZ4XFw74Z7eWLPEyzMWcjPPvEzCpMLjyt+Mbq98sorPPbYY7z00kucddZZXHvttWzYsIG//vWv0Q7tmFRWVlJc3Nv9t6ioiPfff3/Y533lvcc5WLuNkpzZnLHo09jiEoZ9TiGEEEKIWDUuCshYZ7UYLZCeY2yBnF1gx2QapLXQ1QNPfhHikuHSP4HJaPGp6qjiltdvYUfjDr4w9wt888RvyljHMey8887jE5/4BG+//TaTJk0C4Oabbz7Kq0YfHWJQU6jW8tWrV7N69WoA6uvrB+zv78VtD/KytQpa/k3yzjsp9eRwxaJbOX3hp4YftBBCCCFEjAm9EJwYVSxmGwAeb+glGfpze7zsqG5jbsFRuq++8XOo2w6fvh9ScgH4sO5Drn7+asrbyvnNWb/h1kW3SvE4xm3atIklS5awbNkyzjnnHB544AE8Hk+0wzpmRUVFlJf3rthTUVFBQcHAGc1WrlzJxo0b2bhxI9nZ2Uc977cu+j3/N/37fCX5POa501hvqecbW7/HTX8+k/3lW0f0ZxBCCCGEGO2kgIwBFrNRwA11DOTBhk56XF7mFtqPfFDlZnjnt3Di52D6uQA8d+A5bnjpBpKtyTxy0SOcPeHsYccuRr8TTzyRe+65h/3793PHHXewZcsWnE4nF1xwQaClLhacdNJJ7N27l4MHD+J0OlmzZg3Lly8f9nmL86dx7tKr+frlv2T1ynf45zlP8klXPuutDVz3ytX8+dlVRz+JEEIIIcQYIQVkDPCPgfTooRWQe2o7AJiRlxL6AI8L1n4dknPg3LvQWnP/R/fzvbe+x4KcBTxy4SNMSp00IrGL2HLqqafy+9//nsrKSm655RbWr18f7ZCGzGKx8Pvf/57zzjuPWbNmceWVV4ZlAp1JRTP51Zdf4Tfz7ibHbea3Tc/wlb+cTnN73Yi/lxBCCCHEaCN9E2NAbwvk0LoV7qvrQCmYnJUc+oANq42uqysexRtv5+73f8aa3WtYPmU5dyy9A6u5/8IOYrx46qmnePvtt1FKcdppp8XcRDoXXnghF154YUTe6/RFn2LhrLP46SMreMlaxlVPLOP/zv4D8yadFpH3F0IIIYSIBmmBjAHmQAvk0ArI/fUdFKYlkBAXYhmE9lp47W6Ydi6uqedw+5u3s2b3Gj4/5/PceeqdUjyOYzfddBN//OMfmTdvHnPnzuVPf/oTX/va16Id1qiWnJjMPV9+jm+mXEkPbm54/av8653fRTssIYQQQoiwkRbIGOAv6rxDLCD31XUwJfsIrY/rfgQeB85zfsotb9zCmxVvcsuiW7hh7g0jFa6IUW+88Qbbtm0LzFx6/fXXM2/evChHFRtuuPyHFL1zIqu33c4d+1azq2k7t190H2bTsa1lKYQQQggx2kkLZAywWIwWSK/2HvVYr1dzoKGDqTkhCsiabfDRGhwnr+RbW3/LmxVv8r9L/leKRwHAjBkzOHz4cOB5eXk58+fPj2JEseXcUz/FT5Y9zZntZtY0vcOXHr+IVkdrtMMSQgghhBhRUkDGAIuvBXIoXVgrW7rpcXlDF5Cv/hRHvJ1bqOOtyrf44dIfcuWMK0c6XBGjGhsbmTVrFmeeeSZnnnkms2fPpr6+nuXLl4/IbKbjwewp07nl8le4qiGVDx0VXPn4Oexu3BntsIQQQgghRox0YY0Bcb4WSD2EAnJ/vTED64AurIffw73nRW6bcxpvVb/Hj5b+iM9M/8yIxypi109+8pNohzAmTM7P5vrr/0P8A9fxQtYuPvfsVfz01J9y/rRLoh2aEEIIIcSwSQEZA46lC+uhxi4ASrISezdqjf7vj/lxXgGvdh3m9sW3S/EoArTWKKU444wzBj1GDF1xVgqf/eqjuO+/je3pL/Gdd3/AtvqPuHnJ97CaZKIqIYQQQsQu6cIaA/wFpIejt0CWN3URbzWRnWwLbNN71/F/7Tv4d4KFG0+4kc/O+mzYYhWx56yzzuJ3v/tdn/GPAE6nk1dffZXrr7+ehx56KErRxa781AQ+f+MvKGy8nivaOnlo7z/5/LNXUdFeEe3QhBBCCCGOmxSQMcBq9ndhPXoLZHlzF0XpiYGZNAEeePtHPJRq5+rpV3HjCTeGLU4Rm1588UXMZjNXX301BQUFzJ49m8mTJzNt2jQee+wxbrnlFj7/+c9HO8yYlJcaz9dvvIXD7bdxR20nB5r2cMXaS3l2/7PSqiuEEEKImDTkLqxKqSSgRw9lIJ4YURbL0JfxKG/qpjg9IfD86fd/yW/M7Vxgn8btS77fp7AUAiA+Pp6bbrqJm266CZfLRUNDAwkJCaSlpUU7tDGhKD2R//3KtdzyxxR+XXkn92W38f23v8/LZS/zw6U/JDsxO9ohCiGEEEIM2RELSKWUCVgBfBY4CXAANqVUPfACsFprvTciUY5zZrMFs9Z4htgCWVqSDsD71e/zk10PsdTh5q7zH8SkpMFZDM5qtZKfnx/tMMaciZlJ/GLlJXz5j4ncW3MP21Mq+J16i+X/Xs7K+Sv57KzPEufraSCEEEKI4dNa49GewL1Xe/Fqb8htXu3Fixev19gf/FgT+jzB5+v//Ejv7b/FusFaIF8D1gHfA7ZpX/9JpVQGcBbwc6XU01rrh8Mf5vhmNpkxAV4G/4Vr7XLR3uOmOD2RstYybnn1ZiY6nfzflKuxJqRFJFYhRGhTspP548plXPsnGz/t+AP/6lrPLybP41ebfsXjux/n1kW3cs7Ec6SXgBBCjDFa65DFxmCFx1CfD3auoz73+oom7cXj7S2U/M+D9w0osI71vYZYcB3t+KO9NvimGb1DRWzYjn7QKDZYAblMa+3qv1Fr3QT8C/iXUkqmE4wAk0lh1vqoYyDLm40ZWDPsLr7231uxeJz8vqGNlGu+HokwhRBHMT03hb9+6TSuWW3iVlMOv9/zL9ZPLOUXpji+/ca3mZ81n2vnXMuyCcuwmGSSbCHEyBtqQdL/C/tRi5ngAiS45cYbdO5jKECOt5AaicLquH7OQX7u0VzIDIVJmTApE2ZlDjw+2vNQ+5RSge3+5xaThTgVd8T9Q30e6j2P9N6D/QxHfA0mzCYzCt82k7HtaOc60ntf/LuLo/2fdViO+A0lVPHoGwd5KXC11vqiUMeI8DDDUbuwVjR3AW4eP3Qn1Z3VPFBdQ9H8ayApKyIxCiGObnaBnX98aSnX/FlxOL6YVZV/4p+NqTx92hd5sOp1vvPGd8hLymPFjBVcPu1y0uLToh2yEGGhtR7Q4jHYF/FQxceRioHjKUDCUkgdY2E17PcewvNYd7wFxlAKjjhTnPHYVxwEXtuvcDAr33NT0Ln7Pz/G9x6pn+toRV2fY4f4c0nPGNHfUS9xK6XigAuBa4DzMVof/xjmuEQ/Jg36KF1Yy5u6seU+x86WD7k7cykn7n8CltwUoQhFrEpJSQmZHPzrQ7a1tUUhqrFtXlEqD31xMdf+RXMoeRL3W37NZ16+h0vP/B5vLjqRR3Y9xq83/5rfb/k9J+WdxNkTzuas4rPITcqNduhjVqCLWRi6bI3Yl/8odzE71p93KM9jWeCL92BfxAf7Yt6vdcN/nP98VmUddovPUIuZIbcuHU9hNcKFlBAi+gabROcc4GrgPIzxkP8AFmutvxCh2ESQoYyBXF/3EnEZ73H9rGu5+K0HYeonIXNKZAIUMau9vT3aIYxLCyek89cvLOb6BzdwRfpdrJm6BturP+Wsiadx1mV/Yo+3i+cPPM+rh1/lrvfv4q7372JG+gzmZc9jbuZc5mbNZUralKh3dXV5XXS7u+lx99Dj7jEee3oGbOt2d+P0OHFrNy6vC5fHhVu7cXv73lxeV9/n2tW3K9gIdWvrX4DFejEzUl3MQj0frIvZ8RYg/uIjuGtYoJDqty+4sBrOzzkShVTwYylmhBBH5fWAx+m7uXofx7jBvnm8BLwFnKa1PgiglPpNRKISA5g1g37B2dm4k02df8bqnMa3kqZBezVc9KsIRiiEOFaLJ2XwwOdLueFvH7CcL/Gv85eR/Or34f5TmH7uXUxf+C1uWXQLB1oP8OrhV3m/+n1eKnuJJ/c8CYBFWchNyqUguYCCpAJyEnNIiUshOS6ZFGsKidbEPl/CFWpAseYv7no8PYGCr8vdZTz2BBWF7h66Pd19nve4e3Br93H97AqF1WTFYrL0uVlN1r7blQWzyRyymBlSd67gFpIhtpgcT5EyUsWbdDETQohBaA1ed28h5vbde119C7SQj490TNC24HMf8Xyhjul3rNf3/Ijf3adF9GMbaYMVkIswlvFYp5Q6AKzBGIonomCwFshWRyu3vH4LJm8SU/RXsWz6A9iLYPp5kQ1SCHHMTpmSxYPXn8QX/vYBn3lvEmuue5W0l78Fz3wdtj4On/oNkzOnMHneZL4070torTncfphtDdvY17KPqo4qqjqqWF+1nvru+mFP1hBvjifeYtwSLAnEm4375LhkssxZJFh7twUf43/s3z7gGEs8NrOtT1EohBBilPF6+xVHjt7Hbscg2/0FVNDjPtudfc874FyhXhO8Pej14ZqUyBznu1lDPLaCKeixNfUIx1oHOUfQeZ77f+H5GSJksEl0tgBbgO8qpU7F6M4ap5T6D/C01np1hGIU+AvIgf9gvNrL7W/dTm1XLbbmb7Ag2wn7X4OzVoF8QRMiJpwyNYsHrj+JLz70ASuerOWxLz1N+q7H4JUfwR+WwtKvwSduBZsxXnWifSIT7RMHnEdrTZe7i3ZnOx3ODrrd3QOmaA9u3bOarH0KvnhLPCYl68UKIURYHKn1rH9xNWghdYSi7EjnGlLRF3TzHl+vkkEFF14WW1BRZestriw2sCZAfGpQsRUHlrh+hZit77lMln7n7Fe0mayDF3OBos4CEe3JMUYLyGBa63eAd5RS3wSWYRSTUkBG0JG6sD7w8QO8Xfk2Pzj5B/zvP+x8Muk54x/BwuuiEKUQ4nidNi2Lv1xfyhcf2shnH/iAR770WdJnXACv/BDe/hVseRjOXgULPmskuxCUUiRZk0iyJkFShH8AIYSItnHZeqZ8BVRwMRaq6LKCNc13rL9wOtJrjlbABRV9Ic8VvD0uwoWZiIQhFZBKqcuA0zB+698O50Q6Sqk7gC8D9b5N39dav+Db9z3gi4AH+KbW+iXf9kXA34AE4AXgZq21VkrZgL9jdMdtBK7SWpeFK/ZwCtUCuaVuC/d9eB8XTLqATxZewvc9LzO/8UWYdi6k5EUnUBFzjjQLq5/Mwho5n5iWzZ+vK+XLf9/I5x54n0e+dDJpl62GxV+Bl74Hz94Mb/0KPvFtOOFqI7ELIUSkeT2+YsnRt6AasM3Rt3gabNug53EGFW2DtLiFq/VsKEWXNRHi04ZQXA2lUOtXtA1WqElvs5ijdWyvCwpDW8bjD8BU4DHfpq8opZZprb8Wxrj+n9b6l/3imI0xJnMOUIAxNnO61toD3A+sBN7DKCDPB/6DUWw2a62nKqVWAPcAV4Ux7rAx6b5jIFsdrXz3ze+Sn5TPD5f8kEMNDj5h+phEZwMsuCaKkYpY45+F9Yc//CF5eXlce+21aK155JFHZIbWKDhjejarr13Eyn9s4uo/v8/DX1xMZtEiuOEl2PMSvPFzePab8Ma9sOjzsPBauWAkxFgVaFHzF12OoOIpuBBzHPu2Aec5hsJvJNeTVOagAsoW1B2x3zZ/10b/tj5F1xBawY5WqB2ppU1az8Qx6HC4qW3roa7NQV17D7VtPdS2Oahrd/i2G89jvZPQUFogzwDmal+5rJR6CPg4rFGFdgmwRmvtAA4qpfYBi5VSZYBda73eF9/fgU9jFJCXAHf4Xv8k8HullNIxWPqbIDA5htaaO969g/quev5x4T9Ijkumtq2Wz5jfxG1LxzJNJs8Rx+6ll17i/fffDzy/8cYbOfnkk7ntttuiGNX4dOaMHP5yXSkr/7GRq1a/x6NfOpkcezzMON+YHGvvK7D+9/DanUZBOeNCKL0BJp0BJhnDKMQx07pfy5ajb4tXn22uvvtDbTum8wxS5I1oi5rqV5zZ+hVavkItLgnM6Ucv6EJu858n1LYjvJ+0oIkY0OV0U9vm8BWEPdS3OwLFYfDzTufAiysJVjO5dhs59njmFaXxyRQba/8ThR9iBA2lgNwNTAAO+Z4XA1vDFpHh60qp64CNwLe11s1AIUYLo1+Fb5vL97j/dnz35QBaa7dSqhXIBBrCG/7IM2nwKqMF8ondT7Du8Dq+vejbzM2aC0BjQx3LTRvpmXU9ydKtTRwHs9nMI488wooVK1BK8dhjj2E2S2KPltOnZ/O3Lyzmhr99wJV/Ws8jX15CYVqCcTV8+rnGrXE/bPorbHkEdj4DaRNh1qeMgrL4ZDBHd41IIQblL9rcPUbB5O7pLcj6PHb0Fl0DHvtf7+jbShZ4fU9QgdbTt4ALLuJGel22Pi1btr73/scWG8TbBx432GsG3RaqUAs6LuKThAgx+nm8msYOBzVtPVS39lDT2kNNm+++tYfadqM1scMx8GJOvNVErj2enBQbswrsnDkjh1y7LbAtxx5Prt1Gss0yYKjQ2jsi9AOGyVC+XWQCO5VSG3zPTwLWK6WeAdBaLz/WN1VKrQNC9blahdEd9acY4y1/CvwfcAMQ6q+eHmQ7R9kXHM9KjC6wTJgw4SjRR4cJhRfN7qbd3PvBvZxaeCrXzemdKCft4PPYlBtT6eeiGKWIZY8++ig333wzN998M0opTj31VB599NFohzWuLZmcyT++eDKf/+sGLv/Du/zji4uZlpvSe0DmFDj3TjjrB7DzWfjoMdiw2midTEiHaecZLZYTloI9P3o/iBg9/LNAHrUwC3o8oDAbrEjz30IVgP1eM1JFmzL3FmT+1i9LfG8xZbFBXDIkZgXtO0qxNeg2W9C4tCMcJ4WaEFHndHupbetbEFa3Gi2I1a3d1LT2UNfuwO3tWxpYzYqclHjyUuOZlWfn9GlGUdi/OLTHDywMx4uhFJA/HOk31VovG8pxSqk/A8/5nlZgtH76FQFVvu1FIbYHv6ZCKWUBUoGmEPGsxjerbGlp6ajs3qqAHuXlu29+l5S4FO469a4+0+1PrnmJMgooKTwxekGKmFZSUsLatWujHYboZ9HEdJ74ylKue3ADn/njeh78/Eksmpje9yBrPMy/wrg52mH/q7D7P7DnRdi6xjgmtRiKTuq9Zc8wWj9EZARPOjKg9WywwswxSJEWqug7Suubu4eRmQUyaOZHS3AhFt9bpFnijXFrgePi+3Zt7POaUAVg0L7gc/d5vU1a2oUYhzod7qBisIea1u7eQtF339Ax8CJVYpyZvNR48uzxLJmSSb7vcV5qgu8+nsykOEym8VkYDtUR/+r6xwpqrd8Y7JiRDkgpla+1rvY9vRTY5nv8DPCoUupXGJPoTAM2aK09Sql2pdQS4H3gOuB3Qa+5HlgPfAZ4NRbHPwKYUeyy9kDrfv50zp/ITMjs3dley6TOD3nUdiUl4/RKiBi+PXv2cOONN1JbW8u2bdvYunUrzzzzDD/4wQ+iHdq4NyvfzlM3nsK1D7zPZ//yHvd/dhFnzcwJfbAtBWZfYtw8bqj+EMo3QMUG4377U73HpuRD1jTImg6Z0yC10NiWkg/JORxpuZCYMaQukqG6NR6tSAtRAPYv0vq3vo3UWDb/RCF9iixb32IuMaNvkRWyZa5/kRZ35CJvQKEok4sIIcKnw+GmuqWbypZuqlt7qPbdBxeI7T0D/6amJ1p9haCNeYVp5NnjjQIx6JYSojupOHaDXbZ7TSn1L2Ct1vqwf6NSKg5jSY/rgdcwls8YSfcqpRZgXCItA74CoLXerpR6AtgBuIGv+WZgBbiR3mU8/uO7ATwA/MM34U4TxiyuMcn/g14x/QpOKTil784dazHhZWva2RGPS4wdX/7yl/nFL37BV77yFQDmz5/PNddcIwXkKFGckciTN57C5/+6gS/9fSO/+Mx8LltYNPiLzBYoKjVu3GRsa6uCys3QsAca9kLjXtj6T3C09nuxgqRsoxiJT+292ezGYs+DdfVT/rGzvut1get2vnuvB7wu3/gzl++x0yh4PU7f8+DH/puzdxHu4AlMjlTYha2LZIjHtpR+XST9hV2oIs3Wb99Rul+ag84pkyQJIWKYv1tpVUs3Va3dVLUYj6tbfdtaumnrVxyaFOSkxJObGs+U7GROnZpFXqpRHObae+/jrTJvQ6QMVkCejzH28DGl1CSgBYgHzMDLGEttfDjSAWmtrx1k313AXSG2bwTmhtjeA1wxogFGSZWvIeCTEz45cOf2pzigJtCTNj2yQYkxpauri8WLF/fZZrEMr2vYP//5T+644w527tzJhg0bKC0tDey7++67eeCBBzCbzfz2t7/lvPOM2YM3bdrE5z//ebq7u7nwwgv5zW9+g1IKh8PBddddx6ZNm8jMzOTxxx+npKQEgIceeog777wTgB/84Adcf/31w4p7tMpKtvHYl5fwlX9s4tYnPqKp08kXT5t0bFdT7QXGLZjW0NUIbZXQXgPt1dBWbdz3tEBPK3TUGQVnT2tvcRaWNdcsxrpr5jijADbH+Z77biarsT3QRTLt2FvPQnWf7N/9ss94N+kiKYQQR+P1aho7nb6CsJvKFqP1MLhQrO9w0L8vYHqilYK0BIrSEzl5Ugb5aQkUpCVQmBZPfmoCOSk2LGa5eDaaHDEr+oqvPwB/UEpZgSygW2vdEqHYRJBkL3SZCMy6GtBaCYfX87y+iowkmX1VHL+srCz2798fKEaefPJJ8vOHN/HK3LlzeeqppwKtmn47duxgzZo1bN++naqqKpYtW8aePXswm83ceOONrF69miVLlnDhhRfy4osvcsEFF/DAAw+Qnp7Ovn37WLNmDd/97nd5/PHHaWpq4sc//jEbN25EKcWiRYtYvnw56enpR4gqtqXEW/nrF07ilsc/5M7nd1LW2MmPPjUH63CSq1KQlGXc8k8Y+uu8ntDLGAR/O+hf3CoFqKBZKvsVidK1SAghRqUOhzvQSljV0uMrErupbumhqtVoRXS6vX1ek2A1k58WT2FaAmfOyKYgLYGCVKNAzE+LpyA1gYQ4aTmMNUO6rKq1dgHVRz1QhM13623sTowj1Zbad8eOfwPwL+diPpMsBaQ4fvfddx8rV65k165dFBYWMmnSJB5++OFhnXPWrFkht69du5YVK1Zgs9mYNGkSB6OwPgAANHVJREFUU6dOZcOGDZSUlNDW1sbSpUsBuO666/j3v//NBRdcwNq1a7njjjsA+MxnPsPXv/51tNa89NJLnHPOOWRkZABwzjnn8OKLL3L11VcPK/bRzGYx8/urF3JPxi7+9MYBDjZ08odrFpGaGOExiyYzmBKMLq1CCCFiltaali4XlS3dVDR3UdHcTUVzt+95N5XNXQO6lppNitwUGwVpCcwvSuP8ufG9xWGqUTSmJVplzOEYJP1yYkSR20JRV4gCcdtTuHLmUXY4n8xkW+QDE2PG5MmTWbduHZ2dnXi9XlJSUo7+ouNUWVnJkiVLAs+LioqorKzEarVSVFQ0YLv/NcXFxkTMFouF1NRUGhsb+2zv/5qxzGRSfO+CWUzNTub7T3/MpX94hwc+fxKTspKiHZoQQohRRmtNQ4czUCBW9ikQjeedTk+f1yTFmSlKT6QoPYGTStIpTEsgX7qWCqSAjBkaEybdt1sAbdVQuZGm0tvgMNKFVRyXX/3qV4Puv/XWWwfdv2zZMmpqagZsv+uuu7jkkktCvibUZMhKqSNuP97XhLJ69WpWr14NQH19/RGPixVXlBYzMTOJr/xjI5++7x3u/+xCTpmaFe2whBBCRJDXq6lrd1DZ0tt6GFwgVrV00+Pq+z0yNcFKYVoCJZlJnDo1i6L0RArTEihKN26pCdJ6KEKTAjJGeJUZM32vDLH7BQAO554FNJMlXVjFcWhvbwdg9+7dfPDBByxfvhyAZ599ltNPP/2or1+3bt0xv2dRURHl5eWB5xUVFRQUFFBUVERFRcWA7cGvKSoqwu1209raSkZGBkVFRbz++ut9XnPmmWce8b1XrlzJypUrAfpM6hPLFk/KYO3XTuOLD33AdQ9u4Eefms3nlkyUxC+EEGOEx6upbeuhvKmrb8uhr4tpdUsPTk/fAjEjKY6i9ARm5KbwyZk5vQViRgKFaQmkxMf4Uk0iagZbB7Kd0KsNK0BrrWUF6gjSyoRJ95vxcPcLkDGZclMx0ExGknRhFcfuRz/6EQDnnnsumzdvDnRdveOOO7jiivBMYrx8+XKuueYabr31Vqqqqti7dy+LFy/GbDaTkpLCe++9x8knn8zf//53vvGNbwRe89BDD7F06VKefPJJzj77bJRSnHfeeXz/+9+nubkZgJdffpm77747LHGPZhMyE3nqplP45mNb+N+129l4qJmfXTqPJJtcJxRCiNFOa01rt4vypm4ON3VR3txFeVMXh5v8LYpduDx9v5Znp9goSk9gXmEqF8zNp9DXcliUlkBhegKJcfL3X4THYLOwhm8AlDhmXkyo4C6sjnY4+CYsXkljpwuATGmBFMNw+PBh4uJ6f4fi4uIoKysb1jmffvppvvGNb1BfX89FF13EggULeOmll5gzZw5XXnkls2fPxmKxcN9992E2G7Ow3X///YFlPC644AIuuOACAL74xS9y7bXXMnXqVDIyMlizZg0AGRkZ/O///i8nnXQSAD/84Q8DE+qMNynxVh64/iT+8Po+fvXKHrZXtXH/ZxcyLVf+nAshRLT1uDxUNHdT3q9ALG8ytrU7+jYUpCVamZCRyOx8O+fNyaM4I4Fi35jEgrQEWfdQRI0KNX5oPCstLdUbN26MdhgDbLl7GSneFqau8sW2/Wn45+fh8y/w852ZPPD2AfbceYF0WRPH7a677uKJJ57g0ksvRSnF008/zVVXXcX3vve9aIcWNqWlpYzGf+8j4d19DXxzzRY6HR5+fvk8LllQGO2QhPj/7d17fFTVuf/xzzMTciWBXAADgYSbgOGmIGItFJWrekCsVawKR6kc+bVa66vW41FbbW2ttqdVWy/Fem/PgXOoikcUEZVqKV4IXoBqFQUlgEoSIPfLTNbvj9kZEggQmSSTSb7v12te2bNm7z3PsIdknllrPUukU2s8zDTUi+gli17C+EVpTZP9E+J89M9Ipn96Ev0zkhmQkUxOeuhn/wwNMe3MYuHzh5kVOOeaneujvu0YERrC2qgH8oPnICkD+p9C8VtbyExJUPIox8w5x/z585k1axavvfYaAI888ggnnnhilCOTY/W1IVmsvHoSV/3X23x/6Tu8ua2Em885Qd9Yi4hEoLS6js+KK/m0OJQUfuYliM0NMzWDvj1Cw0onDe0VTgwHZCTTPz2ZrO4J+Hz67CaxRwlkjHDmw4eXQAYD8NELMOxs8MdRUlGr4asSETPj3HPPpaCggJNOOina4Ugr6ZOWyH9dcQq/Wv1P/vDXT3hzWwm/vXAsI/v1OPrBIiJdkHOOPWU1bC+u5NPiCj4rCSWLn5ZU8llxBXsr65rsf7hhpgMykunbM4n4OC1zIZ2PEsgY4fBBQw/kzgKo3g9DpwFQVFGrJTwkYhMnTuStt94KzyWUziHO7+OGWSM4bXAW1y1/l3PvXcc1U4dy5TcGa/0uEemS6oL17NxbFU4KDySIoR7FqroDVe99Bn17JpGbmczMkdnkZSaTm5kcHnKqYabSFR1LFVYAVIW1fTXpgfz4JTAfDJoCQHF5DYO0eLhE6JVXXuGBBx4gLy+PlJQUnHOYGe+99160Q5NWMPn4XrxwzWRuXrGFX6/+kJc/+JLfXDCWPP3uEJFOqLI2EEoMiyv5rKTC+xm6v3NfFcH6Ax9xE+J8DMhIJjczha8PzSI3Mzl8v596EUUOcdQqrGb2U+Bz4AlCS3hcDKikXzurtzj8Dct4bH0J+p4EyaFKkyXqgZRW8Pzzz0c7BGljPZPj+d1FJzJ1RG9ufnozs+5+jf84ewQXTxigeTgiElOcc+ytrOPThh7E4ko+LakIzU8sqWRPWdOCNT2Tu5GbkcyY/j2ZPaYvAzKTyfWSxN6pmoso8lW0ZAjrDOfcKY3u329mbwB3tlFM0ow6XwLxrhYqS2DXRph8HQA1gSCVtUHSkzWEQiKTm5vLu+++Gy6iM2nSJMaMGRPlqKQtzBnbjwkDM/jR8ve4+enNPP32Tn4xdxTDjtN3gyLSseyrrGVbUQXbiyvYVhSal7i9qIJtRRWUVjdd9iK7RyIDMpI5fVgvcjNTvF7EZHIzUuihz0kiraYlCWTQzC4GlhIa0noREDzyIdLa6iyRRGrgk7WhuZCDzwRgf1VoMnePJP1ilMjcfffdPPjgg5x33nkAXHLJJSxatIirrroqypFJW8jukcTjl0/gLxt38vOV/+Dse17jismDuPqMoSTFq1KriLSf/VV1bA8niaEexYakcV+jojVm0K9nEgOzUpgzth+5mcnkZaaE5ySqyrRI+2hJAvlt4G7v5oB1Xpu0ozp/EgmuJjT/MaEH9BsHQKmXQKYpgZQIPfTQQ7zxxhukpITmxF1//fWceuqpSiA7MTPj/HE5nDG8N7c/9z73r/2YZ9/bxU/njOT0Yb2jHZ6IdCJl1XVsL6pkm9eD2JAwbi+upKSiNrxfw9IXeVnJnD0qm4FZKeRmpjAwK5QkJsQpSRSJtqMmkM657cCctg9FjqTWl0A8dfDRGhj0DfCHLl1DD2TPZM2BlMg45/D7D/xh9vv9OHfYOlrSiWSkxPOrb43hm+NyuPGpTVz2yFtMP6EPN5w1goEqsiMiLVReEziQGBY1GnJaXEFReW2TfbN7JJKXmcKM/OMYmJXsJYmhYafqSRTp2I6aQJrZ8cD9QB/n3EgzGw3Mds7d1ubRSVjAlxjaKP8chpwZbm8Y2qEhrBKpyy67jFNOOYW5c+cC8PTTT7Nw4cIoRyXtaeKgTJ77/iT++No27ntlK9N+81cumZjL988cSroKdYkIoeqm24sqw8NNG/ckHly4pk9aAnmZKUwd0Ye8rBTyMpPJy0ohNyNFQ+VFYlhLhrA+CFwH/AHAOfeemf0XoASyHYUTSAjPf4RGPZBKICVC1157LVOmTOFvf/sbzjkeeeQRTjzxxGiHJe0sIc7Pd08fwrfG5/DbFz/i8fXbeXJjIVedMZT5X8vV8DGRLqCqNsinJQd6EbcXVYSHnn55UJLYKzWBgZkpnD6sF3lZKQzMDA05zctKJjley42LdEYt+Z+d7Jx706xJeePA4XaWtlHnTwptmB969g+3qwdSWsvrr79Ofn4+J510EgBlZWW88cYbnHLKKUc5Ujqj3qmJ3H7eKC47LY9fPPc+P3/ufR5/fTuLJg/m/JNy1HsgEuOq64J8VlLZpBcxtF3J56XVTfbN6h5PXmYKk4/vxcCsFPK8BDE3M4XuCUoSRbqalvyvLzKzwYQK6GBm5wO72zQqOcSexLzQxugLmrTvVxEdaSWLFy9m48aN4fspKSmHtEnXc3yfVB69bAKvfbSHX6/+kJuf3sxvVv+TSybmMv/UPHqlJkQ7RBE5jJpAkB0llYf0Im4vqmB3aTWNp7lnpMSTl5nM14ZkMjAzJdSbmBWqcJqaqM8YInJASxLI7wJLgOFmthPYBlzSplHJIXanjOAa/39w1znfb9K+v6qO1MQ4/FoAVyLknKPxSAOfz0cgoMEGEjJpaC++PiSLDZ/uZcmrn/D7V7byh1c/Ye7Yfnxn0kCG9tEakiLRUBuo57OSULGabeECNqGexV37q5okiT2Tu5GXmcIpgzLDvYgNVU41kklEWqolVVg/AaaaWQrgc86VtX1YcjDz+fibjYNuiU3a91fV6Ze+tIpBgwZxzz33sHjxYgDuu+8+Bg0aFOWopCMxM07Oy+DkvAw+2VPOw+u28b8bClm2YQenD+vFFZMGcergTA6a8iAiEaoL1rOjpLLJ+ogNP3furaK+UZKYlhjHwKwUxuelk5eZExpy6hWwUcV2EWkNh00gzezaw7QD4Jz7zbE+qZl9C7gFGAFMcM5taPTYDcBCIAhc7Zx7wWsfBzwKJAHPAd93zjkzSwAeB8YBxcCF3tIjmNkC4Cbv1Lc55x471pijzW9GfTNLKuyvqqNnshJIidwDDzzA1VdfzW233YaZceaZZ7JkyZJohyUd1KBe3bnt3FFcO20Yf3r9Ux5fv51v//EN8vumccWkQZw9Optufl+0wxSJGYFgPYV7q9hWXMGnRaGqpg1JYuHeKoKNssTUhDjyslIY2z+duWP7hRJEr4BNz+Ru+hJHRNrUkXogG8YjDQNOBp7x7v8L8GqEz7sZOA+vsmsDMzsBmAfkA32BNWZ2vHMuSGgpkUXA64QSyJnA84SSzb3OuSFmNg+4A7jQzDKAnwDjCc3fLDCzZ5xzeyOMPSr8Pmvyx6OBeiCltfTu3ZulS5dGOwyJMRkp8Vx95lAWTR7E02/v5I9/28Y1y97hjlUfcNlpecybMIA0zZ8SASBY79jZkCQ2WQajkh0llQQa/Z1PifeTl5XCyH49+JfRfb05icnkZaaQkRKvJFFEouawCaRz7lYAM1sNnNQwdNXMbgH+N5Indc69753r4IfmAEudczXANjPbCkwws+1AmnNuvXfc48C5hBLIOYR6MwGWA7+30IlnAC8650q8Y14klHT+dySxR4sZzfZA7qusZfhxaVGISDqbDz/8kMWLF/PFF1+wefNm3nvvPZ555hluuummox8sXV5iNz/zJgzggvH9Wfvhlzz46jZ+8dwH3PPSVi48uT+XnZZHTnpytMMUaXPBeseufVXeXMRQcthQwGZHSSV1wQN/y5Pj/eRlpjAiO5VZI48LF67Jy0whq7uSRBHpmFpSRGcAUNvofi2Q1ybRQD9CPYwNCr22Om/74PaGY3YAOOcCZrYfyGzc3swxMcdvRn2zPZABVWCVVnHFFVfwq1/9in/7t38DYPTo0Xz7299WAilfic9nnDG8D2cM78Pmnft58LVPePTv23lk3TYmDsrk7NHZzBqZTUaK5mJJ7Krzhptu94abfurNT9xeXEFhSRW1wfrwvknd/ORmJjOsTyrTTzgu3Is4MCuFXqkJShJFJOa0JIF8AnjTzJ4iNBR0LnDUuYRmtgY4rpmHbnTOrTjcYc20uSO0H+sxTZ/UbBGh4bEMGDDgMKFFl89nBA/qgXTOsb+qVkNYpVVUVlYyYcKEJm1xcVrfS47dyH49uHveifxo5nCWvbWDZ9/bxY1PbebHK7bwtcGZnDM6mxn5x6mwh3RIDeskbi+q4NPiSj4tqQgnibv2VTeZVpIc7yc3M4VhfVKZdkKfUIVTL0nsk6YkUUQ6lyN+OvSGgj5OaKjoJK/5Mufc20c7sXNu6jHEUwj0b3Q/B9jltec00974mEIziwN6ACVe+5SDjll7mFiXEFqqhPHjxzebZEabz4yDOyCr6oLUBZ2K6EiryMrK4uOPPw5/0Fm+fDnZ2dlRjko6g349k7h22vH8YOpQ3t9dxrPv7eLZ93Zz/V82ceNTm5k0NIuzR/dlen4fzZeUduOco6Sils9KKvmsJDQH8TOvJ/HT4ko+L61usn+PpG7kZSZzYv90zh2bTG5mqLJproabikgXc8QE0qty+rRzbhzQHquJPwP8l5n9hlARnaHAm865oJmVmdlE4A1gPvC7RscsANYD5wMve3G/APzCzNK9/aYDN7TDa2gTfh+HDGHdX1UHoB5IaRX33nsvixYt4oMPPqBfv34MHDiQP//5z9EOSzoRM+OEvmmc0DeN62YMY/PO0nAy+cr/vkv8kz4mH9+L04f34rTBWeRmJutDuUSkui5I4d6qcHJ4cLJYWRtssn+v1AQGZCRz2pDQ+y83MzTcNFdLYIiIhLVkfNrrZnayc+6t1npSM5tLKAHsBaw0s3ecczOcc1vM7H+AfwAB4LteBVaAxRxYxuN57wbwEPCEV3CnhFAVV5xzJWb2M6Ah7p82FNSJRT47dAjrvspQAtlTCaS0gkGDBrFmzRoqKiqor68nKSmJZcuWkZubG+3QpBMyM0bl9GBUTg/+fdZw3tmxj2ff283zm3az5v0vgFDP5WlDMjltSBanDs6kd2riUc4qXU0gWM/npdXs3FtFoXdrnCAe3IuY2M3HgIxkBmQkc+rgzPD2gIxkctKTSYr3R+mViIjEjpYkkKcDV3qVUCsIzS10zrnRx/qkzrmngKcO89jPgZ83074BGNlMezXwrcOc62Hg4WONsyPxmeFcaMhNwzfy6oGU1lBaWsq9997Lzp07mTNnDlOnTuXee+/l17/+NWPGjOHiiy+OdojSyZkZJw5I58QB6dx09gg+Karg71uLWLe1mFWbP+d/NoRqqB3fpztfG5zFaUOyOGVQhoa7dgHVdUF27ati576qcJLYsL1zXxWflzadi2gGx6Ul0j8jma8PzWJARjL9M5K8n8n06q75iCIikWpJAjmrzaOQo/L7Qn/w6h34vb99ZdUBAFL1IUoicOmll5Kens6pp57Kgw8+yJ133kltbS1PP/00Y8eOjXZ40sWYGYN7dWdwr+5cemoewXrHll37Wbe1mL9/XMTStz7j0b9vx+8zhh+Xysi+PRjZL438fj0YcVyaepBiSH29o7iili9Kq/l8fzW79ntJ4j4vUdxbRVF5TZNjfAbZPZLo1zOJCQMz6NcziZz0JPqlh9r69kwisZveAyIibemoCaRz7lMzG8OBIjqvOefebduw5GBe/kiw3oWTyfKaUA9kaqIqZcqx++STT9i0aRMA3/nOd8jKyuKzzz4jNTU1ypGJhL48G53Tk9E5PVk8ZTA1gSAbP93H+o+LeHvHPl58/wuWbdgR3ndIr+7k90vzEssenNA3je4J+h3Z3qrrguHE8PPSam+7JvTTa/+yrLrJmogA8X4ffXsmkpOezJnDe4cTw37poUTxuLRE4vy+KL0qERGBFiSQZvZ94ArgSa/pT2a2xDn3uyMcJq3MF+6BPPDHtqEHsrsSSIlAt24HerD9fj8DBw5steTxuuuu4//+7/+Ij49n8ODBPPLII/Ts2ROA22+/nYceegi/388999zDjBkzACgoKOBf//Vfqaqq4qyzzuLuu+/GzKipqWH+/PkUFBSQmZnJsmXLyMvLA+Cxxx7jtttuA+Cmm25iwYIFrRK/dDwJcX5OHZzJqYMzgdCw/t37q9m8c3/otquUv31UxJMbdwKhIY3905sWQ2montk/I1m9VV9BsN6xr7KWkopaisprKa6oCW9/2Sgx/KK0mr3eHP3Gkrr5ye6RSJ+0RCYMzKBPWiLHpSVwnNfWr2cSWd0Twn/vRESkY2pJ5rEQOMU5VwFgZncQqniqBLId+e0ICaS+XZcIvPvuu6SlpQGhD+NVVVWkpaWF59uWlpYe87mnTZvG7bffTlxcHNdffz233347d9xxB//4xz9YunQpW7ZsYdeuXUydOpUPP/wQv9/P4sWLWbJkCRMnTuSss85i1apVzJo1i4ceeoj09HS2bt3K0qVLuf7661m2bBklJSXceuutbNiwATNj3LhxzJ49m/T09KMHKDHPzOjrDV2cnn9g6eEvS6vZvGs/mwpL+ejLMj4rqeTpd3aGf282yO6RGEoqM1IYkBmaI5eVGk+v7olkpcaTmZJAfFzn7PGqDdRTXhOgpCKUFBaX11BcUUuxlxwWe20lXtveytpDlpNqkNU9PpwEjstN57i0RPr0SOS4tMRwgpiWGKf5hyIinUBLMg8DGte5Dnpt0o58dmAOZIPymgDxfp++QZeIBIPBo+90jKZPnx7enjhxIsuXLwdgxYoVzJs3j4SEBAYOHMiQIUN48803ycvLo7S0lFNPPRWA+fPn8/TTTzNr1ixWrFjBLbfcAsD555/P9773PZxzvPDCC0ybNo2MjAwglLSuWrWKiy66qM1el3R8vdMSOSMtkTOG9wm3OefYV1nH9uIKb4H4Sj4truDTkkpe+uALisprmz1Xz+RuZHVPIKt7vPczgZQEP0nd/CR6t4btpHhf0/vd/CTF+0mM85MY7yPe7ztqElVf7wjUO4L1jkB9PUFvO9ioveGxipogFTUBymoClFcHKK8J3cqqA5TX1IXbyho9Vl4d2r82UH/YGNIS48jqnkBGSjwDs1IYl5tBVvd4MlLiyeyeQGZKPJndQwl2enI3DSsVEelCWpJAPgK8YWYNVVPPJbR0hrSjhiE9javNlVXXafiqxIyHH36YCy+8EICdO3cyceLE8GM5OTns3LmTbt26kZOTc0h7wzH9+/cHIC4ujh49elBcXNyk/eBjRBozM9JT4klPiefEAYf2UFfVBikqr2FPeQ1FZQ0/a0NtZTUUldeweed+istrqagNHLY37kh8RjjpdC6UEDZNGI/hpM3o5jdSE7vRPSEudEuMo09aIoO97VSvPSUhzksKQ8lhVvcE0pPjO22vq4iIRK4lRXR+Y2Zrga8T6nm8zDn3dlsHJk01VF6tb/Thorw6oOGrEnVTp07l888/P6T95z//OXPmzAlvx8XFhZcEce7QD8lmdtj2Yz2mOUuWLGHJkiUA7Nmz57D7SdeTFO+nv7fcw9E456gLOqrqgtTUBamqC1JdV09VXZCq2iDVgSDVtU3bq71bw+M+M3xmxPkMv9/7aYbf5yPOb/jD9+0w932kxPvDCWLjZDEhTiNTRESkbbSkiM5EYItzbqN3P9XMTnHOvdHm0UlYc0V0ymsCqsAqUbdmzZojPv7YY4/x7LPP8tJLL4UTu5ycHHbs2BHep7CwkL59+5KTk0NhYeEh7Y2PycnJIRAIsH//fjIyMsjJyWHt2rVNjpkyZcph41m0aBGLFi0CYPz48V/15YoAoS8p4uMs1FOntXhFRKQLackYlfuB8kb3K7w2aUcNcyCDjRLIUvVASge3atUq7rjjDp555hmSkw/06syePZulS5dSU1PDtm3b+Oijj5gwYQLZ2dmkpqby+uuv45zj8ccfD/dizp49m8ceewyA5cuXc8YZZ2BmzJgxg9WrV7N371727t3L6tWrwxVdRURERKR1taiIjms0Rsw5V29mylraWcPaj/WNah6UVwfo2zMpShGJHN33vvc9ampqmDZtGhAqpPPAAw+Qn5/PBRdcwAknnEBcXBz33nsvfn9oyN39998fXsZj1qxZzJo1C4CFCxdy6aWXMmTIEDIyMli6dCkAGRkZ3HzzzZx88skA/PjHPw4X1BERERGR1mXNzR9qsoPZk8BaDvQ6/j/gdOfcuW0aWZSMHz/ebdiwIdphHGLZW59x/V828fd/PyOcNE6682XG52bw2wvHRjc4kRiVlZUVXkvySPbs2UOvXr3aPiCJmK5V7NC1ig26TrFD1yp2fPDBB5SXlx99xygyswLnXLNzfVrSk3glcA9wE+CAl4BFrReetER4CKuK6Ii0mqKiohbtN378eDriF0tyKF2r2KFrFRt0nWKHrlXsiPUaDC2pwvolMK8dYpEj8B9URMc5pyI6IiIiIiLSro5aRMfMjjezl8xss3d/tJnd1PahSWMNPZANHZA1gXrqgk7rQIqIiIiISLtpSRXWB4EbgDoA59x7qEey3TUs49EwhLWsOgBAqoawirS5hmU/pOPTtYodulaxQdcpduhaxY5Yv1YtSSCTnXNvHtQWaItg5PD81nQIa3mNl0Amav0xkbYW67/ouxJdq9ihaxUbdJ1ih65V7Ij1a9WSBLLIzAYTKqCDmZ0P7G7TqOQQXgdkOIEsq64DUBEdERERERFpNy1JIL8L/AEYbmY7gWsIVWaVdnTwENZybwir5kCKRGbVqlUMGzaMIUOG8Mtf/vKQx51zXH311QwZMoTRo0ezcePGFh8rrSeS63T55ZfTu3dvRo4c2Z4hd1nHeq127NjB6aefzogRI8jPz+fuu+9u79C7nGO9VtXV1UyYMIExY8aQn5/PT37yk/YOvUuJ5PcfQDAY5MQTT+Scc85pr5C7rEiuVV5eHqNGjWLs2LEdv0qrc65FNyAFSCVUufXilh4Xa7dx48a5jujFLZ+73Oufde/t2Oecc27V5t0u9/pn3ead+6IcmUjsCgQCbtCgQe7jjz92NTU1bvTo0W7Lli1N9lm5cqWbOXOmq6+vd+vXr3cTJkxo8bHSOiK5Ts4599e//tUVFBS4/Pz89g69y4nkWu3atcsVFBQ455wrLS11Q4cO1f+pNhTJtaqvr3dlZWXOOedqa2vdhAkT3Pr169v9NXQFkf7+c865//zP/3QXXXSRO/vss9sz9C4n0muVm5vr9uzZ095hHxawwR0mXzpsD6SZpZnZDWb2ezObBlQCC4CtwAVtn9pKYz7vSh0YwtpQREdzIEWO1ZtvvsmQIUMYNGgQ8fHxzJs3jxUrVjTZZ8WKFcyfPx8zY+LEiezbt4/du3e36FhpHZFcJ4DJkyeTkZERjdC7nEiuVXZ2NieddBIAqampjBgxgp07d0bjZXQJkVwrM6N79+4A1NXVUVdXh3m1GqR1Rfr7r7CwkJUrV/Kd73wnGuF3KZFeq1hypCGsTwDDgE3AFcBq4FvAuc65Oe0QmzTSsIxHsKGITsMcSA1hFTlmO3fupH///uH7OTk5h3xgPdw+LTlWWkck10naV2tdq+3bt/P2229zyimntG3AXVik1yoYDDJ27Fh69+7NtGnTdK3aSKTX6ZprruHOO+/E52vJrDWJRKTXysyYPn0648aNY8mSJe0T9DE6UvYxyDk3CsDM/ggUAQOcc2XtEpk04ffmQLqDqrCqiI7IsWv4/9TYwd+iH26flhwrrSOS6yTtqzWuVXl5Od/85je56667SEtLa/0gBYj8Wvn9ft555x327dvH3Llz2bx5s+YZt4FIrtOzzz5L7969GTduHGvXrm2rEMUT6f+pdevW0bdvX7788kumTZvG8OHDmTx5ctsEG6EjfR1R17DhnAsC25Q8Rk+4B7I+dL+sOkBCnI/4OH2jJHKscnJy2LFjR/h+YWEhffv2bdE+LTlWWkck10naV6TXqq6ujm9+85tcfPHFnHfeee0TdBfVWv+vevbsyZQpU1i1alXbBtxFRXKd1q1bxzPPPENeXh7z5s3j5Zdf5pJLLmm32LuaSP9PNfzs3bs3c+fO5c03D15FseM4UvYxxsxKvVsZMLph28xKI3lSM/uWmW0xs3ozG9+oPc/MqszsHe/2QKPHxpnZJjPbamb3mJeum1mCmS3z2t8ws7xGxywws4+824JIYo62AwmkNweyJkCqhq+KROTkk0/mo48+Ytu2bdTW1rJ06VJmz57dZJ/Zs2fz+OOP45zj9ddfp0ePHmRnZ7foWGkdkVwnaV+RXCvnHAsXLmTEiBFce+21UXoFXUck12rPnj3s27cPgKqqKtasWcPw4cOj8Co6v0iu0+23305hYSHbt29n6dKlnHHGGfzpT3+K0ivp/CK5VhUVFZSVhfrpKioqWL16dYfu0T9sBuKc87fh824GziO0PMjBPnbOjW2m/X5gEfA68BwwE3geWAjsdc4NMbN5wB3AhWaWAfwEGE9oDcsCM3vGObe3tV9MezhkCGt1gNREFdARiURcXBy///3vmTFjBsFgkMsvv5z8/HweeCD03dWVV17JWWedxXPPPceQIUNITk7mkUceOeKx0voiuU4AF110EWvXrqWoqIicnBxuvfVWFi5cGK2X06lFcq3WrVvHE088ES5jD/CLX/yCs846K1ovp1OL5Frt3r2bBQsWEAwGqa+v54ILLtASEW0k0t9/0n4iuVZffPEFc+fOBSAQCPDtb3+bmTNnRu21HI01Nxa33Z7cbC3wQ+fcBu9+HvCsc27kQftlA68454Z79y8Cpjjn/s3MXgBucc6tN7M44HOgFzCvYR/vmD8Aa51z/32kmMaPH+82bNjQmi+zVWzYXsL5D6zniYUTmDS0F5c98iZF5bX831Vfj3ZoIiIiIiLSiZhZgXOu2QUpO+IEuoFm9raZ/dXMJnlt/YDCRvsUem0Nj+0AcM4FgP1AZuP2Zo6JOT5f0yGs5TUBFdAREREREZF21WYZiJmtAY5r5qEbnXOHWyxtN6FKr8VmNg542szygeZK6TV0nR7usSMdc3CsiwgNj2XAgAGHCS26/NYwhDV0v6w6wICM5ChGJCIiIiIiXU2bJZDOuanHcEwNUONtF5jZx8DxhHoPcxrtmgPs8rYLgf5AoTeEtQdQ4rVPOeiYtYd53iXAEggNYf2qcbeHQ4roVAe0BqSIiIiIiLSrDjWE1cx6mZnf2x4EDAU+cc7tBsrMbKJXfXU+0NCL+QzQUGH1fOBlF5rY+QIw3czSzSwdmO61xaSG9V+DjdaBTNUQVhERERERaUdRyUDMbC7wO0LFblaa2TvOuRnAZOCnZhYAgsCVzrkS77DFwKNAEqHqq8977Q8BT5jZVkI9j/MAnHMlZvYz4C1vv582OlfM8dmBKqzOuVACqSqsIiIiIiLSjqKSQDrnngKeaqb9L8BfDnPMBuCQBVGcc9XAtw5zzMPAwxEF20H4w0V0oKouSLDeaQiriIjIQYqLiznzzDMB+Pzzz/H7/fTq1YutW7cyf/587rvvvlZ/zrvuuouMjAzmz58f0XnmzZvHz372M4YOHdpKkYmItD5lIDEiPAfSOcqrAwCkKoEUERFpIjMzk3feeQeAW265he7du/PDH/6wzZ4vEAjw8MMPs3HjxojPtXjxYu68804efPDBVohMRKRtdKg5kHJ4XgckzjnKakIJpJbxEBERaZm1a9eGF7u/5ZZbWLBgAdOnTycvL48nn3ySH/3oR4waNYqZM2dSV1cHQEFBAd/4xjcYN24cM2bMYPfu3Yec9+WXX+akk04iLi70N3nKlCn84Ac/YPLkyYwYMYK33nqL8847j6FDh3LTTTcBUFFRwdlnn82YMWMYOXIky5YtA2DSpEmsWbOGQCDQHv8kIiLHRAlkjPA3WgeyTD2QIiIiEfn4449ZuXIlK1as4JJLLuH0009n06ZNJCUlsXLlSurq6rjqqqtYvnw5BQUFXH755dx4442HnGfdunWMGzeuSVt8fDyvvvoqV155JXPmzOHee+9l8+bNPProoxQXF7Nq1Sr69u3Lu+++y+bNm5k5cyYAPp+PIUOG8O6777bLv4GIyLFQAhkjGi/jcWAIq4roiIiIHItZs2bRrVs3Ro0aRTAYDCdxo0aNYvv27fzzn/9k8+bNTJs2jbFjx3LbbbdRWFh4yHl2795Nr169mrTNnj07fK78/Hyys7NJSEhg0KBB7Nixg1GjRrFmzRquv/56XnvtNXr06BE+tnfv3uzatQsRkY5KXVgxwudrqMIKZdWhoTUawioiInJsEhISgFCvX7du3TDvi1qfz0cgEMA5R35+PuvXrz/ieZKSkqiurj7suRu2G5/7+OOPp6CggOeee44bbriB6dOn8+Mf/xiA6upqkpKSWu11ioi0NvVAxgh/oyI6mgMpIiLStoYNG8aePXvCCWRdXR1btmw5ZL8RI0awdevWr3TuXbt2kZyczCWXXMIPf/jDJgV4PvzwQ/Lz8yMLXkSkDSkDiRENcyAD9Y7K2iCgOZAiIiJtJT4+nuXLl3P11Vezf/9+AoEA11xzzSHJ3axZs7j00ku/0rk3bdrEddddF+79vP/++wH44osvSEpKIjs7u9Veh4hIazPnXLRj6FDGjx/vNmzYEO0wDrG/qo4xt67m5nNOoKy6jrvWfMTHvzgrnFiKiIhIdMydO5c777wz4vUbf/vb35KWlsbChQtbKTIRkWNjZgXOufHNPaYhrDEiIS50qWoCQcqqA6TE+5U8ioiIdAC//OUvm13i46vq2bMnCxYsaIWIRETajsZAxoiGBLI2UE9pVR1pSarAKiIi0hEMGzaMYcOGRXyeyy67rBWiERFpW+qBjBFmRrzfR02gnrLqgOY/ioiIiIhIu1MCGUMS4nzUBuopq6nTGpAiIiIiItLulEDGkPg4HzWBIKVV6oEUEREREZH2pwQyhiTE+aipq6esuo409UCKiIiIiEg7UwIZQ+LjfNQGNQdSRERERESiQwlkDEmI81NTV09pteZAioiIiIhI+1MCGUPi43yUVtdRF3SkJakHUkRERERE2pcSyBiSEOejqLwGQD2QIiIiIiLS7pRAxpCEbj6KymsBSNMcSBERERERaWdKIGNIvN9HSUUogcxIiY9yNCIiIiIi0tUogYwhCXH+8LYSSBERERERaW9KIGNIcvyBBDIzJSGKkYiIiIiISFekBDKGpCUdKJyTnqIiOiIiIiIi0r6UQMaQnsmhpDE53t9kOKuIiIiIiEh7iEoCaWa/MrMPzOw9M3vKzHo2euwGM9tqZv80sxmN2seZ2SbvsXvMzLz2BDNb5rW/YWZ5jY5ZYGYfebcF7fka20IPrwcyPk55v4iIiIiItL9oZSIvAiOdc6OBD4EbAMzsBGAekA/MBO4zs4autvuBRcBQ7zbTa18I7HXODQF+C9zhnSsD+AlwCjAB+ImZpbf9S2s7Wd1D8x4TlECKiIiIiEgURCUTcc6tds4FvLuvAzne9hxgqXOuxjm3DdgKTDCzbCDNObfeOeeAx4FzGx3zmLe9HDjT652cAbzonCtxzu0llLQ2JJ0xaXRODwCmn3BclCMREREREZGuqCOsRn85sMzb7kcooWxQ6LXVedsHtzccswPAORcws/1AZuP2Zo6JSbmZKbxwzWSG9O4e7VBERERERKQLarME0szWAM11ld3onFvh7XMjEAD+3HBYM/u7I7Qf6zEHx7qI0PBYBgwY0NwuHcaw41KjHYKIiIiIiHRRbZZAOuemHulxr6jNOcCZ3rBUCPUS9m+0Ww6wy2vPaaa98TGFZhYH9ABKvPYpBx2z9jCxLgGWAIwfP77ZJFNERERERKSri1YV1pnA9cBs51xlo4eeAeZ5lVUHEiqW86ZzbjdQZmYTvfmN84EVjY5pqLB6PvCyl5C+AEw3s3SveM50r01ERERERESOQbTmQP4eSABe9FbjeN05d6VzbouZ/Q/wD0JDW7/rnAt6xywGHgWSgOe9G8BDwBNmtpVQz+M8AOdciZn9DHjL2++nzrmSNn9lIiIiIiIinZQdGD0qEBrCumHDhmiHISIiIiIiEhVmVuCcG9/cY1pQUERERERERFpEPZAHMbM9wKfRjqMZWUBRtIOQTk3vMWlLen9JW9L7S9qS3l/Sljrq+yvXOderuQeUQMYIM9twuG5kkdag95i0Jb2/pC3p/SVtSe8vaUux+P7SEFYRERERERFpESWQIiIiIiIi0iJKIGPHkmgHIJ2e3mPSlvT+krak95e0Jb2/pC3F3PtLcyBFRERERESkRdQDKSIiIiIiIi2iBDIGmNlMM/unmW01s3+PdjzSuZjZw2b2pZltjnYs0rmYWX8ze8XM3jezLWb2/WjHJJ2LmSWa2Ztm9q73Hrs12jFJ52JmfjN728yejXYs0vmY2XYz22Rm75jZhmjH01IawtrBmZkf+BCYBhQCbwEXOef+EdXApNMws8lAOfC4c25ktOORzsPMsoFs59xGM0sFCoBz9ftLWouZGZDinCs3s27A34DvO+dej3Jo0kmY2bXAeCDNOXdOtOORzsXMtgPjnXMdcR3Iw1IPZMc3AdjqnPvEOVcLLAXmRDkm6UScc68CJdGOQzof59xu59xGb7sMeB/oF92opDNxIeXe3W7eTd+MS6swsxzgbOCP0Y5FpCNRAtnx9QN2NLpfiD6AiUiMMbM84ETgjSiHIp2MN8TwHeBL4EXnnN5j0lruAn4E1Ec5Dum8HLDazArMbFG0g2kpJZAdnzXTpm9XRSRmmFl34C/ANc650mjHI52Lcy7onBsL5AATzExD8SViZnYO8KVzriDasUindppz7iRgFvBdb1pRh6cEsuMrBPo3up8D7IpSLCIiX4k3L+0vwJ+dc09GOx7pvJxz+4C1wMzoRiKdxGnAbG+O2lLgDDP7U3RDks7GObfL+/kl8BShqWsdnhLIju8tYKiZDTSzeGAe8EyUYxIROSqvwMlDwPvOud9EOx7pfMysl5n19LaTgKnAB1ENSjoF59wNzrkc51weoc9eLzvnLolyWNKJmFmKV2AOM0sBpgMxURFfCWQH55wLAN8DXiBUgOJ/nHNbohuVdCZm9t/AemCYmRWa2cJoxySdxmnApYS+uX/Hu50V7aCkU8kGXjGz9wh94fqic07LLYhILOgD/M3M3gXeBFY651ZFOaYW0TIeIiIiIiIi0iLqgRQREREREZEWUQIpIiIiIiIiLaIEUkRERERERFpECaSIiIiIiIi0iBJIERERERERaRElkCIiIl+BmWU2WpbkczPb6W2Xm9l9bfSc15jZ/FY4z1IzG9oaMYmISNekZTxERESOkZndApQ7537dhs8RB2wETvLWBo7kXN8ALnHOXdEqwYmISJejHkgREZFWYGZTzOxZb/sWM3vMzFab2XYzO8/M7jSzTWa2ysy6efuNM7O/mlmBmb1gZtnNnPoMYGND8mhma83st2b2qpm9b2Ynm9mTZvaRmd3m7ZNiZivN7F0z22xmF3rneg2Y6iWlIiIiX5kSSBERkbYxGDgbmAP8CXjFOTcKqALO9pLI3wHnO+fGAQ8DP2/mPKcBBQe11TrnJgMPACuA7wIjgX81s0xgJrDLOTfGOTcSWAXgnKsHtgJjWvWViohIl6EEUkREpG0875yrAzYBfrwkzrufBwwjlPS9aGbvADcBOc2cJxvYc1DbM43OtcU5t9s5VwN8AvT32qea2R1mNsk5t7/RsV8CfSN8bSIi0kVpCIuIiEjbqIFQr5+Z1bkDRQfqCf39NULJ36lHOU8VkNjcub1z1TRqrwfinHMfmtk44CzgdjNb7Zz7qbdPondOERGRr0w9kCIiItHxT6CXmZ0KYGbdzCy/mf3eB4Z8lRObWV+g0jn3J+DXwEmNHj4e2HJsIYuISFenHkgREZEocM7Vmtn5wD1m1oPQ3+S7ODS5ex544iuefhTwKzOrB+qAxQBm1geocs7tjiR2ERHpurSMh4iISAdnZk8BP3LOfRTheX4AlDrnHmqdyEREpKvREFYREZGO798JFdOJ1D7gsVY4j4iIdFHqgRQREREREZEWUQ+kiIiIiIiItIgSSBEREREREWkRJZAiIiIiIiLSIkogRUREREREpEWUQIqIiIiIiEiLKIEUERERERGRFvn/7lv/rxHZiIsAAAAASUVORK5CYII=\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0)\n", - "sA.set_constant('amp.beta', 1)\n", - "sA.set_constant('amp.tau_sum', 40e-3)\n", - "dA = sA.run(5)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA)\n", - "\n", - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0.4)\n", - "dA = sA.run(5)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA, axes=ax)\n", - "\n", - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0.8)\n", - "dA = sA.run(5)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA, axes=ax)" - ] - }, - { - "cell_type": "markdown", - "id": "81d750ae", - "metadata": {}, - "source": [ - "## Model (1, 2b, 3b, 4, 5a)\n", - "\n", - "\\begin{align}\n", - "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", - "\\end{align}" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "35b87cc8", - "metadata": {}, - "outputs": [], - "source": [ - "mB = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Compensated model (1, 2b, 3b, 4, 5a)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Vr = -80\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 1\n", - "time = 0 [ms] in [ms] bind time\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 20 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 20 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", - " in [pA]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", - " in [mV]\n", - "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs + (Cp + Cf) * dot(Vp) - I_inj) / Cf : Eq 2b\n", - " in [mV]\n", - "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", - " in [mV]\n", - "I_obs = (Vo - Vr) / Rf : Eq 5a\n", - " in [pA]\n", - "''')\n", - "mB.check_units(myokit.UNIT_STRICT)\n", - "sB = myokit.Simulation(mB)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "2f7c2f7b", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "4.1864042657380196e-05\n", - "4.63369513781231e-06\n", - "0.00011179038448716483\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0)\n", - "sB.set_constant('amp.beta', 1)\n", - "sB.set_constant('amp.tau_sum', 40e-3)\n", - "dB = sB.run(10)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB)\n", - "\n", - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0.4)\n", - "dB = sB.run(10)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB, axes=ax)\n", - "\n", - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0.8)\n", - "dB = sB.run(10)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB, axes=ax)" - ] - }, - { - "cell_type": "markdown", - "id": "d6a73e64", - "metadata": {}, - "source": [ - "## (1, 2b, 3b, 4, 5b)\n", - "\n", - "\\begin{align}\n", - "5b. && R_f I_\\text{obs} = V_o - V_p\n", - "\\end{align}" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "id": "41558131", - "metadata": {}, - "outputs": [], - "source": [ - "mC = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Compensated model (1, 2b, 3b, 4, 5b)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Vr = -80\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 1\n", - "time = 0 [ms] in [ms] bind time\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 20 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 20 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", - " in [pA]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", - " in [mV]\n", - "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs + (Cp + Cf) * dot(Vp) - I_inj) / Cf : Eq 2b\n", - " in [mV]\n", - "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", - " in [mV]\n", - "I_obs = (Vo - Vp) / Rf : Eq 5b\n", - " in [pA]\n", - "''')\n", - "mC.check_units(myokit.UNIT_STRICT)\n", - "sC = myokit.Simulation(mC)" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "df18acad", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "4.1864042657380196e-05\n", - "2.6660580310533533e-05\n", - "3.344039374297836e-06\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sC.reset()\n", - "sC.set_constant('amp.alpha', 0)\n", - "sC.set_constant('amp.beta', 1)\n", - "sC.set_constant('amp.tau_sum', 40e-3)\n", - "dC = sC.run(10)\n", - "print(abs(-20 - dC['amp.Vm'][-1]))\n", - "ax = plot(mC, dC)\n", - "\n", - "sC.reset()\n", - "sC.set_constant('amp.alpha', 0.4)\n", - "dC = sC.run(10)\n", - "print(abs(-20 - dC['amp.Vm'][-1]))\n", - "ax = plot(mC, dC, axes=ax)\n", - "\n", - "sC.reset()\n", - "sC.set_constant('amp.alpha', 0.8)\n", - "dC = sC.run(10)\n", - "print(abs(-20 - dC['amp.Vm'][-1]))\n", - "ax = plot(mC, dC, axes=ax)" - ] - }, - { - "cell_type": "markdown", - "id": "dffb20fe", - "metadata": {}, - "source": [ - "## Original Lei formulation\n", - "\n", - "Just to check" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "id": "50bd7ce8", - "metadata": {}, - "outputs": [], - "source": [ - "mD = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Lei formulation. Should equal (1, 2b, 3b, 4, 5b)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vr = -80\n", - "amp.I_obs = 0\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 1\n", - "time = 0 [ms] in [ms] bind time\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 60 [pF] in [pF] # Changed\n", - "Cp = 0 [pF] in [pF] # Changed\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 54 [pF] in [pF] # Changed\n", - "Cp_est = 0 [pF] in [pF] # Changed\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Rs_est = 0.009 [GOhm] in [GOhm]\n", - "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : (2.3) = Eq 1\n", - " in [mV]\n", - "dot(Vp) = (Vr - Vp) / tau_c : (2.4) = Eq 3b\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : (2.5) = Eq 4\n", - " in [mV]\n", - "I_in = Cp * dot(Vp) + Cm * dot(Vm) - (Cp_est + Cm_est) * dot(Vr) * beta : (2.6)\n", - " in [pA]\n", - "dot(I_obs) = (I_in - I_obs) / (Rf * Cf) : (2.7)\n", - " in [pA]\n", - "''')\n", - "mD.check_units(myokit.UNIT_STRICT)\n", - "sD = myokit.Simulation(mD)" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "c09d418c", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "3.7406685038376963e-06\n", - "6.826539333815163e-11\n", - "0.0006174334566679818\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sD.reset()\n", - "sD.set_constant('amp.alpha', 0)\n", - "sD.set_constant('amp.beta', 1)\n", - "sD.set_constant('amp.tau_sum', 40e-3)\n", - "dD = sD.run(10)\n", - "print(abs(-20 - dD['amp.Vm'][-1]))\n", - "ax = plot(mD, dD)\n", - "\n", - "sD.reset()\n", - "sD.set_constant('amp.alpha', 0.4)\n", - "dD = sD.run(10)\n", - "print(abs(-20 - dD['amp.Vm'][-1]))\n", - "ax = plot(mD, dD, axes=ax)\n", - "\n", - "sD.reset()\n", - "sD.set_constant('amp.alpha', 0.8)\n", - "dD = sD.run(10)\n", - "print(abs(-20 - dD['amp.Vm'][-1]))\n", - "ax = plot(mD, dD, axes=ax)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "c9f50528", - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.6" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/artefacts/appendix-K-sigworth-rs.ipynb b/artefacts/appendix-K-sigworth-rs.ipynb new file mode 100644 index 0000000..17f27df --- /dev/null +++ b/artefacts/appendix-K-sigworth-rs.ipynb @@ -0,0 +1,249 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "8cb8168d", + "metadata": {}, + "source": [ + "# Appendix K: Sigworth 1995 Rs compensation\n", + "\n", + "In this appendix notebook, we look in some more detail at the $R_s$ compensation and capacitance transient cancellation scheme in figures 18 and 19 of [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), and re-derive the equations found in [Chon Lok Lei's thesis](https://ora.ox.ac.uk/objects/uuid:528c2771-ae4f-4f3c-b649-44904acdf259)." + ] + }, + { + "cell_type": "markdown", + "id": "99becc66", + "metadata": {}, + "source": [ + "First, we name the voltage after the $T_\\text{sc}$ block $V_\\text{est}$.\n", + "To find an equation, we detransform the transfer function:\n", + "\n", + "\\begin{align}\n", + "T_\\text{sc} = \\frac{A_2}{R_2C_2s + 1}\n", + "\\end{align}\n", + "\n", + "Looking back a few pages, we see that $C_2$ is fixed, but $R_2$ is chosen so that $R_2C_2 \\approx R_sC_m$.\n", + "Translating to our own notation, and ignoring the true electronic implementation, we write\n", + "\n", + "\\begin{align}\n", + "R_2C_2 = R_s^*C_m^*\n", + "\\end{align}\n", + "\n", + "Similarly, $A_2$ was chosen so that $A_2C_i \\approx C_m$, where $C_i$ is the fixed capacitance used for slow transient cancellation.\n", + "Although this may be a seperate estimate in practice, we will simplify by writing\n", + "\n", + "\\begin{align}\n", + "A_2C_i = C_m^*\n", + "\\end{align}\n", + "\n", + "Going back to the transfer function, we find\n", + "\n", + "\\begin{align}\n", + "T_\\text{sc} = \\frac{A_2}{R_s^*C_m^*s + 1} \n", + "\\quad \\rightarrow \\quad\n", + "V_\\text{est} + R_s^*C_m^*\\dot{V}_\\text{est} = A_2 V'_c\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "\\dot{V}_\\text{est} = \\frac{A_2 V'_c - V_\\text{est}}{R_s^*C_m^*}\n", + "\\end{align}\n", + "\n", + "In words, $V_\\text{est}$ is $A_2$ times larger than $V'_c$, and lags behind it with a time constant set by our estimates of $R_s$ and $C_m$." + ] + }, + { + "cell_type": "markdown", + "id": "82573e67", + "metadata": {}, + "source": [ + "### The updated command voltage\n", + "\n", + "To find the voltage $V'_c$ we write the equation for the $\\alpha R_s s C_i$ block, using \"$\\beta$\" instead of Sigworth's \"$\\alpha$\" and \"$R_s^*$\" instead of \"$R_s$\":\n", + "\n", + "\\begin{align}\n", + "V'_c &= V_c + \\beta R_s^*C_i\\dot{V}_\\text{est} \\\\\n", + " &= V_c + \\beta R_s^*C_i \\frac{A_2 V'_c - V_\\text{est}}{R_s^*C_m^*} \\\\\n", + "(1 - \\beta) V'_c &= V_c - \\beta\\frac{C_i}{C_m^*}V_\\text{est} \\\\\n", + "V'_c &= \\frac{V_c - \\beta\\frac{C_i}{C_m^*}V_\\text{est}}{(1 - \\beta)}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "3d347350", + "metadata": {}, + "source": [ + "Substituting this into the equation for $\\dot{V}_\\text{est}$ we find\n", + "\n", + "\\begin{align}\n", + "R_s^*C_m^* \\dot{V}_\\text{est} &= A_2V'_c - V_\\text{est} \\\\\n", + "(1 - \\beta)R_s^*C_m^* \\dot{V}_\\text{est} &= A_2V_c - \\beta\\frac{A_2C_i}{C_m^*}V_\\text{est} - (1 - \\beta)V_\\text{est} \\\\\n", + "&= A_2V_c - (\\beta + 1 - \\beta)V_\\text{est}\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "\\dot{V}_\\text{est} &= \\frac{A_2V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*}\n", + "\\end{align}\n", + "\n", + "In words, $V_\\text{est}$ is $A_2$ times larger than $V_c$, and lags behind it with a time constant set by our estimates of the membrane capacitance and _the uncompensated fraction of series resistance_ $(1-\\beta)R_s^*$.\n" + ] + }, + { + "cell_type": "markdown", + "id": "a6f1d52b", + "metadata": {}, + "source": [ + "As a result, the term fed back into $V'_c$ is $\\beta R_s^*C_m^*\\dot{V}_\\text{est}$ which can be understood as $\\beta R_s^*C_m^* \\dot{V'}_\\text{c-with-lag}$." + ] + }, + { + "cell_type": "markdown", + "id": "9caa1568", + "metadata": {}, + "source": [ + "### Slow transient cancellation\n", + "\n", + "Slow transient cancellation is implemented by feeding $\\dot{V}_\\text{est}$ into a capacitor $C_i$, leading to a term\n", + "\n", + "\\begin{align}\n", + "I_\\text{SC} = A_2C_i\\dot{V}_\\text{est} = C_m^*\\dot{V}_\\text{est}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "58a06d60", + "metadata": {}, + "source": [ + "### Series resistance compensation\n", + "\n", + "The original series resistance compensation takes the updated command potential as input:\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_\\text{ref} = \\frac{V'_c + \\alpha R_s^*I_\\text{obs} - V_\\text{ref}}{\\tau_\\text{sum}}\n", + "\\end{align}\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "4a3afb96", + "metadata": {}, + "source": [ + "## Simplification\n", + "\n", + "We can omit the \"implementation details\" involving $A_2$ to write:" + ] + }, + { + "cell_type": "markdown", + "id": "1bd8f5d7", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "\\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} && \\text{Estimate of }V_m\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "V'_c &= V_c + \\beta R_s^*C_m^*\\dot{V}_\\text{est} && \\text{Prediction}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "V_\\text{ref} &= V'_c + \\alpha R_s^* I_\\text{obs} && \\text{Correction}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "I_\\text{SC} = C_m^* \\dot{V}_\\text{est} && \\text{Slow capacitance correction}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "I_\\text{FC} = C_p^*\\dot{V}_\\text{ref} && \\text{Fast capacitance correction}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "ae7f2c65", + "metadata": {}, + "source": [ + "Although we used $V_c'$ in the derivation of $\\dot{V}_\\text{est}$, it only appears in the equation for $V_\\text{ref}$ in the final model, and so we can simplify further by writing\n", + "\n", + "\\begin{align}\n", + "V_\\text{ref} &= V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} && \\text{Correction-Prediction}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "9ee0928d", + "metadata": {}, + "source": [ + "A schematic for this set-up is shown below" + ] + }, + { + "cell_type": "markdown", + "id": "8df15eb7", + "metadata": {}, + "source": [ + "\n", + "\n", + "_A schematic showing series resistance compensation (prediction and correction) and separate pathways for fast and slow capacitance correction._" + ] + }, + { + "cell_type": "markdown", + "id": "0d9dd37d", + "metadata": {}, + "source": [ + "## Lei et al. version\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "8cea3844", + "metadata": {}, + "source": [ + "In [Lei (2020)](https://ora.ox.ac.uk/objects/uuid:528c2771-ae4f-4f3c-b649-44904acdf259), a further simplification is used, where $C_m$ correction is run off $V_\\text{ref}$ instead of $V_{est}$ and both capacitance corrections happen via a single pathway:" + ] + }, + { + "cell_type": "markdown", + "id": "ac2665b5", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "82f38355", + "metadata": {}, + "source": [ + "_A schematic showing series resistance compensation (combined prediction and correction) and a single pathway for capacitance correction._" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-L-compensated-models.ipynb b/artefacts/appendix-L-compensated-models.ipynb new file mode 100644 index 0000000..757a84c --- /dev/null +++ b/artefacts/appendix-L-compensated-models.ipynb @@ -0,0 +1,1170 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "fc24dbb4", + "metadata": {}, + "source": [ + "# Appendix L: Compensated patch-clamp models" + ] + }, + { + "cell_type": "markdown", + "id": "aff7c8af", + "metadata": {}, + "source": [ + "In [Appendix F](./appendix-E-non-ideal-op-amp.ipynb) we compared uncompensated patch-clamp models, omitting voltage offset and leak current for simplicity.\n", + "In this appendix, we compare models of _compensated_ patch clamp, again omitting offset and leak." + ] + }, + { + "cell_type": "markdown", + "id": "7d17c4f4", + "metadata": {}, + "source": [ + "The schematic is shown below:\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "id": "5d0303e8", + "metadata": {}, + "source": [ + "## Sigworth-style model (1, 2a, 3a, 4, 5a)\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2a. && (C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s} + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3a. && \\tau_a\\dot{V}_o = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "7f702770", + "metadata": {}, + "source": [ + "## Alternative op-amp equation (1, 2b, 3b, 4, 5a)\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "4962e0c4", + "metadata": {}, + "source": [ + "## Lei-style model (1, 2c, 3b, 4, 5a) or (1, 2b, 3b, 4, 5b)" + ] + }, + { + "cell_type": "markdown", + "id": "09112c29", + "metadata": {}, + "source": [ + "Following Lei et al. (2020), we get\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I && \\text{(Equation 2.3)}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3b. && \\tau_c\\dot{V}_p = V_c - V_p && \\text{(Equation 2.4)}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref} && \\text{(Equation 2.5)}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "76868171", + "metadata": {}, + "source": [ + "and we can combine two equations to find\n", + "\n", + "\\begin{align}\n", + "I_\\text{in} &= I + C_p \\dot{V}_p + C_m \\dot{V}_m - (C_p^* + C_m^*) \\dot{V}_\\text{ref} && \\text{(Equation 2.6)} \\\\\n", + " &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} && \\text{(Equation 2.3)}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "ceea30dc", + "metadata": {}, + "source": [ + "Then, we define\n", + "\n", + "\\begin{align}\n", + "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref} && \\text{(No equivalent)}\n", + "\\end{align}\n", + "\n", + "and insert into Lei et al. Equation 2.7 to find\n", + "\n", + "\\begin{align}\n", + "R_f C_f \\dot{I}_\\text{obs} &= I_\\text{in} - I_\\text{obs} && \\text{(Equation 2.7)} \\\\\n", + "C_f (\\dot{V}_o - \\dot{V}_\\text{ref}) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} - \\frac{V_o - V_\\text{ref}}{R_f} &&\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2c. && C_f \\dot{V}_o &= \\frac{V_\\text{ref} - V_o}{R_f} + C_p \\dot{V}_p + C_f \\dot{V}_\\text{ref} + \\frac{V_p - V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "For a model (1, 2c, 3b, 4, 5a)." + ] + }, + { + "cell_type": "markdown", + "id": "d1ac36aa", + "metadata": {}, + "source": [ + "**Alternatively**, and equivalently, we can define\n", + "\n", + "\\begin{align}\n", + "5b. && R_f I_\\text{obs} = V_o - V_p && \\text{(No equivalent)}\n", + "\\end{align}\n", + "\n", + "with which we can derive 2b. from Lei et al. Equation 2.7:\n", + "\n", + "\\begin{align}\n", + "C_f (\\dot{V}_o - \\dot{V}_p) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} - \\frac{V_o - V_p}{R_f} \\\\\n", + "C_f \\dot{V}_o &= \\frac{V_p - V_o}{R_f} + (C_p + C_f) \\dot{V}_p + \\frac{V_p - V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "resulting in an alternative expression for the same model: (1, 2b, 3b, 4, 5b)." + ] + }, + { + "cell_type": "markdown", + "id": "284e2438", + "metadata": {}, + "source": [ + "## Three models\n", + "\n", + "This leaves us with the following equations:\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2a. && (C_p + C_f)\\dot{V}_p &= \\frac{V_o-V_p}{R_f} + \\frac{V_m-V_p}{R_s} + C_f\\dot{V}_o + (C_p^* + C_m^*) \\dot{V}_\\text{ref} \\\\\n", + "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3a. && \\tau_a\\dot{V}_o = V_\\text{ref} - V_p \\\\\n", + "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref} \\\\\n", + "5b. && R_f I_\\text{obs} = V_o - V_p\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "1defaf9b", + "metadata": {}, + "source": [ + "and three models:\n", + "\n", + "1. Sigworth-style **model A** (1, 2a, 3a, 4, 5a)\n", + "2. Hybrid-style **model B** (1, 2b, 3b, 4, 5a)\n", + "3. Weerakoon/Lei-style **model C** (1, 2b, 3b, 4, 5b)" + ] + }, + { + "cell_type": "markdown", + "id": "6fdd1973", + "metadata": {}, + "source": [ + "## Simulations\n", + "\n", + "We now run simulations for a single step from -80 to -20 mV.\n", + "The ionic current is set to 0, and we assume all voltages were at -80mV at the start of the step." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "122937ff", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "markdown", + "id": "dbc86249", + "metadata": {}, + "source": [ + "## Sigworth-style model (1, 2a, 3a, 4, 5a)\n", + "\n", + "We start with a (1, 2a, 3a, 4, 5a) model, with a switch to turn compensations on or off." + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "73fbb8b7", + "metadata": {}, + "outputs": [], + "source": [ + "mA = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2a, 3a, 4, 5a)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 20 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 20 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "tau_amp = 50e-6 [ms] in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", + " in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf + (Vm - Vp) / Rs + Cf * dot(Vo) + I_inj) / (Cp + Cf) : Eq 2a\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf : Eq 5a\n", + " in [pA]\n", + "''')\n", + "mA.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "6a758bc7", + "metadata": {}, + "outputs": [], + "source": [ + "def plot(m, d, axes=None, label=None, ls=None, altins=False):\n", + " if axes is None:\n", + " fig = plt.figure(figsize=(15, 10))\n", + " t_zoom = 0.05\n", + " \n", + " # Top left: Vm\n", + " ax1 = fig.add_subplot(3, 2, 1)\n", + " ax1.set_ylabel('Vm (mV)')\n", + " \n", + " # Top right: Vo\n", + " ax2 = fig.add_subplot(3, 2, 2)\n", + " ax2.set_ylabel('Vo (mV)')\n", + " ins2 = ax2.inset_axes((0.3, 0.35 if altins else 0.20, 0.65, 0.55))\n", + " ins2.set_xlabel('Time (ms)')\n", + " ins2.set_ylabel('Vo (mV)')\n", + " ins2.set_xlim(-0.005, t_zoom)\n", + " ins2.patch.set_alpha(0.5)\n", + "\n", + " # Middle left: Vp\n", + " ax3 = fig.add_subplot(3, 2, 3)\n", + " ax3.set_xlabel('Time (ms)')\n", + " ax3.set_ylabel('Vp (mV)')\n", + " ins3 = ax3.inset_axes((0.3, 0.20, 0.65, 0.55))\n", + " ins3.set_xlabel('Time (ms)')\n", + " ins3.set_ylabel('Vp (mV)')\n", + " ins3.set_xlim(-0.005, t_zoom)\n", + " ins3.patch.set_alpha(0.5)\n", + "\n", + " # Middle right: V_ref\n", + " ax4 = fig.add_subplot(3, 2, 4)\n", + " ax4.set_xlabel('Time (ms)')\n", + " ax4.set_ylabel('Vref (mV)')\n", + " ins4 = ax4.inset_axes((0.3, 0.20, 0.65, 0.55))\n", + " ins4.set_xlabel('Time (ms)')\n", + " ins4.set_ylabel('Vref (mV)')\n", + " ins4.set_xlim(-0.005, t_zoom)\n", + " ins4.patch.set_alpha(0.5)\n", + " \n", + " # Lower: I_obs\n", + " ax5 = fig.add_subplot(3, 1, 3)\n", + " ax5.set_xlabel('Time (ms)')\n", + " ax5.set_ylabel('Recorded I (pA)')\n", + " ins5 = ax5.inset_axes((0.3, 0.35 if altins else 0.20, 0.65, 0.55))\n", + " ins5.set_xlabel('Time (ms)')\n", + " ins5.set_ylabel('Recorded I (pA)')\n", + " ins5.set_xlim(-0.001, t_zoom)\n", + " ins5.patch.set_alpha(0.5)\n", + "\n", + " kw = dict(color='#aaa', ls='--')\n", + " ax1.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + " ax1.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax2.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax3.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax4.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " else:\n", + " [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5] = axes\n", + " \n", + " ax1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", + " if 'amp.Vo' in d:\n", + " ax2.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " ins2.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " ax3.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " ins3.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " ax4.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " ins4.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " ax5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " ins5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " \n", + " return [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5]\n" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "97305329", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA = myokit.Simulation(mA)\n", + "sA.set_constant('amp.alpha', 0)\n", + "sA.set_constant('amp.beta', 0)\n", + "sA.set_constant('amp.tau_sum', 1e-9)\n", + "dA = sA.run(5)\n", + "ax = plot(mA, dA, altins=True)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "1f8a15c3", + "metadata": {}, + "source": [ + "Now we switch compensation on and run again" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "0420c74b", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.0009316421158516164\n", + "4.942386538786536e-05\n", + "8.411668444807674e-05\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0)\n", + "sA.set_constant('amp.beta', 1)\n", + "sA.set_constant('amp.tau_sum', 40e-3)\n", + "dA = sA.run(5)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA, label='$\\\\alpha$ = 0')\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.4)\n", + "dA = sA.run(5)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA, axes=ax, label='$\\\\alpha$ = 0.4')\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.8)\n", + "dA = sA.run(5)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA, axes=ax, label='$\\\\alpha$ = 0.8')\n", + "ax[0].legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "81d750ae", + "metadata": {}, + "source": [ + "## Alternative op-amp equation model (1, 2b, 3b, 4, 5a)\n", + "\n", + "\\begin{align}\n", + "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "id": "35b87cc8", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "tau_c = 0.0008 ms\n", + "tau_c = 0.8 us\n" + ] + } + ], + "source": [ + "mB = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2b, 3b, 4, 5a)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 20 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 20 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", + " in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + " in [mV]\n", + "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs + (Cp + Cf) * dot(Vp) - I_inj) / Cf : Eq 2b\n", + " in [mV]\n", + "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf : Eq 5a\n", + " in [pA]\n", + "''')\n", + "mB.check_units(myokit.UNIT_STRICT)\n", + "sB = myokit.Simulation(mB)\n", + "\n", + "print(f'tau_c = {mB.get(\"amp.tau_c\").eval()} ms')\n", + "print(f'tau_c = {mB.get(\"amp.tau_c\").eval() * 1e3} us')" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "2f7c2f7b", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.000232735775895776\n", + "0.00016916356870666505\n", + "1.3889048702253604e-05\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0)\n", + "dB = sB.run(5)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB, label='$\\\\alpha$ = 0')\n", + "\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0.4)\n", + "dB = sB.run(5)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB, axes=ax, label='$\\\\alpha$ = 0.4')\n", + "\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0.8)\n", + "dB = sB.run(5)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB, axes=ax, label='$\\\\alpha$ = 0.8')\n", + "\n", + "ax[0].legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d6a73e64", + "metadata": {}, + "source": [ + "## Weerakoon/Lei-style model (1, 2b, 3b, 4, 5b)\n", + "\n", + "\\begin{align}\n", + "5b. && R_f I_\\text{obs} = V_o - V_p\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "41558131", + "metadata": {}, + "outputs": [], + "source": [ + "mC = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2b, 3b, 4, 5b)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 20 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 20 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", + " in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + " in [mV]\n", + "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs + (Cp + Cf) * dot(Vp) - I_inj) / Cf : Eq 2b\n", + " in [mV]\n", + "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + " in [mV]\n", + "I_obs = (Vo - Vp) / Rf : Eq 5b\n", + " in [pA]\n", + "''')\n", + "mC.check_units(myokit.UNIT_STRICT)\n", + "sC = myokit.Simulation(mC)" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "df18acad", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.000232735775895776\n", + "0.0002098663447434035\n", + "0.00029535077337428106\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA5AAAAJNCAYAAABHm5phAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOzdd3xUVfr48c+Zmp4QkkBIAiGE3iEBVKrSRAW7gB0Vu7iWVb9s0d2foq67oquriyu6rgW7KE0BQaRDgFCF0EmBFEgjdWbO74+ZhIQUAimTCc97d16ZOffOvc8M45x57mlKa40QQgghhBBCCHEuBncHIIQQQgghhBDCM0gCKYQQQgghhBCiTiSBFEIIIYQQQghRJ5JACiGEEEIIIYSoE0kghRBCCCGEEELUiSSQQgghhBBCCCHqxOTuAJqbkJAQHR0d7e4whBBCNIGEhIRMrXWou+PwFFJHCiHExaG2+lESyLNER0ezefNmd4chhBCiCSiljrg7Bk8idaQQQlwcaqsfpQurEEIIIYQQQog6kQRSCCGEEEIIIUSdSAIphBBCCCGEEKJOJIEUQgghhBBCCFEnMomOqJPjp49zOPcwDocDjcagDLQPaE+4bzgGJdchhBBCNCytNXvS8sgrKqVnRCB+VvnJIoQQzYF8G4tqaa35+ejPLDu6jC0ntpB6OrXa/XxMPnQK6kRcmziGRQ6jX1g/zAZzE0crhBCiJTldbOOBjxP4NSkTAF+LkWlDO3Lf8BgCvKSOEUIId5IEUlSitWZN6hr+ufWf7M7aTWuv1gxoM4Dbe9xO1+CumAwmFIpSRymHcg5xIPsAe0/t5X+7/8cHuz7A3+zPqPajuDb2Wga2GSitk0IIIc7b/327g7UHsvjDVd3pFObHVwnJ/PPn/XydkMw/bunHkJjW7g5RCCEuWpJAinKZhZk89+tzrE9bT4RfBC8OfZGrOl6F0WCsdv/4tvHl9/NL8lmXto6Vx1ay/Ohyvj/wPRF+EUyKncSNnW8k1EfW6RZCCHFuO5JzmL8tlceu6My9w2IAGNU1jHuHnuKJLxKZ+t56nhzblQdHdMJgUG6OVgghLj5Ka+3uGJqVuLg4fTEukrwrcxczVswgpziHxwc+zs1dbsZsvLBuQoW2QpYfXc78/fNZn7YekzIxusNopnSbQv+w/iglFb4QonlQSiVorePcHYenaIo68okvtvHTrhOse+5y/M/qrppfbOO5b3bwQ2Iqo7uH8feb+xHobYbSIti3GNL3QG4KKAP4t4PQLhA9HPzkIqYQQpyP2upHaYEUbD6+mQeXPUgrr1Z8dOVHdG/dvV7H8zZ5c3XM1VwdczVHco/w+d7P+W7/dyw5vITuwd25rcdtjI8ej8VoaaBXIIQQoiUottlZuusEV/ZqWyV5BPCzmnhzcj8GtA/ixYV7uOHN5XzWeQWhSZ9D4SlAgV8b0A44nQE4L5Lb2vVjX5fRHAiLJaU0hxJ7CTZtI8gaRIh3CDGBMcQGxeJl8mraFyyEEB5IWiDPcrG1QO7K3MU9P91DmE8Yc8fNJcQ7pFHOU1BawIKDC/hkzycczDlIa6/W3NT1Jm7sfCNtfNs0yjmFEOJcpAXy/DR2Hbk6KZPb3t/A3LviuLxb7XXDzh1bsXxzF130YQ6GjSF67CMYOl4GZb1nbCVs/e0bvt37OSvyDpCtzvzeMSkjShkodZSWlxmUgW7B3RgcPpih7YYyoM0ATAa5zi6EuDhJC6So1rG8Yzyw7AECLYHMGTOn0ZJHAB+zDzd3vZmbutzEutR1fLznY/6d+G/e2/4eV7S/gindpjCwzUDp3iqEEBexdQczMRkUgzueY5KctER6LZiEw2rgjaCXeP1wNIOXW3nlhhKiQ8zsPbmXVza9wqbjm/A1+zIi5kpGBsTS5chm2u+Yj9lWCF3GUzD0EdKDo9ifvZ/dWbtJOJHgnBRu5wcEWYO4ov0VXBVzlUwKJ4QQFUgL5FkulhbIUnspty++naN5R5l31TzaB7Rv8hiO5R7j872f8+3+b8ktySU2KJbrO1/PhI4TaO0tM+wJIRqftECen8auI2/59zqKSu3Mf2RozTtlH4P/jAaDCe5ehA5qz5cJyfx1wW5KbDaGDNhGYv6XBFgCmN5nOjd0uQFvk/eZ5xechE3/gfX/cnZ7jRkFI56BDpc4N5cWsCZ1DcuOLGPlsZUU2AoI9w1nUuwkro29lgi/iEZ7/UII0VzUVj9KAnmWiyWBfHXTq/xv9/+YPXI2V3S4wq2xFNoKWXxoMZ/v/ZzdWbsxKRNDI4ZyTadrGBk1UsZKCiEazcWeQCqlxgNvAEbgP1rrl2vbvzHrSIdD0+eFn7h+QAR/mdSrhp3s8OFVcGIX3PMThJ0Zs38gM5O7Fz3GKb0Dc+FAftf/GabEdcVkrKHlsDgfNr8Pa//pHC8ZPQyGPw0dh4OrN0xBaQErjq3g+wPfsy51HQCXRlzKTZ1vYnjUcFn3WAjRYrXoBFIp9TfgGqAEOADcrbXOdm17DrgHsAOPaa1/PNfxLoYEck3KGh5Y9gBTu03lucHPVd6YkwJH1kDqNjh1CLKPQmE2FOeBtjv3MVnB4gtegeDTGnxDnZMW+IdDQDsIjHTe/NpADUuA1GT/qf18f/B7Fh5YSHphOgGWAEZGjWR45HAubXcp/hb/BnkPhBACLu4EUillBPYBY4BkYBMwRWu9u6bnNGYdeTjzNCNfW8krN/TmlvgaesWsfQt+mgnXvgv9ppQX5xTnMH3pdPae3MvkmMdYvbUzO1NyiW7twwMjOnFt/wi8zDXURyUFkPAhrJkN+ScgMh6G/g66XAmGM8lnWn4a3+7/lq+Tvia9IJ1Q71Cujb2WG7rcIK2SQogWp6UnkGOBn7XWNqXUKwBa62eUUj2Az4BBQDtgGdBF67IsqHotPYEsthdz3fzrMCojX038CqvR6uzOs+0T2P45HN/h3NHkDcExENTemSRa/ZzdhbQGWxGUnIaiHCjIdF65zTsBtsLKJzOYnAllgCuhDIxwTqseEO78698GfMPAVLWF0e6wsyFtAwsOLmBVyipyinMwKRMD2wxkeORwBoUPIjYoViY4EELUy0WeQF4CPK+1Hud6/ByA1npWTc9pzDryx13Huf9/CXz70KX0b9+q6g756fBGX2cL4ZR55a2Ep0tPc8+P97Dv1D5eH/k6I6JG4HBoftp9gn/+nMSu1FyCfS3cMCCCW+KjiA2r4UJkaZGzLlwz23nxNLgTXPIQ9J3ivGjqYnPY+DX5V77c9yWrU1YDzlbJGzrfwMjIkRe8BJYQQjQnLXoSHa31TxUergdudN2fBMzTWhcDh5RS+3Emk+uaOMRmZe7OuRzLO8acMXOw2krh5xdh43tQWuC86jrmrxAzEsJ6gPE8Ph5aQ1G2swUzNxVyjkFOsvOWmwLH1sOuNKgw410571bgEwI+weAdDD7BGH2CudQrkEst7bF3mU5i6Sl+yTvEquw9/G3z35xPM3rRO7gbfVr3om9YX7oGd6eNXwSG84nbA2mtsWkbDu3AoR1orZ33cd7XWlP+vxouEJ09WZFClf8t21b+t+x/Sp3ZT1UuUyic/698nIr7CyGanQjgWIXHycBgN8XC/vR8AGLD/KrfYdXfwFYM414qTx7tDjtP//I0v538jdmjZjMiagQABoNifK+2jOvZhvUHT/LftYf5YM1h3vv1ED3CA5jQuy1jerSlSxu/M99PZi+IvwcG3Al75ju7ti58Epa9AH1ugQG3Q3hfTAYTo9qPYlT7UeWtkt8kfcMTK58gyBrEVTFXMbHTRLoHd5fvPiFEi+TxLZAVKaV+AD7XWn+slHoLWK+1/ti17X1gsdb6q9qO0bVrV/3vf/+7UllkZCSxsbHYbDZWr15d5TnR0dFER0dTXFzMunVV89NOnToRFRVFQUEBGzdurLK9S5cutGvXjry8PBISEqps7969O23atCE7O5tt27ZV2d6rVy9CQkLIzMxk586dVbb369ePoKAgth7ayj2/3kMv7148ZehHl33/wlqcia37dZhHPkWqvRX79u2r8vxBgwbh4+PDsWPHOHDgQJXtl1xyCVarlcOHD3P48OEq24cOHYrJZGJ/0j6OH9yFoTALCrMwFJ7CVHKKcD8DqvAk9twTmIpP4W3Pw8eRh0WXVDkWQKrJyFarlUSrlUQvC/ssFmyuStrqcBBlsxFVaqd9qZ02NgdBdgiyawIdmiC7xteuyxMbV9YDOHNgyks1yrV+2Jnq31lmB0pU2U1RbFAUKShSimKD82+RQVGsyu67tpXdDIoS17aSSjcodd0vVWBDYVNgUwobCrty9sXWLeAHidJn3tuzX835vrqGfjdazjdiyzfNdwxTRs2s9XvvxIkT7Nmzp8r2gQMH4u/vf7G3QN4EjNNa3+t6fDswSGv96Fn7TQemA7Rv337gkSNHGiWep79MZOW+DDbNHF1146kj8M+B0P82uGZ2efGbW97kvR3v8cchf+TmrjfXevyMvGJ+SEzlh+2pbD2aDUB4oBeXdgphcEwwcR1a0THE90zSpzUc2+iccGf3fLAXQ1hP6H0D9LgWWncqP7bdYWdd2jq+2/8dPx/9mVJHKdEB0VzZ8UrGdxxPTGBMPd8dIYRoWh7fAqmUWga0rWbTTK31fNc+MwEb8EnZ06rZv9rfhhUrx44dO9Y73ubqg6QPUCgez7XT59gLnPaJZGv/WXS+/DbM/v6Qmlqv4xeU2DmcYyerSHPKdTtZ7GDOvk2cKrSRnlNATqEFmw4Hws95PG9lI8hYSIjFRqCxGF9HPj66AC9tw7uoBK/iEi7LszHWGzLNp8h0nOKkMZ9sUyE7LEX84lOMo4bswqgVFq0wawNWZcCoFY6zLqZoNDYFDgU25cCuNaXKgf0CMhalwYwBszZgdp3XrA2YlQGrwYwRhaHUga9WBDoMGFHOGA1GrCYzBq2wlZRi0GBAYUChNFhMZswmM2hNSXGxMyHTZwI0m82YTSYcDk1xcVGVuEwWM0ajCe1wUFxcjPOpuvw/FLPZgsFowOFwUFxSXF6uKzzfYDBgdzgoLS0p31Z2BLPZgjIqHHYHpaWllZ4LGrPFgjIo7HYHttKqrdPl2212bHZble0WiwWUwm6zYbdX7Z1usVgAhc1mw+GobrsVAJutFIfDUWW71bW9tNrtCqvF2f26tLQUffZ2pbBYXdtLqm5XBoW57PklJWiHPmu7AbPF2RWutLikSmuywWDA5NpeUlxS+QoIYDAaMJld24uKq7w2g9GIyWyqcbvRaMRoNoGGkuJqtptMGE1G12ev6sWesu3aoSktqWa72YTRWPN2k9mMwWiodXu3qCFVysV5SQaiKjyOBKpUBFrrOcAccHZhbaxgDmedpmNr3+o3bvg3oJ2T3LisT1vPf3b8h+tirztn8ggQ6m9l2tCOTBvakeM5Razcm86qpAx+/u0EX29JBiDAy0SviEC6hwcQG+ZHTEgsMWPfIuTKV1A7v4btX8DyvzhvIV2g81joNApj+0sYGjGUoRFDySnOYemRpSw6tIh3E9/lncR3iA6IZlTUKEZGjaR3aG+ZfEcI4dFaRAukUupO4AHgCq11gaus0lgOpdSPOMd61NqFtaWOgdx/aj/Xf38904yhPL5/M8RNg/EvOyfEOU8FJTb2pOWxKzWHPWl5HMzI51DmadLzKv/INBsVbQK8CPO3EuxrJdjXTCtfC8E+FgK8zfh7mfCzmvD3MuNnNeFjMeJtMeJtdt4Mhvq1LdkcNk4VneJk0cnyW1ZhFqdLT1NkL6LYXkyRrYgiexE2h61Cq6STUgqzwXzmZnT+9TJ54WX0wmq04m3yxmq04mXywtvkjbfJu3x72X1vkzdmg1m6MgnRDF3kLZAmnJPoXAGk4JxEZ6rWeldNz2nMOvLSWcsZEtOaf9zSr/KG4nz4R3dnsnbj+4Bz0pzr5l+Hn8WPeVfNw8fsc8HndTg0BzLySThyisTkHHal5rD3eB7FtjMXffytJiJaeRPZyptu3jnEF62ja+5qwk4mYHCUog0m7GG9MLYfhArvB217Q0hnTpTksvzoclYeW8mm45uwaRu+Zl/i28QzOHww/dv0p0urLpJQCiGaHY9vgayNawryZ4ARZcmjy/fAp0qpf+CcRKczULX/6EXi3cR38EZx18GtMPZFuOTh8jEk55JXVMraA1msP5jF5sOn2J2Wi93VWhLkY6ZTqB/Du4TSMcSXmBBfIlv50DbQi9a+lnongfVhMpgI9Qkl1CfUbTEIIURz5Zp87hHgR5zLeMytLXlsTKV2B8dzi4ho5V11Y+JnUJwLg+8vL3o94XVOFp3krSveqlfyCM7xkp3b+NO5jT+TBznL7A5NanYhB1wXSA9lniblVCHJpwrZdNjBW4UDgAF4U0S8YS+DDXsYkLKfvmn/xUfNAcCBAkMYfczhRJnDGW+9hJ3edvaTzbaURFYmrwTAiJk21ljaeneijVc04T4xtPGKws/sj8VkwGgwYDSAQSmMBoVRKQwGhUEpDMp5sdNocF4CNSiFUs7q3TkW/cx9gyqr9p3laNdQDe1A4cCgHWfKcKC0RpWVKX2ml4MuS6zL9q083KNs+EfZeH2btuPQduzajs1hx+GwYXeN2y8by++87zyWo8I4/jMp/FmNHdX8tCgfj19xc8Vx+q59ysbrnz1+/8yY/bOPVl5Y5fjle6izzltp33P8DqrPxeV6NAJpGbDRohkNJrrHDGy043t8Agm8BViBpa4WnvVa6we01ruUUl8Au3F2bX34XDOwtlT7Tu3jxyM/Mf1UDkFXvgrx957zOel5RSzansaSXcfZfPgUNofGy2ygf1QrHhrZiT6RQfRsF0B4oJe0rAkhhIfSWi8CFtV1/7y8PFauXFmprCHmCcC3NQ4N+SeOsnJlWqXtl+6ci6VtH/ICu5GwciVJRUl8feJrrgi4gvQd6bTu3rpB5gmoabzsjQMH4u/fkdTUVNc8ARZK7GZyijV5JV6ER99Gvk2xJi2DeWkn8C9KI6z4CGG2ZMJtqbS1Z9GrZB2t8rO5vsJxjxuNJFotbPeyst2az57CXWypsGyIt0MTatOElkKoDYJtGl+Hws8BvnaNv0Pj43Bg1RqL1liUA4t23sCZ9BlwoFypmENp7ApsSmNTGruBM2PzlSofq182jr9IGZz3y8f6V96vpMLfsvulrrH8ZTchhHu0sjlYFbPrgr/3Bg6sPfn0+ARSax1by7YXgRebMJxm6T8rn8PP4eCOzjfVmjyW2h38tOsEn248wroDWTg0dG3jz73DYhjRJZSBHVphMdWwILMQQghxgVKynctAtfauXMf4nE7Gkrkbxs0CpXBoB1+d/IpgYzATAie4I1QALEZFqI8i1AcGdQlxTTQHB/xzgRjXzdluFl020dyBJNKStmEuzcNcmoupNIf2pYWMiAyDktNkZaRxJD+dIzqf46qIDFVKuqGUk76aJErJpemvgZu1AYsyYVFGjHaFSRswYcCMEZM2YDGYCbB4YcaIragUg11hwoABA0YMWI1m/Lx9MaAozC9Aucbxl/3Py2zBz8cPA4rs7BzXBHbKNcGawmqx4uvjbGE+lX2K8jfVxWr1wsfHG4fWZGdnV4pdo7F6e+HtZcXhcJCTk1ve6qYBFHh5eWGxWrE77OTl5VGxXU4D3t5eWCwW7HY7efn5VY7v7e2D2WLGbrORf/p0hW1OPj4+mMwmbKU2CgpOczYfX19MJiMlJaUUFhZW2e7n54vRaKSkpITCwqrzGPj5+Tm3FxdTVFR1u7+/P8pgoLi4iOJqxroHBASiFBQVFlFczVjzwMAAAAoLCykpqTxPgVIQEODcXlBQWD7PQRmDUvgHOJfMKThdQKmt8jwGBoMBf3/njMunT5/GZqv8+TYaDfj5Obfn5+djt1eeR8BkMuLr6xwznZeXX2WeArPJhI+v87OTl5tXZZ4Ls9mMj4+zx0Nubm6VxlyLxYy3t3N7Tk4uZ7NaLHh5e6G18/ln8/KyYrVa0Q4HuXn5NW532B1VPltQ+bOXn1/NZ8fbG7PFjM1m4/TpgirbW/kHVylrSC1iDGRDamljIE/s/obxG//ErYbWPHXbz2CoupDy6WIbH649zEfrDnMit5jIVt5cPyCSa/qE07lNDetlCSFEC3Axj4G8EI1VR87flsKMedtY9sTwyus0rngJfnkVnvwN/NvybdK3/Gntn/jbiL8xPnp8g8fRnJXYS8gtyXXeip1/T5eexuawUeoopdReSqmjFLurs5VBGcqXVDIoAxaDpXwsf9l9q9FaPo7farTiZfRyjuN3PTYouWgsxMWqRY+BFLU4ncnnK57D4Wth8lVzqiSPJTYHn208yj9/3k9mfjHDOofw0nW9Gdk1DKMbxy4KIYS4uGS4JmEL9fM6U6g17PgSOg4H/7YU2gr559Z/0je0L+M6jHNTpO5jMVoI8Q4hxDvE3aEIIS5ykkC2YMWLnuYrbyMj28YT2bprebnWmkU7jvPykj0cO1nI4I7B/Pv2gQzs0MqN0QohhLhYZeQVYzEZCPCu8LPkxC44eRAumwHAF3u/IKMwg9dGvCZj74UQwo0kgWyp9v3IoiM/ciq0Nbf2faC8OD2viP/7ZifL9pyge3gA/53Wm+GdQ6QyFkII4TYZecWE+lkr10VJPzr/dh5Hoa2QD3Z+wODwwQxoM8A9QQohhAAkgWyZSgthwRPMCw4lNrAj8W3jAVi5N50nv0gkv9jGzAndufuyaExGGd8ghBDCvdLzigkLOGtd4n0/QXhfCAjn690fk1WUxd/7/t09AQohhCgn2UNLtOk/7Cs8wW6jgxu73gTA2yv2c/eHmwj1t/LDo0O5b3iMJI9CCCGahbIWyHIFJyF5I3Qeh81h46PdHzGwzUAGtmm8dc2EEELUjWQQLU1RDvz6d76P7I7JYGJM+/E89eV2/vbjXib2bce3D11GF5lZVQghRDOSdbqE1n6WMwX7lzsXrO8yjqVHlpJ2Oo07e9zpvgCFEEKUky6sLc3af1JaeIoFlkiGhg3n2S8P8vNv6fxudBceuyJWxjoKIYRoVhwOzamCEoJ9KySQh1aCVxA6vB//XXwbHQI6MCJqhNtiFEIIcYa0QLYkBSdh3b9Y2+1yskpySD7ak59/S+fF63oxY3RnSR6FEEI0O3lFNuwOTSufCgnk4TXQ4TK2n9zFrqxd3Nb9NlmTUAghmgn5Nm5JNs+F0tN8FxSMGX+27m3LrOt7c+vgDu6OTAghhKjWyYISgDMtkDkpcOoQRF/GF3u/wMfkwzWdrnFjhEIIISqSBLKlsJXAxvfIjxnJzye2cvpkb2ZO6MWUQe3dHZkQQghRo2xXAlneAnlkDQA5Ef358fCPXBVzFb5mX3eFJ4QQ4iySQLYUu76B/OO869cbB6WM7TCO+4bHuDsqIYQQolbZhaUABPqYnQWHfwWvQH7I20+xvZibutzkxuiEEEKcTRLIlkBrWPcWRUGdmZu2H7MO4u8TJ7o7KiGEEKKS71e9z5//ewsFRafLy3IKnAlkkLcrgTyyDtpfwrcH5tOrdS+6t+7ujlCFEELUQGZhbQmObYTjO/iHdTrGoGVM7HwjFpP80wrREpWWlpKcnExRUZG7Q/EoXl5eREZGYjab3R3KRS3h0E98w24eLy7Ax8vZLbWsC2uQjwUKT0FWEr91G8u+1B+YOXimO8MVQghRDckyWoJtH1Ns8OZT7YtSNq6JHe/uiIQQjSQ5ORl/f3+io6NlZuU60lqTlZVFcnIyHTt2dHc4jUIpdRPwPNAdGKS13lxh23PAPYAdeExr/aOrfCDwIeANLAJmaK11o8aJ8zPr0PbyspxCGwABXiY4vBWA+eRhMpi4suOVjRmOEEKICyAJpKcrOY1t+9d8XxJPhy7HKDSE0j+sv7ujEkI0kqKiIkkez5NSitatW5ORkeHuUBrTTuB64N8VC5VSPYDJQE+gHbBMKdVFa20H3gGmA+txJpDjgcWNGaRSwFkpam5RKb4WIyajAVISKAUWZW1jZORIAq2BjRnORSskJITo6Gh3hyGEaGCHDx8mMzOz0c8jCaSHK97+HVbbaVYFXMEJ28dcF3udrJUlRAsnyeP5a+nvmdZ6D1T7OicB87TWxcAhpdR+YJBS6jAQoLVe53reR8C1NHICWcahHeX3cwtLCSgb/5icwPo2nThZnC1LdzSi6OhoNm/efO4dhRAeJS4urknOI5mGh0tb9T6HHW3oc3kMxfZiLm9/ubtDEkII0XxEAMcqPE52lUW47p9d3sjKurCeKckrshHgZXZOCJeSwJLAVvib/RkaMbTxwxFCCHHeJIH0YMkHfyM6N4E9ba8mpWQLPiYf4to0zZUHIcTFaeTIkfz444+VymbPns1DDz1U52PMnj2bjz76CIAPP/yQ1NTUOj9Xa81jjz1GbGwsffr0YcuWLQCUlJQwfPhwbDZbnY/laZRSy5RSO6u5TartadWU6VrKqzvvdKXUZqXU5vp2Ay4bA0mFoZa5RaX4e5kgJ5mS0+mssGczqv0oLEZLvc4lhBCicUgC6cHWLXgfgLirp7MqeRWXRVyG2SgzDAohGs+UKVOYN29epbJ58+YxZcqUOj3fZrMxd+5cpk6dCpx/Arl48WKSkpJISkpizpw5PPjggwBYLBauuOIKPv/88zofy9NorUdrrXtVc5tfy9OSgagKjyOBVFd5ZDXl1Z13jtY6TmsdFxoaWt+X4TrmmS6seUU2ZwKZto01Pt7kOUoYHy2TwQkhRHMlCaSHSjhyks6Zyznh34MMXwcZhRkMjxzu7rCEEC3cjTfeyIIFCyguLgacA/ZTU1Ox2WyMGDGCm2++mS5duvDss8/yySefMGjQIHr37s2BAwcA+PnnnxkwYAAmk4mvvvqKzZs3c+utt9KvXz8KCwvPef758+dzxx13oJRiyJAhZGdnk5aWBsC1117LJ5980ngv3jN9D0xWSlmVUh2BzsBGrXUakKeUGqKcAyfvAGpLRBuGa4xmxQQyv9iGv5cZTuziR18fAi0BDGk3pNFDEUIIcWFkEh0P9eGi1fzTcICSgX/km+RfUCgZLyLERWjlypVVyiIjI4mNjcVms7F69eoq26Ojo4mOjqa4uJh169ZV2jZy5Mhaz9e6dWsGDRrEkiVLmDRpEvPmzeOWW25BKUViYiJ79uwhODiYmJgY7r33XjZu3Mgbb7zBP//5T2bPns2aNWsYOHAg4ExG33rrLV577bXygf+/+93vWLFiRZXzTp48mWeffZaUlBSios40qEVGRpKSkkJ4eDi9evVi06ZN53rLWiSl1HXAP4FQYKFSapvWepzWepdS6gtgN2ADHnbNwArwIGeW8VhME0ygU76MR4XesnlFNvy8TJSmJbLK15fR7a/AbJDeNOdjyZIlzJgxA7vdzr333suzzz7r7pCEEC2YJJAeaN2BLEKTfwIzWHpfx6p1f6B3SG9CvEPcHZoQ4iJQ1o21LIGcO3cuubm5xMfHEx4eDkCnTp0YO3YsAL179y5PCtPS0ujevXuNx3799ddrPXd1yxSWzTxqNBqxWCzk5eXh7+9/Qa/NU2mtvwW+rWHbi8CL1ZRvBno1cmiVlA28rPjPmF9cir/VxMbUXeT5wRXtr2jKkDye3W7n4YcfZunSpURGRhIfH8/EiRPp0aOHu0MTQrRQkkB6oLdX7Ocpy2YcoT056RPIzqydPNLvEXeHJYRwg9paDE0mU63brVbrOVscq3PttdfyxBNPsGXLFgoLCxkwYAArV67EarWW72MwGMofGwyG8sltvL29KSoqqvHY52qBjIyM5NixM5OKJicn065du/LHxcXFeHl5nfdrEk2lchfWUruDolIHrYzFLHPk4qNaSffV87Rx40ZiY2OJiYkBnP+tzJ8/XxJIIUSjkQTSw+xMyWHf/iT6ev2G6vl/rEt1dj8bGindV4UQTcPPz4+RI0cybdq0Ok+eU6Z79+7s37+//LG/vz95eXnlj8/VAjlx4kTeeustJk+ezIYNGwgMDCxv9czKyiI0NBSzWbo/Nl/OBFI5nAnk6WLnhYV2JQeY5+PD0FY9sBqtNT5bVFVdt+4NGza4MSIhREsnk+h4mH+vOsjV1q0oNHS/hrWpa2llbUX34Jq7hAkhREObMmUKiYmJTJ48+byed+WVV7Jq1aryx3fddRcPPPBAnSfRmTBhAjExMcTGxnLffffxr3/9q3zbihUrmDBhwnnFI5pW2RhIjTOBzHclkAUF68kyGbmi4zi3xeapauvWXdGcOXOIi4sjLi6O+i7HIoS4uEkLpAdJyS5k4fZUlrTZC7THEdKFdSvWMaTdEAxKrgUIIZrOddddV+mH68iRIyt1h604uU/FbR06dKB169YkJSXRuXNnbrjhBm644YY6n1cpxdtvv13ttk8//ZRZs2ad1+sQTc2Z2Ngdznl8Ckqcf/cV7cCoNUM717akpajOubp1l5k+fTrTp08HKJ+0SgghLoRkHR7ksw1HMVNK7OkEiB1DUvZ+soqyuKzdZe4OTQgh6uzll18uX3qjoZSUlHDttdfStWvXBj2uaFiG8mU8nBcfylogE+wnGKCtBFgD3Rabp4qPjycpKYlDhw5RUlLCvHnzmDhxorvDEkK0YNIC6SFKbA7mbTrGfe2PYzhRAJ3HsDZ1LQCXtLvEzdEJIUTdde3atcETPYvFwh133NGgxxSNp2wZj4JiO8p0ioNGG9d7d3JzVJ7JZDLx1ltvMW7cOOx2O9OmTaNnz57uDksI0YJJAukhftx1nMz8Ym7utBcyLdBxOOt/eYJOgZ0I8wlzd3hCCCHEOZWNzXO4urDmF9sI8NuGAxjeJt6NkXm2CRMmyPhfIUSTkS6sHmLepqNEtvImKmsNdLiMUqOFLSe2MDh8sLtDE0IIIerEcNYyHgUlNnz8dhFRaiO63SB3hiaEEKKOJIH0AMmnClh7IIu7expRmXuh8xgSMxIpshdJAimEEMKDuFogXbOw5hQVUuibyrDCQlRoN3cGJoQQoo4kgfQA325JQWu4zn+PsyB2DBuPb8SgDMS1lZnUhBBNZ+TIkfz444+VymbPns1DDz3kpoiEJynrwupqgORA3g7sBgeXlTggIMKNkQkhhKgrSSCbOa01325LYVDHYIJPrHNWsCGd2ZC2ge7B3QmwBLg7RCHERWTKlCnMmzevUtm8efOYMmWKmyISnsX5s0Nr5xjIg/kJmDTE+0aBQX6SCCGEJ3Dbt7VSylcpZXTX+T3FrtRcDmac5tq+4XD4V4geRqG9iO2Z2xnUVsaLCCGa1o033siCBQsoLi4G4PDhw6SmpjJ06FBeffVVevfuTd++fXn22WfdHKlojsqW8XC4miCPFm6jZ5Ed39Zd3BmWEEKI89BkCaRSyqCUmqqUWqiUSgd+A9KUUruUUn9TSnWu5/GfUkpppVRIhbLnlFL7lVJ7lVLj6vsa3OH7xFRMBsVVbbOhIAs6DicxIxGbwybdV4UQTa5169YMGjSIJUuWAM7Wx1tuuYUlS5bw3XffsWHDBhITE/n973/v5khFc6Q4MwtrRkEGOfajjCrMg5B6/QQQzcD2fWuZPucylqz9xN2hCCEaWVMu47ECWAY8B+zUrinYlFLBwCjgZaXUt1rrj8/3wEqpKGAMcLRCWQ9gMtATaAcsU0p10WX9ZjyA1ppFO9IY2jmEwOPrnYUdh7H50PcYlIEBYQPcG6AQwu1WrlxZpSwyMpLY2FhsNhurV6+usj06Opro6GiKi4tZt25dpW0jR4485znLurFOmjSJefPmMXfuXD755BPuvvtufHx8AAgODr6g1yNaNlVhEp11ac7P3mWFhdA61p1hiQYQ6BfCBksOrfd+yfhLb3V3OEKIRtSUXVhHa63/qrXeXpY8AmitT2qtv9Za3wB8foHHfh34PbhWJnaaBMzTWhdrrQ8B+wGP6vO5MyWX5FOFTOjl6r4a1AGC2rP5xGa6B3fHz+Ln7hCFEBeha6+9luXLl7NlyxYKCwsZMGAAWuvyCVJEzZRSXkqpG5VSbyilvlRKfaSU+r1S6qJY+V0p588Ou8POutR1eGsvupSUQnAnN0cm6qtDuy7ElhjZYzvo7lCEEI2sKVsgv1VKfQrM11qfrm4HrXXp+R5UKTURSNFaJ5714yUCWF/hcbKrzGMs3pmG0aAY0y0Elv8K3a+h2F7MjowdTOkmE1YIIWpvMTSZTLVut1qtdWpxPJufnx8jR45k2rRp5ZPnjB07lr/85S9MnToVHx8fTp48Ka2QZ1FKPQ9MxNkjZwOQDngBXXD2wvECntRab3dbkI3M4Lpubdc21qetJ6a0lbOkdYxb4xINo4e5M98Z9vLb4c10i5ZhNkK0VE3ZAvkecA1wSCn1uVLqWqWUpS5PVEotU0rtrOY2CZgJ/Km6p1VTpqspQyk1XSm1WSm1OSMjo66vp9Et23OCQdHBtMrbC0U5ED2c7RnbKXGUyPhHIYRbTZkyhcTERCZPngzA+PHjmThxInFxcfTr14/XXnvNzRE2S5u01gO01k9qrT/VWi/TWi/QWv9Da30NcCtQp3rxbK65BH5TSm1XSn2rlAqqsK3a+QCUUgOVUjtc295UTdCEbHDNnXco7zCZhZn0LFTkKX/wbtXYpxZN4IqedwDw1bq33RyJEKIxNVkCqbWer7WeAnQAvgHuBI4qpeYqpcac47mjtda9zr4BB4GOQKJS6jAQCWxRSrXF2eIYVeEwkUBqDcefo7WO01rHhYaG1velNogjWafZdyKf0T3aOLuvAnQcRsKJBBSK/mH93RugEOKidt1116G1plu3M4u/P/vss+zevZtt27bx0ksvuTG6ZstbKWWtaaPWOl1rvfkCj70U6KW17gPswznfwNnzAYwH/lVhBvR3gOlAZ9dt/AWeu87KWiA3n0wEYEjBadLNHtU5SNRi+MCr6VqkWXt6K1pXe81eCNECNPkyHlrrQq3151rr64CxQH9gyQUea4fWOkxrHa21jsaZNA7QWh8HvgcmK6WsSqmOOCvHjQ3zKhrf0t0nABjTvQ0cWQutOkJAOxJOJNC5VWcCrYFujlAIIcR5uhU45hr3eGVDLmWltf5Ja21zPVyP86Ip1DAfgFIqHAjQWq/Tzl/6HwHXNlQ8NTGqMwlkhF8EvYtPcNLSrrFP2+CKior46quvmDFjBjfddBN33HEHr776Krt27XJ3aG5lMBroq7pxzGxn08Gl7g5HCNFImjyBVEq1UUo9qpRaA3wH/AQMbOjzaK13AV8Au3EmqA970gysK/dmEBvmR/tgbzi2Adpfgs1hIzEjUWZfFUIID+S6cBoLLAcew5lMvqOUGt7Ap5oGLHbdjwCOVdhWNh9AhOv+2eWNyqicUy9klpxkcJs4QnUmp6yR53hW8/L8889z6aWXsm7dOgYPHsz999/PzTffjMlk4tlnn2XMmDFs395ih7Ge07A+j+Jvd/Cf9bPdHYoQopE02SQ6Sqn7gClAV5xdWH+vtV7TkOdwtUJWfPwi8GJDnqMpnC62sfHQSe64pANkHXCu/9h+ML+d/I1CWyED2zR4vi2EEKIJaK1zgf8C/1VKtQZuBP6plArWWkfV9lyl1DKgbTWbZmqt57v2mQnYgLLF+GqaD+C85gnA2dWV9u3b1xbiOZUlkACDAzphxEGOt2clkPHx8Tz//PPVbnviiSdIT0/n6NGj1W6/GFwSN5SR6y0sCDrG/lNJxLaSNT6FaGmachbWS4GXgWUVl/EQVa0/mEWJ3cHIrmFwzNW7N2oIW9M3Acj4RyGE8HBKqVbA9cAtQDDw9bmeo7UefY5j3glcDVyhzwxAq2k+gGTOdHOtWF7deecAcwDi4uLqNbDNUiGBjDc5h2Kc9vGsBLKwsJDi4mKs1uqHs4aFhREWFtbEUTUfVpORGO9J+Oovee3XP/DuxAtdoU0I0Vw15SQ6d7vGaDiUUn2UUhOVUteX3ZoqDk+wal8G3mYj8R1bwdH14BUEIV3Ymr6VCL8I2vi2cXeIQgghzpNSyl8pdbtSahGwB4gH/h/QXmv9eD2PPR54BpiotS6osKna+QC01mlAnlJqiGv21TuA+fWJoS58jN7l90NPnwKgwNezEshPPvmEqKgo7rjjDhYvXozd7jGjY5pMx0unccepItac2s2Swxc0zYUQohlzxxjIucBc4Aacy3pcg/OKqXBZvT+TwTHBWE1G5/jHqMFopdiavpV+Yf3cHZ4QQogLcwjnTKfvAFFa6+la658rtBbWx1uAP7BUKbVNKfUunHM+gAeB/+CcWOcAZ8ZNNprWhkCiSku5t8Mt2E8eplibsPlW1yu3+fr222/Zv38/V1xxBW+++SZRUVE8+OCDrFq1yt2hNRtDu0fgyB1Br+Ji/rLmeVLyU9wdkhCiATVlF9YyQ7TWPdxwXo+QllPIgYzTTBnUHgpOQuY+6DuZ5PxkMgszZQIdIYTwXO3Pah1sMFrr2Fq2VTsfgGvJkF6NEU9NLAYLC5PTSBs6Fse2t0jRIXhZ3PFTpH4CAgK48847ufPOO8nKyuKrr77i0Ucf5eTJkxw7duzcB2jhrCYjWd3v5vl9S7irvTePLH+E/175XwIsAe4OTYhmSWuNTdsosZdQbC8u/1vT/ZrKmoo7vrXXKaV6aK13u+Hczd7qpEwALosNgWOuOYaihrAtfRsAfUP7uikyIYQQ9VGWPCqlrgb+CkQDRpwT2mitdYv/da2VwTl7j9aQfZRkHYq3ucFWM2lyp06d4ptvvuHzzz/n5MmT3HDDDfU63tNPP80PP/yAxWKhU6dOfPDBBwQFBQEwa9Ys3n//fYxGI2+++Sbjxo0DICEhgbvuuovCwkImTJjAG2+8gbNXsnuNievBjzvG8HraYh5SBu776T7evuJtQrxD3B2aENWyO+zlSdm5krWztxfZi2pN9Grc5jjz2FHPKWKMyoipiVI7dySQ/8WZRB4HijlTcfZxQyzNzrqDWQT7Wujaxh92rgeDGSIGsG3za/iZ/YgNqvEisxBCeLQlS5YwY8YM7HY79957L88++6y7Q2oss3FOoLOjgbqveg5XYqO1A0POUZJ1H6welkDm5eXx3Xff8dlnn7FlyxYmTpzIH/7wB0aNGlXvxG3MmDHMmjULk8nEM888w6xZs3jllVfYvXs38+bNY9euXaSmpjJ69Gj27duH0WjkwQcfZM6cOQwZMoQJEyawZMkSrrzyygZ6tRducMdg/ux7I3eVrGC2LYAnsw8yecFkXhr6EoPCB7k7PNEMlbXCFduqJmsVk6+KyVqJvYQiWxEljgr72mpOAGtL8GzlS+leGIMyYDVasRqtWIyWqvdNVgKsAZXKLAZLjftXLLOaKmwzOO+bjWa8jF5YjBYsRgsmg4m4N+Ma6F+jdu5IIOcCtwM7AJmNtQKtNRsOnmRITDAGg4LkTRDeB8zebMvYRp/QPhgNnlXRCiFEXdjtdh5++GGWLl1KZGQk8fHxTJw4kR49WuSIh2PAzosueQRQzjpMleRjLMwiWYfSzcMSyI4dOzJu3DgefPBBxo8fj9lsbrBjjx07tvz+kCFD+OqrrwCYP38+kydPxmq10rFjR2JjY9m4cSPR0dHk5uZyySWXAHDHHXfw3XffNYsE0mBQjI/ryv9beQuvHp3Df8f8gd+n/8K9P93LNZ2u4ZF+jxDuF+7uMEU1bA5b5YTNVlRz8nZWy1tNSVxNCeDZCV19W+HKkiyLwYKXyatKUuZj9qmanNWSuFmMlvIkrcbE0HXfZPC87vgXyh2v9KjW+ns3nLfZSz5VSEp2IdOHx4DdBqlbof/t5Jfkk3QqidHta53BXQghmsyuXbuYMWMGR48e5fbbbyc9PZ077riD+Pj4Czrexo0biY2NJSYmBoDJkyczf/78lppA/h5YpJT6BWdPHAC01v9wX0hNxNVCZ8p1rpOYrEPpZ2ry+fzq5ejRo/j4+DT6eebOncstt9wCQEpKCkOGDCnfFhkZSUpKCmazmcjIyCrlzcUt8VEM+3k4jwVspMeqN/li+greOTSfT/Z8wqKDixjdYTTXdLqGIeFDsBgt7g63WdFan2lVs9XcClddclcpQTvruTUdo2JCZ9f1m1m4tgTNy+iFr9n3zGOTV3krXFkrW02JW8XHVVrlXK15zaH7dqPRGkpOQ1EOFGW7/p51ayLuSCB/U0p9CvxA5YrzGzfE0qysO5gFwOCYYMjYA6UFEBnH9sztaDR9w2T8oxDC/YqKirjpppv48ssviYmJoVu3bgwcOLBK8jhs2DDy8vKqPP+1115j9OjKF8RSUlKIijqzXGFkZCQbNmxonBfgfi8C+YAXcFH9atauFsiyBDJFh3hcF9ay5HHBggX88Y9/5PDhw9jtdrTWKKXIzc2t9fmjR4/m+PHjVcpffPFFJk2aVH7fZDJx6623As5k4mxKqRrLqzNnzhzmzJkDQEZGRq0xNpSIIG9GdGnDoyn38Y36PT7zH+XJO39gSrcpfLrnU77d/y1LDi/B2+TNgLAB9A3tS9fgrnQM7Ehb37Z4m7zPfZJGZnfYKXGUVJto1XizFdc5mSs7ZnX714dJmSolWGXJV9nfAEsAocbQKolbtcnaWdura7Ur28dsMGNQnnVRqEnZip2JXmH2mSSw/H521YSw8Kyycyb3nRv5BTi5I4H0xpk4jq1QpoGLPoFMOHyKQG8zXcL8YctmZ2HEQBKTf0Kh6BMiw0SFEGe88MMudqfW/mP1fPVoF8Cfr+lZ6z7Lli2jf//+9Ozp3K+kpIQnn3yyyn6//vprnc97Pj+EW4BgrfXYc+/WAhmqJpBeHtYCWebxxx/nm2++oXfv3uf1WV22bFmt2//73/+yYMECli9fXn7cyMjISrO7Jicn065dOyIjI0lOTq5SXp3p06czffp0AOLimmacFMDUwR2476MMdgx/nj4bn4alf6Td+Fk8Ff8UMwbMYF3aOlanrGbT8U28k/gOmjPfBYHWQNr6tKWVVyv8Lf74mf2wGq14mbzKExWjMjpn08D5PeLQDhzagV3bsTvs2LWdUkcpNodzhsuyZLDEUUKpvbQ8WSt1lJa3zJVtL7YXY3PUb1xcda1rFVvQ/C3+1SdnZclfhedXaYGrpdXuYupO2aTKWwGzKyR32TUkhNXctxXWfnyTN3gFgneQ869fGIR0dt73cpVVvLn2y7R5synNBguua7SXXinMJjlLBVrru5v6nJ4i4egpBrQPco5/TNkM3sEQHEPi1kQ6BXXCz+Ln7hCFEIKtW7cyYIBzSaHU1FT8/Py47LLLqux3Pi2QNf1AbqGWKaXGaq1/cncgTc7VAmnOPYpDmUinlce1QJaJioqiV69eDXqhY8mSJbzyyiv88ssvlbrJTpw4kalTp/LEE0+QmppKUlISgwYNwmg04u/vz/r16xk8eDAfffQRjz76aIPF0xBGdQ2lbYAXrx3vx0eDH4D1/4KgDjDkAcxGM8MjhzM8cjgAp0tPcyD7AEdyj3D89HFOFJzg+OnjZBdnk16QTn5JPsUOZ0teqaO02q6WCoVSCpMyYTQYMSojZoMZk8GExWjBbDCXj5GzGC2VJjYxG8xnxtC59qmUyFVM1lyPvYxelZK3sxM6aY1rhrR29vIrS+4Ks6HwVOVEsLa/jtJaDq7AK+BMwucd5EoAg84khV5B4N2q8j5lCaHJWofwNUdPFrDx0Ek2bT3JpsNHOZR5GoCmmuO4yRJIpdQfgH9prU/WsP1ywEdrvaCpYmpOsgtK2J+ez3X9I5wFKVsgYiAONNsztjO2w8V5sVoIUbNztRQ2FqvVWt7q8dxzz1FSUv3aU+fTAhkfH09SUhKHDh0iIiKCefPm8emnnzZIvM3Qw8DvlVLFQCkX0TIeynAmgSzyDsNRaMDL7Jk/sF999VUmTJjAiBEjsFrP/Oh74oknLviYjzzyCMXFxYwZMwZwTqTz7rvv0rNnT26++WZ69OiByWTi7bffxmh0vpfvvPNO+TIeV155ZbOYQKcik9HA1MHt+cfSfeyf8H/E5iTDkmecrdGD7qu0r6/Zlz6hfegTWvceV2dPuiIJ20XEXupq2TvlumVXSATPUXbOJNDVuufdypnkBUZWSPZq+hsI1oDynhYNxeHQ/HY8j02HT7Lx8Ek2HTpJep6zi3OQj5m4DsFMGRRFfHQw99XewaHBNGUL5A7gB6VUEbAFyMA5/qMz0A9YBrzUhPE0K1uOngJgQPtWUJwH6XugxyQO5x4mryRP1n8UQjQbU6dOZdKkSXTt2pX777+f4uJiHn/8cWbPnn3BxzSZTLz11luMGzcOu93OtGnTyrvItjRaa393x+AuuqwLa0E62a2d3SitJs9sgZw5cyZ+fn4UFRXVeBHlfO3fv7/W882cObNKeVxcHDt37myQ8zeWqYPb89bP+/nv+mP89cYP4Mu7YNFTUJwLQ58on1zpQkjC6OG0dv7uLU/wss8kejWWuW4lVXu4VGINqJwEhvWokPC1qjkZtAaAwX2fK4dDs/dEHmsPZLHuQBYbD2WRW+TsSt0u0ItLOrUmPjqYQR2DiQ31c/ZcbGJNlkBqrecD85VSnYHLgHAgF/gYmK61Pken4JYt4cgpjAZFv6ggSF0HaIgYyPaM7QCSQAohmo3IyEgSEhIa/LgTJkxgwoQJDX7c5kIpFa21PlzLdgVEaK2Ta9rH02l15mdHvrUNgMe2QJ48eZKffrr4eiFfiBA/KxP7teOrhGSeHNuFoJs+hPkPwfK/wIndcM0bYJVhOh7N4XBeEChv5TtZOfGreCs4WTkhrG2cqdHiSvZcSWBAJLTpVbmsLBmsWOYVCEbPGAeqteZARj7rDmSx9kAW6w9mcarA2UIa3dqHq/qEM6hjMPHRwUS2avwZoOvCHWMgk4Ckpj5vc5dw5BQ92wXgbTE6l+8AaNef7dv/hb/Zn+jAaLfGJ4QQot7+ppQyAPOBBM70xIkFRgFXAH8GWmwCWTYGEiDPlUBajJ6ZQI4ePZqffvqp0tqNomb3DuvIVwnJfLz+CI9c3hmuf8/ZIrT8L855Hyb9C6KrjqUWTaysRbDwZOVEr0ryd7JyWVE21LaGo8X/TKLnEwyBEWclgK0qJ4Jl5WbverVQN0daa45kFbDuoLOFcd3BLDJcXVIjgry5onsbLolpzSWdWtMuyP0zEVfHM1LzFq7U7iDxWA63xLumsE/ZAoHtwTeE7Rnb6R3aW7poCCGEh9Na36SU6gHcCkzD2ROnANgDLAJe1FoXuTHERqcrtAjkmMMAPHYSnbfffptXX30Vq9WK2Wyu8zIeF6tubQMY0SWUD9ce5t5hMXiZjTDsCWg/BL57ED6cAL1vgiv+BEHt3R1uy1BaVCERPFkhATwrOTw7KaytRdDiDz5lyV4wBEY57/sEn5UIuraXJYVGc5O97OYoPa+INfsz+TUpk/UHskjNcX7Vh/lbubRTay7t1JpLYkKICvb2iBnIJYFsBvYez6Ow1M6ADq2cBalbIaI/BaUFJGUnMTJqpFvjE0II0TC01ruBqgPZLhLacGbZy1MmZwuk1UOX8ahuhmFRuwdHdmLynPV8vukYd14a7SzscCk8sAZWvw7r3oJd30Lvm2HIgxAuy5cBzu6hRdmVE71KieDZSaJrn9KCmo9p8nYlfcHOBC+s25mkrzwZPOu+JIJ1VlRqZ9Phk6xOymRVUiZ70pwXllr5mLm0UwgPupLGmBBfj0gYzyYJZDOQmJwNQP+oIOcXwKlDMPBOdmXtwqEd5zUbmRBCCNFcOYxnEsgsUxgGBSY3TABRH4cPHyY6OrrG7VprUlJSiIyMbLqgPMTgjsHEdWjFv385wJRB7bGUXTyw+sEVf4S4u2HtP2HLR5D4KbTtAz2vhS7jnd1dPfCHdiVly0dUSQTL7teQHBZmQ4X1MStRhsrJXkAEtOl9JvErSxLPTgrNzbNrpKfS2jnxza/7MlmVlMHGQycptjkwGxVxHYL5/fiuDO8cSo/wALdMetPQmjyBVEp1BB4FoiueX2s9saljaS4Sj2UT7GshspU3HFjnLGw3oHwCnT4hkkAKIYTwfNroVX4/yxiC1ZTtcVffn376aRwOB5MmTWLgwIGEhoZSVFTE/v37WbFiBcuXL+eFF16QBLIaSikevaIzd87dyJcJx7h1cIfKOwRGwpWvwIhnYMdXziRy+V+ct8AoiIyHdv0gvK8zufQJdsvrwGGvOnNoxfUEa5s4xl5c83HNvpUTv8CosxLA4MrdRX2CwRro1hlDL2YZecWs3p/Br/sy+XV/Zvk4xs5hftw6uAPDOocwOCYYH0vLa69zxyv6Dngf+AGoZbTtxSPxWA59IgOdlWjZBDrhfdm+7hva+7cnyCvIrfEJIYRo3pRSfwUm4axX04G7tNaprm3PAfcAduAxrfWPrvKBwIeAN84xmDO01jU0czQMh+nMDII52heLyfPGC3755Zfs3r2bTz75hLlz55KWloaPjw/du3dnwoQJzJw5Ey8vr3Mf6CI1vHMIA9oH8fbP+7lxYGT1y7j4BMPg6c5bbhok/QT7l0HyZtj1zZn9/No4k86ACPBve2bsncXP2cJm8nJ2uVTGM0mWwwHa7lxD0FYE9hLnX1sxlBY6WwiL86Gk7HbalSzmQFGu8++5lo8wWiuPCQyOgYiBtXQNde1nls9Nc2Z3aBKTs1n5Wzor9mawIyUHcHZLHdo5lGGdQxjWOYTwwJbfuuuOBLJIa/2mG87bLBWU2EhKz2Ncr7bOgrRtEByD9gpkR+YOBoUPcmt8QgghGp5SaiIw3PXwF631D/U85N+01n90Hfsx4E/AA65JeyYDPYF2wDKlVBettR14B5gOrMeZQI4HFtczjlppszOB1MpIiV177PjHHj168OKLL7o7DI+klOKJMV257f0NfLL+KNOGdqz9CQHhMPBO5w2cLXlpic7byQOQk+xcO/vQL84Er6aunnUO0OBMQC1+YPF13qz+ziSwbKF4r0DwCqi6hmDZ7KGW5rHUgqi/7IISftmXwcq9GfyyL4OTp0swKOe67U+N7cKILmH0bNcyuqWeD3ckkG8opf4M/ASUt+Nrrbe4IRa3252ai0NDn4hAZ0FaIkTEcaLgBBmFGfQO6e3eAIUQQjQopdQsYBDwiavoMaXUpVrr5y70mFrrik15vpz5FT0JmKe1LgYOKaX2A4OUUoeBAK31OldMHwHX0sgJpDIoniq9nwcmjqT4sAOrh64BKernsljnBCJvrdjPTXGR+Hudx8QsPsHQaZTzdjaH3dVCmO+cgdRWCHabs8XRYXeOoVQGZ4uk0Qwmq+vmVeGvl+ePtRQXTGvN7rRcVu7N4Off0tl69BQODcG+FkZ0CWVUtzCGdw4hyMdy7oO1YO5IIHsDtwOXc6YLq3Y9vuhsT3Y2f/eODHReVcs+CnH3yPhHIcRFZ8mSJcyYMQO73c69997Ls88+W+1+druduLg4IiIiWLBgQRNH2SCuAvpp7Vw0TSn1X2ArcMEJpOs4LwJ3ADk415UEiMDZwlgm2VVWSuX1JsvKG5VC8ZV9BLeGX0LJ/kMeuwakqB+lFM+M78akt9fw7i8HeHpct4Y5sMHoTDDdNTZSeKTTxTZ+Tcpk5d50VuxN50Sus32rd0Qgj1zemVFdQ+kTGYTxImtlrI07EsjrgBitdYkbzt3s7EzNIdTfSpsALziw1lnYrh87MhMwG8x0De7q3gCFEKIJ2O12Hn74YZYuXUpkZCTx8fFMnDiRHj16VNn3jTfeoHv37p6+3l4QcNJ1P7AuT1BKLQPaVrNpptZ6vtZ6JjDTNebxEeDPQHW/eHQt5dWddzrOrq60b1+/9fnKGnYcGopt9urHv4mLQt+oIK7t1473fj3E5Pj2RAVLt0/RdE7kFrF8TzpLdx9nzYEsSmwO/K0mhnUJYVTXMEZ0DSXMX8ak1sQdCWQizooz3Q3nbnZ2p+bSq12A80HqNufftn3YvvcDugd3x2K8uJvIhRDN065du5gxYwZHjx7l9ttvJz09nTvuuIP4+PgLOt7GjRuJjY0lJiYGgMmTJzN//vwqCWRycjILFy5k5syZ/OMf/6j363CTWcBWpdQKnInccOrQ+qi1Hl3H438KLMSZQCYDURW2RQKprvLIasqrO+8cYA5AXFxcvQaYnZlxVVNsc5xZxsFDff/996xatQqAESNGcM0117g5Is/y+/HdWLLrOK8s+Y23pg5wdziiBStbZmPZ7hMs3X2CRFcPwKhgb24b3IHRPcKIjw7GLL0i6sQdCWQb4Del1CYqj4G86JbxKCq1k5Sez+juzsWUSUuEoA7YvALYc3IP13e+3r0BCiFENYqKirjpppv48ssviYmJoVu3bgwcOLBK8jhs2LBqF1t/7bXXGD26ci6UkpJCVNSZPCcyMpINGzZUee7jjz/Oq6++6pGLuCul3gI+1Vp/ppRaCcTjTCCf0Vofr+exO2utk1wPJwK/ue5/D3yqlPoHzkl0OgMbtdZ2pVSeUmoIsAFn19d/1ieGOsXp+qs1FNscHjuJDsBzzz3Hxo0bufXWWwF48803Wbt2LbNmzXJzZJ6jXZA304d34s3lSdw+JIvBMa3dHZJoQUrtDjYdOsnSPSdYtucEx04WAtAvKoinx3VlTI82dA7z87ilhJoDdySQf3bDOZulvcfzsDs0PctaINO2QXhfDmQfoNBWSK+QXm6NTwjRzC1+Fo7vaNhjtu0NV75c6y7Lli2jf//+9OzZE4CSkhKefPLJKvv9+uuvdT5tdatHnF2pL1iwgLCwMAYOHMjKlSvrfOxmJAn4u1IqHPgc+Exrva2Bjv2yUqorzrkFjgAPAGitdymlvgB2AzbgYdcMrAAPcmYZj8U08gQ6cKYLqwZnlzEvz10fbeHChWzbtg2Da3mIO++8k/79+0sCeZ4eHNGJb7YkM/O7nSx6bJjHt0oL98ovtrHit3SW7TnBit/SyS2yYTUZGBobwkMjY7miWxhhAdI1tb6a7Ju7wpXXX5rqnM3d7jTn+J2e7QKdi8+eOgz9b2d7pkygI4RovrZu3cqAAc7uZqmpqfj5+XHZZZdV2e98WiAjIyM5duxY+ePk5GTatWtXaZ81a9bw/fffs2jRIoqKisjNzeW2227j448/boiX1ei01m/gnIm8A86lNT5QSnkBn+GcKXVfPY59Qy3bXgSqrDmhtd4MNOmVSuVqg9TamUB6cgskQHZ2NsHBzglbcnJy3ByNZ/K2GPnrpF7c/eEm3vv1IA+PinV3SMLDnDpdwtI9J/hx53F+3Z9Jic1Ba18L43q2ZXSPNgzrHIKPxXMvVjVHTfluNuaVV4+0OzUXP6uJyFbecCTBWRjej50ZvxJoDSTKP6r2AwghLm7naClsLFarleRk5wSezz33HCUl1c+Jdj4tkPHx8SQlJXHo0CEiIiKYN28en376aaV9Zs2aVd66s3LlSl577TWPSR4r0lofAV4BXlFK9Qfm4uyd0+JnlClvgdSaErtnj4F87rnn6N+/P6NGjUJrzapVq6T18QKN6hbGlb3a8ubyJK7s1ZaYUD93hySaueM5Rfy0+zhLdh5nw6GT2B2aiCBvbh/SgXE92zKwQyuZNbURNVkC2ZhXXj3VnrRcuof7OxcfPe5sdSS8Dzv2/IteIb2kT7YQolmaOnUqkyZNomvXrtx///0UFxfz+OOPM3v27As+pslk4q233mLcuHHY7XamTZtW3kV2woQJ/Oc//6nSIumplFJmYDzOuvAK4BfgBbcG1UTOTKHjbIH0xGU8HnnkEaZOncqUKVMYOXIkmzZtQmvNK6+8Qtu21U2SK+ri+Yk9WbM/k6e/2s4X918iP/5FFUeyTrNk53GW7DrO1qPZAMSG+fHgiE6M79WWnu0C5LdzE2ny9tyL+cprRQ6H5rfjeVw/wLXsVtp28GtLgdWPA9kHuKL9Fe4NUAghahAZGUlCQkKDH3fChAlMmDChSvmiRYuqlI0cOZKRI0c2eAyNSSk1BpiCcx3IjcA8YLrW+rRbA2tCZT/uHFo7E0gPbIHs3LkzTz75JGlpadxyyy1MmTKFfv36uTssj9cmwIsXJvXkd58nMnf1Ie4bHuPukISbaa3ZdyKfxTvTWLLzOL8ddw6J6B0RyNPjujKuZxtiw/zdHOXFqcm/uZVSZqXUNUqpT3AO2N8H1Dh2o6VKyS4kv9hGt7ZlE+gkQnhfdmXtwqEd9A7p7d4AhRBCNLT/A9YB3bXW12itP7mYkkc404UV1zqQnphAzpgxg3Xr1vHLL78QHBzM3XffTffu3fnLX/7Cvn0N05nqtddeQylFZmZmedmsWbOIjY2la9eu/Pjjj+XlCQkJ9O7dm9jYWB577LFqJ6TyFNf2i2BMjzb87ae97Enz6HVexQXSWrM7NZfXftzLFf/4hXGzV/HG8iQCvMz88eoe/Pr7Ufzw6FAeHhUryaMbNeUkOhf9ldeKyr4Yu4X7Q2khZO6D7lezI9M5o6LMwCqEEC2L1nqUu2Nwt6pdWD2381GHDh145plneOaZZ9i6dSvTpk3jhRdewG63n/vJtTh27BhLly6lffv25WW7d+9m3rx57Nq1i9TUVEaPHs2+ffswGo08+OCDzJkzhyFDhjBhwgSWLFnClVdeWd+X5xZKKWZd35sr3/iVRz/byvePXCaTn1wEtNbsSs1l0Y40Fu88zqHM0xgUDIlpzd2XdWRczzaE+cvMqc1JU/5X+X84Fzd+Smt9sgnP2yztdTXDd2njDycSQduhbR92nFhBpF8kwV7Bbo5QCCGEaFgGQ4VZWD18Ep3S0lKWLFnCvHnzWL58OSNGjODPf67/SmW/+93vePXVV5k0aVJ52fz585k8eTJWq5WOHTsSGxvLxo0biY6OJjc3l0suuQSAO+64g++++85jE0iAED8rr9/cj9vnbuD573fx6o193R2SaARaa3am5LJwRxqLd6ZxJKsAo0FxSUxr7hsWw7iebWjtZ3V3mKIGTTmJzkV/5bWi307kERXsjZ/VBMcTnYXhfdmx600GtBng3uCEEEKIRlDWAmnXmlK79sgEcunSpXz22WcsXLiQQYMGMXnyZObMmYOvr2+9j/39998TERFB376Vk6aUlBSGDBlS/jgyMpKUlBTMZjORkZFVyj3d0M4hPDSyE2+vOEDfqCBuHdzB3SGJBqC1ZntyDot2pLFoZxrHThZiMigujQ3hwRGdGNuzLcG+FneHKeqgRfQLUEo9CjyCc5HkhVrr37vKnwPuAezAY1rrH2s+StPadzyPrm3Kxj9uB69A0i1enCg4IeMfhRBCtEhlk+iU2BwAHrkO5EsvvcTUqVN57bXXyteAPB+jR4/m+PHjVcpffPFFXnrpJX766acq26ob16iUqrG8OnPmzGHOnDkAZGRknG/YTe6JMV3ZmZLLn+fvolOoH0NiWrs7JHEBKiaNC7ankZLtTBqHdg7h0VGdGdOjDa0kafQ4Hp9AKqVGAZOAPlrrYqVUmKu8B84p0nsC7YBlSqkuWuv6DU5oAMU2OwczTzO2ZxtnwfHtzu6rmTsBJIEUQgjRIpXlNsU2Z1Xsict4rFixol7PX7ZsWbXlO3bs4NChQ+Wtj8nJyQwYMICNGzcSGRnJsWPHyvdNTk6mXbt2REZGlq/JWrG8OtOnT2f69OkAxMXF1es1NAWjQfHPqf257u01PPhxAt8/MpSoYB93hyXqoKx76oIdqSzcnkbyKWfSOKxzCI+P7szYHm0J9DG7O0xRDx6fQAIPAi9rrYsBtNbprvJJONeXLAYOKaX2A4NwzoDnVgczTmN3aOf4R7sNTuyCuHvYnrkdk8FE99bd3R2iEEII0eAMrgyyqNTZAumJXVgbS+/evUlPTy9/HB0dzebNmwkJCWHixIlMnTqVJ554gtTUVJKSkhg0aBBGoxF/f3/Wr1/P4MGD+eijj3j00Ufd+CoaVoCXmf/cGc+1b6/h3v9u5qsHL8HfSxKP5qhsIpyFO9JYuD2NoycLylsaZ1whSWNL0xISyC7AMKXUi0ARzkl6NgERwPoK+yW7ytxu34kKE+hk7QdbEYT3YefxpXRt1RWrUQYNCyGEaHnKOleWtUCaPbAF0h169uzJzTffTI8ePTCZTLz99tsYXTPYvvPOO9x1110UFhZy5ZVXevQEOtXpGOLL21MHcNcHG7n7g038d9ogfK0t4eer59Naszstl4Xb01i448xEOJfFhvDIqFjG9mxDkI90T22JPOK/QKXUMqBtNZtm4nwNrYAhQDzwhVIqhjP1VEXVLo6klJoOTAcqTZvdWJJO5GM0KGJCfWH3dgDsbXqxc+frTOw0sdHPL4QQzdGSJUuYMWMGdrude++9l2effbbKPq+//jr/+c9/UErRu3dvPvjgA7y8ZHp3T1HWAlksLZDndPjw4UqPZ86cycyZM6vsFxcXx86dO5soKvcY2jmEN6f059HPtnL3h5v48O54Wd7DTcqSxkU70li0w7nkhtGguLRTax4a2YmxPdrKmMaLgEf816e1Hl3TNqXUg8A32jmSfKNSygGE4GxxjKqwaySQWsPx5wBzAOLi4hp9Bd59J/Lo0NoHq8kIaYlgtHLQbKTAVkCf0D6NfXohhGh27HY7Dz/8MEuXLiUyMpL4+HgmTpxIjx49yvdJSUnhzTffZPfu3Xh7e3PzzTczb9487rrrLvcFLs7LmTGQkkCK8zOhdzh2h2bGvK1M+3ATH9w1CG+L564j6knKxjQu2pnG4h1pHM4qwKDgkk6tmT48hnEye+pFxyMSyHP4DrgcWKmU6gJYgEzge+BTpdQ/cE6i0xnY6K4gK9qfnu/svgrOCXTa9GB71m4ASSCFEB5h165dzJgxg6NHj3L77beTnp7OHXfcQXx8/AUdb+PGjcTGxhITEwPA5MmTmT9/fqUEEsBms1FYWIjZbKagoKDGCUNE81SWQBaVeu4kOsJ9runbDofW/O7zbdzz3028f2e8JJGNpLolN8paGu8f0YmxPWSdxotZS0gg5wJzlVI7gRLgTldr5C6l1BfAbpzLezzcXGZgPZx1mqv6hDtXUk7bDj0msSNzB4HWQNr7N34XWiGEqI+ioiJuuukmvvzyS2JiYujWrRsDBw6skjwOGzaMvLy8Ks9/7bXXGD26cseSlJQUoqLOdBqJjIxkw4YNlfaJiIjgqaeeon379nh7ezN27FjGjh3bgK9MNDblGl1SYi9rgax+yQkhajKpXwQOrXnii0Tu+mAj7942ULpMNhCtNVuPZbPY1T21bMmN8jGN0j1VuHh8Aqm1LgFuq2Hbi8CLTRtR7Q5lnsahITbMD3KOQVE2hPchMXUhvUN617h+kxBCnO2Vja/w28nfGvSY3YK78cygZ2rdZ9myZfTv35+ePXsCUFJSwpNPPlllv19//bXO563LenanTp1i/vz5HDp0iKCgIG666SY+/vhjbrut2irgoqSUegr4GxCqtc50lVW7JrJSaiDwIeANLAJm6Or+IRqQwdXgWD4G0iitR+L8Xdc/EoNSPP3ldia+vZr37oijW9sAd4flkRwOzZajp1i88ziLd6SRmlOE2agYGutccmNMD5kIR1Tl8Qmkp0k6kQ+4Esg054+r/JAuHNj9JmOj5Uq6EKL527p1KwMGDAAgNTUVPz8/Lrvssir7nU8LZE3r3FW0bNkyOnbsSGhoKADXX389a9eulQTSRSkVBYwBjlYoq21N5HdwTiC3HmcCOR5Y3Kgxulogz8zCKhdNxYWZ1C+C9sE+3P+/BK7/11r+flNfruwd7u6wPEKJzcG6g1n8uOs4S3efICOvGIvRwPAuITw5tiuje7Qh0FuW3BA1kwSyiSWl52NQ0CnUD/ZuB2Vgp1Gj0fQJkfGPQoi6O1dLYWOxWq3li5c/99xzlJSUVLvf+bRAxsfHk5SUxKFDh4iIiGDevHl8+umnlfZp374969evp6CgAG9vb5YvX+4RC6I3odeB3wPzK5RVuyayUuowEKC1XgeglPoIuJbGTiBlEh3RgPq3b8UPjw7l/v8l8OAnW3js8lgeH90Fg0EuTJytoMTGL3sz+HHXcZb/lk5ekQ0fi5GRXUMZ17Mto7qFESBrbIo6kgSyiR1Izycq2Acvs9E5/rF1Z3Zk7wOgV0gvN0cnhBDnNnXqVCZNmkTXrl25//77KS4u5vHHH2f27NkXfEyTycRbb73FuHHjsNvtTJs2rbyL7IQJE/jPf/7D4MGDufHGGxkwYAAmk4n+/fszffr0BnpVnk0pNRFI0VonntX1t6Y1kUtd988ub1SGsybRkXUgRX21CfBi3vQhzPx2J2/+vJ+1B7J45cY+zgv1F7m0nEKW70ln+Z4TrDmQRYnNQZCPmXE92zK+Z1uGdg5x/h4V4jxJAtnEDmTkE1v2pXZ8O3S4jMSMRKIDogm0Bro3OCGEqIPIyEgSEhIa/LgTJkxgwoQJVcoXLVpUfv+FF17ghRdeaPBze4JzrIn8f0B14yBqWhPZLWsllyW3ZS2QVmmBFA3Ay2zktZv6cGmn1vxlwW6ufONXHh/dmenDYjBdRBcpHA7NztQclrmSxl2puQB0aO3DbYM7MLpHGIOigy+q90Q0Dkkgm5DdoTmYeZrhXULhdCbkpqDb9ibx2JeMjBrp7vCEEEI0YzWtiayU6g10BMpaHyOBLUqpQdS8JnKy6/7Z5dWdt8HWSjaclUBKC6RoKEopbhgYybAuIfzpu128umQv325J4elxXRnTo02LnaQwM7+Y1UmZrErK4NekTDLyijEoGNihFc9e2Y3R3cPoFOrXYl+/cA9JIJvQsZMFlNgczhbItEQAjgSFk70/m36h/dwbnBBCCI+ktd4BhJU9do1vjNNaZyqlql0TWWttV0rlKaWGABuAO4B/NnasZ3dhlTGQoqGF+Xvx7u0D+XHXcV5Z/BvT/5fAwA6teHpcVwZ3DPb4RKrE5iDhyCl+TcpgVVIGO1OcrYzBvhaGxoYwsmsoo7qGyXIbolFJAtmEDmQ4Z2DtFOYLR50J5DZVCkC/sH7uCksIIUQLpbWubU3kBzmzjMdiGnkCHag4C6u0QIrGNa5nWy7vFsaXm5OZvWwfk+esp3dEIHdfFs3Vfdp5zMWLolI7245ls+HgSTYezmLLkWwKS+2YDIoB7Vvx1NguDO8SSq92gTJ5kGgykkA2ofIEMtQPNiRCq2gSc5Lwt/jTMbCjm6MTQngKrbXHX0Vvao28vGGzorWOPutxtWsia603A006e1v5LKzSAimagNloYOrg9lzXP4KvtiTz4ZpDPPFFIrMW/8bEvu24pm87+kYGNpvvU601x3OL2JOWS8KRU2w8dJLEYzmU2B0oBd3aBnBLfBSXdGrNpZ1a4y+zpgo3kQSyCR1IP02In8W5IGtaIoT3ZVv6NvqE9sGgpBIVQpybl5cXWVlZtG7dutn86GnutNZkZWXh5eXl7lAuemUtJCVly3hIC6RoAt4WI7cP6cCtg9rz6/5MPl5/hP+tO8L7qw8RFezN6O5tuKxTCINigptsKYuiUjv70/PZnZbLnrRcfkvLY8/xXLILnD3TjAZV3mI6qGMwcR2CCfSRhFE0D5JANqEDGfnO1sfCbDh1iLx+t3Dg8GeMix7n7tCEEB4iMjKS5ORkMjIy3B2KR/Hy8iIyMvLcO4pGJWMghTsZDIoRXUIZ0SWUnMJSftp1nAXb0/h0w1E+WHMYg4Ie7QLoER5A9/AAurb1JzLIh7AA6wUtd1Fic3A8p4jUnEKO5xSRkl3I3uN57EnL5WDmaewOZ88IL7OBrm0DuLJXW7q1dZ67Z7sAfK3yM100T/LJbEIHMvIZ3yscju8AINHHD42W8Y9CiDozm8107Chd3oVnqjgLq0E5W1mEcIdAbzM3xUVxU1wUxTY7W49ms/ZAFluOnGL5nnS+2Jxcaf8ALxNhAV6E+lnxMhswGgyYDAqjUWFQisISO/nFpeQX28gvspFXZCPrdEmV80YEedM93J9xPdvSPTyA7uH+dGjtK/8tCI8iCWQTOXm6hFMFpcSG+UHaSgC22E9jVEb6hPRxb3BCCCFEE1AVWiCl9VE0F1aTkSExrRkS0xpwdnvPyCtm74k8jucUkZ5XzIncItJzi8nIL+Z0iQ2bXWN3aGwOBw7tXIvS32oizN+LmBATfl4mwvyttAv0JjzIi/BAb9oFeeFjkZ/ewvPJp7iJlE2gExPqC9u3QGAUCaf20D24Oz5mHzdHJ4QQQjQ+oyuDLLI58LGcf5dAIZqCUoqwAC/CAmTctBDVkct/TeRAumsG1hA/SN1KSXgfdmbuZECbAW6OTAghhGgaZV1Y7Q6NVVoghRDCI8m3dxM5kJGP1WQgwqsYTh1iZ+tIShwlkkAKIYS4aBgqzBwsa0AKIYRnki6sTeRAxmk6hvhiPL4NgC1m51vfP6y/G6MSQgghmk7FFatkDKT7HD58mLi4uEY5dkZGBqGhoY1y7MYiMTcNT4vZ0+IF+O2335rkPJJANpEDGfn0igiE1BUAJBSn0zGwI8FewW6OTAghhGga0gLZPGRmZjbasePi4ti8eXOjHb8xSMxNw9Ni9rR4gUa7MHQ2+fZuAkWldo6dLKBTiC+kbqW0VTRbMncwqO0gd4cmhBBCNJmKKxVYJIEUQgiPJN/eTeBIVgEODZ3C/CBlC7vadqXAVkB823h3hyaEEEI0mUotkNKFVQghPJJ8ezeBsiU8unrnQW4Km/wCACSBFEIIcVGpmEBapQWyRZo+fbq7QzhvEnPT8LSYPS1eaLqY5du7Cex3LeHRsWg3ABvseXRp1UXGPwohhLioGA0VWyBVLXsKTyU/upuGxNz4PC1ekASyRdmfnk9EkDfW4wmUGK1syz0g4x+FEEJcdGQMpBBCeD759m4C+9PziQ3zg+TNbIvoTrG9WLqvCiGEuOgopcqTSFnGw7MsWbKErl27Ehsby8svv1xlu9aaxx57jNjYWPr06cOWLVvq/NzmGPO0adMICwujV69eTRYvXHjMx44dY9SoUXTv3p2ePXvyxhtvNPuYi4qKGDRoEH379qVnz578+c9/bvYxl7Hb7fTv35+rr766qUKuV8zR0dH07t2bfv36NcxMrVpruVW4DRw4UDcku92hu/5hkf5/87dp/ZdQ/fcvJ+l+H/XT+SX5DXoeIYQQ5w/YrJtB3eMpt4aoIzs9t1B3eGaBfuTTLfU+lmgaNptNx8TE6AMHDuji4mLdp08fvWvXrkr7LFy4UI8fP147HA69bt06PWjQoDo/t7nFrLXWv/zyi05ISNA9e/Zs9FgbIubU1FSdkJCgtdY6NzdXd+7cudm/zw6HQ+fl5WmttS4pKdGDBg3S69ata9Yxl/n73/+up0yZoq+66qpGj7chYu7QoYPOyMg4r3PWVj/K5b9GlpJdSFGpg3ivY2Av5ldHHgPCBuBr9nV3aEIIIVoIpdTzSqkUpdQ2121ChW3PKaX2K6X2KqXGVSgfqJTa4dr2plKqSQYlGlxNkGajjIH0FBs3biQ2NpaYmBgsFguTJ09m/vz5lfaZP38+d9xxB0ophgwZQnZ2NmlpaXV6bnOLGWD48OEEBzftXBX1iTk8PJwBAwYA4O/vT/fu3UlJSWnWMSul8PPzA6C0tJTS0lKa4muovp+N5ORkFi5cyL333tvosTZUzA1NEshGtu9EHgDdS3Zy3Ghkf2E6QyOGujkqIYQQLdDrWut+rtsiAKVUD2Ay0BMYD/xLKWV07f8OMB3o7LqNb4ogja4fiFaT8Rx7iuYiJSWFqKio8seRkZFVkpOa9qnLc5tbzO7SUDEfPnyYrVu3Mnjw4MYNuI7x1LaP3W6nX79+hIWFMWbMGI+I+fHHH+fVV1/FYGi6NKq+MSulGDt2LAMHDmTOnDn1jkcSyEa274RzBta22VtYHdIegGERw9wZkhBCiIvHJGCe1rpYa30I2A8MUkqFAwFa63WurkofAdc2RUAmQ1kCKT9BPIXzI1LZ2S1FNe1Tl+c2hvrE7C4NEXN+fj433HADs2fPJiAgoOGDPEt9YzYajWzbto3k5GQ2btzIzp07GyfQOsZzrn0WLFhAWFgYAwcObLT4qlPf93nNmjVs2bKFxYsX8/bbb7Nq1ap6xSPf3o0s6UQe4f4mzMkb+DUwiLa+bekU1MndYQkhhGh5HlFKbVdKzVVKtXKVRQDHKuyT7CqLcN0/u7zRlXVhlUl0PEdkZCTHjp35GCUnJ9OuXbs67VOX5za3mN2lvjGXlpZyww03cOutt3L99dd7RMxlgoKCGDlyJEuWLGncgOsYT037rFmzhu+//57o6GgmT57Mzz//zG233dasYwbK/4aFhXHdddexcePGesUj396NbO+JPEYHp1NQnMNaWw4jIke49eqWEEIIz6SUWqaU2lnNbRLO7qidgH5AGvD3sqdVcyhdS3l1552ulNqslNqckZFR79dR1gIpy3h4jvj4eJKSkjh06BAlJSXMmzePiRMnVtpn4sSJfPTRR2itWb9+PYGBgYSHh9fpuc0tZnepT8xaa+655x66d+/OE0884RExZ2RkkJ2dDUBhYSHLli2jW7duzTrmWbNmkZyczOHDh5k3bx6XX345H3/8cbOO+fTp0+TlOYfUnT59mp9++qneswub6vVsUSub3UFSej5PdPyNtd5eFGkbYzqMcXdYQgghPJDWenRd9lNKvQcscD1MBqIqbI4EUl3lkdWUV3feOcAcgLi4uGqTzPNRdgAvsySQnsJkMvHWW28xbtw47HY706ZNo2fPnrz77rsAPPDAA0yYMIFFixYRGxuLj48PH3zwQa3Pbc4xA0yZMoWVK1eSmZlJZGQkL7zwAvfcc0+zjXnNmjX873//K1+qAeCll15iwoQJNZ3O7TGnpaVx5513YrfbcTgc3HzzzU2yLEZ9PxvuUJ+YT5w4wXXXXQeAzWZj6tSpjB9fvyHvqrr+shezuLg4vXnz5gY5VtKJPMa8vooNUW/xD2saa/38WXHzCkwGyduFEKI5UEolaK0bYFEs91JKhWut01z3fwcM1lpPVkr1BD4FBgHtgOVAZ621XSm1CXgU2AAsAv5ZNvlOTRqijuzz/I/kFtmYOaE79w2PqdexhBBCNI7a6kfJZBrR7rRcvCnC99QWVrQP56oOoyV5FEII0RheVUr1w9nAdxi4H0BrvUsp9QWwG7ABD2ut7a7nPAh8CHgDi123RudwXbeWMZBCCOGZJJtpRDtTchhp3s3PXkYKtZ2JnRq/z78QQoiLj9b69lq2vQi8WE35ZqB+A2EugM3hAKQLqxBCeCr59m5E25NzuMEnkW8CAon0i6BfaD93hySEEEK4lc3ubIL0Mss6kEII4YkkgWwkNruD31KyCFGbSLCamNxtisy+KoQQ4qJnd829IOtACiGEZ5Jv70by2/E8htg28aWfAW+DhWtjr3V3SEIIIYTblc3d522RUTRCCOGJPD6BVEr1U0qtV0ptc61TNajCtueUUvuVUnuVUuOaMq71B7MY7fUTC/18uaHLTQRaA5vy9EIIIUSz5muRLqxCCOGJPD6BBF4FXtBa9wP+5HqMUqoHMBnoCYwH/qWUarLa6uCOtSwNy8DLYOGePvc21WmFEEIIj+AjLZBCkJWVRb9+/ejXrx9t27YlIiKCfv364efnx0MPPdQo55w9ezYfffRRvY8zefJkkpKSGiAi4Wlawre3BgJc9wM5sxDyJGCe1roYOKSU2o9zHax1jR1QStYpHCWvsa6VN3/s/xgh3iGNfUohhBDCo/hZW8JPECHqp3Xr1mzbtg2A559/Hj8/P5566qlGO5/NZmPu3Lls2bKl3sd68MEHefXVV3nvvfcaIDLhSVrCt/fjwI9Kqddwtqhe6iqPANZX2C/ZVVarvLw8Vq5cWaksMjKS2NhYbDYbq1evrvKc6OhooqOjKS4u5tfVq5h59HEKWhkYRkdCMztw7NgxoqKiKCgoYOPGjVWe36VLF9q1a0deXh4JCQlVtnfv3p02bdqQnZ1d/iVTUa9evQgJCSEzM5OdO3dW2d6vXz+CgoI4ceIEe/bsqbJ94MCB+Pv7k5qayr59+6psHzRoED4+Phw7dowDBw5U2X7JJZdgtVo5fPgwhw8frrJ96NChmEwm9u/fT3JycpXtI0eOBGDv3r2kpaVV2mY0Ghk2bBgAu3fvJj09vdJ2i8XCpZc6/8l37NhBVlZWpe3e3t4MHjwYgG3btpGdnV1pu5+fH3FxzjVSN2/eTH5+fqXtQUFB9OvXD4ANGzZQWFhYaXvr1q3p3bs3AGvXrqWkpKTS9rCwMHr06AHAr7/+it1ur7Q9PDycrl27AlT53MH5ffbWrat6baRTp07y2ZPPnnz2avnsiaYXHuhFWk4Rrf0s7g5FiGZr5cqVvPbaayxYsIDnn3+eQ4cOkZaWxr59+/jHP/7B+vXrWbx4MREREfzwww+YzWYSEhJ44oknyM/PJyQkhA8//JDw8PBKx/35558ZMGAAJpMzBRg5ciT9+/cnISGBjIwMPvroI2bNmsWOHTu45ZZb+H//7/9x+vRpbr75ZpKTk7Hb7fzxj3/klltuYdiwYdx1113YbLby44mLg0d0YVVKLVNK7azmNgnnQsi/01pHAb8D3i97WjWH0jUcf7pr/OTm0tLSesc7sDCEyY5LuKn972TmVSGEEKKCT+4dzCs39MZXWiCFqLMDBw6wcOFC5s+fz2233caoUaPYsWMH3t7eLFy4kNLSUh599FG++uorEhISmDZtGjNnzqxynDVr1jBw4MBKZRaLhVWrVvHAAw8wadIk3n77bXbu3MmHH35IVlYWS5YsoV27diQmJrJz507Gjx8PgMFgIDY2lsTExCZ5D0TzobSuNqfyGEqpHCBIa62VM1vL0VoHKKWeA9Baz3Lt9yPwvNa61i6scXFxevPmzY0etxBCCPdTSiVorePcHYenkDpSiMZTsQvr2S2QZrOZmTNn4nA48Pb2pqioCKUUf/rTnwgODmb06NFceumlxMTEAGC32wkPD+enn36qdI7p06dz+eWXM3nyZMDZAvniiy9y2WWX8fPPPzNr1iyWLl0KwPDhw3nzzTfx8fFh3Lhx3HzzzVx99dXlvXMAbr31ViZPnsw111zTRO+SaCq11Y8t4fJfKjACWAlcDpSN5v0e+FQp9Q+gHdAZqNqPSgghhBBCiGbMarUCzlY/s9lc3sPNYDBgs9nQWtOzZ89qhxRUVJZ81nTssvsVj92lSxcSEhJYtGgRzz33HGPHjuVPf/oTAEVFRXh7ezfY6xSeoSUkkPcBbyilTEARMB1Aa71LKfUFsBuwAQ9rre01H0YIIYQQQgjP07VrVzIyMli3bh2XXHIJpaWl7Nu3j549e1bar3v37uzfv/+8jp2amkpwcDC33XYbfn5+fPjhh+XbqjuHaPk8PoHUWq8GBtaw7UXgxaaNSAghhBBCiKZjsVj46quveOyxx8jJycFms/H4449XSe6uvPJKbr/99vM69o4dO3j66afLWz/feecdAE6cOIG3t3eViXpEy+fxYyAbmozvEEKIi4eMgTw/UkcK4fmuu+46Xn31VTp37lyv47z++usEBARwzz33NFBkojmprX70iFlYhRBCCCGEEPX38ssvV1m66kIEBQVx5513NkBEwtNIC+RZlFIZwJEGOFQIkNkAx2lJ5D2pSt6TquQ9qUrek+o1xPvSQWsd2hDBXAwaqI6Uz3NV8p5UT96XquQ9qUrek6oatX6UBLKRKKU2S7eoyuQ9qUrek6rkPalK3pPqyfvimeTfrSp5T6on70tV8p5UJe9JVY39nkgXViGEEEIIIYQQdSIJpBBCCCGEEEKIOpEEsvHMcXcAzZC8J1XJe1KVvCdVyXtSPXlfPJP8u1Ul70n15H2pSt6TquQ9qapR3xMZAymEEEIIIYQQok6kBVIIIYQQQgghRJ1IAtnAlFLjlVJ7lVL7lVLPujue5kApNVcpla6U2unuWJoLpVSUUmqFUmqPUmqXUmqGu2NyN6WUl1Jqo1Iq0fWevODumJoLpZRRKbVVKbXA3bE0B0qpw0qpHUqpbUopWdXeg0gdWZnUj1VJ/ViV1I81k/qxqqaoI6ULawNSShmBfcAYIBnYBEzRWu92a2BuppQaDuQDH2mte7k7nuZAKRUOhGuttyil/IEE4NqL+bOilFKAr9Y6XyllBlYDM7TW690cmtsppZ4A4oAArfXV7o7H3ZRSh4E4rbWs++VBpI6sSurHqqR+rErqx5pJ/VhVU9SR0gLZsAYB+7XWB7XWJcA8YJKbY3I7rfUq4KS742hOtNZpWustrvt5wB4gwr1RuZd2ync9NLtuF/0VLqVUJHAV8B93xyJEPUkdeRapH6uS+rEqqR+rJ/Wj+0gC2bAigGMVHidzkX/piXNTSkUD/YENbg7F7VxdUbYB6cBSrfVF/54As4HfAw43x9GcaOAnpVSCUmq6u4MRdSZ1pDgvUj+eIfVjtWYj9WN1Gr2OlASyYalqyi76K0SiZkopP+Br4HGtda6743E3rbVda90PiAQGKaUu6i5dSqmrgXStdYK7Y2lmLtNaDwCuBB52dQMUzZ/UkaLOpH6sTOrHyqR+rFWj15GSQDasZCCqwuNIINVNsYhmzjWO4WvgE631N+6OpznRWmcDK4Hx7o3E7S4DJrrGM8wDLldKfezekNxPa53q+psOfIuza6Ro/qSOFHUi9WPNpH4sJ/VjDZqijpQEsmFtAjorpToqpSzAZOB7N8ckmiHXgPj3gT1a63+4O57mQCkVqpQKct33BkYDv7k1KDfTWj+ntY7UWkfj/D75WWt9m5vDciullK9rYg2UUr7AWEBmsPQMUkeKc5L6sSqpH6uS+rF6TVVHSgLZgLTWNuAR4Eecg76/0Frvcm9U7qeU+gxYB3RVSiUrpe5xd0zNwGXA7TivmG1z3Sa4Oyg3CwdWKKW24/yhuVRrLdNyi7O1AVYrpRKBjcBCrfUSN8ck6kDqyKqkfqyW1I9VSf0o6qpJ6khZxkMIIYQQQgghRJ1IC6QQQgghhBBCiDqRBFIIIYQQQgghRJ1IAimEEEIIIYQQok4kgRRCCCGEEEIIUSeSQAohhBBCCCGEqBNJIIUQQgghhBBC1IkkkEIIIYQQQggh6kQSSCGEEEIIIYQQdSIJpBBCCCGEEEKIOpEEUgghhBBCCCFEnUgCKYQQQgghhBCiTiSBFEIIIYQQQghRJ5JACiGEEEIIIYSoE0kghRBCCCGEEELUiSSQQgghhBBCCCHqRBJIIYQQQgghhBB1YnJ3AM1NSEiIjo6OdncYQgghmkBCQkKm1jrU3XF4CqkjhRDi4lBb/SgJ5Fmio6PZvHmzu8MQQgjRBJRSR9wdgyeROlIIIS4OtdWP0oVVCCGEEEIIIUSdSAIphBBCCCGEEKJOJIEUQgghhBBCCFEnkkAKIYQQQgghhKgTmUSnBTuae5Sk7CRyi3MxKAMxgTF0CuqEj9nH3aEJIYQQblNkK2Jr+lZOFp3kdOlp2vi0IbZVLO1826GUcnd4QgjRrEkC2cI4tINvkr7hq31fsStrV5XtZoOZEZEjuLrT1YyMHInRYHRDlEIIIUTTO5p7lH9v/zfLjy7ndOnpKtuj/KO4OuZqrou9jnC/cDdEKIQQzZ8kkC1ISn4Kf1zzRzYd30T34O48FfcU8W3jCbIGUWIv4UDOATYf38ziQ4tZdnQZnQI78VC/hxjTYYxccRVCCNFiObSDz377jNkJszEoA+OixzGmwxgi/SPxMfmQdjqN307+xrIjy3g38V3e2/EeN3S+gel9phPmE+bu8IUQollRWmt3x9CsxMXFaU9c42p31m7u/eleHNrBM/HPcG3stTUmhTaHjWVHl/Gvbf/iUM4hBrUdxB+H/JHowOimDVoIIdxMKZWgtY5zdxyewhPrSId28Mc1f+T7A98zNGIoz1/yPG1829S4f2p+Ku/veJ9vkr7BYrTw2IDHmNx1svTYEUJcVGqrHyWBPIsnVo4Hsw9y15K78DJ58f6494nyj6rT8+wOO18nfc3shNkU24t5tP+j3NHzDgxK5lYSQlwcJIE8P55WR2qteXHDi3y+93Me6vsQD/R9oM49bo7lHuPFjS+yJmUNfUL6MGvYLNoHtG/kiIUQonmorX6UTMHDZRRkcN/S+zAoA++Nfa/OySOA0WDk5q43M//a+QyNGMrfE/7O9KXTSS9Ib8SIhRBCiKbxbuK7fL73c6b1mnZeySNAVEAU71zxDi8Pe5nDuYe58Ycb+TbpW+TCuxDiYicJpAcr65aTU5zDv8f8mw4BHS7oOKE+ocweNZs/X/Jntmds56YfbmLT8U0NHK0QQgjRdDYd38Q7ie8wsdNEHh/w+AWN9VdKcVXMVXw98Wt6hfTiT2v/xB/X/JEiW1EjRCyEEJ5BEkgP9sXeL1iTuoan456ma3DXeh1LKcWNXW7ks6s+I8ASwH0/3ceHOz+UK61CCCE8TkFpAf+3+v+I8o9i5uCZ9Z4orq1vW94b8x7397mf+Qfmc/vi2zmWd6yBohVCCM8iCaSHOll0kje3vMkl4Zdwc9ebG+y4nYI68dlVn3F5+8v5e8LfefKXJykoLWiw4wshhBCNbc72ORw/fZwXh77YYGsfGw1GHun/CG9f8TYp+SncsuAWVqesbpBjCyGEJ5EE0kO9ueFlCktP86wjALXiJVg9G/Yvg6Lceh/bz+LH30f8nScHPsnyo8u5ddGtHMuVK61CCCGavyMnk/ho13+Z6N+ZfonfwMpXIOFDyNgHDdCrZnjkcD6/+nPCfcN5aNlDvL/jfemtI4S4qMg6kJ4mJ5lDPz7NtwU7mJqbR8zhuaDtZ7YrA8SMhH63QvdrwGS9oNMopbir1110De7KU788xeSFk/nb8L9xacSlDfM6hBBCiIbkcMD2efxrw//DZFH8btcK4Fewl5zZxz8c+twC/W+DkM4XfKoo/yj+d+X/+NPaPzF7y2z2ntzLC5e9gLfJu/6vQwghmjlZxuMszXqK8h1fwfePMrOVLz/5+bH4sn8QEj0MDCYozoWULXBoFez4EnKOgV9buORhiLsbrP4XfNpjeceYsWIGB7IP8Fj/x5jWa1q9x5MIIURzIMt4nJ9mW0cW5cBnUziUuoFrI9pxZ/gwnrjsefALA7sNTh2Go2vht0WQ9JPzwmvXCTD0CYiKv+DTaq2Zu3Mub2x5gy6tuvD6qNfPazZ0IYRormQdyPPQbCvHXd/BV9M4FjWQa0zpTO1+K7+P/331+zoccHAFrHkDDv0CPq1h+NMQN+2CWyQLSgv409o/8ePhHxnTYQx/veyv+Jp9L/z1CCFEMyAJ5PlplnVkcR7873pI3cL/9R3NsryDLL5hCa29W1e/f94J2DwXNrwLRdnQZTxc8Sdo0/OCQ1idsppnVj0DwCvDX2FoxNALPpYQQjQHsg6kp9u/DL6+ByLj+LjbMJQycFfPu2re32CA2Cvgzu/h3uXQphcseRb+GQeJ85wJ5nnyMfvwt+F/OzMucuGtHMw+eOGvSQghhKgvuw0+nQwpCZyYOJvFOfu4ocuNNSePAP5tYNRz8LtdcMWf4cg6eOcy+OZ+yD56QWEMjRjKvKvn0da3LQ8te4h3E9/F7rCf+4lCCOGBJIFs7opy4LuHIaQLeTd9wHeHFnJl9JWE+YTV7fmRcc5E8vZvwacVfHs/zBkBB1eedyhl4yLnjJnDqeJTTF44mfn755/3cYQQQogGsf5fcGQ1THqbzx2nsGs7U7tPrdtzrX4w7AmYsQ0ufRR2f+e80Lr0T1CYfd6hRPlH8fGEj5kQM4G3t73NA8seILMw87yPI4QQzZ0kkM3d8r9C/gmY9BYLUldRYCuoe+VYUafL4b6VcMP7zorxo0nw8Q1wfMd5H2pw+GC+vOZLeoX04g9r/sDM1TNlqQ8hhBBN6+QhWPESdL2K0l438HXS14yIGnH+YxB9gmHsX+HRBOh1A6x5E97sB2vfAlvxeR3K2+TNrKGzeOHSF9iavpUbv7+R9Wnrzy8eIYRo5iSBbM6ObYJN/4FB09HtBvD1vq/pHtydXiG9Lux4BgP0vhEe2QRj/x8kb4Z3h8HX90HWgfM6VJhPGO+NeY8H+j7ADwd+4Ibvb2Dz8WY2LkYIIUTLpDUsfMI5idyEv7Ey5RdOFp3kpi43XfgxAyPhunfg/l+gXX/4aaazRXLrx86usnWklOL6ztfz2VWfEWgN5L6f7uPljS9TaCu88NiEEKIZkQSyOfvpD+DfFi7/A7tP7mbvqb1c3/n6+h/X7OXsrjMjEYY+Dnt+gLfi4dsHITOpzocxGow83O9hPhj/AUoppv04jVc2viKVpBBCiMZ14Gfn7fI/QGAE3yZ9SxufNlzW7rL6Hzu8r3PYx+3fgW9rmP8wvBUHW/4HtpJzPr1M51admXf1PKZ2m8onez7hxu9vZGv61vrHJ4QQbiYJZHN1ZB0cWw9DfwdeASw4sACzwcyVHa9suHN4B8Ho552J5OAHYNe3zkRy3q1wZG2dF1we2GYgX13zFbd0vYWP93zMdfOv4+ejP8vCykIIIRrH6tfBvx3E3U1mYSZrU9dydczVGA3GhjtHp1Fw3wqY/JlzKazvH4E3+jpnOC88VadDeJu8eW7wc8wdNxe7tnPn4jt5fu3znCqq2/OFEKI5kgSyuVozG7yDof9t2Bw2Fh9azIjIEQRaAxv+XP5tYPxL8PgOGP4UHFkDH1wJ71wKG/4NBSfPeQgfsw8zh8xk7ri5eJu8mbFiBg8ue5CDOTJTqxBCiAaUvBkO/+pc59hk5cfDP2LXdq6Oubrhz6UUdJsA96+CW7+G1p2ck+z8vbuz187R9XW62BrfNp6vJ37NbT1uY/7++Vz17VV8uudTbI66d40VQojmwuMTSKXU35RSvymltiulvlVKBVXY9pxSar9Saq9Sapwbwzw/Jw/CviUwaDpYfNl8YjNZRVlMiJnQuOf1C3V2B/rdbrjmDTBaYPHv4bUuzmnSEz8/51XX+LbxfHHNFzwT/wyJGYlcN/86Zq6eydHcC5saXQghxIVrkXXkhn+DNRAG3gnAkkNL6NKqC7GtYhvvnEpB59Fw1wJ4YDX0nQx7voe545ytksv/Ailbak0mfc2+/D7+93w18St6tO7BrI2zmPjdRL7b/50kkkIIj6I8vZuhUmos8LPW2qaUegVAa/2MUqoH8BkwCGgHLAO6aK1rXZipWSyS/POLsOpvzjWqAiP467q/8sPBH1h1yyq8TF5NG0taImz/AnZ+DXlpoIwQNRhiRkLHYc6JBsze1T41qzCLuTvn8sXeLyh1lHJVzFVM7TaVniEXvlizEEI0pNoWSm4JWlwdWZTjvKjZbypc/TrHTx9nzFdjeLT/o0zvM71pYynOd84hsP1zOPQLaAf4hztnPe84AtoPgaD2zuTzLFprfkn+hX9t+xd7Tu4hyj+Ku3rexVUxV+Fr9m3a1yGEENWorX70+ASyIqXUdcCNWutblVLPAWitZ7m2/Qg8r7VeV9sx3F45OhzwRh8I6Qy3f4vdYefyLy8nvm08r414zb1xpW6B3xY6Jy5ISwQ0GMzQpieE94GwnhDaBYJjICACjGYAMgszmbtzLl/t+4pCWyE9W/fklq63cHn7yxunS64QQtRRS08gK2oRdeTmD2DB43DvzxA5kE/2fMLLG1/mh2t/IDow2n1xnc6CpB9h34/OZLKst45fW+ekPOF9ILQbtI6FVh3AKwiUQmvNymMreXf7u+zO2o2v2ZerY67mutjr6NG6B6qa5FMIIZpCbfWjqamDaWTTgM9d9yOAiosvJbvKapWXl8fKlSsrlUVGRhIbG4vNZmP16tVVnhMdHU10dDTFxcWsW1e17u3UqRNRUVEUFBSwcePGKtu7dOlCu3btyMvL48DS9+mXc4zlgTex7fNlHC05yEnHSdTpXvx98U6SU1LROHvJaJy30NBQfLx9KCgsID39/7N332FyluXix7/P1K2zvZfsJtm0TSONhA6GjkRAMCDKOahRsaD+QPTEcyxHBFGPWLAEBfGooHJQkBIg9B6SENJIz2Z7tved/vz+eGdmZ1uyye7O7Ozen+uaa2beNvdOyr33097GQdfPyckhLs5Od3c3TU3Ng/bn5eZis9vo7OyipWXwfMf8/HysVgsdHZrW1lWgVpGY20mpex/T3XuZ1V1Dzo5/Eu/9Y+gcP4p2Uzqt5gy8SblcbE1jgbecV80tvO2t4L+a/4tvv/Ft8nxZzIuby0xzGZauOLp7XWil8AdGV5sU5ORko4D2tlacvb0oNCb8mPBjNimyM9IwaR8d7a14XU4Ufszah8KP1aRIS3Ng0n66OtrwejyYtB+FH4XGYjaRkpyIQtPV0YHP50WhUYFv12Y2kZSUCFrT1dmJx+/Do3y4lRen8uG1KFS8BRd+Onq7cSkfbnx4lB+v0mizAosJj9L0ul340fiVxofGjwaTCWU2odF4vB7jU5Xx52r8/AqT2QQovF5jiJMK7FQozCYTZrMZpcHr9aIApZXxDFjMFswmE2jweb0oTWCfcYzZYsFiMoPf+HyTDl45cL7VitlkQvs1Xo8n8Ll9n2+1WDGZTWifH4/HQ/9fdRQ2mxVlMuH3+UPnh7PZbCiTwufz4fUMHsJlDe73+kI/f7/z7TaUMr4b3xD7rXY7SoHX48M3xDL8tjg7AF6PF79vcMdL334Pfp+//06lsNltxn63B7/fP2C3whrY73F70AP3mxRWW3C/G+3XA/absNqMRhiPyz1oUSqTyYQlsN/tcg8aOmcym7BYA/udg+9lZzKbsVgtw+43m82YrRbQ4HYNsd9iwWwxg9bG5w+zX/s1HvcQ+60WzObh91usgb9bx9l/8YKbOG3mh9i1a9eg/YsXLyY1NZVjx47xwQcfDNq/dOlSkpOTB22f5GI+R/pe+TXEFfHHN1vo8m5ik+f/SDXn88x7Prq6d1JTV4fW4AfQYTkyIZ6enl4aGwfnyOycHOLsRo5sbh6cI3Nzc7HZbHR1DZ0j8/LysFqtdHTMpK0tE5W6loKkSkrd+yl176O0ej8ZBzZhou//GKeKo8WcRYcpleykXG6zpfK+fxEvm+t5dO/f+eu+v5Loj2eap4D5SQsoMZXS3eWmZ6gcmZ2Nwk97WxsuZy+mQH4zaT9mE2RnpKG0j872NrzuXkzaH8qhFhOkpThQ+OnqaMfndQdypJEHLSaFIznJ2N/Zgd/nC+1TWmOxmElKTAj93fD4PTiVD5fy4TL58FqAOCsevLQ7e3Djw618eJXGi8YfyJFepXG6XfiUkRuNXAkoFciR9MuRQaEcqcHr8xk5KJQjjdXizSbju/J5faHcFsyTFrPZWHhpmBxpMVswm03gB6/XE9ivQte3WCyYTWa0Pk6ONJnw+wfnQIWR40xK4ff78QyRA202K0op/D4fHu8QOSqw3+cbOkda7TYUw+83cpjC5/XiGyoH2gM50GvkyPDvXmHkcACP1zs4B4bv93jx64H7jd8RjP0e/ANyWPh+t9uDZkCOUwqr9Xj7TViDOc7tHrDXyKFWy/H2m7FajEW5XEPlMJMZi8WMDpw/aL/ZjMU8/H6L2WzkQK1xD/H7UfDv3rD7Lcbvd36t8QyxP9GWzA8+9RhNTU2nnCOPJyYKSKXUJiB3iF3rtdaPB45ZD3iBPwdPG+L4IbtblVLrgHUApaWlo473ZPn8mo276nh8WxXnH3yFmSqOW/YvxIULW9ZObBkm/v56EviPDjpXAepwrdFKqY1/PgN/cFVRAwR++CF6nFVFdWC/HvIbUhWVQ+yPAxYBiwItpJp02plJDcXqGAWqiQLVRK63hXTXEfLUDubRyaXKhwZ22G28khDPywkuNnkb2OR9hWI8LDC5meV2M8vtYZbbQ5bPhxqc7/s70f4h1gDyAO1mE20mM+09JtrMJlwm47nNZKbdbKLdZKLTZKLDb6LDZKIjzUSX6TjThq2DN5m1xqI1Ng02q8YS2GYOPJsAs9eYjGwkfKNA7Pcpgf/Tg/9Yw4tMj994+FFoZfzSFNynMbb7/YH3ZgLHqLD9gV+0TKBtKrQN1bdfA5hBm1W/5K3D4sEEOuznD/9rpJUCM2Ab/qs73n6ltbFvuP1w4v32YbYHDbGfk9l/opHlo90/9Cjxke9PiOJ+E8fPNKPYP63qbU6b+aETBDf5TfYcWdPu4q+797N1xw7+1LmPezwf41fb3GBykjTrEO5jZ/PDXXv7xxx8VqAq6jCyFMPkwBoCKXTI1cNVRfUJ9lcNsb8w8LgAk0lhw0Mx9ZRSS4FqpIAm8r3NZKp2Mlw7KKaD5aqXTwNtJhOvJMTzSkI8b8Z3s8dzELvfz2leF7O1hzKXh1luN9M9HuyaE+fAphPsH5AjNdClFG1mE+0mM20uE20mk5EXzebQ6w5T4EEgV6ab8Byvx3SIHGnza6xobFpjsYIllB/BHMiJZi+BopVQjuz3KWE5MvxPx68G5MjAtvAcGsyRAH5zcL8K5b5QHgzLkeHnhz8I5EjC9oeYh86ROmz/UN+PVsrIgcfLkcHzT3F/6POPJ2z/kH/CJ3H+Ke0fbQ6c6PtPVI2dwv40X+0JThqdSTGEVSl1E/A54ENa657AtpgYnrO9qo3b/v4+Bxu6yEyw8KLpc7RkLOXIBb8iK8nON9/5N1LjUvjVBfdjNZuwmBRmk4rNYS1ag9dpzBtxd4LHCV4ntV21vNK0nTdadrO3q5pj7rbQKamWBHJsqaRZk0i3JpFuSybNmozdbMOkzJhMFsyBZy9+nH4PLr8Pp/bg8nno8vXS6emh09tNp6ebDk8X7e5Our09w4ZpMVlItaWSYnfgsKXgsDtw2Bwk25JDjwRrAknWJBKtiSRYEoi3xhNviSfeHE+cJQ672Y7dbB/bJeWFEGNuKgxhjdUc6fL6+NHGfTz4ZgVaa76Z9Qaf6biPF1c/haNwHh90vM49763nvvN+x/K8ZVjMCrNSmEwxmB8B/D5wdwVyZDf4XHjc3Wxp3s0rTdvZ1nGIwz31uPxGb4MZE/lxaaRbk/vlSIclCZPJHMqNRh5SuLQPp99r5EntodfnpsvbQ4enh05PFx2BHNnh7sB7nKmwybZkUmwppNhTQrkxPE8mWBJIshn5MZgj4yxxxJvjibfGYzfbiTPHYTFZYvN3GSGmiEk9hFUpdQlwB3BuMDEGPAH8RSn1PxgLBJQBg8fGRNFLexv47J+2kpVk51cfX8LFKVWYH2jBcca1lMzOpq6rjorOg9w2+zaS44Zomoo1ShkL7ljjgazQ5nyWcP3sK7g+8L7d1c7+1v3sb93PwbaDNPU00eJqocbZSGv7fro8XSf8KKvJSpw5jgRrAg67g2RrMrnJRcwKJLkUewqp9lRS7ak47I7Q61R7KvGWeElqQohJIVZzpNPj498ffJe3Djdzw+nF3PqhMnL+eT9Yy7jgrLMA+Mfr75BiT+GMoqXGUPxYZzJDXIrxCLACq4pXsirw3uv3UtlZyYHWA+xv3U9VRxUtrhZqna3s7jhEq7MVrz7+iq4mZSLOHEecJa6vcTQ+nbyUaSTbkkO5MDxPBl8n25KxmGL+V0chxChNhv8FfokxwOz5wC/9b2utP6e13q2U+huwB2PYzhdOtLpcJB041sktf97GrJwk/vfm00lLtMGm3xqrnJZdCMCr1a8CcHbh2dEMNeJS7Cksz13O8tzlQ+53+9x4/B68fmNMvU/78Gs/FpOFOHOc9PwJIUSfmMyR//HYTt4+0sxPrl3ENUsLobctcO/HLwLg135er3mdswrOmlIFjcVkYXrKdKanTOfiksF3XtFa0+vtDeXFYI4EpOdPCDFmYv5/Xa31sDd+0lrfCdwZwXBGxO/X3P7oDuJtZh64ablRPIKxetu0MyAhHYDXa1+nIKmAUkfk55xMZDazDZv5eIP5hRBCQGzmyE17jvHYezXc+qEyo3gEY/VvvxdmG/dD3tuylxZnC2fmnxnFSCcepRQJ1hNNWhZCiNE5zoogYrxs3F3P9qo2/uOyuWQ7AqtndDVAwx4ILAjh9XvZUr+FlXkrpaVQCCHElODza+7euJcZWYl88YKw2vfIK2B3QIGxMuDbdcYCsivzVkYjTCGEmNKkgIyC37xyiOmZiVx1WtiK6UeM4aqUngvAnuY9dHm6JDkKIYSYMl7c28DBhi5uXT0LqznsV5TDr8C0M8FsDJx6p+4dZqTMICsha5grCSGEGC9SQEbY7tp2dlS3c9MZJZjDV4o78grYU4wbDmMkR4AVeSuiEaYQQggRcY9sriQ72c5l88PuStJWCa1HYLrRwOr2udl2bBsr86WBVQghokEKyAj71/t1WEyKKxfl999x5FUoOctYhQ2jgJyVNov0uPQoRCmEEEJEVnuPh1f2N/KR0wqwhPc+hkbonAPA+43v4/Q5OT339ChEKYQQQgrICNv0wTFWTs/oWzgHAq2rFaHWVZfPxXsN78nwVSGEEFPGy/sb8Po1l4T3PoJRQCZkQvY8wJj/aFZmluVO6tt3CiHEhBXzq7DGkpq2Xg42dHH9iuL+OyqN4aoUG3d62tW0C7ffzbIcSY6jkZmZSUlJSbTDEEKMsYqKCpqamqIdhhhjr+xrJC3ByuLC1P47qjbDtFXGvYSBbce2MSd9Dsm25MgHOUlIfhRicopUfpQCMoLePtQMwBkzMvrvqH4XrImh1tX3Gt4D4LTs0yIa32RTUlLCli1boh2GEGKMLVsmjWuT0TtHWlg1IwNT+PoAXY3G/Mdl/w6Ax+dhZ9NOrp11bZSinBwkPwoxOUUqP8oQ1gjaWtlKst3C7JwBrabV70LBktDqctuObWNGygxS41IjH6QQQggRYXXtvdS09bJs2oB5/zWBIqdwOQB7Wvbg8rlYkrMkwhEKIYQIkgIygrYdbWVxcWr/1lVPL9TvgEKjxcDn97G9YTun5UjvoxBCiKnhvco2AJZOS+u/o/pdMFkgbzFgNLCCjNARQohokgIyQpweHwcbulhYmNJ/R90O8HtDrasH2w7S6elkSba0rgohhJgadte2YzYpZucOMUInZz7YEgDY1rCNaY5pZMZnRiFKIYQQIAVkxBxs6MLr18zLG1BAVr9rPBcYPZDB+Y8yPEcIIcRUsbu2g7LsJOKs5r6Nfh/UbAs1sPq13xihI72PQggRVVJARsieug4A5uYNaF2t3QYpRZCcAxgFZFZ8FvmJ+QMvIYQQQkxK++o7mZvn6L+x+SC4u4w1AoCjHUdpc7VJASmEEFEmBWSEHGrowmYxMS0jsf+O+p2Qtyj0dlfTLhZmLUQphRBCCDHZdTo91LU7mZmd1H9H/U7jOXchYORHgAWZCyIZnhBCiAGkgIyQgw1dlGYkYg5fQMfdDU0HjPkdQLurncrOSuZnzo9SlEIIIURkHW7sBmBG1sACcgeYbZA1G4CdTTtJsCQwPWV6pEMUQggRRgrICKlo7qY0c0DvY8MHgIZcozVVWleFEEJMNRXNRgE5PWuIETrZc8FsBWBn407KM8sxm8wDLyGEECKCpICMAJ9fU9XSy7TMhP47QsNzjIJxZ9NOFIryjPIIRyiEEEJEx9HmHgCK08NypNbGKuWB/Oj2udnbuldG6AghxAQgBWQEHOtw4vb5+ydHMApIewqkFgNGATk9ZTpJtqQhriKEEEJMPpUtPeQ64vqvwNpZDz1NofmPe1v24vV7WZi5MEpRCiGECJICMgKqWozW1aK0IQrI3AWgFFprdjXtktZVIYQQU0plSw9F6fH9Nw4xQgeQHCmEEBOAFJARUNPWC0BBWliC9Pvh2G7INZJhbXctLc6WKZ0cb7/9dubMmcPChQu56qqraGtrC+276667mDlzJrNnz+bZZ5+NXpBCCCHGVG1bLwWpAwrIY4ECMseY0rG7aTdZ8VnkJuZGOLqJQfKjEGIikQIyAuranQDkp4QlyPYq8HQbCwQAHzR/AMC8jHkRj2+iuPDCC9m1axc7duxg1qxZ3HXXXQDs2bOHRx55hN27d7Nx40ZuueUWfD5flKMVQggxWj6/5liHk/yBBWTjPnAUQFwKAB+0fMDcjLlRiHBikPwohJhIpICMgNq2XlITrMTbwuZ3NO03njON5ck/aPkAszIzK21WFCKcGC666CIsFgsAK1eupLq6GoDHH3+ctWvXYrfbKS0tZebMmWzevDmaoQohhBgDTV0uPD5N3lAFZKaRD51eJ0fajzAnfU4UIpwYJD8KISYSKSAj4FiHk1xHXP+NjXuN58D9rfa27KU0pZQ4y4DjpqgHHniASy+9FICamhqKiopC+woLC6mpqYlWaEIIIcZIfWCETr8c6fcbjaxZRsF4oPUAPu1jXvrUHaETTvKjECLaLNEOYCo41uEiN2VgAbkPErMgIR0whrCennd6FKKLrNWrV1NfXz9o+5133smaNWtCry0WCx//+McB0FoPOl4pNeT1N2zYwIYNGwBobGwcq7CFECJilFKJgFNrPenHItZ3DFFAdlSDpweyjB7ID1qMKR5zMiZ3D6TkRyFErJACMgKOdTiZm5fcf2PT/tDw1abeJhp7G5mbPvnnd2zatOm4+x966CGefPJJXnjhhVASLCwspKqqKnRMdXU1+fn5Q56/bt061q1bB8CyZcvGKGohhBg/SikTsBb4OLAccAF2pVQj8DSwQWt9IIohjpuGThcAOQ5738bGfcZzoAfyg5YPcNgc5CcO/f/+ZCH5UQgRK2QI6zjz+TVNXS5ywltXtTYSZKB1dW+LMZx1Ki8QALBx40Z++MMf8sQTT5CQ0HfLkyuvvJJHHnkEl8vFkSNHOHDgACtWrIhipEIIMaZeAmYA3wRytdZFWuts4GzgbeBupdSN0QxwvDR2ODEpyEgaooAMrhHQ/AFz0+cO27M2FUh+FEJMJNIDOc5aut34NWSGJ8euBnC29bWuBlZgnZ0+OwoRThxf/OIXcblcXHjhhYCxUMBvfvMbysvLue6665g3bx4Wi4X77rsPs9l8gqsJIUTMWK219gzcqLVuAf4P+D+llDXyYY2/xi4X6Yk2zKaw4rBxLyRkQmIGHr+HA60HuH7O9dELcgKQ/CiEmEgiVkAqpeKAKzBaVPOBXmAX8JTWenek4oi0pi5jeE5WclgB2RRsXe3rgSxIKsBhc0Q6vAnl4MGDw+5bv34969evj2A0QggRMf9QSv0FeFxr3T3UAUMVmJNBU5e7fwMrBBbQMRpUK9orcPvdMdvA2t3dTVxc3KiLOsmPQoiJJCJDWJVS3wHeBFYB7wC/Bf4GeDGG5jyvlFoYiVgirbnLDUBGoq1vY1NgKkuggNzfup/ZabGZHIUQQoza/cCHgSNKqb8qpT6ilLKd6KTJoKnLRUbSgB+1+SBkzASM/AixM0LH7/fzl7/8hcsvv5zs7GzmzJlDXl4e5eXl3H777Rw4MCmnsgohpphI9UC+q7X+zjD7/kcplQ0URyiWiGruNnog+yXIlsNgiYfkPJxeJ5WdlVxccnGUIhRCCBFNWuvHgceVUvHAlcBNwG+UUk8DD2utn49qgOOopdtNUVpq34beNuhphowZgHELD4uyUOoojUp8J+v8889n9erV3HXXXcyfPx+TyWinb2lp4aWXXuIb3/gGV111FTfeOCmntAohpohIFZDxSim71to11E6tdQPQEKFYIirYA5meGDZEp+UIpJeCycTh1sP4tZ+ytLIoRSiEEGIi0Fr3An8F/hoYlfMQRjE5aSe1tXS5SQ8fodN6xHhOnw7AgbYDlKSUYDXHxhTQTZs2YbUOjjU9PZ1rrrmGa665Bo9nUo5GFkJMIZEqID8O/EoptRF4GHhuKtzfCqC1x41JQWp8WEJpOdyvdRVgVtqsaIR30pxOJ08++SSvvfYatbW1xMfHM3/+fC6//HLKy8ujHZ4QQsQspVQOcB3GLT3ygL8D/x7VoMaR2+un0+XtP8Wj5bDxHCgg97fuZ0n2kihEd2quuuoqbrjhBtasWUNiYuKQxwxVYAohRCyJyBxIrfVVwEzgBeDLQJVS6tdKqXMi8fnR1NLtJjXBhim4wpzfb7SwphvDcQ60HsButlOcPPFH8H7nO9/hjDPO4K233uL000/ns5/9LNdddx0Wi4VvfOMbXHjhhezYsSPaYQohRExRSn1GKfUisA2YBXxdaz1da32H1np7dKMbP209xgid1KEKyLQSOtwd1HfXx9QInc985jP861//orS0lI997GP885//xO12RzssIYQYUxFbhVVr3YExHOchpVQG8FHgF0qpdK110Wivr5S6DfgRkKW1bgps+ybwKcAHfFlr/exoP+dktfV4SE0Ia23srAOvE9KMAnJ/636mp0zHbJr4I5SWL1/Od77znSH3fe1rX6OhoYHKysrIBiWEELHvDOBuYJPW2j8eHzARc2RrjzGUMy08RzYfhuQ8sCVy4NhWIHZG6ACsWbOGNWvW0NvbyxNPPMFDDz3E5z73OS677DKuv/760G04hBAilkX8PpBKqTTgauBjQDrGPa5Ge80i4EKgMmzbPIxhQOUYtw3ZpJSaFemhs609btIShh+ec6DtAGfknxHJkE5Zb28vLpcLu90+5P7s7Gyys7MjHJUQQsQ2rXVomGpg7mMJYflZa/3YaK4/UXNka6AHMn1gjgzmxxib4hEuPj6ej33sY3zsYx9jx44d3HTTTTz00EP4fFNi9o4QYpKL1G08kpVSnwisKPcBsBz4PlCstf7KGHzET4GvAzps2xrgEa21S2t9BDgIrBiDzzopbT2e/q2rYQVkq7OVpt6mmEmOf/7znykqKuKTn/wkzzzzjCRCIYQYQ0qpB4AHgGswbuvxYYz7J4/WhMyRbYEeyJSBOTJs/mOyNZmchJxIhjUmjh07xi9+8QvOPPNMPvKRj3DRRRexdevWaIclhBBjIlI9kEeAZ4FfAxvH8obISqkrgRqt9ftKqfBdBcDbYe+rA9siqr3Xw9w8R9+GlsNgskJKIQcbtgFQlhob8zv+8Y9/0NHRwT/+8Q9+/vOf86lPfYo1a9Zw/fXXc845k346qxBCjLeVWut5Y3nBiZwj23uNHsiU4CJzrk7obggVkIfaDjEzbSYD4p7Q7r//fh5++GH27dvH1VdfzT333MOZZ54Z7bCEEGJMRaqALNZa95zqyUqpTUDuELvWA/8BXDTUaUNs00NsQym1DlgHUFw8tovZtPd6cMSHfc0thyGtBExmDrcZvZHTU6eP6WeOJ4fDwU033cRNN91Ec3Mzjz76KF/60pdoaWmhqqoq2uEJIUQse0spNU9rvedkTorVHNnea7QlpwaHsLYEb+FRitaaQ+2HWF28esw+LxLefPNNvvGNb7B69erQPSCFEGKyiUgBGSwelVJXAP+NMb/DjJHAtNbaMfzZoLUeMoMopRYApUCwZbUQ2KaUWoHRmhq+OE8hUDvM9TcAGwCWLVs2ZAI9FV6fny6Xt691FaC1wigggUPth0i0Jsbk8JzW1lYee+wx/vrXv9LS0sI111wT7ZCEECLWPYRRRNYDLvpy5MLjnRSrObK914PZpEi0BRaRaztqPKeV0OJsod3VzozUGWP1cRHx4IMPhl7v2LGDiooKvF5vaNvVV18djbCEEGJMRXoRnXsxFtDZqbUedRLSWu8EQqu2KKUqgGVa6yal1BPAX5RS/4OxQEAZsHm0n3kyOpxG0uhXQLZVQuFyAA63HWZGyoyYGZ7T2dnJP//5Tx5++GG2bdvGlVdeybe+9S3OP//8mPkZhBBiAnsA+ASwExj1aqwTPUe293pwxFn68kdbYBRL6jQOtx8CYEZKbBWQQTfffDM7duygvLw81BOplJICUggxKUS6gKwCdo1F8XgiWuvdSqm/AXsAL/CFSK/A2hEYnuOICxSQzg5wtkGqMQToUPshzio4K5IhjUppaSkXX3wxn//857nkkkvkZshCCDG2KrXWT0TigyZGjvQObmC1JUF8GocrY2+KR7i3336bPXtOaiSyEELEjEgXkF8HnlZKvYIxPAcArfX/jMXFtdYlA97fCdw5Ftc+FZ2BHkhHMEG2B1tXi2h3tdPU2xRTrauVlZUkJCSM++f8+Mc/5vbbb6exsZHMzEwA7rrrLn7/+99jNpv5+c9/zsUXXzzucQghok9rTafLS12bk9r2XurbndEOaTztVUr9BfgX/XPkqG7jEXadkgHvo5wjPX35EYwCMqUIlIrpKR4Aq1atYs+ePcybN6ZrIkl+FGKK01rT3uuhrt1JXXsvde1O6tudoedIiXQBeSfQBcQBthMcG/M6nMEeyMDX3Ba4BVfqNI60G4sFxFLrarB4fPLJJ/nP//xPKioq8Pl8aK1RStHR0THqz6iqquL555/vt1DDnj17eOSRR9i9eze1tbWsXr2a/fv3YzabR/15Qojo6nX7qGnrobbNSIa1bUYSrA0kxrq2Xrrd/TvGMqMUawTEYxSO4YveaGBMCsiJpsPpJTku7NeQ9srQCJ1Ym+Ix0E033cSqVavIzc3FbreH8uSOHTtO+ZqSH4WY/HrcXmrbeqlpc1Lb1ht43Utdm5P6DiNPOj39ZziYFGQnx5GbEhexOCNdQKZrrYdaDW5S6gwUkMnBIazB+R0pRRyqex2A6SmxU0AGfeUrX+Gxxx5jwYIFY57cv/rVr3LPPfewZs2a0LbHH3+ctWvXYrfbKS0tZebMmWzevJlVq1aN6WcLIcaW1pq2Hg81bb1UtxpJsKa1LyHWtPXS0u3ud45SkJlkJz8ljplZSZw1M5P81DjyUuJDz1du+naUfqLxpbX+92jHEEmdTg9ZSUl9G9oqoeh0IPameAx0880387//+78sWLBgzFZjlfwoRGzTWtPY5QrkQWe/XBgsFlt7+t/p0GxS5CTbyUuNpzzfweq52eSmxJOXYhSMeSlxZCXZsZiN/2eWPTjUJ4+9SBeQm5RSF2mtn4vw50ZFcBGdUAtr21GwxEFSNofaDxFnjiM/KT+KEZ6aoqIi5s+fP+bF4xNPPEFBQQGLFi3qt72mpoaVK1eG3hcWFlJTUzOmny2EOHnBoTRVLb1UtfZQ2dJDVUsP1WFFYs+A3sM4q4mC1HgK0hKYX5BCYVo8BalGMsxPjSfHEYfNMrVuf6CU+hbwK611yzD7LwAStNZPRjay8dUZ3gPpbDceqcUxOcVjoOLiYq688soxu57kRyEmPq01Ld1uqluNnFjd2hvKiVWtPdS09uLy9u89TLJbKEg1GkgXF6VSEMiJ+anGc3ZyX3E4kUS6gPwC8HWllAvwMMLbeMSqroEFZHsVpBSCUhxuO0xpSikmNfH+UpzIPffcw2WXXca5556L3W4Pbf/a1752wnNXr15NfX39oO133nknP/jBD3juucFtC0OtuTRc8bphwwY2bNgAQGNj4wnjEUIcX6/bR3VrD1WtPUah2BIoFFt7qW7podPl7Xd8aoKVwrR4pmclcnZZFvmpcYEiMYGCtHjSEqwxOyxxHO0E/qWUcgLbgEaMqR5lwGJgE/CDqEU3TowCcsAIndTimJziMdCcOXO44YYb+PCHP9wvTx5vFVbJj0JMfD1uL5UtPRxtNhpMwwvE6tbBjaapCVaK0hKYnZPM6rk5FKTGU5hmFIj5qfH9FxKLIREtILXWyZH8vGjrCvxilWQPmwMZmN9R0VHBwqzj3tprwlq/fj1JSUk4nU7cbveJTwizadOmIbfv3LmTI0eOhFpXq6urWbJkCZs3b6awsJCqqqrQsdXV1eTnD91zu27dOtatWwfAsmXLTio2Iaaq9l4Plc09VDR3c7S5m4rmntD7hk5Xv2PjrCYK0xIoSotnRUkaRekJxvv0eIrSE/pWnRYjprV+HHhcKVUGnAnkAR3An4B1WuveaMY3Hvx+TZfLS1J4AytASl8BWeIoiU5wY6C3txe73d6v6DvRbTwkPwoRfcFexKMtRh482tzD0ZZu43VLD40DcmKS3UJhWjzTMhI5c2YmRWkJgbxoFIrJkzQnRqSAVEqVaK0rjrNfAQVa6+pIxBMpXS4vcVZTX9dzWxXkLsTpdVLbVcuaGWuOf4EJqqWlZciW0NFYsGABDQ0NofclJSVs2bKFzMxMrrzySm644Qa+9rWvUVtby4EDB1ixYsWYfr4Qk5nWmtYeD0ea+grEo83dRmJs7h405yI72U5JRiLnzsqiON1IhsYjnqwku/QgjhOt9QHgQLTjiIRud2CEjn3gInPFVBx7FYvJEpNTPIIefHDsJiJJfhRibGmtae52U9HUzeGmbiqaugP50Rhh0zVgZE2uI47ijATOm5XFtIwEijMSmZaeQHF6AqlTdFRNpHogf6SUMgGPA1vpG54zEzgf+BDwbWBSFZCdTi9J9kDLg7sbepogtZjKzko0mpKUkqjGd6pWr17Nc889x0UXRWY9pPLycq677jrmzZuHxWLhvvvukxXmhBhCr9tHRXM3hxu7OdLUxeGm4Otu2nv7ikSTgvzUeKZlJHDpgjxKMhIoTk+kJNNIiAm2SM9uEFNNaIRO+CrllnhIzKSivYLi5GIsptj7e/j973+fW265hfT09CH3v/jii/T09HDFFVeMyedJfhRieB1OT6g4DD6CRWPwVnsAFpOiKD2BaRkJLC9JCxWI0zKMxtM4q/ybGigi/ztrra9VSs0DPg7cjDE8pwf4AHgauFNrPelu7tXtClsgoD1QG6cWU9FeAcTu8Jz77ruPe+65B7vdjtVqHdPbeARVVFT0e79+/XrWr18/ZtcXIlb5/Zqatl4ONXaFisMjTd0cbuyidsA9oPJS4ijNTOTDi/IozUyiNDOBaRmJFKbFY7dIQhTRE1wjINE+eI2Aio6KmM2PCxYs4MMf/jBxcXEsWbKErKwsnE4nBw4cYPv27axevZr/+I//GNVnSH4Uoo/Pr6lpNXLiocYuDjYYz0eaumnq6ptmpRTkp8RTmpnIRxYXUJKZyPTMREoyjZxonYAL1UxkEWve01rvAabU/3BdLi+J9sAvacEC0lFARcduAKY5pkUpstHp7OyMdghCTHpur5+jzd0cbDAS4sGwxBh+D6jkOAvTs5JYOT2D0sxESrMSjefMROlJjBFKqR9qre9QSl2rtf57tOOJhL41AoI5sgZSCvD6vVR2VnJe0XnRC24U1qxZw5o1azhw4ABvvPEGdXV1OBwObrzxRjZs2EB8fHy0QxQiJjk9Pg43dnOwsYtDDX3F4pGm7n4rm6Yn2piRlciH5uRQmpVISUYi07MSKZaexDElv12Mo26Xl8TgL3AdtcZzSgEVVU+Rk5BDgjUhesGdgoqKCkpKSobdr7WmpqaGwsLCyAUlRIzrdfs41NjFgYbOvmKxoYujzT14/X0rLBakxjMz2ygUy7KTmJGdxPTMRNITbVNy/sUkc1ngVh7fBKZEAdntMlYq7MuRNTDjQ9R01eD1e2O2BzKorKyMsrKyaIchRMzpcXs52NDF/mNdHDjWyf5jnRxs7KK6tZfgosNKQVFaAjOyEjm7LJMZWUnMzE5ielYS6Ym26P4AU4QUkOOo2+0lJznOeNMRuC9Tcr4xPCcG5z/efvvt+P1+1qxZw9KlS0NDcw4ePMhLL73ECy+8wHe/+10pIIUYgsfnp6Kpm33HOtlf38neeiMxHm3pCSVFi0kxLSOBmdlJXDI/l7Ls5EBSlN7ESW4j0AQkKqU6CNziikl8q6tgD2Si3QI+D3TWgyM/NMWjNKU0itEJIcZbsEdxf6BINB5dVLX25USb2cT0rEQWF6VxzZJCZmYnMSMridLMROlNjDL5jWQc9bh8JGSGzYFMzEabrVS0V3DZ9MuiG9wp+Pvf/86ePXv485//zAMPPEBdXR0JCQnMnTuXyy67jPXr1xMXFxftMIWIquAcxf3H+orEffWdHG7sxu0zhtmYTYqSjATK81O46rRCZuUkUZaTRHF6IjaLzMOYarTWtwO3K6Ue11rH5vLcJ6nHHVZAdtYD2hih01EBxO4aAUKI/vx+TWVLDx/UdfBBvdGAuv9YJxXN3fjDGk+nZyWyoDCFa5YUMjs3ibKcZKalJ/TdyUBMKBEvIJVSVwNnYbSuvq61/kekY4iULpeXRFughaSjFlIKaHY20+npjNnW1Xnz5nHnnXdGOwwhJoQet5d99Z3sqevgg7oO9tR2sK++k+6wGwkXpMYzOzeZ82ZnMzs3idk5DqZnSeupGExrvUYpNQ0o01pvUkrFAxat9aSbeB78N5JoN0NrYISOo5Ajja+Tak8lNS41esGNwh133MEPf/hD/v73v3PttddGOxwhIqrT6WFffaeRD+s62Vtv5MSewL93k4KSjERm5SRzxcI8ynKSmZ2bTEmGNJ7GmogWkEqpX2HcuuPhwKbPKqVWa62/EMk4IqXH7etbYa6jBjJmhobnxOoCOkJMRVprGjpd7KntYE9dR6hgPNLUHRpqk2y3MDffwUeXFjI718Hs3GTKcpJwTNKbCIuxp5T6DLAOSAdmAIXAbzBudTWp9ASHsNosfVM8HPkcPXQ0pnsfn376ab7//e9z1113SQEpJi2t+3oV99QZBePe+g6qWnpDxzjiLMzNc3DdsiLm5TmYk5fMrJxkaTydJCLdA3kuMF9r41cupdRDwM4IxxARWmt63F4SwnsgS8+lqrMKkAJSiInK79ccae5mV007u2s7QkVjS3ffcuBF6fHMzXVw5aJ85uY5mJfnoDAtXhazEaP1BWAF8A6A1vqAUio7uiGNj2APZLzV3LfInCOfys5KVuatjGJko3PJJZeQmZlJd3c3DocjdJur8bjdlRCR4PNrjjR1s7u2nZ3V7eyqNXJj8D6KJgUlmYksLEzlY8uKmJvnYG6eg7yUOMmJk1ikC8h9QDFwNPC+CNgR4RgiwuX149cYC1+4usDVAY48jnYcxaIs5CXmRTtEIaa88GJxR3U7O2va2VPbEVrgw2YxMTsnmQvn5jA3L5l5+SnMyUuWXkUxXlxaa3fwly6llAVjusek0+PyEm81YzIp6KgDawK9FhsNPQ0UJxdHO7xT9qMf/Ygf/ehHrFmzhscffzza4QhxUrw+PwcaukINqLtq2tlT1xEagmqzmJibZzSeluenUJ7vYFZOMvE26VWcaiJdQGYAHyilNgfeLwfeUko9AaC1vjLC8Yyb7tAKc+bAAgFAch6VrZspTC7EYort9Ysee+wxXn/9dZRSnHXWWVx11VXRDkmI4woWizsDheLAYtEeSIxXnVbAgoIUFhSmMDM7SW4uLCLpFaXUfwDxSqkLgVuAf0U5pnHR4/H13Se5s9bIj5NohM7jjz/O0aNHOXDgAKtXr6a3txev10tycnK0QxMCMHoWDzR0sqOqnfer29hV28Heuo7QPRUTbGbK840hqPMLUphf4GBGluREYYh0FfNfEf68qOkJH57TWWlsTM6j8mglxY7YbV0FuOWWWzh48CDXX389AL/97W/ZtGkT9913X5QjE8Kgtaau3cn7VW1sr27j/ao2dtX0Lxbn5Tu4ekkB8wtSWFAgxaKYEO4APo0xteOzwNPA76Ia0Tjpdfv6ei066sCRH5riEes5EuD+++9nw4YNtLS0cOjQIaqrq/nc5z7HCy+8EO3QxBSkteZocw/vV7exo7qdHdVGTuz1GL+rJtstlBc4+OSqacwvSKE8P4XSzETMJhmCKoYWkQJSKfVL4C9a61ci8XkTQfAfZYLNYiRHQCfnUdlZyfLc5dEMbdReeeUVdu3aFRrbftNNN7FgwYIoRyWmsk6nh/er2tle1cr2QGtqY6cLAKtZMS/Ys1hoFItl2UmyNLiYUJRSJmCH1no+cH+04xlv3S4vCdbAryCdtVC0kqMdxuyWWB7CGnTfffexefNmTj/9dADKyspoaGiIclRiqmjrcbO9qi30eL+qjdYeD2A0oJbnO/jY8iIWFaWwsDCV0oxEYzi5ECMUqR7IA8BPlFJ5wF+Bh7XW2yP02VER7IFMsJmhyVggoMlqo9fbG/Otq7Nnz6ayspJp04xhRlVVVSxcuDDKUYmpwu/XHGzs4r3KVt6rbOO9yjb2N3SGVkOdnpXI2TMzWVSUyqKiVObmJWO3yPwMMbFprf1KqfeVUsVa68poxzPeej2BHkitjWkejjyqOqtIj0snyZYU7fBGzW63Y7PZQu+9Xq8sKCLGhdfnZ9+xTrZVtvHe0Vbeq2rjSFM3AEpBWXYSF87LYXFRGouKUpiVkyyjbcSoRaSA1Fr/DPhZ4P5Wa4EHlVJxGLfzeERrvT8ScURS8CbJcdbAHEi7g6POJiD2W1ebm5uZO3cuK1asAODdd99l1apVXHmlMYX1iSeeiGZ4YpLpcnnZXtnG1qOtbDnawvaqttDqbynxVk4rTuWyBXmcVpzKosJUUhJkgRsRs/KA3YF1ArqDGyfT+gBBvW6f0cDa0ww+NyTnc7TtnUkx/xHg3HPP5Qc/+AG9vb08//zz/OpXv+LDH/5wtMMSk0Bbj5ttla1sO2rkxfer20KdFplJNhYXpfHRpYWcVpTKgsIUkmXRNzEOIjoHUmt9FPgh8EOl1GnAA8C3gUnXPdAb3gPZWQdJOZNmfsf3vve9aIcgJrHq1h6jWKxoZevRVvbWd+DXRkvq7JxkrlyUz5LiNE4rTqU0M1Fa9acSdzd0TephgN+NdgCR0uP2kZpgDVtkLpfKykpW5sfuLTzC/fCHP+R3v/sdCxYs4Le//S2XXXYZn/70p6MdlogxwfstvlvRytajLbxb0crBhi4AzCbF3LxkPrq0kCXFaSwpTqMoXW4nNaW4uqC7AboaA8+Ry48RLSCVUlbgEoxeyA8BrzBJE2bfHEhzaHhOrN/C44tf/CI33HAD55577rh9xi9+8Qt++ctfYrFYuPzyy7nnnnsAuOuuu/j973+P2Wzm5z//ORdffPG4xSAiJzgcdfORFt6taOHdIy3UtjsBSLSZOa04jS9dUMbSaUbBKC2pk9BQCbCroe91dyN0HTP2e4KdcmVRDXmsTcV1ApweX98IHaAnIZ2G3oZJ0QPp9/tZuHAhu3bt4jOf+cyYXVfy4+Tn82s+qOvgnSMtbKkwCsamLmM+f3KchaXT0rjqtAKWFBvDURNssb2ivxhAa3B1BvLeMHkwfJunZ4iLRCY/RmoRnQuB64HLgc3AI8A6rXX3cU+MYcHhBEaCrIPiVVR2Vsb0LTzKysr4f//v/1FXV8fHPvYxrr/+ehYvXjxm13/ppZd4/PHH2bFjB3a7PbTgwJ49e3jkkUfYvXs3tbW1rF69mv3792M2T7qO60nP6/Ozp66Ddw638M6RZrYcbaUtMLE/O9nO8tJ01k1LY1lJOnPzHLICXKzy9PYlu65jgUfDEAVieFE4QHw6JOVAUhYULIOkbEjMMp6fvDeiP04ETLl1Ano9PmOV8i6jgKxSRs6M9SkeACaTiUWLFlFZWUlx8dj8PJIfJyePz8/OmnY2H2nhncPNbKlopTOwWnhBajxnzcxgWUk6y0rSmJWdLAvdxKrg6JlQ7jvW974r8D6YH73OIS6gICGjLw8WrYDEbCM/JmYPyI+RmfEQqUrmP4C/ALdprVsi9JlR5Qz0QMZZTNB5LDCEdS9FyUVRjuzU3Xrrrdx6660cPXqURx55hH//93/H6XRy/fXXs3btWmbNmjWq6//617/mG9/4Bna7HYDs7GzAuJ/W2rVrsdvtlJaWMnPmTDZv3syqVatG/TOJ8eX1+dld28Hbh5t554jRwxhMjqWZiVw0L4flJemsKE2nOD1Bht5MZF630eLZHZbw+hWJwSTYCK6OIS6gICFQFCZmQeHyvgSYlNM/GSZmgvl4vc33jtMPGR1TcZ2AXo+vb4oHUO03fmkqcsRujgxXV1dHeXk5K1asIDExMbT9VNcIkPw4OTg9Pt6vauOdIy1sPtLC1qOtoRFrM7ISuWJRPiunp7O8JJ381PgoRyuOy+seUAwOaDTtDsuN7q4hLhAsCgMNpenTjQIwKXtwcZiQCeaJ1fkUqUV0zo/E50wkoTmQ/k7wudBJOVQ1Pc+S7CVRjmz0pk2bxh133MEdd9zBe++9x80338x3v/tdfD7fqK67f/9+XnvtNdavX09cXBw//vGPWb58OTU1Naxc2TcvprCwkJqamtH+GGIceAOtqe8caeHtQGtq8N6L07MS+fDifFZOz+D00nRyHHFRjlagNfS29u8l7DpmDCvsGpAYe4dp+4tLCSS5HMhb1JcMk3ICj+wRFoViqq0TYIzQOQZxqVT1HAOI6UbWcN/+9rfH9HqSH2OT2+vn/eo23jzYzFuHm9hW2Ybb6w/N6f/Y8iJWlBoFY1ayPdrhCr9/6JzY7xHMia1DXyMutS/35Z/W12ga3JYUyJcTsCg8GbEb+QTn9PgBiHM2AtAa76Db0z0pkqPH42Hjxo088sgjvPDCC5x77rkjTparV6+mvr5+0PY777wTr9dLa2srb7/9Nu+++y7XXXcdhw8fRgfvzxBmuJ6qDRs2sGHDBgAaGxtP4qcSp8Lv1+yt7+TNQ028eaiZzUdaQgXjjKxE1oQVjNlSMEaOx9nXU9hZH5b0wgrDzkAy9HsGn2+JMxJcci5kzICSM/taQkOFYaB11Cp/rmNlqqwT4PdrXF6/cRuP5npIzqWqs4oUewoOmyPa4Y3KaNYKkPwY+3x+ze7adt442Mybh5rYUmH0MCoFc3MdfGLlNFZOz2B5SRqpCbYTX1CMDY/TyH+dx/rnwX4Np4FhpH7v4PMt8ZAcyH2ZZVByFiTlBhpLc8NG0mSBZWo0BEgBOU56PT5sZhPmHmOeQlWg/TiWC8jnn3+ehx9+mKeeeooVK1awdu1aNmzY0G94zols2rRp2H2//vWvufrqq1FKsWLFCkwmE01NTRQWFlJVVRU6rrq6mvz8/CGvsW7dOtatWwfAsmXLRhyXGLmqlh5eP9jE6webeOtQMy3dbsAYknrl4nxWTc/g9OnpZCdLYTHmXJ19CTBYGA717Gwb4mRl9AIGC8DM2X0FYTAxBh/2ZGPZWxERU22dAKfXGK0SH+yBDKxSXpQUu/kxaDRrBUh+jD1aa440dfP6wSbeCOTEjsBtpmblJPGx5UWsnJ7ByunpUjCONa2N6RLhRWFnff9CMfjsbB98vjL1zRtMyoHseYHXuQMaS7MlJw5BCshxYqwwF5j/CFRpo5U/lgvIH/zgB9xwww38+Mc/Jj09fcyv/5GPfIQXX3yR8847j/379+N2u8nMzOTKK6/khhtu4Gtf+xq1tbUcOHAgdA9KMf7aezy8eaiJ1wIJ8mizsepXjsPOebOyOGNmJqtmZFAg8zVOjdbQ03LiorCrYegFZ8z2QAGYG2gZPTusKAxLhIlZMT1cZpKbUusEhEboBBfRKV5FVWcVCzIXRDmy0RuvtQIkP04czV0u3jjUzOsHGnn9QFNo5fDCtHgunZ/HGTMzWDUjQxpRT5Xfb0yZGK4YDH/29g4+PzwnZs2C0nPC8mFYbkzMBNOkmx0QMfLbxDgJLVHeFSggvZ0oFAXJBVGO7NS99NJL43r9m2++mZtvvpn58+djs9l46KGHUEpRXl7Oddddx7x587BYLNx3332ywtw48vqMORuv7m/itQONbK9qw68hyW5h5fQM/v2MEs4qy2RGVpIsenM8fp8xib6z/gSJcJhhpLbkvkRXsMR4Dr4Pf45LnVIto26fO9ohjLmptk5Ab2iROQVdDXgSM6k7tplLSy+NcmRjZ6zXCpD8GD1ur59tla28ur+RVw80sqvGWCTMEWfhjBmZ3HJ+JmeXZTItY+SjsaakUE6sM3JfZ12ggbSuf04cbhip3dE3taJgmfEcfB/sLZyCOdHpddLh7qDD1UGnpzNinysF5DjpDS8gLfFU9zSQnZCN3Tw1xkafCpvNxp/+9Kch961fv57169dHOKKpo77dyav7G3l5fwOvHWii0+nFpGBhYSpfPH8m58zKYlFRKlazKdqhRp/fB91NgaRX39dzGHrU9c2t0P7B58en9yW8zFn9E2D4s23y/jLi9rlDCa/DbTzaXe0j2ubyubAj/4/GsuAq5Un0gNdJvT0Rn/bF9AidgUazVsBQJD9GVlVLD6/sb+TlfY28daiJbrcPs0mxpDiV/3fhLM4qy2RhYarcagrA5+0rDMMLwoHvuxuGzokJmX25L3veEDkxMKzUlhD5ny1CXD5XvzzX4eqg3d0+om1uf/9G1UjlRykgx4kzdI+rBkjOobqrhsLkwmiHJQRg3Htq29FWXtrXyMv7Gthbb7Ra5TjsXDY/j3NmZXHmzIypNWfD74ee5r7CcOBzaIhpA+ghehESMiE5z2gBzZ1vvA4mwODrpOxJM8He4/fQ6e4cVOSF3g+zrdPdSe9Qw47CJFmTcNgcOOwOHDYHpSmlodcOm4Pf8tsI/ZRiPARXKU/xGaN1qyxGj9lkKCDHYq0AEXkur4/NR1p4eV8jL+1r4HCjMV2gIDWeNacVcE5ZFmfMzMARN4VWkvb7oadp+JwYfO5uHKIwVMa0ieQcI//lLuzLj8l5gRE0geJwkqzO7fF5jAJvBA2hodeBZ6dvqHs/9km2JvfLgTNSZ/TLkeGPL//iyxH5eSdFAamU+hLwRcALPKW1/npg+zeBTwE+4Mta62cjFZPT4zfmQHYZCwRUd1ZzRsEZkfp4IQZp6nIZyXFvA68eaKTT6cViUiwrSeMbl87hvNlZzM5JnnzDUoMT7TvqwhJfbV8C7AgrEIcaNpOQ0ZfssssDBWFuX2GYnGusRmqJvWLb5/fR5enql+CCLZyDWjoHJMIeb89xrx1viQ+tqumwOShOLu57H5b0Bm5LtiVjMR0/NUkBeXImWo50BRbRSfIaBWS1Mv7dTYZG1vFeK0CMnfp2Jy/ubeDFvQ28eaiJHrcPm8XEyukZ3Hj6NM6dncX0zMTJmROdbUbe66gdoigM5sRjQ+fExKy+/Je3KKyxNKxATMyKycLQ5/fR6e4c1PAZfD2owTQsT56oYTTBktAv301zTOuXI4PbU2wp/XJksi0Z8wScqxnzBaRS6nxgDbBQa+1SSmUHts/DWAq9HMgHNimlZmk9VNfB2Ov1+LAHeiBd6aU09O6iICl25z+K2KO1Zk9dBy9+0MALext4v7oNrSEr2ehlPH9OFmfOzCQ5lltUg0tzh4rDuv5FYbBQ9AxR7MSl9BWAmWf3LwiDz0k5E77HUGtNj7dn2OJvYOILT4pd7i40g28DEBRnjutX3OUn5TPHNqd/0rMPKAQDD2sM/vIwGU3EHBlcRCfRHSggfb1YTVayE7LH+6PH3XivFSBOnd+v2VHTzosfHOOFvQ3srjXmMhakxnP1kgIumJPNqumZxu1lYpWnt68A7Fcc1vVvRB2q2IlL7ct9WXMCOTAXHHkx1Viqtabb0z1s3huuGBzJHMJ4SzzJtuRQvitMKsSRPrghdGDjaLItGatpcuXEmC8ggc8Dd2utXQBa64bA9jXAI4HtR5RSB4EVwFuRCMrl9ZMSb4W2Y9QULgL35GhdFROby+vj7cMtPL+nnhc/aAitDreoMIWvfGgWH5qbzbw8B6aJPm8juApbR20g8Q14DibHoW5ub4kLFIH5kLcYZueHFYV5fb2HE2yOYWj4S1jhN7AgHLJ30NWBVw/RShxgUZZ+RV5mfGa/4S/B1s6Bzw6bA5t5Yv+iIEZkwuXI4BzIeHczANXudgqSCjApmWMtxlav28frB5vYtMcoGpu6XJgULJ2Wxh2XzOFDc7Mpy46BBeGCw0lDOTBYENb2b0Ad6ub2lvi+IrBgaf9GUkdYfrROrJXU3T73sA2gw/YIBt77jtMOZjFZ+uW7rIQsZqTO6NcIGnw9sDCUnNhnMhSQs4CzlVJ3Ak6MZdDfBQqAt8OOqw5siwiXx0dikhl6W6i2GX/hCpOkgBRjr73Xw8v7Gnhu9zFe3tdAt9uYf3t2WSZfWT2L8+ZkTazlxL2uvuTXUTM4EXbUGr2Kg1bbVMZ8ieQ8SCmCohVGkZgcbCENvI5Pi9oKbFrr0JDQkfYGBl+faPhLsi25X9LLS8zrV+wNTHjB53hL/MT/5UiMpwmXI13ewG083E1gslDd2yANrGLMNHe5eOGDBp7bU89rB5pwef0k2y2cMzuLC+fmcO6sLNISJ1Ah4HEO3VDa77l+8GrdymT0CDryIK0Uilf1z4WOfCNfxqVELSf6tT80JLTDNSD3heXIoQrC480LVCiSbcn9cl1+Un7o/fFGyUhOHBsxUUAqpTYBuUPsWo/xM6QBK4HlwN+UUtOBof52DDlWSym1DlgHUFxcPBYh4/T4yFTG8IjqQKOqJEgxVo51OHludz3P7TnGW4ea8fo1Wcl2rlxcwIXzsjljRqaxCnCkOTsCya7WeA5/BLf1NA8+z5pgJDpHPhSv7EuC4c9JORGbUxG+SujA5BZe9A1VHPqHWmUuYOCQ0IKkAuamz+2X8IZ6TrImTcg5EGJiiLUcGeyBtDubIDGL6q5qFmUtGvV1xdRV1dLDs7vreXZ3PVuPtuLXxtDUtcuLuHBeLitK07FZItzDrbVxA/uBOTC8MBxuJI01sa/XcNoZgfxYEDacNJgTI/NrfLA38EQFYLBIDF9M5oTTJMIKvOLk4uOOjAk+S06MvpgoILXWq4fbp5T6PPCY1loDm5VSfiATozU1fEm3QqB2mOtvADYALFu2bPi/6SfB5fWTQRsA1dpDnDmOjLiMsbi0mKKONnezcVc9G3fX815lGwDTMxP59NnTuag8h8WFqeM3NFVrY2hMe3Ug6dWEJcGavkTo7hp8bkJGoCU03xg+4ygIJMNgcZg/Li2kwbmBoaJvYCvngCGhI+0NDLZ8pthTQsmsMKnQSG5hRd9QQ0LjLBOoJ1hMGrGWI4NzIK3OZtoTM+h0d0gDqzgpWmv2H+sK5cQP6owG+7l5Dr50QRkXlecwL88xfj1NWhuNoaH8F5YHO2r6RtN4ugefm5gVGElT2DeSJlgYBotEu2NccmK3p3tQvhtqREx4zjzRytkmZQqNkAk2hhY5ioYs/vo1kNodcmu7GBYTBeQJ/BO4AHhZKTULsAFNwBPAX5RS/4OxQEAZsDlSQTk9PtII9ED6uilIKpAuc3FStNYcaDAS5DO7+hLk/AIHt100i0vm5zIzO3ksPmhAcRh4bq8JJMJAYvQOGE6iTH0toVlzYMYFRjHoKOgbOpOcB9bRFU3BVdHCk95wLZ7hCfBEcwNtJhup9tRhewOHSnrByfAyV0vEkH8ywXJkcBVWS08jh5JSwd8hi8yJE9Jas6umg2d21bFxVz2Hm7pRCpZNS+Nbl8/lonm5FGeMwb0Cg8Vhe7WR/9prBhSKgdcDp1mYLH15L6ccyi4K5MSwxtLk3FEvzOb1e0O3UBrUCzigQXTgKJnjzQ0c2BtYlFTE/Iz5g4eF2gePkJGcOPVMhgLyAeABpdQuwA3cFGhp3a2U+huwB2Pp8i9EagVWMHog0/zGZOYadxsFjrEZGismN601+4518vSOOp7aWcehRiNBLi02EuTF5bkUpZ9kgnT3BJJglZEQ26uNhNhe1ZccB7YuBhOhI7AQzZzLwwrDfEgpMOZenMTwmfBFYvoluPCCcIjk1+k+/qpoSdakfsksNzF3cPE3RCuo9AaKKWLC5chgD6Spt4majGzoRQpIMSStNe9Xt/P0zjqe3llHdWsvZpNi1fQMbj6rlIvKc05+jr+rMywXVocVitV9Dak+V/9zTFajEHQUQsEymBtoLE0p6Gs4TcyCkxhWGZwqMVQuHDRtIixHnmil0OA9A4N5MThffripEsHXkhPFyYj5AlJr7QZuHGbfncCdkY3I4PL6cfjb0EBtbyNL81dFIwwRI/bVd/Lkjlqe2lnH4cZuTApWlKZz0xklXFKeS7ZjmP/Yg72HbZXGo70K2qoCxWKgYBw051AFJtgXBFpJLw4kwQJjSI2jwFisZphE6PQ6jYTWcXhEiS/4+nj3DTQpU7+hn2lxaZSklAyb9FLtqaTYU0Z0z0AhprKJmCONHkiN6m6ixmL8+y1IlgJSGLTW7Kxp56kddTy5o46atl4sJsVZZZl8+YIyLpyXM/wiOFpDd1MgJx4Ny4nVfXnR2d7/HGXqaxjNPw3mXmEUiqHisDBQHA7uZdNa4/QFcmLbwX65r83V1tdoOsTQ0BMNCw1vAE2PS2d6yvT+o2MGTJmQnCgiSf6WjQOvz4/Pr3H42uiwJdDl6ZbWVTHIwYYuo2jcUceBhi5MClZOz+DmM0u5uDyXrOTAMBevG5oOQMsRaK3oe7QdhdajMLCHzpZkrFKaUmjMOUwp7HufUgjJeWiThV5v7+Bk13OE9tbtQ/YEBl+7BrbMhrGYLEZxF0hweYl5zE6f3S/pBfeHegZlCIwQU4rL6yfd7EL5XNSYdGg1RTF1BUff/Ov9Wv71fh2VLT1YTIozZ2Zy6+oyLpqXQ2pCoGh0d8OxA9ByOCwnHu1rSB1YmNlTILXIyIPFK/vnw5RCSMpFm8z0ensH5bv27sO0t7zXr7G0zdXWL3e6/QNXDO8TnhNT7CnkJeUxxzZn2FwYbCBNtCZKThQTmhSQ4yC4RHmSt5Xq5ExAbuEhDFUtPTy5o45/vV/LnroOlIIVJen895p5XFbsI6O3Apo3wmsHoTnwaK+G8JU9rQmQVgKp02DambgdBbQlZ9IWn0K7LZ52/LS5+ye79qZK2mv7Dxs9XtKzmWx9cwHD5kIE5wyGt3im2FOM7bI8thBiBFweP3kWo+Grxu+S/DiFVTR188T7tfzr/VoONHRhNinOmJHBl84t5uK8HhxdR6D5dXj+EDQfMhpSu+r7X8SeAmnTILMMyi7E6cinLTGd9jgH7fYE2rQnVPS1OdtodzfT3niY9ur+DaSegbfJCBNcQTslzsh90xzTQsVeeE4Mjo6RW0aIyU4KyHEQLCATva3sjncAHTI8Zwo71uHkqR11/GtHLe9VtpFBO1fmNvOdBc0ssNYQ37ofXtwPnh400GEy0RzvoCW1kJbcmbROX0arPYE2i5VWBW3eXlpdrbS5WmhrPUxv4/DDYIILxYQnvYFF38CEl2pPlbkQQohx4/L6yDF3gh9qvJ3MSFsY7ZBEBDV0Onny/Toe317DjupWilQjV+Y08+PyJuaYqrG37oeNh0D78AJtZhMtSdm0pOTTWryQ1oSzaLPF02q20KY0rd5u2l3ttDqP0d64H2f98PcPjDPH9WscLU0p7fc+WAQObCiVnChEf1JAjoPgCnMJnhZqUpNkhbkR2r59O5/73OdwOp1YLBZ+9atfsWLFCgDuuusufv/732M2m/n5z3/OxRdfHOVoj6+5y8Uzu+p5afs+vJVbWKQO8bWEo2SkV9Lmb+eY18y2RjMb45JpjE+msWQGTfho9vXiDa1j0QGuDgiMGE2yJpFqTyU9Lp3M+Exmps4kNS6VVHtqqAgMf51iTyHOHCetn0KICcXl9ZNj7kT7odbVyjmSH08o1vNjt8vLc3vqefndHXiPvs0CdYDbEypISKuhRbmp91t4odXCI/EpNDoSaE6bSzNe2ry9YfcRbILeJug1bqfksDtIs6cZi6cl5DI7bbaRA+P658PwhlIpBIUYG1JAjgNXYIW5OHcLNZYUHBhL/4vj+/rXv863v/1tLr30Up5++mm+/vWv8/LLL7Nnzx4eeeQRdu/eTW1tLatXr2b//v2YzRPrJrLH2nt5c8sWDu9+Cmf3VuJsNRTZe6kotvCU1cKDFgtgB7JD56TZ08hOyCYzIZOy+Cwy4jLIiM8gPS499EiLSyPNnobVbI3azyaEEGPF7fWTb+qgyWzC5ffICJ0RiMX86PNr3tnxAVs3/4W21rcw2Wow2z1UT7PwrtVCr8kEpISOt5qsZMVnkZWQRXFcBqfFG/kwIy4jlAuDzw6bQxaLESKK5F/fODCGsGrsrlZq1DQKEiU5joRSio4O416H7e3t5OfnA/D444+zdu1a7HY7paWlzJw5k82bN7NqVXRXtm1pb+KN955kd8Wr1HXvpcncyhGbic4UUyAnmkkzZVKSlM/K9NkUppZSmFRIbmIueYl5ZCdkYzMPs5KcEEJMUi6vj0zV0bcCq/RAnlAs5ce33n+eZ7feT4VrLwftXtrtZsgFk7ZSaMtgmqOEFZnlFDiKyU/KJy8xj9zEXFLtqTJiRogYIQXkOHB7/STTi0l7qNUupktyHJF7772Xiy++mNtuuw2/38+bb74JQE1NDStXrgwdV1hYSE1NTVRivO33l3LQV0On2UeTWeFXChTYEzTFOplzHaUsmraKssKzmJFmDDEVQgjRx+X1k047tfHGyqtSQJ5YLOTHt3Zs5J63v85BuwYz5NkUS23TWTXzfBbMuJiZ6WXYzfaoxCaEGFtSQI4Dl9dHhmo37gHp6eKspPxohzRhrF69mvr6+kHb77zzTl544QV++tOfcs011/C3v/2NT33qU2zatAnjntf9DddKuWHDBjZs2ABAY2Pj2AYP2E1xJHlt5PjiON2USUlGOavmX8q8aaswn8QNhIUQYqpyefyk0cEH8UmAn7zEvGiHNCHEen6cVbwY89uKa00LuPqMLzJ/htz/WojJSgrIceD2+smggxaTCaf2ki8FZMimTZuG3ffJT36Sn/3sZwBce+21fPrTnwaMFtWqqqrQcdXV1aHhOwOtW7eOdevWAbBs2bKxCjvkzn//x5hfUwghphKX10eK7qDGFkd6XBwJ1oRohzQhxHp+zEjN5dF174/5dYUQE4/cpXQcuLx+MlQHtYH5HfmJUkCORH5+Pq+88goAL774ImVlZQBceeWVPPLII7hcLo4cOcKBAwdCq88JIYSILW6fnxR/O7Vmk+THEZL8KISYSKQHchy4vH7SVBc11sACAbLC3Ijcf//93HrrrXi9XuLi4kJDbcrLy7nuuuuYN28eFouF++67b0KsMCeEEOLkub1+HP52apWNWTJCZ0QkPwohJhIpIMeB22cMYa21GP+JywIBI3PWWWexdevWIfetX7+e9evXn9T1KioqxmWYTmNjI1lZWWN+3fEkMUeGxBwZe/fujXYIYhTcHh8JvnZq/Q7OkwJyRGIlP0Js/p8iMUdGrMUca/FC5PKjFJDjwO31k66M+R0p9hQSrYnRDmlKampqGpfrLlu2jC1btozLtceLxBwZEnNkjNcvviIy7N5O2swaN35ZIyBKxis/Quz+nyIxj79YiznW4oXI5UeZAzkOXF4f6aqTGluczO8QQgghwsR720NrBMgIHSGEiD1SQI4Dt9dPOp3UWSzSuiqEEEKESfL3FZByCw8hhIg9UkCOA7fXT6rqoNYk97eajILLoMcSiTkyJObIiMWYRZ9kX1tojQBpZJ18YvHfp8QcGbEWc6zFC5GLWQ11E9qpbNmyZXq0451/8cIBzn/tUq4vjeeO5Xdw47wbxyg6IYQQY0kptVVrLZMqR2i0OVJrzR3f+jrJuX/n2Yx8Xr/hzTGMTgghxFg5Xn6UHshx4Pb5cVp7AchLkh5IIYQQAsDr16TRSa3FQr7kRyGEiElSQI4Dn6eXNqsPQBbRiTEbN25k9uzZzJw5k7vvvnvQfq01X/7yl5k5cyYLFy5k27ZtIz53osV78803k52dzfz58yMSa9CpxlxVVcX555/P3LlzKS8v52c/+9mEj9npdLJixQoWLVpEeXk53/72tyd8zEE+n4/TTjuNK664IlIhjyrmkpISFixYwOLFi2WV1gnMHbhPcq3FQl5SYbTDESch1vLjaGOWHDn+MUuOPDkTKkdqreUR9li6dKkerZ88+pJ+6CcFev4f5us2Z9uoryciw+v16unTp+tDhw5pl8ulFy5cqHfv3t3vmKeeekpfcskl2u/367feekuvWLFixOdOpHi11vqVV17RW7du1eXl5eMa51jFXFtbq7du3aq11rqjo0OXlZWN+3c82pj9fr/u7OzUWmvtdrv1ihUr9FtvvTWhYw76yU9+oq+//np9+eWXj3u8YxHztGnTdGNj40l/LrBFT4DcEyuP0ebIli6Xfnj9h/XyB8r13e/cPapriciJtfw42pi1lhwZiZglR0Yu5lPJkcfLj9IDOQ6srhZqLRYSTDYcNke0wxEjtHnzZmbOnMn06dOx2WysXbuWxx9/vN8xjz/+OJ/85CdRSrFy5Ura2tqoq6sb0bkTKV6Ac845h/T09HGNcSxjzsvLY8mSJQAkJyczd+5campqJnTMSimSkpIA8Hg8eDwelFITOmaA6upqnnrqKT796U+Pe6xjFbOIDW6fnzhzB70mJQvoxJBYy4+jjRkkR0YiZsmRkYt5rEkBOQ5sbmOFufy4jIj8QxBjo6amhqKiotD7wsLCQf/5DnfMSM6dSPFGy1jFXFFRwXvvvcfpp58+vgGPMJ7jHePz+Vi8eDHZ2dlceOGFMRHzV77yFe655x5MpsiliNHGrJTioosuYunSpWzYsCEyQYuT5vb68Vo7AbmFRyyJtfw42pijRXKk5MjhTLQcKQXkOLB7Oqi3WMhLyIl2KOIkGL31/Q1sABjumJGcO9ZGE2+0jEXMXV1dXHPNNdx77704HOPfwz/amM1mM9u3b6e6uprNmzeza9eu8Ql0hPGc6Jgnn3yS7Oxsli5dOm7xDWW03/Mbb7zBtm3beOaZZ7jvvvt49dVXxydQMSqyyFxsirX8eLx4TvaYSJIcKTlyOBMtR0oBOQ5snnZqLGbykgqiHYo4CYWFhVRVVYXeV1dXk5+fP6JjRnLuRIo3WkYbs8fj4ZprruHjH/84V199dUzEHJSamsp5553Hxo0bxzfgEcYz3DFvvPEGTzzxBCUlJaxdu5YXX3yRG28c/1sRjfZ7Dj5nZ2dz1VVXsXnz5nGPWZw8j89Pp8UNyCJzsSTW8uNoY44WyZGSI8cjZhiHHDnc5Mip+hiLRXT+/LMv6/l/mK/vf+9Xo76WiByPx6NLS0v14cOHQxOUd+3a1e+YJ598st8E5eXLl4/43IkUb9CRI0ciukDAaGL2+/36E5/4hL711lsjFu9oY25oaNCtra1aa617enr0WWedpf/1r39N6JjDvfTSSxFbIGA0MXd1demOjo7Q61WrVulnnnlmRJ+LLKIT0Ry5o7JV33VviV76h0Xa7/eP6loicmItP4425iDJkeMbs+TIyMR8qjnyePkx6slooj3GooD83b0f1/P/MF8/dejJUV9LRNZTTz2ly8rK9PTp0/X3v/99rbXWv/71r/Wvf/1rrbXxH/Qtt9yip0+frufPn6/ffffd4547keNdu3atzs3N1RaLRRcUFOjf/e53Ezrm1157TQN6wYIFetGiRXrRokX6qaeemtAxv//++3rx4sV6wYIFury8XH/3u9+NSLyjiTlcJJPjaGI+dOiQXrhwoV64cKGeN2/eSf37kwIysjly24Eq/ZX7putL/rhqVNcRkRdr+XG0MUuOHP+YJUdGJuZTzZHHy4/K2C+Cli1bprds2TKqa/zi3ivYkHaUP176R07LPm2MIhNCCDHWlFJbtdZy48gRGm2O3LpzNz966yqsydP53+vHf6iaEEKIU3O8/ChzIMdBl+oGZIU5IYQQIpzf2UGdxUKWNbK3RxBCCDF2pIAcBx2mXkwaMuMzox2KEEIIMWF4nK20mM1k2jOiHYoQQohTJAXkOOg0uUn1KywmS7RDEUIIISaM1h7jptZZcdlRjkQIIcSpkgJyHHSavDj85miHIYQQQkwo7a4mADISsqIciRBCiFMlBeQ46DL7SdLS+yiEEEKE63K3AZAqUzyEECJmxXwBqZRarJR6Wym1XSm1RSm1ImzfN5VSB5VS+5RSF0cqpl6lidfWSH2cEBNac3MzixcvZvHixeTm5lJQUMDixYtJSkrilltuGZfPvPfee/njH/846uusXbuWAwcOjEFEQkTHRMuRvT5jkTlHvCyiIwRIjhSxaTJ0k90DfFdr/YxS6rLA+/OUUvOAtUA5kA9sUkrN0lr7xjugXhPY/ZPhqxVi9DIyMti+fTsA3/nOd0hKSuK2224bt8/zer088MADbNu2bdTX+vznP88999zD/fffPwaRCREVEypHurw9ACTFpY7nxwgRMyRHilgU8z2QgAYcgdcpQG3g9RrgEa21S2t9BDgIrBji/DHnVGBT9kh8lBAx6+WXX+aKK64AjKR50003cdFFF1FSUsJjjz3G17/+dRYsWMAll1yCx+MBYOvWrZx77rksXbqUiy++mLq6ukHXffHFF1myZAkWi9GIc9555/HVr36Vc845h7lz5/Luu+9y9dVXU1ZWxre+9S0Auru7ufzyy1m0aBHz58/nr3/9KwBnn302mzZtwuv1RuIrEWI8TKgc6fa7AUiMSx7vjxIipkmOFBPZZCggvwL8SClVBfwY+GZgewFQFXZcdWDbuDpwdCddZhPxpvjx/ighJpVDhw7x1FNP8fjjj3PjjTdy/vnns3PnTuLj43nqqafweDx86Utf4tFHH2Xr1q3cfPPNrF+/ftB13njjDZYuXdpvm81m49VXX+Vzn/sca9as4b777mPXrl384Q9/oLm5mY0bN5Kfn8/777/Prl27uOSSSwAwmUzMnDmT999/PyLfgRDj4CtMoBzpCxSQcXFJ4/1RQkwqkiPFRBIT4yyVUpuA3CF2rQc+BHxVa/1/SqnrgN8DqwE1xPF6mOuvA9YBFBcXjyrWsmkL+JjpNK45+9ZRXUeIqebSSy/FarWyYMECfD5fKEEtWLCAiooK9u3bx65du7jwwgsB8Pl85OXlDbpOXV0dc+fO7bftyiuvDF2rvLw8dN706dOpqqpiwYIF3Hbbbdxxxx1cccUVnH322aFzs7Ozqa2tHZRwhZgoYilHrpx1JZZDcSQnpIzqOkJMNZIjxUQSEwWk1nr1cPuUUn8EgtXa34HfBV5XA0VhhxbSN3Rn4PU3ABsAli1bNmQCPRnf+sToJyYLMdXY7cawb5PJhNVqRSkVeu/1etFaU15ezltvvXXc68THx+N0Ooe9dvB1+LVnzZrF1q1befrpp/nmN7/JRRddxH/9138B4HQ6iY+XEQVi4oqlHHnxqhu4eNUNo7mEEFOS5EgxkUyGIay1wLmB1xcAweWgngDWKqXsSqlSoAzYHIX4hBBjYPbs2TQ2NoaSo8fjYffu3YOOmzt3LgcPHjypa9fW1pKQkMCNN97Ibbfd1m9xgf3791NeXj664IWIHsmRQkwBkiNFJMVED+QJfAb4mVLKAjgJDLPRWu9WSv0N2AN4gS9EYgVWIcT4sNlsPProo3z5y1+mvb0dr9fLV77ylUGJ69JLL+UTn/jESV17586d3H777aGW3V//+tcAHDt2jPj4+CGHAQkRIyRHCjEFSI4UkaS0HvWIzUll2bJlesuWLdEOQwgxCldddRX33HMPZWVlo7rOT3/6UxwOB5/61KfGKDIx0Siltmqtl0U7jlghOVKI2Cc5UozE8fLjZBjCKoQQ/dx9991DLl9+slJTU7npppvGICIhhBBiYpAcKUZLeiAHkNZVIYSYOqQH8uRIjhRCiKnhePlRCsgBlFKNwNExuFQm0DQG15lM5DsZTL6TweQ7GUy+k6GNxfcyTWudNRbBTAVjlCPl7/Ng8p0MTb6XweQ7GUy+k8HGNT9KATlOlFJbpFW7P/lOBpPvZDD5TgaT72Ro8r3EJvlzG0y+k6HJ9zKYfCeDyXcy2Hh/JzIHUgghhBBCCCHEiEgBKYQQQgghhBBiRKSAHD8boh3ABCTfyWDynQwm38lg8p0MTb6X2CR/boPJdzI0+V4Gk+9kMPlOBhvX70TmQAohhBBCCCGEGBHpgRRCCCGEEEIIMSJSQI4xpdQlSql9SqmDSqlvRDueiUAp9YBSqkEptSvasUwUSqkipdRLSqkPlFK7lVK3RjumaFNKxSmlNiul3g98J9+NdkwThVLKrJR6Tyn1ZLRjmQiUUhVKqZ1Kqe1KKbkpYQyRHNmf5MfBJD8OJvlxeJIfB4tEjpQhrGNIKWUG9gMXAtXAu8D1Wus9UQ0sypRS5wBdwB+11vOjHc9EoJTKA/K01tuUUsnAVuAjU/nvilJKAYla6y6llBV4HbhVa/12lEOLOqXU14BlgENrfUW044k2pVQFsExrLff9iiGSIweT/DiY5MfBJD8OT/LjYJHIkdIDObZWAAe11oe11m7gEWBNlGOKOq31q0BLtOOYSLTWdVrrbYHXncAHQEF0o4oubegKvLUGHlO+hUspVQhcDvwu2rEIMUqSIweQ/DiY5MfBJD8OTfJj9EgBObYKgKqw99VM8f/0xIkppUqA04B3ohxK1AWGomwHGoDntdZT/jsB7gW+DvijHMdEooHnlFJblVLroh2MGDHJkeKkSH7sI/lxSPci+XEo454jpYAcW2qIbVO+hUgMTymVBPwf8BWtdUe044k2rbVPa70YKARWKKWm9JAupdQVQIPWemu0Y5lgztRaLwEuBb4QGAYoJj7JkWLEJD/2J/mxP8mPxzXuOVIKyLFVDRSFvS8EaqMUi5jgAvMY/g/4s9b6sWjHM5ForduAl4FLohtJ1J0JXBmYz/AIcIFS6k/RDSn6tNa1gecG4B8YQyPFxCc5UoyI5MfhSX4Mkfw4jEjkSCkgx9a7QJlSqlQpZQPWAk9EOSYxAQUmxP8e+EBr/T/RjmciUEplKaVSA6/jgdXA3qgGFWVa629qrQu11iUY/5+8qLW+McphRZVSKjGwsAZKqUTgIkBWsIwNkiPFCUl+HEzy42CSH4cWqRwpBeQY0lp7gS8Cz2JM+v6b1np3dKOKPqXUw8BbwGylVLVS6lPRjmkCOBP4BEaL2fbA47JoBxVlecBLSqkdGL9oPq+1lmW5xUA5wOtKqfeBzcBTWuuNUY5JjIDkyMEkPw5J8uNgkh/FSEUkR8ptPIQQQgghhBBCjIj0QAohhBBCCCGEGBEpIIUQQgghhBBCjIgUkEIIIYQQQgghRkQKSCGEEEIIIYQQIyIFpBBCCCGEEEKIEZECUogYoJTKCFvOvF4pVRN43aWU+tU4feZXlFKfHIPrPKKUKhuLmIQQQohwkh+FiDy5jYcQMUYp9R2gS2v943H8DAuwDVgSuHfbaK51LnCj1vozYxKcEEIIMQTJj0JEhvRAChHDlFLnKaWeDLz+jlLqIaXUc0qpCqXU1Uqpe5RSO5VSG5VS1sBxS5VSryiltiqlnlVK5Q1x6QuAbcHkqJR6WSn1U6XUq0qpD5RSy5VSjymlDiilvh84JlEp9ZRS6n2l1C6l1McC13oNWB1IukIIIcS4k/woxPiRAlKIyWUGcDmwBvgT8JLWegHQC1weSJK/AD6qtV4KPADcOcR1zgS2Dtjm1lqfA/wGeBz4AjAf+DelVAZwCVCrtV6ktZ4PbATQWvuBg8CiMf1JhRBCiJGT/CjEGJECUojJ5RmttQfYCZgJJKnA+xJgNkZSe14ptR34FlA4xHXygMYB254Iu9ZurXWd1toFHAaKAttXK6V+qJQ6W2vdHnZuA5A/yp9NCCGEOFWSH4UYI9JlLsTk4gKjVVMp5dF9k5z9GP/eFUZyW3WC6/QCcUNdO3AtV9h2P2DRWu9XSi0FLgPuUko9p7X+XuCYuMA1hRBCiGiQ/CjEGJEeSCGmln1AllJqFYBSyqqUKh/iuA+AmSdzYaVUPtCjtf4T8GNgSdjuWcDuUwtZCCGEGHeSH4UYIemBFGIK0Vq7lVIfBX6ulErB+D/gXgYnr2eA/z3Jyy8AfqSU8gMe4PMASqkcoFdrXTea2IUQQojxIvlRiJGT23gIIYaklPoH8HWt9YFRXuerQIfW+vdjE5kQQggRPZIfxVQnQ1iFEMP5BsZiAaPVBjw0BtcRQgghJgLJj2JKkx5IIYQQQgghhBAjInMgB8jMzNQlJSXRDkMIIYQQQgghomLr1q1NWuusofZJATlASUkJW7ZsiXYYQgghhBBCCBEVSqmjw+2TOZBCCCGEEEIIIUZECkghhBBCCCGEECMiBaQQQgghhBBCiBGRAlIIIYQQQgghxIjIIjqCA8c6eftIC9UtPbh9ftISbBSnJzC/IIUZWYkopaIdohBCCCGEEGICkAJyCttS0cIPnv6AbZVtANgsJuxmE50ub+iY/JQ4zi7L4pxZWZw3O4tEu/yVEUIIIYQQYqqSamCK+tXLB/nRs/vIc8TxX1fM48J5ORSmxaOUwunxUdXSw7sVrby6v5Gnd9Xx1y1VxFlNXDgvl6uXFHBOWRZmk/RMCiGEEEIIMZUorXW0Y5hQli1bpif7fSB/8cIBfvL8fj68KJ+7rl5A0gl6Fb0+P1uPtvLE+7U8tbOOth4POQ47Vy8p5LplRZRmJkYociGEEEIIIcR4U0pt1VovG3KfFJD9TfYC8sW9x7j5D1u4+rQCfnztIkwn2Yvo9vp5ce8x/r6lmpf2NeDXsKIkneuWF3HZglwSbNKpLYQQQgghRCyTAvIkTOYCsq3HzQU/eYVcRxyP3XIGcVbzqK53rMPJ/22r5u9bqjnS1E2izczlC/P46NIilpekyeI7QgghhBBCxKDjFZDSXTSF/PT5/bT3evjzp08fdfEIkOOI45bzZvL5c2fwbkUrf99SxZM76vjblmoK0+K5ZlEOV83wU2JqhNYK49HdBD4P+D2BZx/YEiAuBeJSIT4V4tMhtQhSp0FKIZito45VCCGEEEIIMXpSQE4RNW29/GVzJdctK2JunmNMr62UYkVpOitK0vje6ZpDb/0T0+EXmfnWLmxv+0LHaZMNlZRlFIQma+DZDO4ecLZBbxto34CLm8BRAOnTIXsuZM2B7HmQPccoOoUQQgghhBARIwXkFPHg60fwa/jiBTPH/uL1u+Dd+2HfM8R3HWM+QO4Cugs+yzu92TxbG8+m+gSOkcaMJAcXz8nhgjnZLC5K67+Sq9bg7jJ6KduroPUotFVC21H8Tftp2/6/tPjd9JgUTqVwJuXQmz4NT2oR5rRSVFoJ5vg0TJiwW+wkWBJItCYaz7ZEHDYHFpP8lRdCCCGEEOJUyRzIASbjHMget5fTf/AC587K4pc3LBmbi2oN+zfCm7+Eo6+DJR5mXwplF8KMCyA5t9/h9e1OnttTzzM769lc0YLPr0lLsHLurCzOKsvirJmZOBL87G/dz5H2IxzpOMLR9qMc6zlGY28jLb0teLV3mGBGLsWeQpo9jfS4dDLiM8hOyA49chJyyE3MJTcxF6tJhs0KIYQQQoipSeZATnHP7q6n0+nlxpXTxuaCde/Dxv8wCseUYrjwv+G0GyEhfdhTclPi+OSqEj65qoT2Hg+v7G/g6Q928XLtk2w8dhDT1mrMtkZQRoOG1WSlKLmIvMQ8ZqbOJCshi8z4TDLiMkiwJhBnjiPeEk+cJQ6Lx4lu2o+/YU/o4eqspVuZ6DEpuh35dKUW0p6URUtcEq1mE63udg61HeKt2rfo8nT1i9WkTOQk5JCflE9BUgGFyYUUJxdTlFxEcXIxKfYUWSBICCGEEEJMSVJATgGPbauhMC2eFSXDF3gj4uqE574FWx8yisXL/weW3ATmkf01cvlcvFX7Fq9Uv8LrNa9T766HDMiwppJumYmz+3Rq6zPo6c5Ce1JJTE9iWlEa04tSWZyZyrw8x/CL/2QvgHnX9L3vaYHa96B2G9Rsg6ot0P2Ksc9khdz5kL8E5nyU7uzZHEtI5Zizmfruemq6aqjtqqWmq4a3696m4VBDv49KtiUzLXka01KmMc0xjRJHCdMcxutEq9wTUwghhBBCTF4yhHWAyTaEta3HzdLvb2LdOdO545I5p36h2u3w6M3QegRO/zyc+3VjxdQT8Pq9vFX7Fk8efpKXq16mx9tDojWRM/LP4PTc01meu5zSlNJQj57X5+eDuk7eOdLM1qOtbK9qo67dCYDZpJiZlUR5voO5eQ5m5yYzJzeZrGT7iXsEtYaOGqOYrNlqPGq3g7vT2G+Jg5z5kL8YchdA7kJjsR5rHE6vk5quGio7KqnsrKSyo5KjnUep7Kikrruu38dkx2dTklISKixLUkoocZSQn5Qv8y+FEEIIIURMkPtAnoTJVkA+urWa2/7+Po9/4UwWFaWe/AW0hnd+a/Q8JmbBNb+DkjNPeFp9dz2P7n+Uxw48RmNvIyn2FFYXr+aiaRexPHc51pO4NcexDifbq9rYXdPOrtoOdtW009DpCu1PTbAyIyuJGVmJzMhKoiQzkWkZCUxLTyTedpzblfj90HLY6Kms2x543tFXVCozZM2GnHKjmMwpN1aBTSkCkwmAXm8vVZ1VHO04ytGOoxxpP8LRjqNUdFTQ7moPfZTFZKEwqTDUW1nsKA69zkrIwqRMI/4+hBBCCCGEGE9SQJ6EyVZAfunh93j7cDOb/+NDJz9vz++Hjd+Azb+FWZfCR3513HmOAPta9vHArgd4tuJZ/NrP2YVnc9XMqzin8BxsZtsofpL+mrtc7D/Wxf5jnew71snhxi4ONnTT1OXqd1xWsp3CtHiK0hIoSIsnPyWO3JR48lLiyE2JIz3Bhil8JVi/H9qOQv0OqN9pFJTHdkNHdd8x1kTImgWZsyGzzHhkzDRuNWKNDx3W5myjoqOCI+1HqOysDBWWlR2VuHx9cdrNdoqSi0JzLIuSiyhyGO9lQR8hhBBCCBFpU76AVEpdAvwMMAO/01rfPdyxk6mA9Ps1y+/cxNllmdy79rSTO9nrhn9+HnY9Cqu+aCyUYxq+l2xn405+s+M3vFr9KgmWBK6ddS3Xz72egqSCUf4UJ6e918PR5m6ONvdQ0dRNVWsP1a29VLf2UtvWi9ff/++7xaTISrYbjyQ7mUl2MpNtpCXYSE2wkZZgJTXBRoa5h/TuQyR1HMLUtBca90LTAWNYbLjkfEgvhbQSSC02HilFxnNyHlhs+LWfY93HONp5lKPtR6nqrKKys5KqziqqOqv6FZfBBX0KkgrIT8qnMKmQ/KR88pPyyU3MJSchZ0wLcyGEEEIIIab0KqxKKTNwH3AhUA28q5R6Qmu9J7qRjb99xzpp7nZzxszMkzvR44S/fhwOboIPfRvO+ioM03tZ2VHJz7b9jOeOPkeqPZUvLP4C18+5nhR7yhj8BCcvJd7KwsJUFhamDtrn92uaulzUtTupa+/lWIeLYx1OjnW4aOh0UtvuZEdNOy3dbnz+oRtWlMomJb6AlPhLSI6zkJXrYYa5nmnUU+CvJdtbS0ZbDY7650lwNaLofx1vfBb+5DwyHflkOfI4PTkHlZQNeXOgLBt/fBoNSlPlbqeqqyq0mE9tVy1v171NY08jesA10+PSyUnIIScxh9yEXHISc8iMz+z3SLOnYTYdZzivEEIIIYQQIzDpC0hgBXBQa30YQCn1CLAGmPQF5BsHmwA482QKSL8f/rHOKB4//DNY+m9DHtbp7uS+7ffx171/xWq28vlFn+em8psm9CqkJpMi2xFHtiPuuPNB/X5Np8tLW4+b1h4PrT1u43W3J7Stw+mh0+ml02nm9e5Cnu7NodNZTrfbS7D2tOEhTzVTqBopVE3k0kKut4XcrhZy63eTo14nXQ24hQiQC2RiZqZy0G1OpseURK85Gac5lU5LAQ0WC01WaLH4aTH5aHe6aXf3sqd1D2/zDk7dO+hnUphItKSQZEkznq0pJFocJFlTSLamhJ6TrQ4SrEkkWhJJtiVjs9gwKYVJEXhWmEyEtqngNgUKhVJGW4NJqX7Pir7jFYS2oYKvB+8Lb7MI36ZC21TY675tYmIJjnIZ2PAxcP9IDfdn3Pe34cTHCiGEEOLUTYUCsgCoCntfDZwepVhOyaMv3Mf/HP0Vd0y/lTXnrRvxee8caaEkI4GC1PgTHwzGgjnPfhP2PA4X3Tlk8ai15rmjz3H35rtpcbZwddnV3LLoFrISskYc10RnMilS4q2kxFuZlnFy52qtcXr8dLu9dLu8dLt8dLu99Lp99Hp8OD0+jrl9VHh89Lh9eNxuzL2N2JzN2J2N2Fyt2DxtxHvaife2keDrIN7XRaK7iSz/UZJ0Fwn0YME/bAw9StFsNtFsNtNkNtMYeDSYu2g0H6PNbKLJZOKQWdFlPv4v2FY/xPsVcX6Fza+waePZGno2YR1iu0UrzFphBsxaYdIY2wCzBkvYwwwoNEobzyYUJjQmrTGB8RqjcDRpf6BYNIoOpXTgtfEwCkzju1Fo/Gh04HgNaKXRwW1KB4403vvR+JVGo/AFjwN8CvwQ2Ad+BT4IneNTKvAcOK7fOcax/rD3ffvVgPNVv2OM18Y+P2rA54bvN3764LnGaxW6jg7bHtzHgG3hD2O7ggHbCXx2v/cDno1jYqtoU4ECNjzq4X6CoY5Rx6l/h7vm8b6hgaMWThjTKc5CGcmf0lj8SU6Evw0TaaLORIpFCDFx/GTZT1i18JJohzFiU6GAHCp/9fs/XCm1DlgHUFxcHImYTorP76HTbMLlcY74HK0126vaOOtkeh/f/AW88xtY+QU444uDdtd31/O9t77HazWvMTd9Lr+84JeUZ5aP/PpTgFKKeJuZeJuZzCT7+HyI1uB1GvfldHWCuws8vWh3N35XNxZXN1keJ5keJ7O8LvyeXrTXhfY4weNE+zxovwd8HrxeN53aSbvPRYd206k9dOOjS/vowkeX8hvP+HGaNb1oXMpPJxqXgl6lcSpwToTfEk+aGvA8Dp+gCRTBKvAIvNZqwPbwfYEeW/ofowLvVeBchcIKfdvD9qvATxU8TwWuGdyuMCpBE0C/fWG9uqFv5sTb+m8J+/mDL/SA94OOG9mfQXgvpuq3fYhjR1BZDXneMJ831DHH+4QTnTv0+5GdcyInOn5k1xt9qTORiqWJ9V/UxIpGCBF9GSn50Q7hpEyFArIaKAp7XwjUhh+gtd4AbABjEZ3IhTYyxq+FoI/T6zRQfYeTxk4XiwpHOBdx/7Pw/H9C+VVw0fcH7d54ZCPfe/t7eP1e7lh+B2vnrJX7GkaLUsZqr9Z4SMru24zRm3eyMx1PcobskLTWOH1Oer299Hp78fg8eP1ePH4PHn/f64HPHr8Hn98HgF/78eNHa43WGj9+/Nrft0/3/f03hrOq0POgbYHXJmXCrMyYlGnQw6zMKKVC+4PPFpMl9N6szJhMJizK0u8Ys8mMRVkwmwLnKEv/40zm0LFCCCGEEJPJVKgA3gXKlFKlQA2wFrghuiGdHFNg9dOTmSv0fpVxD8KFI7n3Y3sN/ONzkLMAPvKbfqutdrm7+ME7P+Bfh//FwqyF3H3W3RQ5io5zMTEVKaWIt8QTbxnhcGkhhBBCCBGTJn0BqbX2KqW+CDyL0TnzgNZ6d5TDOimhHkg98h7I96vbsJgU8/Icxz/Q54XHPgNeF1z7IFjjQrv2t+7nqy99lequaj6/6POsW7hOeh2FEEIIIYSYwqZENaC1fhp4OtpxnKpQD+RJzCjZUd3GnLxk4qwnGND46j1w9A2j5zGzLLT5mSPP8O03v02SNYkHL36QJTlLTil2IYQQQgghxOQxJQrIWGcKrog4wh5IrTV7aju4uDz3+AdWvgOv3AOLrofF1wPg8/v46daf8tCehzgt+zR+cu5PJtUKq0IIIYQQQohTJwVkLFBGL6J/hAVkY6eL1h4Pc3KThz/I54EnvwKOArjsRwD0enu549U7eKnqJdbOXsvXl38dq9k62uiFEEIIIYQQk4QUkDHAZArct22EBeTe+k4AZuceZ/7jW/dBwx5Y+xewJ9PibOFLL3yJnU07+eaKb3LD3JhaZ0gIIYQQQggRAVJAxoC+RXRGNgdy/zGjgBy2B7L1KLx8N8y+DOZcTlVHFZ/d9Fkaehr46Xk/5UPTPjQmcQshhBBCCCEmFykgY4DJZAxhHekcyAPHushMspGWaBu8U2t4+nZQJrj0Hg63HebTz30aj9/D7y76HYuzF49h5EIIIYQQQojJRArIGBAsIEc6hPVwUxfTM5OG3rn/WTjwLFz0ffb5e1j3/DoUigcvfpCZaTPHKmQhhBBCCCHEJGQ68SEi2kzq5HogDzd2MyM7cfAOvx9e+B6kT2f3zHO4+dmbsZqs/OGSP0jxKIQQQgghhDghKSBjQOg+kNp3wmPbetw0d7uH7oHc9Sg07Gbv6Z/iMy98nmRbMn+45A+UpJSMccRCCCGEEEKIyUiGsMYAFeyB5MQ9kIebugEozRzQA+l1w0t3cjhvHp898jcSrYk8cPED5Cflj3m8QgghhBBCiMlJeiBjgDkwB9LnP3EBWdncA0BJZkL/He/9kerOKj7jMKOUifsvvF+KRyGEEEIIIcRJkR7IGGBSRp3vH0EP5NHmHpSCwrSwAtLdQ9OrP+IzhcU40Tx40QYZtioEkJmZSUlJSbTDEEIIIcQUUlFRQVNTU7TDOGVSQMYAs8n4Y/KPoAfyaEs3uY444qzm0LaeLb/jlmRoNpv5/erfMCtt1rjFKkQsKSkpYcuWLdEOQwghhBBTyLJly6IdwqiMeAirUipRBSfjiYgyncQcyMrmHorS+3ofvR4nt+2+n302Gz8+/6csyFowbnEKIYQQQgghJrdhC0illEkpdYNS6imlVAOwF6hTSu1WSv1IKVUWuTCntpNZhbWypYfiQAGptebO5z7Hazb41vSrOafwnHGNUwghhBBCCDG5Ha8H8iVgBvBNIFdrXaS1zgbOBt4G7lZK3RiBGKc8k9kKgO8E94F0eX00dLooTIsH4IFdD/Bo01Y+4zJx7VnfHvc4hRBCCCGEEJPb8eZArtZaewZu1Fq3AP8H/J9SyjpukYkQq8n4mk/UA1nf7gSgIDWeFytf5GfbfsalXd18acW3wCQL7gohhBBCCCFGZ9iqYqjiMTAP8kal1FPDHSPGnkkZdf6JeiBrWnuNF9Y6vvHaNyjHzve6FWrx9eMdophEuru78flOPFxaCCGEEEJMPSfsllJK2ZRSH1FK/Q2oAz4E/GbcIxMh1sAQVv8JeiCr23rB1MNv9/8nyeZ4flZ5iLgV68AaH4kwRYzy+/385S9/4fLLLyc7O5s5c+aQl5dHeXk5t99+OwcOHIh2iEIIIYQQYoI43iI6FyqlHgCOAB8F/hdo0Vr/u9b6X5EKUIDFHOyBPEEB2dpFfMEjNDsb+J+4MrKxwPJPRSJEEcPOP/98Dh06xF133UV9fT1VVVU0NDTw2muvsXLlSr7xjW/wpz/9KdphCiGEEEKICeB4cyCfBV4DztJaHwFQSv0sIlGJfsxmGwD+EwxhfbXxT1iS9rN+6TdY9M87oPwqSMyMRIgihm3atAmrdfB05vT0dK655hquueYaPB4ZrS6EEEIIIY4/hHUpxmqrm5RSzyulPgXIfSCjwBxchZXheyBfqXqFQ54nSHKfyUd7veDuhGU3RypEEcOGKh67u7v505/+xOWXXz7sMRPRxo0bmT17NjNnzuTuu++OdjhCCCGEEJPO8RbReU9rfYfWegbwHeA0wKaUekYptS5SAQqwBnoghxvCWtNVw3+8/h9YvIXMtd0EWx6A7HlQtCKSYYoY53a7+ec//8l1111HXl4eL7zwAp/73OeiHdaI+Xw+vvCFL/DMM8+wZ88eHn74Yfbs2RPtsIQQQgghJpUR3dtBa/2G1vqLQAHwU2DVuEYl+jGbrJi1HrKA9Pg83PbybWit8dV/gqXWOqjbDkv/DZSKeKwi9jz//PPcfPPNlJaW8uijj/KJT3yC9PR0HnzwQT784Q9HO7wR27x5MzNnzmT69OnYbDbWrl3L448/Purrdvd08vTr/zsGEQohhBBCxL7jzYEMUUpdDZwFaOB1rfW/j2tUoh+TyYxVazx4B+27d9u97GrexQ/P/gm37PBwVvcTYLbBgmujEKmIRRdffDFnn302r7/+OqWlpQDceuutUY7q5NXU1FBUVBR6X1hYyDvvvDPq697x5yt519JAaf485k5fOurrCSGEEELEspHcxuNXwOeAncAu4LNKqfvGKyCl1HeUUjVKqe2Bx2Vh+76plDqolNqnlLo4bPtSpdTOwL6fK2V0vSml7Eqpvwa2v6OUKhmvuMeVyYRNa7wDeiBfrX6VP+75I2tnr2We40yseJnTsBFmXwYJ6VEKVsSarVu3snLlSlavXs2FF17I73//+5i8D6TWetA2NUQv/IYNG1i2bBnLli2jsbHxhNf95Kpv4UPxw023DPkZQgghhBBTyUiGsJ4LXKy1flBr/SBwGXDeuEYFP9VaLw48ngZQSs0D1gLlwCXAr5RSwUV9fg2sA8oCj0sC2z8FtGqtZ2IMvf3hOMc9LkwmM3at8ei+HsiGnga+9fq3mJ02m9uW38axDicXmLZh97TB4o9HL1gRc0477TR++MMfcujQIb7zne/w3nvv4Xa7ufTSS9mwYUO0wxuxwsJCqqqqQu+rq6vJz88fdNy6devYsmULW7ZsISsr64TXXTH/Q1zOXLbae/jNE98Y05iFEEIIIWLNSArIfUBx2PsiYMf4hHNca4BHtNauwG1FDgIrlFJ5gENr/ZY2ugf+CHwk7JyHAq8fBT6khuqSmOBMZgtxWuMOFJB+7Wf96+vp9fZyz7n3YDfbaeh0cY35NbwJOTDjgihHLGLVmWeeyS9/+Utqamr46le/yltvvRXtkEZs+fLlHDhwgCNHjuB2u3nkkUe48sorx+Tad6z9A3Ocij+0PMXeynfH5JpCCCGEELFoJAVkBvCBUuplpdTLwB4gSyn1hFLqiXGK64tKqR1KqQeUUmmBbQVAVdgx1YFtBYHXA7f3O0dr7QXaAz9PTFFmC/F+jRPjXnx/2vMn3q57m9uX3870lOkAtLU0cJ5pO955V4N5RFNbhRjkscce42tf+xq333473d3dPPjgg9EOacQsFgu//OUvufjii5k7dy7XXXcd5eXlY3LthPhEvrjkbixac9vzn6XH3T0m1xVCCCGEiDUjqTT+a6w/VCm1CcgdYtd6jOGo/42xYM9/Az8BbgaG6jnUx9nOCfaFx7MOYwgsxcXFg06INmUyk6T99OBhX8s+7t12L+cVnce1s/oWysk6+jQ25UMvWRvFSEUsu+WWWzh48CDXX389AL/97W/ZtGkT9903blOex9xll13GZZddduIDT8G5yy/j2p3/4kHza3z50Y/y27VPYjbJrXGFEEIIMbUMW0AqpZQ2vHK8Y07lQ7XWq0dynFLqfuDJwNtqjOGzQYVAbWB74RDbw8+pVkpZgBSgZYh4NgAbAJYtWzbhVskwKUj1+anSTr75+jdx2Bx894zv9lsgZGbDs1SoAkryFkUxUhHLXnnlFXbt2hX6e3XTTTexYMGCKEc1sXz247+g/Vfn8mh6Nd9+9vP89yW/HXKhHiGEEEKIyep4Q1hfUkp9SSnVr0tOKWVTSl2glHoIuGmsAwrMaQy6CmPlV4AngLWBlVVLMRbL2ay1rgM6lVIrAwXtJ4HHw84JxvhR4EUdg8sompSiwOOnUfVyoPUA3zvze6THha2y2lHH9J73eTP+PLn3ozhls2fPprKyMvS+qqqKhQsXRjGiiSfebmHNlY9wTaufxxve4s5X7sCv/dEOSwghhBAiYo43hPUSjKGjDwcKtjYgDjADz2GslLp9HGK6Rym1GGOoaQXwWQCt9W6l1N8w5mB6gS9oHbqvxeeBPwDxwDOBB8Dvgf9VSh3E6HmMyfGdJqU4r9vF/6Wk8G+nfZZzCs/pf8Cef2JCsyftQ9EJUEwKzc3NzJ07lxUrVgDw7rvvsmrVqtBCNE88MV5TnmPL4hlFbC35KdcfvYWHeYaOl1z8d2AxKyGEEEKIyW7YAlJr7QR+hXG7DCuQCfRqrdvGMyCt9SeOs+9O4M4htm8B5g+x3QlcO3B7rFEK5rg093tOZ+Gizw8+YPc/OUAxnrSyyAcnJo3vfe970Q4hZnzyw6v5r1/cxpeb7+LnvEjNM//GT87/KbmJQ03tFkIIIYSYPEa0XKfW2gPUjXMsYhhKgRcTDDVUrqMWqt7mSe+1ZCbbIh+ciHlaa5RSnHvuucc9RvSxmk3c8slP8pOfN/AT76/5T72ba//1Ub5zxnf5ULGMBBBCgaaIFAAARFdJREFUCCHE5DWS23iIKDMphQ8zpsB9IPv5wFhj6EnfCjKTZAidOHnnn38+v/jFL/rNfwRwu928+OKL3HTTTTz00EPDnD11FaUncMlH1/FM2008XFNLnquXr7z0Fb728tdo6GmIdnhCCCGEEONCCsgYYFIKL2aUf4gCcu+/cKWVcUgXkCEFpDgFGzduxGw2c/3115Ofn8+8efOYPn06ZWVlPPzww3z1q1/l3/7t36Id5oR0yfxc0lZ9kp93f4Y/HTnMl31JvFr1Clf84wru234fXe6uaIcohBBCCDGm5I7zMcCkwKuHKCB7WqDiDRrLPwt1kJEoQ1jFyYuLi+OWW27hlltuwePx0NTURHx8PKmpqdEOLSZ887I5XF/9Yf5fbTw/q/kll6QVce+s0/jN+7/h4b0Ps3b2Wq6fcz0Z8RnRDlUIIYQQYtSG7YFUSnUqpTqGeHQqpToiGeRUp5TCM9QQ1v3PgvZRkXk+AOlSQIpRslqt5OXlSfF4EqxmE7/6+BLeiTuDL1n+i4LuFn6ybSMPL/wqS7OXsmHHBi589EK+/srXebP2TTx+T7RDFkIIIYQ4ZcdbhTU5koGI4/NgwTTwF899T0NyHkdsM4EPyEiSAlKIaMh2xPGbTyxl7W89fLXgJ/xU38P8x7/Gz865nSNX/oO/HXiUJw49wTMVz5BiT+GCogtYPW01y3OXE2+Jj3b4QgghRIjWGo3Gp334tR+f39f33u/Hj3/I7T7tM/YFXg93jl/7Bx8Xtj38egP3DbV9yGsMcY5f+0OP41174HHBfYOuHX5M2DWG+36C2yfD/aNlCGuM8GLBHt4D6XHCwRdg4XU09xjb0xKkgBQiWpYUp3H3NQv42t/eJ37xz7gr/0HUq/dQevRN7vjIfdy65FbeqH2D548+z3NHn+MfB/+BxWRhUdYiTs87nSXZS5iXMY9km7TdCSFEuKF+WfdpH1r3L3KGKgSGLAhOdK3jnBN6P1xRNOD9cMXGKX3maN+HxzzUzzCJChyTMmFSJszKHHo91PuRHGNWZpRSoe1mkxmrsh7/PEyYTIFzUZhN5r7tysQ/+Ee0v6JRkQLy/7d35/FRlXf//1+fWZLJvkEgCxA2FSKLEFm0Kiq7Lah1wQW0UFFat/qr9W6liv1qqbZ3q7aoN95oXQt3aRVbKyJVW6ssEgQFFxZFCWtYskAyySzX749zEibJJAQyySTh82zncc6cOefMNZ4hM++5tg7Ch4u40BrIHf8B31E4fTKHPqsm2ePC7dQxkZSKpsuH5bLzUCW/W7mF7mPv4s6p58Eb98CT5+IZ//+4eNiNXNzzYqoD1azdu5a1e9ayZu8antzwJAZrqpS85DwGZAygb0pf+qb2pU9qH3ITc4lx6g9ESrUnjYaA4PFDQqPbw9XoNBI+jheKGg1LTQSt5gSaEyl7c1/L8Z63IzuRkHK8x+oHmRhHTN1gE+44x7HQUj/E1N8vXNipWQ8bhMIcU7+MoecIPaapgBZuv+OGunr32zsNkKpN+MSFM1h9bMOWN8AdD73P59C6T3UEVnXSkpKSEJEG22vmhywr0y7PJ+L2i/ux83AFj67cSu6VF3DFDz6AZbfC338EGxfD5N8QkzWYb+V8i2/lfAuA0qpSNh/YzKaDm9h8YDMb9m/gja/eqD2nIGTGZ5KTmENOYg5d47uSGZ9JZnwm6Z500jxpZHgySIpJ6hAfnKp1NSuwBE88NIQLSE3uF+7xRpqcNfv5GwlYJ/o6TiRUNXaejiq0hqT2i/1xQktzgo7T4TwWTEK//DfxPM0NSo3u57DDS0gAak5Ia+r8Ta43FsaaeG6lOiMNkB1ENTE4jV0DaQxsXQG9LwC3h5IKH2nx7ugWUHVY5eXl0S5CpyIi/PKyQewpreS//vIx2TNHcM6MZbDhJXjrflh4AQy7Acb8FyR1ByAlNoVzcs7hnJxzas9T4avgq9Kv+LL0S4rKiyg6UkRReRHr9q2juLIYf7hpfYAEdwIJ7gSS3EkkxNhLdwJJMUnEu+PxOD14XB5inbF1bi6Hq87N7XDjdrjD/zosDkSE2v9J3WU4BoP1f/t/xtRur+lvEzTBhvdDQkHNttCbMaZOs6s6x3Bsvf4yNBA09lhoE7SwNSthws8JhbEmwlCzapMaCVA1tdkdzXHDQiNf4I8XClwOFzESc9xamnA1Kc0JVnVCTJhyNXpcuPI28fpaErJCa5zC/WColFInotEAKSLl0PinkDEmuVVKpMKqxo0zaH/RP7AFSr6Bc+8E4NDRarJTPdErnFKqjhiXgyeuG86VT33AzS8U8qfZozjzrOvhjEvgnfmwbhF8vARGzYFRP4SEhlN8xLvjye+ST36X/AaPBU2QkqoSiiuKOeg9yGHvYQ55D1FWXcaR6iMc8R3hqO8o5dXllFWXsfvo7trtXr+3wwaMaGmsxuaEai4aq7EJU4PRrKBxAgGq/v4n9XzHCVhNPW+4oBbuOKWUaheMgYAPAtX2zQeBqjDbwqz7q4+/T6Djj8Z+3FFYReQXwF7gBUCA6wAd5aGNVePGFayy7mxdYS37jwegpKKa/GzN80q1Jylxbv74vRFc8eQH3PDMWv58y2j6dE2DyY/AyJvhnV/Ce/8Nq56As66H0T+E9N7NOrdDHKR70kn3pJ9wuYwx+IN+qgJVeANeqgPVeANe/EF/7c0X9NWuN1ozV1NbGFKbeLyBF0JrKEPXQ2s0HeKordkMrTGpCRs1ASg0eNQcW7+GtPYY6oaV+svQkKPBRimlWlEwGBKkqpsIXlV1g5c/zDE1j/vr7Rvu+MbWwwW+1ppuy+EGZww43UDX1nmONtKcJqwTjDEjQ+4/KSJrgEdaqUwqDK/EEhPwWne2rYSuAyC1BwCHKqpJ0zkglWp3slPjePH7I7nyqVVc/79r+POcc8hJjYOMvnDFIrjgJ/DB41D4R6tWcuClcPb3odc50ErNzEQEt9ON2+kmkcRWeQ6llFJRYAwEA3XDU224ChfUarZV0aCWzR9a41av9s0fGrhOMOi1Rv9hh8sOZnY4c8baS3ubK+SxmISQ/Zo4prH12nM1sU9jj4d+ri8tiPx/hzbUnAAZEJHrgMVYTVqvATpu7/EOqhIP7mAlVB+Frz+AEbMB8PoCeH1BUrUPpFLtUp+uiTw/awTTFq7muqdXs3j2aLqn2E3Ou54OUxfAhXNhzZOw7lnY/FdI72PVSg65BpKzo/sClFJKHWNMw9BVJ4DVhKiqkAAXLpiFPl4d5vimaujqB7yQbZHuolAbzmpCVkgwqh+mYhKbfrzB8fVDW0wzH7f3ccVatXoObSnS1poTIK8FHrNvBnjf3qbaUIXEExOsgK/es/5I9BsLwOEKa2RWnQNSnazGRmGtoaOwtlx+dgrPzRzBjEVrufbp1SyePYrM5JB+y8lZMO4XcME98Olr8NGL8M9fwNsPQt55MOA7Vv9JDZNKqVOBMRD0hwSl+iGqqpH16kaOqR/M6h0TtpaukTAY8eaNYgel2GOhKDRs1YQwVyzEJoUJZjENj2nqPA2ep/62eufWcKbCOG6ANMbsAKa2flFUU45KPK6gHz77mzV9Ry9rtMaSCusPmY7Cqk5WzSis9913H927d2f69OkYY3jppZd0hNYIGtYzjT9+72xmPLOWaU+vZvFN9UIkWE1rhl5j3Q5uh41/gs2vwj9+bN1yz4bTJ0GfCyFrCDicUXktSqlOIrTJY02IqhO+qsIs69Wc1WxrrNYt3PmaCnOtVZPmjK0bkEIDVW1I84AnpV44i6kXwsKErQbr4YJbTMOA5orVv+OdnC8QpLi8in1lXvaVVbG/3Mu+Mm+0i9Vixw2QInIa8CTQzRhzpogMBqYYYx5s9dKpWmViD5Kz8U/W4Dkua97HmhrIlDitgVQt8+abb7JmzZra+3PmzGHkyJH85Cc/iWKpOpeCvHSevfFsvvfHD7l64Wpe+v5IslPjwu+c0Rcummvdir+Az16zfkD65y+smycVep9v3XqMhMyB4NSZmZRq14IB8HsbD2b+6nrL5u4Xpsaszjl8jZ8/kkHN4QoJZLGN1HrFWD+EhwatcGHuuEGtkWPC1c45XK3Wr1ydmgJBw8GjVewvOxYOraW3Tlg8eLQaU++fmNMhpEWn2BHTnG8bTwN3A/8DYIz5WEReBjRAtqFDYr/VTABOm1C7vdSugdQ+kKqlnE4nL730EtOmTUNE+NOf/oTTqb+MRtrIPhm8MGsENz7zIVf9zyr+dNMoeqTHN31Q19Oh691w/t1wZD989W/48h3Y/q4VLAHcCZAzDHILoPtg6D7I6kupv26rU1XtUPxNBbKWhjl76fc2XWNXc47jjFR8QsLWqIWGt1irT1pcet1tYWvM6i/rhbeabQ1q00KeT5s7qk7AGENJhY995cdC4X47EO4NWS8+UkUgWDcZikBGQizdU2LJSvEwpEcq3ZJj6ZbsoVtyLJlJHrole8hIiGHEivui9AojozkBMt4Ys7ZeH6nwM1irVvO1I/fYnTO+Xbt6uLYJq9ZAqpZ5+eWXueOOO7jjjjsQEc4991xefvnlaBerUxreK52XbxrF9GfWcMVTH/DCrJGc1q2ZsyMlZsKgK6ybMVDyNRStg51roehD+OD3Vt8hsH7lzxwAXU6HjD6Q3teq2UzvY/WlUSqSWhzYjlPjFjak1Qt69feJVO2aOMOEqXqBLLT5Y/1w5bKbRzZWOxcu/IULdaFNKLVGTakTEggaDhypYk+pl72llfbSe2xZVsm+0iqqAw1/6EmNd9MtyUO3FA+ndUs6FgqTPbXrXRJjcTtPjR9RmhMgD4hIX+y/wiJyBbCnVUulGtjrzOKNrjOZ9K1RkHhs7piSypomrFoDqVomLy+PZcuWRbsYp4xBuSksnj2KGYvWcuVTq3jmxrMZ3usEG7WIQFqedRt0hbXNXwXFn8PeTbD3E9i3yaqt3Fjvx4CETCtMJmdDYndI6mYtEzMhqTskdoO4NP2S2p4ZEyZERaA2rcn9vY03n4xkc8iwTSHtkFazHhMPzrTwoatBgDtOIAt7TMhjWpOvVLvmCwTZX14VPhiWVrK31Mu+8oa1hjEuB1kpHronexjWM43uKR4rKNqhsFuyh65JsXjc+jcgVHMC5A+BhcAZIrIL+Aq4vlVLpRpwiPBmxgwmDTmrzvbSSh8xLgdxMfrGVi2zZcsW5syZw759+9i0aRMff/wxr732GnPnzo120TqtM7on85c55zB90Rqu/981PHHdMC48I7NlJ3XFWgPsZA2pu736KBz60rod3A6HtsOhr2DXejiyD3wVDc/ljLWCZGKmdfOkQGyytfSkgMdej02yajvdcceWrjh76elYzdpqBhUJ+u2bDwI1y2prvWai6Trr9R8LNzx/E/OrNTYlQFuNBlm/hi20lq1+DVu4x5qqmasf2FyexmvpNLApperx+gLsL6uygmBZw2C4p9RL8ZGqBn0N49xOslI9ZKV4GN23ixUUUzwhyzjS4t1NjkSvwmvOKKxfAmNFJAFwGGN0WMYocAgEwvywW1bp09pHFRE33XQTv/71r7n55psBGDx4MNdee60GyFbWIz2eP99yDt/741q+//w6/t/UM7l2ZM/IP1FMgtUvsvugho8ZA1XlVpA8sg/K94Ys98ORvVDyDXjLwFsKVWWcUE2To2aoeDfHho23l+K0woJIyLq9xP5Qr/PhXrNurP5kDW6m3jL0VhMMQwNimPutSo6FqrBzntWEtRgrmIfr49ZYf7cma9jq7VfnHBrYlFLR4QsE2VvqZXdJJbtLK9ldUjcY7i21BqKpL8njsoNgHGd0T24QDLuneEj2uDQctpJGA6SI3NXIdgCMMb9tpTKpMBwOwdT/aQVrGg8NkCoSKioqGDFiRJ1tLpeO6tkWuibFsmT2aG59eT0/e+UTdh6u4O7xp+NwtNEHn4hdm5gMXfoff/9gEKrLrUBZVWYt/ZXgq7lVhCy9IcPz++otq61QV1PrZwJ1l/XV/g00IA47bDqO3ZC690Xqrjtc9s0Zst7YtpD7NSGvNgi76q47Y+z7Iev1R5LUIfuVUqeYmgFpdpVUWgGxxGpeeuy+l33l3gY1hylxbrLsQDg4N7V2vSYYdk/xkBir30+iqan/+jUjLJwOnA3YQ/3xHeDfrVko1ZBDhGCYAFmqNZAqQrp06cL27dtrfyRaunQpWVlZLTrnn//8Z+bNm8dnn33G2rVrKSgoqH1s/vz5LFq0CKfTyeOPP86ECdbowoWFhdx4441UVlYyefJkHnvsMUSEqqoqZsyYQWFhIRkZGSxZsoS8vDwAnnvuOR580BoYeu7cudxwww0tKnc0JMS6eHpGAfe9tpkn393Ol8VH+O1VQ0lojx+SDsexZqxKKaVOSV5fIKT20FsbEkMDYqWv7o+BMS4H2SkeslPj+Fb/LmSnxpGTat3PTo0jK8VDfEw7/NxTdTR6hYwxDwCIyApgWE3TVRGZB/y5JU8qIlcC84ABwAhjzLqQx34KzAICwO3GmDft7cOBPwJxwD+AO4wxRkRigeeB4cBB4GpjzA77mBuAmvZ3DxpjnmtJuaPJKUIwzOjfpZU+utWfjFypk7BgwQJmz57N559/Tk5ODr179+bFF19s0TnPPPNM/vrXv9Y2i63x6aefsnjxYjZv3szu3bsZO3YsW7Zswel0MmfOHBYuXMioUaOYPHkyy5cvZ9KkSSxatIi0tDS2bdvG4sWLueeee1iyZAmHDh3igQceYN26dYgIw4cPZ8qUKaSldbxZllxOBw9deib9uiby4OufcvkTH/D0jAJ6Zhxnmg+llFIqgowxHDhSbdcaVrKr5FhAtEKilwNHqhoc1yUxlpxUa6TSMadnNgiIGQkx2qy0E2hOxO8JhDY+rgbyWvi8m4DLseeWrCEiA4FpQD6QDawUkdOMMQHgSWA2sBorQE4E3sAKm4eNMf1EZBrwMHC1iKQD9wMFWJ11CkXkNWPM4RaWPSpEINBIDWSzh/9Xqgl9+vRh5cqVHD16lGAwSFJSy99XAwYMCLt92bJlTJs2jdjYWHr37k2/fv1Yu3YteXl5lJWVMXr0aABmzJjBq6++yqRJk1i2bBnz5s0D4IorruDWW2/FGMObb77JuHHjSE9PB2DcuHEsX76ca665psXljwYRYea3etO/WyK3vvwRUxb8hyeuG8Y5fbtEu2hKKaU6iWDQsL+8iqLDFRQdrqTocAW7SirtdasWsdpft+Yizu0kJ82qJRyQlVwbCrNTPeSkWs1LY13aTP9U0JwA+QKwVkRewQpilwEtqskzxnwGhPsFYiqw2BhTBXwlItuAESKyA0g2xqyyj3seuBQrQE7Fqs0EWAr8QawTTwDeMsYcso95Cyt0/qklZY8WZyN9IHUQHdVSv/1t092Z77orbHfoFtm1axejRo2qvZ+bm8uuXbtwu93k5uY22F5zTI8ePQCrb2ZKSgoHDx6ss73+MR3Zef27suyH5/L959cxfdFa5l4ygBvPydNfbpVSSh1XIGjYV+atDYdFhyvZdbiSohJrfXdJJb56ozN2SYwhJy2egdnJjBvYjZx6ATElTkcsVZYmA6QdxJ7HCmrn2Zu/Z4z5qJXKk4NVw1ijyN7ms9frb685ZieAMcYvIqVARuj2MMd0OA6RBnPXBIOG8io/yRogVQuUl1sDK3/xxRd8+OGHTJkyBYC//e1vnH/++cc9fuzYsezdu7fB9oceeoipU6eGPSbcjyEi4X8kCRm464SPCWfhwoUsXLgQgOLi4kb3aw/yuiTwyg/O4UdLNvDA3z5l3Y7DzP/uIJI9+m9eKaVOZf5AkL21AdGuQaxZL6lgT4kXf73vjV2TYslNi2NwbiqTB2WRkxpHblocuWnx5KTG6ZRwqtmaDJB2H8NXjTHDgfUncmIRWQl0D/PQvcaYxmYrD/etzzSx/WSPqfukIrOxmsfSs2crDJ8fAQ6HNJjGo7zKjzGQ7NHOxurk3X///QCMHz+e9evX1zZdnTdvHldeeeVxj1+5cuUJP2dubi47dx77faeoqIjs7Gxyc3MpKipqsD30mNzcXPx+P6WlpaSnp5Obm8u7775b55gxY8Y0+tyzZ89m9uzZAHUG9WmvkjxuFk4v4On3vuSRN79g0+5SFlw7jDNzdAAbpZTqrGqmt9gZWnsYUpu4t8zboGKhW3IsuWnxDOuZRs5gKxhaAdGqSfS4NSCqyGhO8lgtImcbYz48kRMbY8aeRHmKgB4h93OB3fb23DDbQ48pEhEXkAIcsrePqXfMu42UdSGwEKCgoOAEJjdrOw5pWANTVmlNIq01kCoSvvnmG2JiYmrvx8TEsGPHjlZ5rilTpnDttddy1113sXv3brZu3cqIESNwOp0kJSWxevVqRo4cyfPPP89tt91We8xzzz3H6NGjWbp0KRdddBEiwoQJE/jZz37G4cNW9+YVK1Ywf/78Vil3tDgcws0X9GV4rzRuffkjLn/iA37+nYFcP7KnNidSSqkOyBjDwaPV7DxUwTeHrFD4zcEKdh627u8prRsQRaB7sofctDhG9E6vU3uYmxZHVqr2P1RtpzkB8kLgFrsf4lGsmj1jjBncCuV5DXhZRH6LNYhOf2CtMSYgIuUiMgpYA8wAfh9yzA3AKuAK4G275vRN4JciUjMU43jgp61Q5jbhDDONR5nXDpDanE1FwPTp0xkxYgSXXXYZIsIrr7zS4ukwXnnlFW677TaKi4u55JJLGDp0KG+++Sb5+flcddVVDBw4EJfLxYIFC3A6rQ++J598snYaj0mTJjFp0iQAZs2axfTp0+nXrx/p6eksXrwYgPT0dH7+859z9tlnA3DffffVDqjT2RTkpfOPO87jR0s28PNXN/HelmLmXz6IjMTYaBdNKaVUPRXVfnYeqmTnoWPBMPR+RXXdKS66JMbSIz2O4b3S6JEWT4/0OHqkxZObFk/3FA8xLkeUXolSdUm4/kN1dhDpFW67Mebrk35SkcuwAmBXoATYYIyZYD92LzAT8AN3GmPesLcXcGwajzeA2+yg6MEa6OcsrJrHacaYL+1jZgI/s5/2IWPMs8crW0FBgVm3bt3xdmtzVz21CocDFs8eXbtt1faDXPP0al6+aaSO0KhaxBhDUVERxcXFvPfeewCcf/75nHXWWVEuWesqKCigPf57P55g0LDoP1/x6ze/IDnOxcPfHczFA7pFu1hKKXVK8QeC7LGbme60w+E3djjceaiCA0eq6+wfH+OkZ7oVCHukx9EzPZ4eafH0zLBqEXX+w1NHR/j+ISKFxpiwfX2O+041xnwtIkM4NojOe8aYjS0pkDHmFeCVRh57CHgozPZ1wJlhtnuBsB21jDHPAM+0pKzthcMB9Zq6aw2kihgR4dJLL6WwsJBhw4ZFuzjqOBwO4abz+3DeaV340ZKNzHpuHdPO7sHcbw8kMVa/gCilVCQYYzhc4attZlo/KO4uqawzUI3TIWSneuiRFs/YAd3okR5v3dKssJiucyCqTuK43zRE5A7gJuCv9qYXRWShMeb3TRymIswhgj9Qdz6emj6QOo2HioRRo0bx4Ycf1jYFVe3fGd2TefWH5/Doyq089a/tvL/9AL+8bBDn9e8a7aIppVSHEAga9pRa/Q+/PlTB1wcr+ObQUWt5sILyKn+d/TMSYshNj2dIj1S+PTjLqkVMj6dnutXM1O3UZqaq82vOT9WzgJHGmKMAIvIwVn9DDZBtyOkQAvWaG5d7rT9qSToKq4qAd955h6eeeoq8vDwSEhIwxiAifPzxx9EummpCrMvJPRPP4KIzMrln6cdMX7SWy4flMPeSgaQnxBz/BEop1cl5fQF22uHw60MVfHPwqL20Bq+pDvmB3u0UctOsQDi8Vxo97XBoNTON11YeStG8AClAaC/fAOGnyFCtyCFCMBh+EB39Y6Yi4Y033oh2EVQLnG0PsPOHt7fx1L+28+4Xxdz37YFMHZqtTaaUUp1eSUV13YBYu17B3jJvnX0TY130TI/n9O5JjMvvRq/0BHplWEExOzUOp0P/ZirVlOYkj2eBNSJS02fxUmBRq5VIheWQhn0gy71+EmKcuLS5hIqAXr16sXHjxtpBdM477zyGDBkS5VKpE+FxO/nxhNP59pAs/usvn3Dnkg3837qd/PzbAxmQlRzt4iml1EkLBg17y7x1mpjWBMSvDx6lzFu3qWnXpFh6pcdzTr+MYwExI55e2hdRqRZrziA6vxWRd4FvYdU8fs8Y81FrF0zV5XRIgwljyyp9JOkAOipCHnvsMZ5++mkuv/xyAK6//npmz55dOw+j6jjO6J7MX+acw0trvua3b23hksff4+qze/L/jT+NLjrlh1KqnfIHguwu8bLj4FG+PniUHXY43HHQGsSm2n+sqanLIeTYg9MM6ZFNr/QEKyDaNYk6oqlSrac5g+iMAjYbY9bb95NEZKQxZk2rl07VcoSZB7Lc6yc5Tv9AqshYtGgRa9asISEhAYB77rmH0aNHa4DsoJwOYcboPKYMyeaxf27lhVVf87eNu7n1on5879w8nXBaKRUV1f4gRYet/og77KamNcudhyrqjGrqcTvIy0igb9cELjojk57pVkDMy0ggK8WjLbCUipLmpI8ngdBx/Y+G2aZaWdgaSK/WQKrIMcbgdB4LFU6nk+PNE6vav9T4GO7/Tj7Xj+rFL1//jF+98Tkvr/mGn046g4lndtdmXEqpiKsZtOZYDeKxoLjrcGWdLjmJsS56ZcQzMCuZSWd2Jy/Dam6a1yWBzKRY/RulVDvUrEF0TMi3SGNMUES02quNORoZhTUjUUdZVJHxve99j5EjR3LZZZcB8OqrrzJr1qwol0pFSt+uiSy68Wze21rMg3//jDkvrWdE73TunnA6Z+elR7t4SqkOpqLab/VDDG1qesBa7inzEvqVJdnjoneXBM7qkcZlQ3PolZFAXpd4emUkkKH9EZXqcJoTBL8Ukduxah0BfgB82XpFUuE4w4zCWu71kdclIUolUp3NXXfdxZgxY/jPf/6DMYZnn32Ws846K9rFUhF2Xv+uvH57Bos/3Mnv3trClU+tYkTvdH54YT/O799Fv8gppWodqfKz40BoM9NjYXFfWVWdfdMTYuiVEc/IPhn0yoind5cEKyhmxJMarz92K9WZNCdA3gI8DswFDPBPYHZrFko15ApTA3mkyq9zQKqIWb16Nfn5+QwbZrVOLy8vZ82aNYwcOTLKJVOR5nI6uH5ULy4flsPitTtZ+O8vueGZtQzKSeGHF/Zl/MDuOHQYe6VOCeVeX21A3HHACog1ywNH6obELomx9O4Sz3n9u5KXEW8HRGvwmpQ47VKj1KmiOaOw7gemtUFZVBMcDiEQqN8H0k+SzgGpImTOnDmsX7++9n5CQkKDbapziY9xMfNbvbluVE9eWb+LJ/+1nVteXE+/zER+MKYvU4Zk6yAVSnUCZV4fXx+o4KuDR/n6wFFradckHjhSXWffzKRY8rokcNEZXemVkWDXJFphUeedVkpB80ZhPQ2r+Wo3Y8yZIjIYmGKMebDVS6dqOaVuDWSVP0C1P6g1kCpijDF1mi86HA78fn8TR6jOItblZNqInlwxPJfXP9nDE+9s567/28jvVm7h5vP7csXwXDxuHbVVqfastNJn1xzaTU7t9R0HKzh0tG5I7J7soVdGPGMHdKttZppnB0Wd/kIpdTzN+SvxNHA38D8AxpiPReRlQANkG3I4hMCx6Y84Yk+Yq6Owqkjp06cPjz/+OHPmzAHgiSeeoE+fPlEulWpLLqeDqUNz+M7gbP75+X7+8M425r66icf/uZVZ3+rNlQU9SE/QvkxKRUtJRXVtH8Sv7L6J1vIohyt8dfbNTvHQKyOBCfnd7JFN7YFr0hOIi9EfhJRSJ685ATLeGLO23sAKWi3RxlyOuvNAltcGSP2lUEXGU089xe23386DDz6IiHDxxRezcOHCaBdLRYHDIYwb2I2xAzL5YPtBFryzjflvfM5vVnzBuIHduKqgB+f174pT+0kqFVHGGIrLq2pDYk3fxG8OWTWKZd5jX79EIDsljl4Z8UwalGXVImYkkNclgZ7p8dpqQCnVapqTPg6ISF+sAXQQkSuAPa1aKtWA0yH4Q6ogj1RZHyLaH0FFSmZmJosXL452MVQ7IiKc268L5/brwud7y/i/D4t45aMi/vHJXrJTPFwxPJcrC3rQIz0+2kVVqsMIBA27SyqtPoiHjvVFtJYVVPoCtfs6HUJuWhw90+OZOjSnti9iXkY8PTQkKqWipDnp44fAQuAMEdkFfAVc16qlUg04HVJn4t0yr9VURZuwqkjZsmULc+bMYd++fWzatImPP/6Y1157jblz50a7aKodOKN7Mvd9ZyD3TDqdlZ/uZ8m6nfz+nW08/vY2zumbwdVn92BCfnf9QqsUUO0PsvNwBd/UTn9xLCTuPFyBL2RQvBiXg57p8eRlxHNuvy61IbFXejw5aXG4dSArpVQ705xRWL8ExopIAuAAKoGrga9buWwqhMsh+IMhNZDahFVF2E033cSvf/1rbr75ZgAGDx7MtddeqwFS1RHrcnLJ4CwuGZzFrpJK/lJYxP+t28kdizeQ7HFx6Vk5XHZWDkNyU3UqENWpVVT7a2sNvz54lK8PWcsdByrYU1pZ50ffhBgnvTISOCMrifH53cnLiKen3eS0e7JH/60opTqURtOHiCRj1T7mAMuAlfb9HwMbgZfaooDKYg2ic+zTqKYJqwZIFSkVFRWMGDGizjaXS99fqnE5qXHcfnF/br2wH6u+PMiSD3ey+MOdPL/qa7onexg3sBvj87sxsncGMS6tRVEdSzBo2F9exTeHKmpvO0PWi8vrzpGYFu+mV0YCBXlp9MrIpVd6vDVoTUYCGQkx1BtLQimlOqymvh2+ABwGVgE3AT8BYoBLjTEbWr9oKpSrkQCpfSBVpHTp0oXt27fXfslZunQpWVlZUS6V6ggcjmN9JUsrfPzz832s2LyPpYVFvLD6a5I8Li4+I5Px+d254LSuJOjfLdVOHKny14bCnfUC4s7DlVT7j7X8qRm0pkd6HGNO6xrSHzGBnhnxpMRplxKl1KmhqU/xPsaYQQAi8r/AAaCnMaa8TUqm6nCI1QcyGDQ4HFI7Cmui1kCqCFmwYAGzZ8/m888/Jycnh969e/PSS9rQQJ2YlHg3lw/L5fJhuVRWB/jPtgOs2LyXlZ/t49UNu4lxORjZO51z+nbh3H4Z5Gen6GiuqtV4fQGKDldSdLiCXSWV9nplbVg8WG9+xKRYFz0z4umfmcTFA7rRIz2envYtO9VDrEv7+CqlVFPpo3ZCIWNMQES+0vAYPS77C1bAGBxYATLG6dAPMxUxffr0YeXKlRw9epRgMEhcXBxLliyhV69e0S6a6qDiYpyMG9iNcQO74Q8EWff1YVZs3sd7W4t5ePnnACR7XIzqk8G5/bpwTt8M+mUmalM/1WyV1QF2lVi1hbsOV9aGxZqgeOBI3WambqeQnRpHbloc4/PrBsSe6VYtor7/lFKqaU0FyCEiUmavCxBn3xfAGGOSW710qpbTaQfIoMHthCNVPu3/qCKirKyMBQsWsGvXLqZOncrYsWNZsGABv/nNbxgyZAjXXaeDLquWczkdjOqTwag+GQDsL/eyavtBPth2kPe3H2DFp/sAyEyKpSAvjaE9UhnaI41BOSk66fkpKhg0HDhSxe5SL3tKKmuXe0q9FJVUsutwBQeO1K1BdDuFnNQ4ctPiGTsg01pPt+7npsWRmeTRGm+llGqhRhOIMUY/sduRmhpIv90P8ojXr/2IVERMnz6dtLQ0Ro8ezdNPP80jjzxCdXU1r776KkOHDo128VQnlZnkYerQHKYOzQFg56EK3t92gA+2H2T9N4f5xyd7AWsKo9O7JTG0ZypDc1MZ2jOVPl0ScOnUBh2aMYbDFT5224FwT2klu0us5Z4SL7tLK9lX5q0z3QVArMtBVorHDojdyE07Fg5z0+LJTIrVEU2VUqqVRSWBiMiVwDxgADDCGLPO3p4HfAZ8Ye+62hhzi/3YcOCPQBzwD+AOY4wRkVjgeWA4cBC42hizwz7mBqBmDoIHjTHPtfZray0uh/VlKWB/mB6p8msNpIqIL7/8kk8++QSA73//+3Tp0oVvvvmGpKSkKJdMnUp6pMczbURPpo3oCUBxeRUfF5WwYad1+9vG3by85hvAmjevX9dETu+exGndkji9eyKndUsiJzVOmx9GmTGGMq+f4nIv+8uq2F9exX57vfhIFfvLqthbZgVFry9Y51i3U+iW7CE7JY7hvdLISokjO9VDVkocWSkeslPjSIvXJqZKKRVt0Uogm4DLgf8J89h2Y8zQMNufBGYDq7EC5ETgDWAWcNgY009EpgEPA1eLSDpwP1AAGKBQRF4zxhyO9ItpCy5nTQ2k9YFb7vXrCKwqItzuYyMHOp1OevfureFRRV3XpFguHtCNiwd0A6zmjF8dPMqGb0r4Yl85X+wtZ/WXB3nlo121xyTEOOnfLYneXRIa9G3TmqmTZ4zB6wtSUlnN4aM+KxCWV1FcXsX+Mq8dEo8FxSp/sME5PG4HmUkeMpNiGZiVzMVnZJKVGkd2iqd22SVRr5FSSnUEUUkgxpjPgGb/iigiWUCyMWaVff954FKsADkVqzYTYCnwB7FOPAF4yxhzyD7mLazQ+adIvY62VNNno2Yqj3Kvn+xUTzSLpDqJjRs3kpxsdWk2xlBZWUlycjLGGESEsrKy45xBqdbncAh9uybSt2tine2llT627itny74jbLGD5dqvDvHqhl2YkNaPsS4HPdLj6ZEWR/cUD13tMNMt2VpmJsfSJTEWdyduGhsMGsq9fkoqqymp8FFS6aO00kdpRd37JRU+Su19Siut7dVhQiFYgyBl2v8Nh/dMo2tSrBUUk2PrrCfFurTmUCmlOon2WIXVW0Q+AsqAucaY94AcoChknyJ7G/ZyJ4Axxi8ipUBG6PYwx3Q4DfpAVmkNpIqMQCDQaue+++67+dvf/kZMTAx9+/bl2WefJTU1FYD58+ezaNEinE4njz/+OBMmTACgsLCQG2+8kcrKSiZPnsxjjz2GiFBVVcWMGTMoLCwkIyODJUuWkJeXB8Bzzz3Hgw8+CMDcuXO54YYbWu01qfYlJc5NQV46BXnpdbZX+4PsKqmsMwH81wePsvNQJZ/sKuXg0eo6AROsef7S4mNIiXOT7HGR5HGTHOciKdZeekK3u0nyuEiMdRHrcuByOnA7hRjnsXW304Hb6Wj2oC3GGIyxRtsOGkMwCL5gEK8vQJUvSKUvgNcXoLI6gNcfpLI6QJXfvu8LUOkLUlHtrw2DJRXVlFXWrPso8/oavOZQCTFOUu3XnxLnpl9mIqnxblLirG2p8W5S49xkJlvBsGtSLB63DpeglFKnmlZLICKyEuge5qF7jTHLGjlsD9ZckwftPo+vikg+1siv9dV8DDb2WFPH1C/rbKzmsfTs2bORokWX0+4D6a/TB1InLVbt27hx45g/fz4ul4t77rmH+fPn8/DDD/Ppp5+yePFiNm/ezO7duxk7dixbtmzB6XQyZ84cFi5cyKhRo5g8eTLLly9n0qRJLFq0iLS0NLZt28bixYu55557WLJkCYcOHeKBBx5g3bp1iAjDhw9nypQppKWlRfvlqyiKcTno3SWB3l0Swj7uCwQ5eKSa/eVe9pXV7adXVumj3OunzOtjb5m39n6l7+R+bHEIuJ0OYpwOnE4hELSDYtAQMAZjDIGgIdhEuDuR57LCnhX60hJiyOuSQGqcm5T4GFLtIJhSu4whNd5NssdNjKvz1r4qpZSKnFYLkMaYsSdxTBVQZa8Xish24DSs2sPckF1zgd32ehHQAygSEReQAhyyt4+pd8y7jTzvQmAhQEFBQQQ+wiPPXa8P5BGvn0QdREe1c+PHj69dHzVqFEuXLgVg2bJlTJs2jdjYWHr37k2/fv1Yu3YteXl5lJWVMXr0aABmzJjBq6++yqRJk1i2bBnz5s0D4IorruDWW2/FGMObb77JuHHjSE+3aqDGjRvH8uXLueaaa9r2xaoOxe100D3FQ/eU5ncF8AWClHv9lHt9lFVay/IqP75A0Lr5Db5gEJ8/iC9Qs26sx+z1QDCIiOB0CA6xmuY6RXCI4LC3OWvXBZdD8MQ4iXM78bgd9rLmdux+nNtJXIyTWJdDm4oqpZRqVe0qgYhIV+CQMSYgIn2A/sCXxphDIlIuIqOANcAM4Pf2Ya8BNwCrgCuAt+3RWd8EfikiNdUQ44GftuXriaTQPpBV/gDVgaA2YVUdyjPPPMPVV18NwK5duxg1alTtY7m5uezatQu3201ubm6D7TXH9OjRAwCXy0VKSgoHDx6ss73+MUpFktvpID0hhvSEmGgXRSmllIqaaE3jcRlWAOwKvC4iG4wxE4DzgV+IiB8IALfUDIIDzOHYNB5v2DeARcALIrINq+ZxGoAdOv8f8KG93y9CztXh1PSB9AUMR7x+AA2Qql0YO3Yse/fubbD9oYceYurUqbXrLpeL6667DrD6etUnIo1uP9ljwlm4cCELFy4EoLi4uNH9lFJKKaVUQ9EahfUV4JUw2/8C/KWRY9YBZ4bZ7gWubOSYZ4BnWlTYdqJmHkh/0GpCBeg8kKpdWLlyZZOPP/fcc/z973/nn//8Z22wy83NZefOY2NcFRUVkZ2dTW5uLkVFRQ22hx6Tm5uL3++ntLSU9PR0cnNzeffdd+scM2bMmEbLM3v2bGbPng1AQUHBib5cpZRSSqlTmiaQDqJmHkhfwHCkSmsgVcewfPlyHn74Yf71r38RHx9fu33KlClce+213HXXXezevZutW7cyYsQInE4nSUlJrF69mpEjR/L8889z22231R7z3HPPMXr0aJYuXcpFF12EiDBhwgR+9rOfcfiwNcXrihUrmD9/frPKt2PHjmaFyOLiYrp27XoS/wVUW9Nr1XHoteoY9Dp1HHqtOo7PP/882kVoEU0gHUSMs2YU1iBVfmskQB2FVbV3t956K1VVVYwbNw6wBtJ56qmnyM/P56qrrmLgwIG4XC4WLFiA02lNB/Dkk0/WTuMxadIkJk2aBMCsWbOYPn06/fr1Iz09ncWLFwOQnp7Oz3/+c84++2wA7rvvvtoBdY7nwIEDzdqvoKCAdevWndBrV9Gh16rj0GvVMeh16jj0WnUcHb0FlAbIDsJVEyCDx2ogtQmrau+2bdvW6GP33nsv9957b4PtBQUFbNq0qcF2j8fDn//857DnmjlzJjNnzjz5giqllFJKqWbRSZ86iGNNWIO1g+hogFRKKaWUUkq1JQ2QHYS7ZhCdgKHc6wO0D6RSbaVm0B3V/um16jj0WnUMep06Dr1WHUdHv1YSbgj8U1lBQYFpj+3HP99bxsRH3+PJ64axvfgIv1mxhS8enEisyxntoimllFJKKaU6EREpNMaE7aypNZAdhNvuA1kdsKbxiHE5NDwqpZRSSiml2pQGyA6iZhRWX8BQ5vWTrP0flYqI5cuXc/rpp9OvXz9+9atfNXjcGMPtt99Ov379GDx4MOvXr2/2sSpyWnKdZs6cSWZmJmee2WAqYdUKTvZa7dy5kwsvvJABAwaQn5/PY4891tZFP+Wc7LXyer2MGDGCIUOGkJ+fz/3339/WRT+ltOTvH0AgEOCss87i29/+dlsV+ZTVkmuVl5fHoEGDGDp0aPsfpdUYo7eQ2/Dhw017tLe00vS65+/m5TVfmx++VGjG/PqdaBdJqQ7P7/ebPn36mO3bt5uqqiozePBgs3nz5jr7vP7662bixIkmGAyaVatWmREjRjT7WBUZLblOxhjzr3/9yxQWFpr8/Py2LvoppyXXavfu3aawsNAYY0xZWZnp37+//ptqRS25VsFg0JSXlxtjjKmurjYjRowwq1atavPXcCpo6d8/Y4z57//+b3PNNdeYSy65pC2Lfspp6bXq1auXKS4ubutiNwpYZxrJS1oD2UHUNmH1W01YtQZSqZZbu3Yt/fr1o0+fPsTExDBt2jSWLVtWZ59ly5YxY8YMRIRRo0ZRUlLCnj17mnWsioyWXCeA888/v9lzg6qWacm1ysrKYtiwYQAkJSUxYMAAdu3aFY2XcUpoybUSERITEwHw+Xz4fD5EJBovo9Nr6d+/oqIiXn/9db7//e9Ho/inlJZeq45EA2QHEeOyLlWVP0C510eSxx3lEinV8e3atYsePXrU3s/NzW3whbWxfZpzrIqMllwn1bYida127NjBRx99xMiRI1u3wKewll6rQCDA0KFDyczMZNy4cXqtWklLr9Odd97JI488gsOhX/lbW0uvlYgwfvx4hg8fzsKFC9um0CdJ300dRExIDWSZ109ynNZAKtVSJswo1PV/RW9sn+YcqyKjJddJta1IXKsjR47w3e9+l0cffZTk5OTIF1IBLb9WTqeTDRs2UFRUxNq1a9m0aVPrFPQU15Lr9Pe//53MzEyGDx/eauVTx7T039T777/P+vXreeONN1iwYAH//ve/W6egEaABsoNwOwURO0BW+kiJ0xpIpVoqNzeXnTt31t4vKioiOzu7Wfs051gVGS25TqpttfRa+Xw+vvvd73Lddddx+eWXt02hT1GR+neVmprKmDFjWL58eesW+BTVkuv0/vvv89prr5GXl8e0adN4++23uf7669us7Kealv6bqllmZmZy2WWXsXbt2jYo9cnRANlBiAgxTgdef5DSSh/J2oRVqRY7++yz2bp1K1999RXV1dUsXryYKVOm1NlnypQpPP/88xhjWL16NSkpKWRlZTXrWBUZLblOqm215FoZY5g1axYDBgzgrrvuitIrOHW05FoVFxdTUlICQGVlJStXruSMM86Iwqvo/FpynebPn09RURE7duxg8eLFXHTRRbz44otReiWdX0uu1dGjRykvLwfg6NGjrFixol2PHK7tIDsQj9tJuddHlT9IstZAKtViLpeLP/zhD0yYMIFAIMDMmTPJz8/nqaeeAuCWW25h8uTJ/OMf/6Bfv37Ex8fz7LPPNnmsiryWXCeAa665hnfffZcDBw6Qm5vLAw88wKxZs6L1cjq1llyr999/nxdeeKF2GHuAX/7yl0yePDlaL6dTa8m12rNnDzfccAOBQIBgMMhVV12lU0S0kpb+/VNtpyXXat++fVx22WUA+P1+rr32WiZOnBi113I8Eq4t7qmsoKDArFu3LtrFCGvkL1cyMCuZd74o5sFLz+T6Ub2iXSSllFJKKaVUJyMihcaYsBNSahPWDiTO7WRPqRdA+0AqpZRSSiml2pwGyA4kLsbF3jIrQKbGa4BUSimllFJKtS0NkB1IfIyTkgofAGnxMVEujVJKKaWUUupUowGyA4mPcdauZyRqgFRKKaWUUkq1LQ2QHUjoyKtaA6mUUkoppZRqaxogO5CauR/TE2LwuJ3H2VsppZQ69Rw8eJChQ4cydOhQunfvTk5ODkOHDiUxMZEf/OAHrfKcjz76KM8//3yLzzNt2jS2bt0agRIppVTr0XkgO5D0BCtAdkv2RLkkSimlVPuUkZHBhg0bAJg3bx6JiYn8+Mc/brXn8/v9PPPMM6xfv77F55ozZw6PPPIITz/9dARKppRSrUNrIDuQ7ilxALidEuWSKKWUUh3Lu+++WzvZ/bx587jhhhsYP348eXl5/PWvf+UnP/kJgwYNYuLEifh81oB1hYWFXHDBBQwfPpwJEyawZ8+eBud9++23GTZsGC6X9Zv8mDFj+NGPfsT555/PgAED+PDDD7n88svp378/c+fOBeDo0aNccsklDBkyhDPPPJMlS5YAcN5557Fy5Ur8fn9b/CdRSqmTEpUAKSK/FpHPReRjEXlFRFJDHvupiGwTkS9EZELI9uEi8on92OMiIvb2WBFZYm9fIyJ5IcfcICJb7dsNbfkaW8PQ3FQALjita3QLopRSSnVw27dv5/XXX2fZsmVcf/31XHjhhXzyySfExcXx+uuv4/P5uO2221i6dCmFhYXMnDmTe++9t8F53n//fYYPH15nW0xMDP/+97+55ZZbmDp1KgsWLGDTpk388Y9/5ODBgyxfvpzs7Gw2btzIpk2bmDhxIgAOh4N+/fqxcePGNvlvoJRSJyNaNZBvAWcaYwYDW4CfAojIQGAakA9MBJ4QkZrOfk8Cs4H+9m2ivX0WcNgY0w/4HfCwfa504H5gJDACuF9E0lr/pbWeQbkp/OvuMfxo7GnRLopSSinVoU2aNAm3282gQYMIBAK1IW7QoEHs2LGDL774gk2bNjFu3DiGDh3Kgw8+SFFRUYPz7Nmzh65d6/6wO2XKlNpz5efnk5WVRWxsLH369GHnzp0MGjSIlStXcs899/Dee++RkpJSe2xmZia7d+9uxVeulFItE5UAaYxZYYypaZ+xGsi116cCi40xVcaYr4BtwAgRyQKSjTGrjDEGeB64NOSY5+z1pcDFdu3kBOAtY8whY8xhrNBaEzo7rF4ZCTgc2oRVKaWUaonY2FjAqvVzu93YDZtwOBz4/X6MMeTn57NhwwY2bNjAJ598wooVKxqcJy4uDq/X2+i5a9ZDz33aaadRWFjIoEGD+OlPf8ovfvGL2n28Xi9xcXERf71KKRUp7aEP5EzgDXs9B9gZ8liRvS3HXq+/vc4xdigtBTKaOJdSSimlVJNOP/10iouLWbVqFQA+n4/Nmzc32G/AgAFs27bthM69e/du4uPjuf766/nxj39cZwCeLVu2kJ+f37LCK6VUK2q1UVhFZCXQPcxD9xpjltn73Av4gZdqDguzv2li+8keU7+ss7Gax9KzZ89wuyillFLqFBITE8PSpUu5/fbbKS0txe/3c+eddzYId5MmTWL69OkndO5PPvmEu+++u7b288knnwRg3759xMXFkZWVFbHXoZRSkSZWi9AoPLE1qM0twMXGmAp7208BjDHz7ftvAvOAHcA7xpgz7O3XAGOMMTfX7GOMWSUiLmAv0BWrL+UYY8zN9jH/A7xrjPlTU+UqKCgw69ati/TLVUoppVQnddlll/HII4/Qv3//Fp3nd7/7HcnJycyaNStCJVNKqZMjIoXGmIJwj0VrFNaJwD3AlJrwaHsNmGaPrNoba7CctcaYPUC5iIyy+zfOAJaFHFMzwuoVwNt2P8k3gfEikmYPnjPe3qaUUkopFTG/+tWvwk7xcaJSU1O54YYOP2i8UqqTi0oNpIhsA2KBg/am1caYW+zH7sXqF+kH7jTGvGFvLwD+CMRh9Zm8zRhjRMQDvACcBRwCphljvrSPmQn8zH6Oh4wxzx6vbFoDqZRSSimllDqVNVUDGbUmrO2ViBQDX0e7HGF0AQ5EuxCqU9P3mGpN+v5SrUnfX6o16ftLtab2+v7qZYwJO/m8BsgOQkTWNfYrgFKRoO8x1Zr0/aVak76/VGvS95dqTR3x/dUepvFQSimllFJKKdUBaIBUSimllFJKKdUsGiA7joXRLoDq9PQ9plqTvr9Ua9L3l2pN+v5SranDvb+0D6RSSimllFJKqWbRGkillFJKKaWUUs2iAbIDEJGJIvKFiGwTkf+KdnlU5yIiz4jIfhHZFO2yqM5FRHqIyDsi8pmIbBaRO6JdJtW5iIhHRNaKyEb7PfZAtMukOhcRcYrIRyLy92iXRXU+IrJDRD4RkQ0i0mEmotcmrO2ciDiBLcA4oAj4ELjGGPNpVAumOg0ROR84AjxvjDkz2uVRnYeIZAFZxpj1IpIEFAKX6t8vFSkiIkCCMeaIiLiB/wB3GGNWR7loqpMQkbuAAiDZGPPtaJdHdS4isgMoMMa0x3kgG6U1kO3fCGCbMeZLY0w1sBiYGuUyqU7EGPNv4FC0y6E6H2PMHmPMenu9HPgMyIluqVRnYixH7Ltu+6a/jKuIEJFc4BLgf6NdFqXaEw2Q7V8OsDPkfhH6BUwp1cGISB5wFrAmykVRnYzdxHADsB94yxij7zEVKY8CPwGCUS6H6rwMsEJECkVkdrQL01waINs/CbNNf11VSnUYIpII/AW40xhTFu3yqM7FGBMwxgwFcoERIqJN8VWLici3gf3GmMJol0V1aucaY4YBk4Af2t2K2j0NkO1fEdAj5H4usDtKZVFKqRNi90v7C/CSMeav0S6P6ryMMSXAu8DE6JZEdRLnAlPsPmqLgYtE5MXoFkl1NsaY3fZyP/AKVte1dk8DZPv3IdBfRHqLSAwwDXgtymVSSqnjsgc4WQR8Zoz5bbTLozofEekqIqn2ehwwFvg8qoVSnYIx5qfGmFxjTB7Wd6+3jTHXR7lYqhMRkQR7gDlEJAEYD3SIEfE1QLZzxhg/cCvwJtYAFP9njNkc3VKpzkRE/gSsAk4XkSIRmRXtMqlO41xgOtYv9xvs2+RoF0p1KlnAOyLyMdYPrm8ZY3S6BaVUR9AN+I+IbATWAq8bY5ZHuUzNotN4KKWUUkoppZRqFq2BVEoppZRSSinVLBoglVJKKaWUUko1iwZIpZRSSimllFLNogFSKaWUUkoppVSzaIBUSimllFJKKdUsGiCVUkqpEyAiGSHTkuwVkV32+hEReaKVnvNOEZkRgfMsFpH+kSiTUkqpU5NO46GUUkqdJBGZBxwxxvymFZ/DBawHhtlzA7fkXBcA1xtjbopI4ZRSSp1ytAZSKaWUigARGSMif7fX54nIcyKyQkR2iMjlIvKIiHwiIstFxG3vN1xE/iUihSLypohkhTn1RcD6mvAoIu+KyO9E5N8i8pmInC0ifxWRrSLyoL1Pgoi8LiIbRWSTiFxtn+s9YKwdSpVSSqkTpgFSKaWUah19gUuAqcCLwDvGmEFAJXCJHSJ/D1xhjBkOPAM8FOY85wKF9bZVG2POB54ClgE/BM4EbhSRDGAisNsYM8QYcyawHMAYEwS2AUMi+kqVUkqdMjRAKqWUUq3jDWOMD/gEcGKHOPt+HnA6Vuh7S0Q2AHOB3DDnyQKK6217LeRcm40xe4wxVcCXQA97+1gReVhEzjPGlIYcux/IbuFrU0opdYrSJixKKaVU66gCq9ZPRHzm2KADQazPX8EKf6OPc55KwBPu3Pa5qkK2BwGXMWaLiAwHJgPzRWSFMeYX9j4e+5xKKaXUCdMaSKWUUio6vgC6ishoABFxi0h+mP0+A/qdyIlFJBuoMMa8CPwGGBby8GnA5pMrslJKqVOd1kAqpZRSUWCMqRaRK4DHRSQF6zP5URqGuzeAF07w9IOAX4tIEPABcwBEpBtQaYzZ05KyK6WUOnXpNB5KKaVUOycirwA/McZsbeF5fgSUGWMWRaZkSimlTjXahFUppZRq//4LazCdlioBnovAeZRSSp2itAZSKaWUUkoppVSzaA2kUkoppZRSSqlm0QCplFJKKaWUUqpZNEAqpZRSSimllGoWDZBKKaWUUkoppZpFA6RSSimllFJKqWbRAKmUUkoppZRSqln+f2pJ+2G7fsD8AAAAAElFTkSuQmCC\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sC.reset()\n", + "sC.set_constant('amp.alpha', 0)\n", + "dC = sC.run(5)\n", + "print(abs(-20 - dC['amp.Vm'][-1]))\n", + "ax = plot(mC, dC, label='$\\\\alpha$ = 0')\n", + "\n", + "sC.reset()\n", + "sC.set_constant('amp.alpha', 0.4)\n", + "dC = sC.run(5)\n", + "print(abs(-20 - dC['amp.Vm'][-1]))\n", + "ax = plot(mC, dC, axes=ax, label='$\\\\alpha$ = 0.4')\n", + "\n", + "sC.reset()\n", + "sC.set_constant('amp.alpha', 0.8)\n", + "dC = sC.run(5)\n", + "print(abs(-20 - dC['amp.Vm'][-1]))\n", + "ax = plot(mC, dC, axes=ax, label='$\\\\alpha$ = 0.8')\n", + "\n", + "ax[0].legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "dffb20fe", + "metadata": {}, + "source": [ + "## Original Lei formulation\n", + "\n", + "Just to check" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "50bd7ce8", + "metadata": {}, + "outputs": [], + "source": [ + "mD = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Lei formulation. Should equal (1, 2b, 3b, 4, 5b)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vr = -80\n", + "amp.I_obs = 0\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 20 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 20 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : (2.3) = Eq 1\n", + " in [mV]\n", + "dot(Vp) = (Vr - Vp) / tau_c : (2.4) = Eq 3b\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : (2.5) = Eq 4\n", + " in [mV]\n", + "I_in = Cp * dot(Vp) + Cm * dot(Vm) - (Cp_est + Cm_est) * dot(Vr) * beta : (2.6)\n", + " in [pA]\n", + "dot(I_obs) = (I_in - I_obs) / (Rf * Cf) : (2.7)\n", + " in [pA]\n", + "''')\n", + "mD.check_units(myokit.UNIT_STRICT)\n", + "sD = myokit.Simulation(mD)" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "c09d418c", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "1.6138095304540911e-09\n", + "7.294968185078687e-09\n", + "2.80776968004659e-05\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sD.reset()\n", + "sD.set_constant('amp.alpha', 0)\n", + "dD = sD.run(5)\n", + "print(abs(-20 - dD['amp.Vm'][-1]))\n", + "ax = plot(mD, dD, label='$\\\\alpha$ = 0')\n", + "\n", + "sD.reset()\n", + "sD.set_constant('amp.alpha', 0.4)\n", + "dD = sD.run(5)\n", + "print(abs(-20 - dD['amp.Vm'][-1]))\n", + "ax = plot(mD, dD, axes=ax, label='$\\\\alpha$ = 0.4')\n", + "\n", + "sD.reset()\n", + "sD.set_constant('amp.alpha', 0.8)\n", + "dD = sD.run(5)\n", + "print(abs(-20 - dD['amp.Vm'][-1]))\n", + "ax = plot(mD, dD, axes=ax, label='$\\\\alpha$ = 0.8')\n", + "\n", + "ax[0].legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "bcb13453", + "metadata": {}, + "source": [ + "## Model predictions overlayed" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "id": "f0b470e2", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "for s in (sA, sB, sC, sD):\n", + " s.reset()\n", + " s.set_constant('amp.alpha', 0.8)\n", + " s.set_tolerance(1e-10, 1e-10)\n", + "\n", + "t = 2\n", + "dt = t * 1e-4\n", + "dA = sA.run(t, log_interval=dt).npview()\n", + "dB = sB.run(t, log_interval=dt).npview()\n", + "dC = sC.run(t, log_interval=dt).npview()\n", + "dD = sD.run(t, log_interval=dt).npview()\n", + "\n", + "ax = plot(mA, dA, label='A')\n", + "plot(mB, dB, ax, label='B', ls='--')\n", + "plot(mC, dC, ax, label='C', ls='-.')\n", + "plot(mD, dD, ax, label='D', ls=':')\n", + "ax[0].legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "f8f9844c", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 15))\n", + "axes = []\n", + "names = ('A', 'B', 'C', 'D')\n", + "logs = (dA, dB, dC, dD)\n", + "colors = ['tab:blue', 'tab:orange', 'tab:green', 'tab:red']\n", + "ylim0 = {'amp.I_obs': (-6900, 1900)}\n", + "ylim1 = {'amp.I_obs': (-10, 10)}\n", + "var = 'amp.I_obs'\n", + "\n", + "for i in range(4):\n", + " for j in range(4):\n", + " if i == j:\n", + " continue\n", + " ax = fig.add_subplot(4, 4, 4 * i + j + 1) \n", + " if i == 3 or i == j - 1:\n", + " ax.set_xlabel(names[j])\n", + " else:\n", + " ax.set_xticklabels([])\n", + " if j == 0 or j == i + 1:\n", + " ax.set_ylabel(names[i])\n", + " else:\n", + " ax.set_yticklabels([])\n", + " \n", + " if i > j:\n", + " ax.plot(logs[j].time(), logs[j][var], color=colors[j], label=f'{names[j]} {var}')\n", + " ax.plot(logs[i].time(), logs[i][var], color=colors[i], label=f'{names[i]} {var}', ls='--') \n", + " if var in ylim0:\n", + " ax.set_ylim(*ylim0[var])\n", + " else:\n", + " ax.plot(logs[i].time(), logs[i][var] - logs[j][var], \n", + " color='k', label=f'{names[i]} - {names[j]}')\n", + " if var in ylim1:\n", + " ax.set_ylim(*ylim1[var])\n", + " ax.legend()\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "5d1394ea", + "metadata": {}, + "source": [ + "This shows that:\n", + "\n", + "1. A, B, and C give several results (for these parameters),\n", + "2. A looks to be the odd one out, with B and C looking slightly more similar to each other,\n", + "3. As shown, C is a reformulation of the Lei et al. compensated model." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "a46a51da", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "9a8e3056", + "metadata": {}, + "outputs": [], + "source": [ + "def plot(m, d, axes=None, label=None, ls=None, altins=False):\n", + " if axes is None:\n", + " fig = plt.figure(figsize=(15, 10))\n", + " t_zoom = 0.05\n", + " \n", + " # Top left: Vm\n", + " ax1 = fig.add_subplot(3, 2, 1)\n", + " ax1.set_ylabel('Vm (mV)')\n", + " \n", + " # Top right: Vo\n", + " ax2 = fig.add_subplot(3, 2, 2)\n", + " ax2.set_ylabel('Vo (mV)')\n", + " ins2 = ax2.inset_axes((0.3, 0.35 if altins else 0.20, 0.65, 0.55))\n", + " ins2.set_xlabel('Time (ms)')\n", + " ins2.set_ylabel('Vo (mV)')\n", + " ins2.set_xlim(-0.005, t_zoom)\n", + " ins2.patch.set_alpha(0.5)\n", + "\n", + " # Middle left: Vp\n", + " ax3 = fig.add_subplot(3, 2, 3)\n", + " ax3.set_xlabel('Time (ms)')\n", + " ax3.set_ylabel('Vp (mV)')\n", + " ins3 = ax3.inset_axes((0.3, 0.20, 0.65, 0.55))\n", + " ins3.set_xlabel('Time (ms)')\n", + " ins3.set_ylabel('Vp (mV)')\n", + " ins3.set_xlim(-0.005, t_zoom)\n", + " ins3.patch.set_alpha(0.5)\n", + "\n", + " # Middle right: V_ref\n", + " ax4 = fig.add_subplot(3, 2, 4)\n", + " ax4.set_xlabel('Time (ms)')\n", + " ax4.set_ylabel('Vref (mV)')\n", + " ins4 = ax4.inset_axes((0.3, 0.20, 0.65, 0.55))\n", + " ins4.set_xlabel('Time (ms)')\n", + " ins4.set_ylabel('Vref (mV)')\n", + " ins4.set_xlim(-0.005, t_zoom)\n", + " ins4.patch.set_alpha(0.5)\n", + " \n", + " # Lower: I_obs\n", + " ax5 = fig.add_subplot(3, 1, 3)\n", + " ax5.set_xlabel('Time (ms)')\n", + " ax5.set_ylabel('Recorded I (pA)')\n", + " ins5 = ax5.inset_axes((0.3, 0.35 if altins else 0.20, 0.65, 0.55))\n", + " ins5.set_xlabel('Time (ms)')\n", + " ins5.set_ylabel('Recorded I (pA)')\n", + " ins5.set_xlim(-0.001, t_zoom)\n", + " ins5.patch.set_alpha(0.5)\n", + "\n", + " kw = dict(color='#aaa', ls='--')\n", + " ax1.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + " ax1.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax2.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax3.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax4.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " else:\n", + " [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5] = axes\n", + " \n", + " ax1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", + " if 'amp.Vo' in d:\n", + " ax2.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " ins2.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " ax3.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " ins3.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " ax4.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " ins4.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " ax5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " ins5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " \n", + " return [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5]\n" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "4ed2a552", + "metadata": {}, + "outputs": [], + "source": [ + "mA = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2a, 3a, 4, 5a)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 1\n", + "time = 0 [ms] in [ms] bind time\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 20 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cm_est = 20 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "tau_amp = 50e-6 [ms] in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", + " in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf + (Vm - Vp) / Rs + Cf * dot(Vo) + I_inj) / (Cp + Cf) : Eq 2a\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf : Eq 5a\n", + " in [pA]\n", + "''')\n", + "mA.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "id": "11582373", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA = myokit.Simulation(mA)\n", + "dA = sA.run(5)\n", + "ax = plot(mA, dA)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "id": "886543a6", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4oAAAJNCAYAAAB3F4tAAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAD3fElEQVR4nOzdeXxU9b3/8ddnZpLJvi8kJBAg7IsgAcFdi4qoULRVXKqt3lKtrdfa2tbS363tLcXaVVtrS29ta6titSqoFRX3BcSAyBJ2CGTf931mvr8/JmBCwj4zZyb5PB9NSc535px3hsg3nznfRYwxKKWUUkoppZRSh9isDqCUUkoppZRSKrhooaiUUkoppZRSqhctFJVSSimllFJK9aKFolJKKaWUUkqpXrRQVEoppZRSSinVixaKSimllFJKKaV6cVgdwCopKSkmJyfH6hhKKaUCYMOGDdXGmFSrc4QK7SOVUmpwOFb/OGgLxZycHPLz862OoZRSKgBE5IDVGUKJ9pFKKTU4HKt/1KGnSimllFJKKaV60UJRKaWUUkoppVQvWigqpZRSSimllOpFC0WllFJKKaWUUr0M2sVsVG/urg4qi/dQU3aAMBvERjqJTs4kNnUYNme01fGUUkqp42ptqKa5Yi+tDTV0OuIYMWkmYWHhVsdSSqmQpIXiIFZzoID9b/2NmKK3Ge3aRYYYMvp5XKEMpSJ2ErbsGWScMYehuWcgNr0ZrZRSKjjs2fgOtW8+xBlN75AmrsPHW1c62Rk7g66ZtzP1nCu071JKqZOgheIgVLb7E0pe+BFnNr9LIrAnbAzrht6MPWU0ManDcBkbzW1teBrKcTQdJLZ2K6Mb15K07VXY9lOKGMKBlPOIn/p5xp91GY6wMKu/JaWUUoOQ8Xj48J8/Ztbeh0iTSDYPWUhH1jmERyfhaKukZc8HTKh7g+Q3bmLnu+OJ+PxvGT5xltWxlVIqJGihOIh4XF1sevonTN71CDGEs37ol8i49G7G5IxizHGeazweDuzfQcXGl4na/zozql7AueYZqtYksiv1UuJnXM+E6Rdgs+u7tUoppfzP43az8Xc3ck79K2yKPZ9RX/07M+KTjnjUV+lsa2H9S39g1LbfEf+vy/kw80amf/kXOJ2RluRWSqlQIcYYqzNYIi8vzwymzYSb6ms4+OhCJnZ8yvrI88j+0qNkZGaf8vnamhvY8d6/ka3/ZkLzOsLFxQEZSlH2VQy74CsMGzXOh+mVUur0iMgGY0ye1TlCRSj0kWv/+n1mH3iUtUNvZdZtv0Rs9mM+vq66gj1PfIsZdS+zwzGOhFueYEh2boDSKqVUcDpW/6iF4iDQUltKxR+uILvrAPlT7mfWwm/4dJ5GS301u95+gsjtzzCuYwsAW8Mm0zjmasZedBPJKWk+u5ZSSp0KLRRPTrD3kZvfeZ5Jb36FjfFzmH73v06qT/tk9V8ZvfY+XOKg+NLlTDp7nh+TKqVUcNNCsR/B3gn6SnN9NfW/u5AkVyVbz/09My+51q/XqyraReGbj5FxYBVZnhI6jIPNUbPwTFjIxAu/SExsvF+vr5RS/dFC8eQEcx/ZWFOO+3czaLAlkH7PB0TGxJ30OQ7u/hSeup4h7nI2Tv0psxZ+3Q9JlVIq+B2rf9QJZQNYV2cH+/9wDWmuUj49/89+LxIBUrPHMOOWB8j6f9sovPoltmRcw8i2rZy14dvYf5lL/oNX8OHzj1JVWeb3LEoppQaePU9/n1jTTNfCv5xSkQgwbPQZJN31LnsiJjHr0/v48G8/wHg8Pk6qlFKhLWQWsxGRXwBXAZ3AXuArxpj67rb7gNsAN3CXMeZVq3IGk21/uZ2pnZvIn7aU2Z9bENiLi5Az5TxyppyHcbvYmf8aDfnPMrLqTVI+fR/3pvvYHj6e2syLiJ84h9FTz8EZ7gxsRqWUUiGldGc+Z1S8wIfJV3Pe5Jmnda6YhBRy73mNDY/cxNmFj/DBHyqZdcefsNuPPddRKaUGi5AZeioilwJvGmNcIvJzAGPM90RkAvAUMBPIBNYAY4wx7mOdL5iH1fjCrndWMOatr/FW8vVc9M0/Wh3nMON2UbjlA6o2rCKp9C1y3XsBaDER7I6YTFv6dKKHTyNz3FmkZOaAiLWBlVIDgg49PTnB2kdu/OV8Rjetp/3OTaSmDfHJOY3HTf7yrzOjfAUfxV7CtG8+SXh4uE/OrZRSwe5Y/WPI3FE0xrzW48t1wBe6P18ArDDGdAD7RWQP3qJxbYAjBo3GmnJS3vouu20jmHHbr62O04vYHYyYegEjpl4AQGNVCfs3vk7nnndIq8lnyoE/YTto4D2oIZ6SiNG0JIzBlpRDVNookobmkpo1mvDIaIu/k5NjPB48Hg8uVycelwu324XH7f3T7XZjPG48HjfG48EYT98/jcEY8P5f9/CoE3iPx4gg0F1we4tu76eHCnBButsOPc7b1PPx3Y8V6flHjzbvc02vol561/g9vpAe5z4en71PcApviIXGW2hH48s3WKx/JdKGjrA6ggoCZXs3M7XpXdYNvYWzfVQkAojNzoyv/ZH1jydx1v4/sOE3n2fCN58hMiq0+hmllPK1kCkUj3Ar8HT350PxFo6HFHcfO6ampibefvvtXseysrLIzc3F5XLx/vvv93lOTk4OOTk5dHR0sHZt3zp01KhRZGdn09rayvr16/u0jxkzhszMTJqamtiwYUOf9vHjx5Oenk59fT2bNm3q0z5p0iRSUlKorq5m69atfdqnTp1KQkICu/7yX0wxzbw9+n5KeuSYPn06sbGxlJaWsmvXrj7PnzlzJlFRURQVFbF3794+7bNnz8bpdFJYWEhhYWGf9nPPPReHw8GePXsoLi7u037hhRcCsHPnTsrKesxRdOZgnzKK4ef9laaGWj5+60VaDm4irmUfGe2FjC37FGd5FxR89pQaE0eDxNFii6HNHkunIw57/BAIi6a5vZMuj+CRMNy2cLDZCQ8PY0haGh6EyooKOru6sBk3GDd43IQ77MTHxSDuDuprqzGuDuyeLsS4sHm6CBcPEWGC3d2Bu6MFm8eFgy4cxvtnGC7CcOMwLmzG+7UD9+GPMHFjB3RAk1Inx2Vs8OO64/67V1FRwfbt2/u0H/p3T4W+8ld+QQJhjLrq274/uQgzb1lG/r/iyStYxubfziPnGy8QF5fo+2sppVSICKpCUUTWAP29TbjEGLOy+zFLABfwxKGn9fP4ft8CF5HFwGKAESMG5jvUOz9cRV7re7wUv4ikjJFWxzlpsfFJDBkzg8qE4RigFCj2uPF0NDM0OYrGsr00HNxCeEsZEa4mIj1NJHWVE9u5h4TWZpzSdfSTHzzOxcs/+9RjhE5vKUgnYXRJGK72MFwSRqex4yKMLnHQZovETSzG4cQeHoXHFkZbRxdu7HhsDjzYMeLAERFJVHQc2O3U1jVgxI4RG4gNIzaio6KJjYvHg1BRWYWIYJDDdwLj4uKIjYvD4/ZQWl7R54c+PiGe2NhYurpcVJR7vxHB+x+CYIhPSCAmOprOzk6qKiu7n2W6/0MxJCYkEhUVQUdHJzXVVX1emsSEBJwREbS3t1NfV9v9LO+5DZCcmER4eDjt7W3U19f3eq5gSEpKJiwsjNa2VpoaG/ucPzk5BYfDTktLC83NzX3aU1JSsNnstLQ009LS0qc9NTUVERtNzU20tbb2aU9LSwcRGhsbaWvr3S5iIy3Nu4VLQ0M97e3tvdrtNjupqakA1NXX09HRu91hd5CSkgJAbV0dnZ0dvdsdYaQkJwNQXVODy9X7ZzQ83ElSoveX0erqalxuV692pzOCxIQEAKqqqnB7eo+qj4yIID7e215RWQmm94IckZGRxMV5F/yoqKg48qUhKiqK2NhYPB4PVVV9/+5joqOJjonF7fZQVV3Zpz02Jpbo6GhcbhfV1dV92uPi4oiKjKKrq4ua2po+7fHxCURGRNDZ2Ult989WT4kJSZzX56gabFoaahhb/Sob4y/hnIxhfrtO3rXf55MX45mc/wP2PHQZnV9bRYoP714qpVQoCZk5igAicgtwO/A5Y0xr97H7AIwxy7q/fhW43xhzzKGnwTr/4nQYt4sDy/IIc7WQ+N1NRA3CYTMet5u2tlba2lpxd7bh7mzzDvH0GDzGYOuuvQTAHo7d7sDhCMce5v3TEe4k3BmBwxH22ZBLpVTIG+xzFEVkLvAQ3oEN/2eMeeBYjw+2PnL90w8wc/sytl+1ivHTL/D79ba+8QRj3r2LEnsmzq+8QGb2KL9fUymlrDAg5ih2d3LfAy44VCR2WwU8KSK/xruYzWig77jPQWDbK39kkms/70/9BUMHYZEIYLPbiY6JJTpGh5oppRSAiNiBR4BL8E7P+FhEVhljCo79zOCRumsFu+yjGXfm+QG53qTP3cjOqHiyXr2Nxr9cxt5FzzJq3NSAXFsppYJFKO2j+HsgFnhdRDaJyB8BjDHbgH/hncG2GrjzeCueDkSu9maGbPgl22xjmXXlbVbHUUopFTxmAnuMMfuMMZ3ACrwLwYWEkl2bGOHeT/XIzwd0pMfY2VdSefW/cdJB0lNXsmWt7ryllBpcQqZQNMbkGmOyjTFTuz9u79G21Bgzyhgz1hjzipU5rfLpqt+RYupoPu//4XDokilKKaUOGwoU9fj6hBZ9CxZFHzwFwMgLbgj4tUdMOZeuL79Gqz2G0atvZP3Lfw14BqWUskrIFIrq6Lo6O8gs+D8KwiYy88IrrY6jlFIquJzQom8islhE8kUkv7+FjaySVrSa7WETGJJlzQJt6Tnjib3zbQ6G5zLz47t558/34nINuoFLSqlBSAvFAWDrq38hg2o6Z92lC7AopZQ6UjGQ3ePrLLyLSvdijFlujMkzxuQdWunXavt3bGKkp5Cmkda+CRqXPIScb7/JpsTLuKBkOR//8vP9ruKrlFIDiRaKoc7jIWXTo+yV4Uy58Fqr0yillAo+HwOjRWSEiIQDi/AuBBf0Sj9cAcDIC663OAmER0Qx9a6n2Tz+Hma2vUfT785jx+ZBuXaeUmqQ0EIxxBWuf5Fs90EOTliMza5/nUoppXozxriAbwCvAtuBf3UvBBf0MkpWs8MxnpTMINkXWIQp1/2Ig1c+RZxpZvi/r2Dtk0txu3UoqlJq4NHKIsQ1f7CcahPPmZd/xeooSimlgpQx5j/GmDHdC78ttTrPiagrP8BI936qsi6xOkofI2Zcju2O99kdNZXZux5k2wMXsW/PdqtjKaWUT2mhGMLqygoZ1/ghBelXEh8zOPdNVEopNTDtX/8yAGlT51qcpH8J6cOYfO+rfDL1J4zq2knaPy7inb/9Dx0dbVZHU0opn9BCMYTtWv0HHOJh2Jw7rI6ilFJK+ZR771vUEkvu5FlWRzkqsdmY9vn/puur73MwZgoXFD5E1QPTWP/K47jdHqvjKaXUadFCMUR5XC6GH/g3W5xnkjNmstVxlFJKKZ/xuD3kNHzM/tg87Pbg3xs4YehoJtz7Gp9e8Bfc4mDmR99kx9JZrHv5b7i6uqyOp5RSp0QLxRC1e+0qhlBN25SbrY6ilFJK+dTeHRtJpQ4z4kKro5yUMy76Atk/+IQtU+8niXpmffzflP1sCh8+9QBVFX12JFFKqaDmsDqAOjVtG5+i3kQz8aLrrI6ilFJqgGlqauLtt9/udSwrK4vc3FxcLhfvv/9+n+fk5OSQk5NDR0cHa9eu7dM+atQosrOzaW1tZf36vttKjBkzhszMTJqamihY8wSjgRrbkMM5xo8fT3p6OvX19WzatKnP8ydNmkRKSgrV1dVs3bq1T/vUqVNJSEigoqKC7dv7Ljwzffp0YmNjKS0tZdeuXX3aZ86cSVRUFEVFRezdu7dP++zZs3E6nRwsLqEmYRrV5/6B/L0fklv6AmfvXEbXjgfZGDGdysyLkZSJOKPjej3/wgsvBGDnzp2UlZX1arPb7Zx33nkAFBQUUFlZ2as9PDycs88+G4AtW7ZQU9N7j8fIyEjOOussADZt2kR9fX2v9piYGPLy8gDIz8+nubm5V3tCQgKTJk6grbWZ/PXraG1uwHR14HF3gLuLKKeTtJREPK5Oig/ux+PqAuMG40GMm4jwMOLiYsF4qKqs6m4zgAHAGRFBbEwMANXV1d1XFYwIAkRERhETE4MBqqprQATo/hCIjokjNjYWj8dDRWW1twkBEQw2EuLjiYmNxe12U15e0eP5gAhJSUlEx8TR2dVJRXl5d/tnUlJSiYmJoa29g4qKco6UljaEqOgoWltaqays+Ozc3TIyM4hwRtDc0uz9/o+QOTST8HAnTU2N1Bz+/j8zdGgWYeFhNDY0UNvP/p3ZWdnYHQ7q6+upr6/r1WYMDB8+DJtNqK2po6Gxsc/zR4wY3v3a19DU1Pvv3m4Thg0fBkBVZTXNLS292h0OO9nZWQBUVFTR2traqz0sLIysrEwAyssraGtr79XudIaTmZkBQGlpGR0dnb3aIyMjGDJkCADFxSV0HXGHPioqivT0NACKiopxuVy92qNjYkhLTQHgwIGDeDy9h4THxsaQkpIMwP79BzhSfFwcScmJeDyGAwcO9mlPTEggITEel8tDUVFRn/akpETi4+Po6nRRXFLSpz05OZm4uBg6OzopKS3r056amkJMTDRtbR2Ul/f92cudMotJMy44rX/3jkULxRDU1dbEmLp32JhwKedGRVkdRymllPKpjKbNFJOGM2GI1VFOmdgcxI4+n4rc8yis2kty/ScML1vNmfvX49kn7JVh7I+YSFP8OJwpOXi6OrCFOf2SxXg8tDbX01RbRW3RdpprK6CjCelqwtbVQpenjY/WunF0NSGttaS4W4kwbUTSTqRpI5p2HC90EQtcdJxrTfXLd3AcfWuv3vr+ft1b39/fe+v7+39vfeuH4Go/Xv7jtfetn3rbf5z2fd4/zjha+57jtO86TvvO47RvP047J9Y+rb+DPV67fkuuHu15x2mfcZz2/rzXfj3MuODYDzoNYozx28mDWV5ensnPz7c6xinZ9upjTFz7LfIv/Cd5F15ldRyllAp6IrLBGNNvP636srKPNO4umv83m62Jc5j93/+0JIO/GI+bfZveoXzTq8RXfMSojm1E4r2D0mXslNoyaAhPoyMiBROdhjsiEQmLwhYehT08ApsYjNuN8Xg/PG43pqsVOpqgsxlbVzO2rlbCOhtxupqI9DQR42ki1rQQJkff67HL2GmWaFokmlZbDJ2OaFz2KFyOaFzhMZiwGAiLRsIjkfAoJCwKuzPSmyvMid3hxB7u7P48HJsjHJvdjt0ehs3hwG4PQ+w2bGLDZrMjYkNsAtjAJofuDXLoN1Jz6G6jATEGgwfjMXiMB2M83nbjPWYOt3uPG48HjMEYD55DjzMG4zFA9+eHj3dfyxxqAzCH/+wO89lx71/iEX+pR/4ebfr57MRIP5/1/0BB5OiPMUc8/zhnC3L+qFNC+xXpKS51KEOyc0/rHMfqH/WOYgjybHmWCpKYcs7lVkdRSimlfKp4Rz7ZtGFyzrM6is+Jzc6oMy9m1JkXA+Dp6uDgro2U7t6Ep3IH0Y17ieyoJK3hIIn19TjFdZwzfqbFOGmVSNolknZbNO2OOJojhlAaHo8nIgGJTMAelYQjJonw2GQi41KIik8hLiGFqOhYEm02Ev31jSulQpIWiiGmraGacU3rWJv6Rc4P078+pZRSA0vF9vfJBjInnm91FL+zhTkZNnE2wybO7tNmPB7a21tob2mmo62ZjvYWXB7B7nBgtzuw2ezYHQ4iouKIioklOiwM3VFZKeVLWmmEmJ1vP8lUcZMw8waroyillFK+V/Qx1cQzfORYq5NYSmw2IqJiiYiKtTqKUmqQ0u0xQozseJlS0pg4feANyVFKKaXSGrdQFDURsemvKEopZSX9VziEtDTVM651AwfTLsJu1786pZRSA0tVZRnDTCldQ860OopSSg16OvQ0hOz6cBXTpIuYM+ZbHUUppZTyuYNb3iMViB9zttVRBoSUlBRycnKsjqGU8rHCwsIee476jxaKIcRT8BL1xDB25qVWR1FKKaV8rmP/R3iMMGziOVZHGRBycnII1a3AlFJHl5cXmN2edPxiiHB3dZLb8AG74s4hLCzc6jhKKaWUz8VWb6LQPpzI2ASroyil1KCnhWKI2LPhdeJpRsZfYXUUpZRSyueMx82w9h1UxE22OopSSim0UAwZDZteosOEMfacBVZHUUoppXyu6kAB8TTjydSFbJRSKhhooRgi0ivfY2fEZOLiEqyOopRSSvlc+Y71ACTmzrQ4iVJKKdBCMSRUFu9luKeIlqwLrI6ilFIqCInI/SJSIiKbuj/m9Wi7T0T2iMhOEbnMypzH0l78KV3GzohxekdRKaWCga56GgIOrF9FGpB2ps5PVEopdVS/Mcb8sucBEZkALAImApnAGhEZY4xxWxHwWCJqt1Nkz2JkVJTVUZRSSqF3FEOCfd9bVJDEyPHTrY6ilFIqtCwAVhhjOowx+4E9QFCO7Uxv20Nt7BirYwS11atXM3bsWHJzc3nggQesjqOUGuC0UAxyblcXo5s/Zn/CbMSmf11KKaWO6hsisllEHhORxO5jQ4GiHo8p7j4WVKoqSkmnFpM20eooQcvtdnPnnXfyyiuvUFBQwFNPPUVBQYHVsZRSA5hWHkFu76Z3iKUV++jPWR1FKaWUhURkjYhs7edjAfAoMAqYCpQBvzr0tH5OZY5y/sUiki8i+VVVVf74Fo6qZMfHAMQOnxbQ64aS9evXk5uby8iRIwkPD2fRokWsXLnS6lhKqQFM5ygGubrNq3EbYdRZV1odRSmllIWMMXNO5HEi8mfgpe4vi4HsHs1ZQOlRzr8cWA6Ql5fXbzHpL61FmwDIGJsXyMuGlJKSErKzP/urzMrK4qOPPrIwkVJqoNM7ikEuvuwD9jhGk5SSbnUUpZRSQUpEMnp8uRDY2v35KmCRiDhFZAQwGlgf6HzH46gqoIYE4lOzrI4StIzpW7uL9L1hvHz5cvLy8sjLyyPQd4aVUgOLFopBrL2lkZGdO6lJPcvqKEoppYLbgyKyRUQ2AxcB3wIwxmwD/gUUAKuBO4NxxdOk5t2URoy0OkZQy8rKoqjos+mmxcXFZGZm9nnc4sWLyc/PJz8/n9TU1EBGVEoNMDr0NIjt3fAGE8VN9NiLrI6ilFIqiBljvnSMtqXA0gDGOSmuzg6GuQ6wMfU6q6MEtRkzZrB7927279/P0KFDWbFiBU8++aTVsZRSA5gWikGsecebdBk7o/JOaFqKUkopFXJK925mmLiwZUy2OkpQczgc/P73v+eyyy7D7XZz6623MnGirhKrlPIfLRSDWGLlR+wOG8uE2HiroyillFJ+Ub1vE8OAxBG64unxzJs3j3nz5lkdQyk1SOgcxSDV0lDLqK5d1Kfr/ESllFIDV2dZAW4jZI+eYnUUpZRSPWihGKT2bXgNuxhixl1sdRSllFLKb5z1eyizDSEiMsrqKEoppXrwa6EoItEiYvfxOb8jIkZEUnocu09E9ojIThG5zJfXs0rb7nfoMGGMnv45q6MopZRSfpPUup+qiByrYyillDqCTwtFEbGJyA0i8rKIVAI7gDIR2SYivxCR0ad5/mzgEuBgj2MTgEXARGAu8AdfF6dWSKr6mD3hY4mMirY6ilJKKeUXrq5OMtyltCfkWh1FKaXUEXx9R/EtYBRwHzDEGJNtjEkDzgPWAQ+IyE2ncf7fAN8Feu46uwBYYYzpMMbsB/YAM0/jGpZrb64np2sv9akzrI6ilFJK+U1Z4Q7CxY0tbZzVUZRSSh3B16uezjHGdB150BhTC/wb+LeIhJ3KiUVkPlBijPlURHo2DcVbhB5S3H0sZBVueptx4iEy9zyroyillDoFIhIBXIn3jdJMoA3YCrxsjNlmZbZgUlO4hWwgfphu86CUUsHG14Xi8yLyJLDSGNPS3wP6KyQPEZE1wJB+mpYAPwAu7e9p/V3mKOdfDCwGGDZs2NFiWK5p17u4jTBy2kVWR1FKKXWSROR+YD7eUTYfAZVABDAG78iaCODbxpjNloUMEu2l2wHIzD3D4iRKKaWO5OtC8c945wv+VkTeAp4C/mOM6TyRJxtj+t1ZXkQmAyOAQ3cTs4CNIjIT7x3E7B4PzwJKj3L+5cBygLy8vH6LyWAQU/Exex2jGJOYZHUUpZRSJ+9jY8z9R2n7tYikAcH7bmUA2Wt3UUES6fHa3ymlVLDx6RxFY8xKY8z1wHDgOeAW4KCIPCYil5zGebcYY9KMMTnGmBy8xeGZxphyYBWwSEScIjICGA2sP+1vxiLurg5GtG+nKulMq6MopZQ6NZEi4jxaozGm0hiTH8hAgfbxC79n05oVx31cfEshleFaMyulVDDy9R1FAIwxbcDTwNMiMgX4O96i0eerkRpjtonIv4ACwAXcaYxx+/o6gVK4dS2jpIuwnNlWR1FKKXVqbsS7AvdqvCNrXgvlfulUpG5+lNqoUTBn0TEfl+4qZnvSKb+P7Hft7e289NJLvPfee5SWlhIZGcmkSZO44oormDhR51UqpQY2vxSKIpIOXIt3GGoG8AzwFV+dv/uuYs+vlwJLfXV+K9Vsf5dRQPYZOj9RKaVCkTFmoYjEAQuBu4C/iMhK4CljzLvWpgsMQfAcZ4JHQ0058bRgkkYFJtRJuv/++1m1ahUXXXQRZ511FmlpabS3t7Nr1y6+//3v097ezq9+9SumTJlidVSllPILnxaKIvJV4HpgLN6hp981xnzgy2sMdOGlH1NKGplZI6yOopRS6hQZYxrxjqb5u4gkA18AficiScaY7GM/e2CQ/teVO6x8/1bigYghYwIT6CTNmDGD+++/v9+2e+65h8rKSg4ePNhvu1JKDQS+vqN4NvAAsMYY4/HxuQc+Y8hq3sK+2OlkWp1FKaXUaRORROBq4DogCe9WUYPC8VaMayrZCUBSdnDuodjW1kZHRwdOZ//TTdPS0khLSwtwKqWUChxfL2bzFWPMa8YYj4hMEZH5InL1oQ9fXmsgqi7aSQp1dGXOsDqKUkqpUyQisSLyJRH5D7AdmAH8FBhmjLnb0nABI8etFF1Vu3EZGxk5wVkoPvHEE2RnZ3PzzTfzyiuv4HYPqmmmSinl20LxEBF5DHgMuAa4qvvjSn9cayAp2eKdupI87lyLkyillDoN+4G5wKNAtjFmsTHmTWNM0G7L5B/H/nbD6vdTbksj3BkRoDwn5/nnn2fPnj187nOf4+GHHyY7O5s77riDd98dFNNMlVLKP4vZALOMMRP8dO4Bq/PAR7QYJyMnzrQ6ilJKqVM3zBjTanUIKxk5/mPi24qodWaT5f84pywuLo5bbrmFW265hZqaGp599lm++c1vUltbS1FRkdXxlFLKr/xyRxFYKyJaKJ6kxNpN7AsfR3h4uNVRlFJKnaJDRaKIXCkin4hInYg0ikiTiDSe6nlF5Isisk1EPCKSd0TbfSKyR0R2ishlPY5PF5Et3W0Pi8gJlHD+ZzweMl3FtMbmWB3lhNTV1fHcc8/x9NNPU1tbyzXXXGN1JKWU8jt/3VH8O95isRzoAAQwxhhdQ/ooOtqaGN61n/WZN1kdRSmllG/8Fu9CNlt8NOx0a/f5/tTzYPcbs4uAiUAmsEZExnTv3fgosBhYB/wH75DYV3yQ5ZiOV43WVRaTJB2YxJH+jnLKmpqaeOGFF3jqqafYuHEj8+fP54c//CEXXXQRQVJvK6WUX/mrUHwM+BKwBdDVT09A4ZYPGStuIkacZXUUpZRSvlEEbPXV3ERjzHagvyJlAbDCGNMB7BeRPcBMESkE4owxa7uf9zjweQJQKB5PVdFOkoDIIblWRzmqESNGcNlll3HHHXcwd+5cwsLCrI4UFEpLDuKu2k321M9ZHUUp5Wf+KhQPGmNW+encA1LDrg8ByJ5ygcVJlFJK+ch3gf+IyDt4R9cAYIz5tY+vMxTvHcNDiruPdXV/fuTxABCOtZhNc9keABKHBuceigAHDx4kKirK6hhBp+jlXzCt5Al+W/E+d1+ms4yUGsj8NUdxh4g8KSLX6/YYJyasfCPFpJM2JJin9SullDoJS4FWIAKI7fFxVCKyRkS29vOx4FhP6+eYOcbxo117sYjki0h+VVXVsWIe1/Fuobqq9+IxQvqw4C0UDxWJL730EtOmTSMxMZG4uDhiY2OJi4uzOJ11Rk2YRri4WfXOh2wvO+Upt0qpEOCvO4qReN89vbTHMQM856frhbzMlgIOxkwO6tXflFJKnZQkY8ylx3/YZ4wxc07hOsVAdo+vs4DS7uNZ/Rw/2rWXA8sB8vLyTn+47DFG3NobDlIpyQyJDP47dnfffTfPPfcckydP1rmJQMrwyQBMCKtg+bv7+M11U60NpJTyG7/cUTTGfKWfj1v9ca2BoLb8IOmmmq4hZ1odRSmllO+sEZGTKhRP0SpgkYg4RWQEMBpYb4wpA5pEZFb3aqc3AysDkIfjLWcT01pETXhGYKKcpuzsbCZNmuSzIvGZZ55h4sSJ2Gw28vPze7UtW7aM3Nxcxo4dy6uvvnr4+IYNG5g8eTK5ubncddddWLolZ8poAOZnNvDyljIa27usy6KU8iuf3lEUkR8CfzDG1B6l/WIgyhjzki+vG+qKt75HEhCfO8vqKEoppXznTuC7ItKBd77goRXAT2ncoogsBH4HpAIvi8gmY8xlxphtIvIvoABwAXd2r3gKcAfwN7wjfV4hCBayAUjuKmN/wmyrY5yQBx98kHnz5nHBBRfgdDoPH7/nnntO6XyTJk3iueee42tf+1qv4wUFBaxYsYJt27ZRWlrKnDlz2LVrF3a7nTvuuIPly5cza9Ys5s2bx+rVq7n88stP6/s6ZZEJEJvB9KgqOl0eXt9WwTXTdTyUUgORr4eebgFeFJF2YCNQhXduxmhgKrAG+JmPrxny2vavp8vYGTE5NDpNpZRSx2eMOeZ8xFM43/PA80dpW4p3TuSRx/OBSb7McbraWppIpY49CcOtjnJClixZQkxMDO3t7XR2dp72+caPH9/v8ZUrV7Jo0SKcTicjRowgNzeX9evXk5OTQ2NjI7Nne39HuPnmm3nhhResKxQB0saT1LqXIXERvFZQroWiUgOUTwtFY8xKYKWIjAbOATKARuCfwGJjTJsvrzdQxFRvotCRw+hon/5OoZRSygIikmOMKTxGuwBDjTHFR3tMqDPHWPW04uBOcoCwlODdQ7Gn2tpaXnvtNb9fp6SkhFmzPhtZlJWVRUlJCWFhYWRlZfU5bqm0CcjH/8clE5N57pNyOlxunA67tZmUUj7nl8VsjDG7gd3+OPdA43G7Gd6xk63JlzHa6jBKKaV84RciYsM7H3ADn42uyQUuAj4H/IjeW1cMLAJylEKxodT760FMEO+h2NOcOXN47bXXuPTSE59uOmfOHMrLy/scX7p0KQsW9L+AbX/zDkXkqMePZvny5SxfvhyA01299qjSxoOrncsz2/nHR27yC+s4JzfFP9dSSlnGX6ueqhNUsudTsmlDsvKsjqKUUsoHjDFfFJEJwI3ArXhH17QC24H/AEuNMe0WRgyAoxcyHVX7AEjJHhuoMKflkUce4cEHH8TpdBIWFoYxBhGhsfHoW0OsWbPmpK+TlZVFUVHR4a+Li4vJzMwkKyuL4uLiPsePZvHixSxevBiAvDw//W6RPhGAM50lhNkjeXd3lRaKSg1A/tpHUZ2gyh0fAJA67myLkyillPIVY0yBMWaJMeZCY8xYY8w0Y8wNxph/Dvwi8dhM3QFajJPk1NBY9bSpqQmPx0NbWxuNjY00NTUds0g8VfPnz2fFihV0dHSwf/9+du/ezcyZM8nIyCA2NpZ169ZhjOHxxx8/6l3JgEkdD2InoqaAadmJrN1bY20epZRfaKFoMU/RBppMJMNGn2F1FKWUUsp3jrKDg7O5mEp7OmIL7l9BCgsLj9lujOl1p+9EPf/882RlZbF27VquuOIKLrvsMgAmTpzItddey4QJE5g7dy6PPPIIdrt33t+jjz7Kf/3Xf5Gbm8uoUaOsXcgGICwCUsdC+WZmjUpma0kDDW26TYZSA41fhp527+P0TSCn5zWMMfP9cb1Qlli/lQPOMUxy6ChgpZRSA4Pp8f9Him0vo9E5JJBxTsm9996Lx+NhwYIFTJ8+ndTUVNrb29mzZw9vvfUWb7zxBj/+8Y97LTRzIhYuXMjChQv7bVuyZAlLlizpczwvL4+tW7ee0vfhNxlnwN43OXt2Mg+/sZv1+2u5ZEK61amUUj7kr+rkBeAvwIuAx0/XCHldHW0M69pHfsb1VkdRSimlfOZYW9Onuiuoig7+UTTPPPMMBQUFPPHEEzz22GOUlZURFRXF+PHjmTdvHkuWLCEiIsLqmNbJOAM+fYppiW04HTbW7avRQlGpAcZfhWK7MeZhP517wDi4/WNGiRtH9nSroyillPIDEZkPnN/95TvGmBetzBMo5iilYlN9DXG0QPywACc6NRMmTGDp0j7bUyqAzDMBcFZ8yrRhSXy0X+cpKjXQ+GuCwEMi8iMRmS0iZx768NO1QlbtrnUAZE44x+IkSimlfE1ElgH/DRR0f9zVfWxQ6G97jKriPQCEJ+cEOI3yuYwpIHYozuesEckUlDbS2K7zFJUaSPx1R3Ey8CXgYj4bemq6v1bdpGwjtcQxdLjuoKiUUgPQFcBUY4wHQET+DnwC3GdpqoAQ+tn+j4Yyb6EYM2RkgPMonwuLhCGToPhjzjrvTh56A/ILa7l4nA4/VWqg8FehuBAYaYzp9NP5B4SUhm0cjBhHUpCv/KaUUuqUJQC13Z/HW5gj4Pq7o9hRfQCAlKG5gY6j/CF7FnzyD6YNjSXMLny0XwtFpQYSfxWKn+LtHCv9dP6Q19bcQLa7iNKUS62OopRSyj+WAZ+IyFt413c5n0FxN/HocxSpP0ibCScx9egbxgejVatW8e677wJwwQUXcNVVV1mcKEgMOwvW/4nImq2ckZXA+v21x3+OUipk+OtWVjqwQ0ReFZFVhz78dK2QdHDbWuxiiMiZYXUUpZRSPiQivxeRs40xTwGzgOe6P2YbY1ZYmy5ABPrbHsO7h2Ja0O+h2NN9993HQw89xIQJE5gwYQIPP/ww9903KOr94xt+rvfPwveZOSKJLcUNtHa6rM2klPIZf91R/JGfzjtgNOz5CICsCWdbnEQppZSP7QZ+JSIZwNPAU8aYTdZGCqz+d1CE2I4yGsKDfw/Fnl5++WU2bdqErbu4veWWW5g2bRrLlg2adYmOLjYdUsZA4fucNeMG/vD2XjYcqOO80alWJ1NK+YBP39Lr8S7qO/19+PJaoc5RsYlyUkjLDI0lwpVSSp0YY8xDxpjZwAV45yf+VUS2i8j/iMgYi+MFTH+DT5NdlbRFhtawU4D6+vrDnzc0NFgXJBjlnAsH1pKXFYPdJny0T4efKjVQ+PqO4qB/F/VEpTcVUBI1ntB6X1UppdSJMsYcAH4O/FxEpgGP4R1xY7c0WED0LRM72ppJpBFPXGgVivfddx/Tpk3joosuwhjDu+++q3cTexp5IeQ/RnTVJ0weGs+6fbqfolIDhU/vKOq7qCemqb6Koaac9rQzrI6ilFLKT0QkTESuEpEngFeAXcA1FscKoN4DUKtL9wNgTwyNkTTf+MY3+PDDD7n++utZt24dV199NVdffTVr165l0aJFVscLHiMvBJsDdr/OrJHJfFpcr/MUlRog/DKb3BhzwBjzc2PMNOAGvNtlbPfHtUJR0dYPAYgZkWdxEqWUUr4mIpeIyGNAMbAY+A8wyhhznTHmBUvDBUzfO4r1pfsAiEoNjUJx9OjRfPvb3yYnJ4ff/va3DBs2jAULFjBkiI4F6iUiHrLPgt2vM3tUMl1uQ35hndWplFI+4JdCUd9FPbbmfesBGDbpHIuTKKWU8oMfAGuB8caYq4wxTxhjWqwOFWhiet9RbKk+CEBixkgr4py0//7v/2bt2rW88847JCUl8ZWvfIXx48fzk5/8hF27dlkdL7iMmQsVW5iZ0EiYXfhgb7XViZRSPuDrxWz0XdQTEFb5KUWSQWJymtVRlFJK+Zgx5iJjzJ+NMT5d1UNEvigi20TEIyJ5PY7niEibiGzq/vhjj7bpIrJFRPaIyMMicpQNDn3Lu49i70LRXVcEQErmiEBE8Jnhw4fzve99j08++YQnn3yS559/nvHjx1sdK7iM9+4rGbnnP0zLTuTDPTpPUamBwNd3FPVd1BOQ0bKD8uhxVsdQSikVWrYCVwPv9tO21xgztfvj9h7HH8X7xu3o7o+5/o8J/Q09tTeVUEM8zoiowETwka6uLl588UVuvPFGLr/8csaMGcO///1vq2MFl6QRMGQybHuBc3JT2FraQG1Lp9WplFKnydeL2fjlXdSBpL6yhCGmiq70aVZHUUopFUKMMduNMTtP9PHdK5DHGWPWGmMM8DjweX/l63P9I+4oRrSVU+sInZE0r7/+OrfeeitZWVksX76cefPmsXfvXp5++mk+//nPWx0v+Ez+IpTkMye9CWPggz06/FSpUOeXOYr+IiLfFJGd3UNvHuxx/L7uYTU7ReQyKzMeT9E270I2cSNnWJxEKaXUADJCRD4RkXdE5LzuY0PxTgU5pLj7mN8ZOXLgKcR3VtDsTA/E5X3iZz/7GbNnz2b79u2H7yhGR0dbHSt4Tb4WxMb4ypeJi3Dw7q4qqxMpFfKMx0NLUz2lhTvZtfFdPn3rWdavfDRg1/f1Pop+IyIXAQuAKcaYDhFJ6z4+AVgETAQygTUiMsYY47Yu7dG17l+PxwjDJs2yOopSSqkgIyJroN8tdpcYY1Ye5WllwDBjTI2ITAdeEJGJ9L/n/ZH1W89rL8Y7TJVhw053ZVLpdXHj8ZDirqIsevZpnjdw3nrrLasjhJa4DBj1OWyf/JMLRl/GO7uq8HgMNltApsUqFRLcXZ3U15bTXFNOS10FHQ2VdDVV42mpRtpqcbTX4uysI9JVT6y7gXjTRLR00fctqtEByRsyhSJwB/CAMaYDwBhT2X18AbCi+/h+EdkDzMQ7VzLoRFRv5qA9i5z4JKujKKWUCjLGmDmn8JwO4FDfuEFE9gJj8N5BzOrx0Cyg9BjnWQ4sB8jLyztqQXkSwQ5/2thQQ7y0Q1xAbmgqq8z4L3jqOm6K38KLTUPYWtrAlKwEq1Mp5Ted7W3UV5fRVFtGa30FnQ2VdDVVQUs1trYawjpqieysI9pVT5xpIJ4WkoHkfs7VYKJptMXTYo+nMXwINc7xuCOTICoZe3QK4XGpRMSnEpOYDi99JSDfXygVimOA80RkKdAOfMcY8zHeYTTrejwuYENrTpoxZLXuYG/cWeRYnUUppdSAICKpQK0xxi0iI/G+1bzPGFMrIk0iMgv4CLgZ+F0gMpkjbmbWlu4jHghLyg7E5ZVVRl8CiTlML/knNvk2a7ZXaqGoQkp7axP11WXeO3715XQ2VOJuroaWauxtNYR31BLRVUeMu554TyMx0kYacOTsa5exUS9xNNniaXEkUBk9hpKIJDyRKdiiU7DHpRIRl0ZUQhpxyUOIS04nPtxJvBXf9DEEVaF4rCE3eLMmArOAGcC/ujvEEx5a49thNSevqnQfqdSzK0MXslFKKXVyRGQh3kIvFXhZRDYZYy4Dzgd+IiIuwA3c3mNRuTuAvwGRePc1fiVgeXt0xY0VBwCITh0eqMsrK9jscPZdOF6+hy8POchr2+K455IxVqdSg1hbSxP11aU01ZTTVl9BZ2MF7u47fvZ2b+EX2VVPrLuOeE8jUdLRbyHSaRzews+eQKsjgbLIbIoikjBRydhiUgmLSyUiPp3oxHTikzOIS0whxW4nJeDfsW8FVaF4rCE3InIH8Fz3ym3rRcQDpOC9g9jzLcqjDq3x+bCak1S67QNSgYTcswJ9aaWUUiHOGPM88Hw/x/8N9LtfgzEmH5jk52j96P0ebnutd02dxHQtFO+9915efPFFwsPDGTVqFH/9619JSEgAYNmyZfzlL3/Bbrfz8MMPc9ll3vX5NmzYwJe//GXa2tqYN28eDz30EAHaEvPkTb0R3vsVX3f9g79W/YC9Vc2MSo2xOpUaINpbmw8Xfq315XQ29Cj82moI7+wu/Fx1xBtv4RcJZBxxng4TRp3E02yPpzUskcao4RRGpniHecakEhafTmR8GjFJQ4hLySA2NoE0m63PncOBLqgKxeN4AbgYeFtExgDhQDWwCnhSRH6NdzGb0cB6q0IeS8eBj+k0dnIm6kI2SimlBrrP3o/11JfgMULSkMCP5gk2l1xyCcuWLcPhcPC9732PZcuW8fOf/5yCggJWrFjBtm3bKC0tZc6cOezatQu73c4dd9zB8uXLmTVrFvPmzWP16tVcfvnlVn8r/QuLgM/9iJTnF7PQ9j4vbx7HXZ8LzMIbKvR0drRTX11GY3UpbfUVdDRU4GqqxLRUY2+txtk91DPWXU+Cp/7wHb8j7/q1mzDquwu/lrAkGqJzOBCRjIlO8RZ+cWlEJqQRm5RBXPIQYmITGGILqc0fLBFKheJjwGMishXoBG7pvru4TUT+BRQALuDOYF3xNLp6M4WOEYyJCq3NhpVSSqmTYUSQHuN27C1l1Eo8KeFO60IFiUsvvfTw57NmzeLZZ58FYOXKlSxatAin08mIESPIzc1l/fr15OTk0NjYyOzZ3hVjb775Zl544YXgLRTBu6fiR4/yw/Jn+NKGc/nmxbnBewdU+ZTb5aK+ppzGmjJaastob6jA3ViJp6UaW2s14e013jl+rnriTT1xtPY7x6/T2KmXeJrsCbSEJdIYNYyDkcmYqBTssWm9Cr/41Eyio+O08PODkCkUjTGdwE1HaVsKLA1sopNjPG6Gdexka9Klx3+wUkopFfI+qxQj2iqoc6SG/HwdX3vssce47rrrACgpKWHWrM9GHGVlZVFSUkJYWBhZWVl9jgc1mw2u+DUJ/3cJtzf9nvzCs5kxor91HlWwMx4PjY11NFSV0FJbTlt9OV0NlXhaqrC1VOForyGis5ZoVx3xHu92Dsli+qzq6TZCncTTaPPO8auIGUdJRHL3HD9v4ReVkE50cgZxyRnExScNyqGewSZkCsVQV7p3C0NpQ4ZOtzqKUkop5VcGQfAc/jq2s4qGiOBckNwf5syZQ3l5eZ/jS5cuZcGCBYc/dzgc3HjjjQAY03fpBBE56vGjWb58OcuXLwegqsrCTe+Hnonr/O9x1TtLefb1PzJj8RLrsqhe2ttaqK8qpammjNa6cjoaKnA3V0FLFY7ulT2ju2qJddeTaBqIF1e/q3E2EE2DJNDsSKQ2cgQV3at6SvdQz4j4zwq/+KS0AbG4y2CjhWKAVOxYy1AgeUzobDaslFJKnRrptf54sruaqug86+IE2Jo1a47Z/ve//52XXnqJN95443DRl5WVRVFR0eHHFBcXk5mZSVZWFsXFxX2OH83ixYtZvHgxAHl51r7m4Rd8m90bXmVBya9o3DqduElzLc0zUHncbhrrqqivLqWltpz2+nK6GiowLVXYWqsJa68hqquWGFcd8Z56YqXtqPP86iSBJkciLeEp1DrHsi8yBYlJwRGbhjM+ncjEIcSnZBKfPIR4Z0TQbeegfEsLxQBxFW2gxTjJGadbYyillBr4Dm2P0dzUQJy04Ik5ct3BwWn16tX8/Oc/55133iGqx5oF8+fP54YbbuCee+6htLSU3bt3M3PmTOx2O7Gxsaxbt46zzjqLxx9/nG9+85sWfgcnwWbHtugf7F4+l9znvgwRT0Du56xOFRI629uoqy6lqbqUlrru1T0bK7rv+lUT3llLdFcdce46EkwjCeIm4YhzuI1QL3E02rzz/CpixlMSmYyJSsUWm0ZYbCqRSRnEJmWSkJpBVHQcGTZbnxVC1eClhWKAJNRt5kD4aCaEhVkdRSmllPIr02N7jNryQmIAR+LgGXp6LN/4xjfo6OjgkksuAbwL2vzxj39k4sSJXHvttUyYMAGHw8EjjzyC3W4H4NFHHz28Pcbll18e3AvZHGFUVib3DP8Ftxfdy+gnr0Uu+xnM+Kp3HuMgYoyhqamehqpSmmtKaavz3vXzNFcgrdWEtVUT2VlLrKuWeNNAHC2kA+lHnKfNhFNnS6DZnkBTeDo1EeNxR6YiMak44tKJSEgnOsk73DMheQjJDkef+YJKnSgtFAPA1dnO8M59bBjyBaujKKWUUv7XYwpdY8VBACKSso7y4MFlz549R21bsmQJS5b0ncuXl5fH1q1b/RnLr26+9CyufuT/sWrIXxj5yndh87/gqt/CkMlWRzstLpebuppKGqpLvSt81pfjbvxsyKezo5qorlpiXfUkmnripIO4fs7TQDT1tkRaHIlURY+mNCIFT/ddv/Du4Z6xyRkkpA4lKjqOzEFWZCvraKEYAAcK1jNKuggbNsPqKEoppVRAHBp62lbjnXcXnz7cyjjKQlOzE5gzNZe5W+/iw3k3kvL+/fCnC2D6LXDmzZAxFYJk+4z2thbqqro3dK8ro6Oh0jvks9W70Iuzo4borlriPA0kmgZSxU3qEefwDvmMp9GeQGtYEuXRwyiJTIHoVOxxaTjjM4hMGkJ8cibxqZnEh+tcPxWctFAMgJqdHzAKGDrpfKujKKWUUgEgHFrNxlXv3cohOSPHujjKct+7fBxvbK/klvwcnvnaOqLe/Sl88gTkPwZpE2DSNTBsNmROg3Af7TdtDKarlaa6Kuqry2iuraC9oZKupko8zd59/cI6aons3tcvwVNHrLSRAX3m6bWbMOpsCTTZE2mJSKcuYiL7o1KwRafiiE8nMmEI0UkZxKcOJTYhlWS7DvlUoU8LxQCwl26gikQyskdZHUUppZTyO4Ng6y4UbU2lNBJFXIzeMxnMMuIjefj6adz694/5zksH+f31v8U2537Y+hxsehLe/N/uRwrEZ0FiDsSkQVQyhEeDI9I7r9EAGDAecLVDVxud7S20NDXQ0VKPp60B6WjE2dVIjKeRcLqIgz5DPg/t69dkS6A1LIHKmHGURibjiUrBHuNd4TMiMZ3YpEwS04YSGR1Hhogu9KIGFS0UAyC9cStFURNI1THlSimlBgEjgnTv/xfeVkmNLaXfuVlqcLloXBrfnzuOZa/s4D7nFn40fwJRM26DGbdBSw0Ufwyln0DtPqg/4P28tQY6W8HT1ed8Lhy0EU6rCafNOGkikiai6LSn4XbmYiKTsEUl4YhJISI+lYiEdGIT04lPTichOV339VPqOLRQ9LPG2gqyTBkH06+xOopSSikVIJ/NN4vuqKQ5TH8dV16Lzx9JXWsXf3xnL+v21/DDKyZw3ugUIqKTYexc70e3hrYuCkob2VRUz6YD1WwuqqWquRMPNuw2ITc9nvFDYhmdHsuo1GhGpsYwJikSp8Nu4Xeo1MChhaKfHfj0XSYDsaNmWx1FKaWUCpDP5ijGu2o4EK1TL5SXiPD9y8dx4dhU7n32U776eD6RYXbOyI4nNiIMh02oauqgtL6N0ob2w88bkRLNrNEZnDksgTOyExg7JFYLQqX8TAtFP2vZ+yEuY2PEGedZHUUppZQKCIN31VOP202SqWdfdJrVkVSQmTUymTX3XMDavTW8sb2SgrJGimpb6XJ7SI11ctbIZMakxzI+I5YpWQkkRYdbHVmpQUcLRT+Lq9rAPsdIxsTqJH6llFKDhSAYaqtKSRE3tvhMqwOpIOR02LlwbBoXjtU3EpQKRrq6ih+5XV3ktO+gJnGq1VGUUkqpAPLOUayvOAhAWMJQK8MopZQ6BVoo+tGB7R8TJR04hs+0OopSSikVMEa8dxSbq4sAiErWQlEppUKNDj31o+qC9xgJZEy60OooSimlQpyI/AK4CugE9gJfMcbUd7fdB9wGuIG7jDGvdh+fDvwNiAT+A/y3Md37VviRwbs9RkddKQCJadn+vqTqR2FhIXl5eT4/b1VVFampqT4/rz9p5sDQzIGxY8eOgFxHC0U/spd8RCVJDB0+2uooSimlQt/rwH3GGJeI/By4D/ieiEwAFgETgUxgjYiMMca4gUeBxcA6vIXiXOAV/0f13lH0NJYBkDRkmP8vqfqorq72y3nz8vLIz8/3y7n9RTMHhmYODH+8AdQfHXrqJ8bjIbvxEw7GnoHY9GVWSil1eowxrxljXN1frgOyuj9fAKwwxnQYY/YDe4CZIpIBxBlj1nbfRXwc+HxAsop3ewxbczm1xBEW7gzEZZVSSvmQVjB+UnZgJ2nU4so62+ooSimlBp5b+ezO4FCgqEdbcfexod2fH3k8ALx3FJ3tVdTbkwJzSaWUUj6lQ0/9pPTTNWQCqZMusjqKUkqpECEia4Ah/TQtMcas7H7MEsAFPHHoaf083hzj+NGuvRjvMFWGDTu9oaKmu1CM7qiiOSy05v6o41u8eLHVEU6aZg4MzRwYgcqshaK/HPiAOmIZMe5Mq5MopZQKEcaYOcdqF5FbgCuBz/VYlKYY6LlaTBZQ2n08q5/jR7v2cmA5QF5e3mkteGPEhmCId9dSFzvmdE6lgpD+Yh0YmjkwNPPR6dBTP8mo38j+qCnY7HaroyillBoARGQu8D1gvjGmtUfTKmCRiDhFZAQwGlhvjCkDmkRklogIcDOwMhBZDYLduEky9bij0wNxSaWUUj6mhaIflB3YyVBTQVfWbKujKKWUGjh+D8QCr4vIJhH5I4AxZhvwL6AAWA3c2b3iKcAdwP/hXeBmLwFZ8dR7RzHB1OEQD7a4/kbSqmC1evVqxo4dS25uLg888ECfdmMMd911F7m5uUyZMoWNGzee8HODMfOtt95KWloakyZNClheOPXMRUVFXHTRRYwfP56JEyfy0EMPBX3m9vZ2Zs6cyRlnnMHEiRP50Y9+FPSZD3G73UybNo0rr7wy6PPm5OQwefJkpk6d6rtVUY0xg/Jj+vTpxl/WP/sbY34UZ/YXfOy3ayillDpxQL4Jgr4nVD5Ot4/8+JcLjflRnDE/ijMbV//ttM6lAsflcpmRI0eavXv3mo6ODjNlyhSzbdu2Xo95+eWXzdy5c43H4zFr1641M2fOPOHnBltmY4x55513zIYNG8zEiRP9ntUXmUtLS82GDRuMMcY0Njaa0aNHB/3r7PF4TFNTkzHGmM7OTjNz5kyzdu3aoM58yK9+9Stz/fXXmyuuuCLo8w4fPtxUVVWd9HWP1T/qHUU/sBe+TRWJDB+r8xOVUkoNPqbHOjqRSQFaaFWdtvXr15Obm8vIkSMJDw9n0aJFrFzZe7TyypUrufnmmxERZs2aRX19PWVlZSf03GDLDHD++eeTlBTYlXlPJ3NGRgZnnun9/TI2Npbx48dTUlIS1JlFhJiYGAC6urro6urCOxo+eDMDFBcX8/LLL/Nf//Vffs/qi7z+oIWij3ncbkY0bWB/3AzdP1EppdSgZOSz+flxqdnHeKQKJiUlJWRnf/b3lZWV1acIOdpjTuS5wZbZKr7KXFhYyCeffMJZZ53l38AnmOdYj3G73UydOpW0tDQuueSSkMh899138+CDD2IL0O/zp5tXRLj00kuZPn06y5cv90kmrWR8bO+WtSTSiIzUbTGUUkoNTkY++/UiKU3vKIYK7yi03o6883O0x5zIc/3hdDJbxReZm5ubueaaa/jtb39LXFyc70Me4XQz2+12Nm3aRHFxMevXr2fr1q3+CXqCeY73mJdeeom0tDSmT5/ut3xHOt3X+IMPPmDjxo288sorPPLII7z77runnUkLRR+r+uQlAEbNCsykV6WUUirYHCoUG4kiIirG4jTqRGVlZVFUVHT46+LiYjIzM0/oMSfy3GDLbJXTzdzV1cU111zDjTfeyNVXXx0SmQ9JSEjgwgsvZPXq1f4NfIJ5jvaYDz74gFWrVpGTk8OiRYt48803uemmm4I2L3D4z7S0NBYuXMj69etPO5MWij6WUvIGuxxjSBpyepsVK6WUUqHK0z30tM4W2Llf6vTMmDGD3bt3s3//fjo7O1mxYgXz58/v9Zj58+fz+OOPY4xh3bp1xMfHk5GRcULPDbbMVjmdzMYYbrvtNsaPH88999wTEpmrqqqor68HoK2tjTVr1jBu3Ligzrxs2TKKi4spLCxkxYoVXHzxxfzzn/8M2rwtLS00NTUB0NLSwmuvveaTlXwdp30GdVht+QHGuHbx4fCvo9sLK6WUGqwOrZXX7Ei2OIk6GQ6Hg9///vdcdtlluN1ubr31ViZOnMgf//hHAG6//XbmzZvHf/7zH3Jzc4mKiuKvf/3rMZ8bzJkBrr/+et5++22qq6vJysrixz/+MbfddlvQZv7ggw/4xz/+cXgbBICf/exnzJs3L2gzl5WVccstt+B2u/F4PFx77bUB2W7idH82Au108lZUVLBw4UIAXC4XN9xwA3Pnzj3tTNLfWNfBIC8vz+Tn5/v0nOuf+SUzt/0ve7+whlGTZvj03EoppU6diGwwxvhoY6mB73T7yPcevpXzav/NhtiLmf7t532YTCmllC8dq3/Uoac+FLHnP5TIEEZOCNzEV6WUUirYeMQ7YKkrKt3iJEoppU6VFoo+Ul2yn4ntGzmYeblui6GUUmpwc3V4/4xJszaHUkqpU6YVjY/sfeMv2MWQeaF/x7UrpZRSwc7RUef9M07vKCqlVKjSQtEHPG4PGfv/TUHYJIaPnmx1HKWUUspS2xkBgD15hMVJlFJKnSotFH3g0w9eYpgppX3iIqujKKWUUpZ7ksu5tfM7mOxZVkdRSil1ikKmUBSRqSKyTkQ2iUi+iMzs0XafiOwRkZ0iclkgcxljsL//K2qJZ9JcHXaqlFJKNbocvOk5kyin7sKllFKhKmQKReBB4MfGmKnA/3R/jYhMABYBE4G5wB9Eunf6DYCPXn2SKZ2b2DduMeERUYG6rFJKKRW0Ol1uAKLCtFBUqqamhqlTpzJ16lSGDBnC0KFDmTp1KjExMXz961/3yzV/+9vf8vjjj5/2eRYtWsTu3bt9kEiFolD6F9wAcd2fxwOl3Z8vAFYYYzqA/SKyB5gJrPV3oB0Fn5K77j4O2Icz7Zp7/X05pZRSKiR0ub17NEeEh9L70Ur5R3JyMps2bQLg/vvvJyYmhu985zt+u57L5eKxxx5j48aNp32uO+64gwcffJA///nPPkimQk0oFYp3A6+KyC/x3gk9u/v4UGBdj8cVdx87pqamJt5+++1ex7KyssjNzcXlcvH+++/3eU5OTg45OTl0dHSw+rGfcnn5I7RKJDsmfJv9H6xl1KhRZGdn09rayvr16/s8f8yYMWRmZtLU1MSGDRv6tI8fP5709HTq6+sP/4PS06RJk0hJSaG6upqtW7f2aZ86dSoJCQlUVFSwffv2Pu3Tp08nNjaW0tJSdu3a1ad95syZREVFUVRUxN69e/u0z549G6fTSWFhIYWFhX3azz33XBwOB3v27KG4uLhP+4UXXgjAzp07KSsr69Vmt9s577zzACgoKKCysrJXe3h4OGef7f0r37JlCzU1Nb3aIyMjOeusswDYtGkT9fX1vdpjYmLIy/PuJZqfn09zc3Ov9oSEBKZOnQrARx99RFtbW6/25ORkJk/2LlT04Ycf0tnZ2as9LS2NCRMmAPDee+/hdrt7tWdkZDB27FiAPj93cHI/e2vX9n0PRH/29GcP9GfvWD97KrAyEiLYV9VCjA49Veqo3n77bX75y1/y0ksvcf/997N//37KysrYtWsXv/71r1m3bh2vvPIKQ4cO5cUXXyQsLIwNGzZwzz330NzcTEpKCn/729/IyMjodd4333yTM888E4fD+9/fhRdeyLRp09iwYQNVVVU8/vjjLFu2jC1btnDdddfx05/+lJaWFq699lqKi4txu938v//3/7juuus477zz+PKXv4zL5Tp8PjV4BNVbfSKyRkS29vOxALgD+JYxJhv4FvCXQ0/r51TmKOdf3D2/Mb+rq+v0wsZl8E7slWw481c4k4ef3rmUUkqpAeQft53Fg1+YQlS4/mKp1Inau3cvL7/8MitXruSmm27ioosuYsuWLURGRvLyyy/T1dXFN7/5TZ599lk2bNjArbfeypIlS/qc54MPPmD69Om9joWHh/Puu+9y++23s2DBAh555BG2bt3K3/72N2pqali9ejWZmZl8+umnbN26lblz5wJgs9nIzc3l008/DchroIKLGNNvTRV0RKQBSDDGGBERoMEYEyci9wEYY5Z1P+5V4H5jzDGHnubl5Zn8/Hy/51ZKKWU9EdlgjMmzOkeo0D5SKf/oOfT0yDuKYWFhLFmyBI/HQ2RkJO3t7YgI//M//0NSUhJz5szh7LPPZuTIkQC43W4yMjJ47bXXel1j8eLFXHzxxSxa5F2N/8ILL2Tp0qWcc845vPnmmyxbtozXX38dgPPPP5+HH36YqKgoLrvsMq699lquvPLKwyNtAG688UYWLVrEVVddFaBXSQXSsfrHoLqjeBylwAXdn18MHJpZuwpYJCJOERkBjAb6jn9SSimlQpiI/EJEdojIZhF5XkQSuo/niEhb96rgm0Tkjz2eM11EtnSvDP5w9xutSqkg5HQ6Ae9dvLCwMA7952qz2XC5XBhjmDhxIps2bWLTpk1s2bKlT5EIHC4yj3buQ5/3PPeYMWPYsGEDkydP5r777uMnP/nJ4ce0t7cTGRnp8+9XBb9QKhS/CvxKRD4FfgYsBjDGbAP+BRQAq4E7jTHuo55FKaWUCk2vA5OMMVOAXcB9Pdr2GmOmdn/c3uP4o3j7y9HdH3MDllYp5VNjx46lqqrq8Hzxrq4utm3b1udx48ePZ8+ePSd17tLSUqKiorjpppv4zne+02shnF27djFx4sTTC69CUshMHjDGvA9MP0rbUmBpYBMppZRSgWOM6XnrYB3whWM9XkQygLhDUzFE5HHg88Ar/sqolPKf8PBwnn32We666y4aGhpwuVzcfffdfYq4yy+/nC996Usnde4tW7Zw7733Hr6b+eijjwJQUVFBZGRknwVz1OAQMnMUfU3nXyil1OAx0OYoisiLwNPGmH+KSA6wDe9dxkbgh8aY90QkD3jAGDOn+znnAd8zxlx5vPNrH6lUaFu4cCEPPvggo0ePPq3z/OY3vyEuLo7bbrvNR8lUsDlW/xgydxSVUkqpgU5E1gBD+mlaYoxZ2f2YJYALeKK7rQwYZoypEZHpwAsiMpGTWBW8+7yL6Z7WMWzYsFP/JpRSlnvggQcoKys77UIxISHhpO9OqoFj0N5RFJEq4MBpniYFqPZBnIFGX5e+9DXpS1+TvvQ16ctXr8lwY0yqD85jKRG5Bbgd+JwxpvUoj3kb+A5QArxljBnXffx64EJjzNdO4DraR/qHviZ96WvSl74m/dPXpS9fvCZH7R8H7R1FX/zCICL5A2kok6/o69KXviZ96WvSl74mfelr8hkRmQt8D7igZ5EoIqlArTHGLSIj8S5as88YUysiTSIyC/gIuBn43YlcS/tI/9DXpC99TfrS16R/+rr05e/XZNAWikoppVSI+T3gBF7vXjZ/XfcKp+cDPxERF+AGbjfG1HY/5w7gb0Ak3kVsdCEbpZRSJ0QLRaWUUioEGGNyj3L838C/j9KWD0zyZy6llFIDUyjtoxiMllsdIEjp69KXviZ96WvSl74mfelrErr0764vfU360tekL31N+qevS19+fU0G7WI2SimllFJKKaX6p3cUlVJKKaWUUkr1ooXiKRKRuSKyU0T2iMj3rc5jNRF5TEQqRWSr1VmChYhki8hbIrJdRLaJyH9bnclqIhIhIutF5NPu1+THVmcKFiJiF5FPROQlq7MECxEpFJEtIrJJRHT39xCh/WNf2kf2pX1kX9pHHp32kb0Fqn/UoaenQETswC7gEqAY+Bi43hhTYGkwC4nI+UAz8LgxRhdOAEQkA8gwxmwUkVhgA/D5Qf5zIkC0MaZZRMKA94H/Nsasszia5UTkHiAPiDPGXGl1nmAgIoVAnjFG980KEdo/9k/7yL60j+xL+8ij0z6yt0D1j3pH8dTMBPYYY/YZYzqBFcACizNZyhjzLlB73AcOIsaYMmPMxu7Pm4DtwFBrU1nLeDV3fxnW/THo360SkSzgCuD/rM6i1GnS/rEf2kf2pX1kX9pH9k/7SOtooXhqhgJFPb4uZpD/46aOTURygGl4N70e1LqHj2wCKoHXjTGD/jUBfgt8F/BYnCPYGOA1EdkgIoutDqNOiPaP6qRpH/kZ7SP79Vu0jzxSQPpHLRRPjfRzbNC/46P6JyIxePc4u9sY02h1HqsZY9zGmKlAFjBTRAb1MCwRuRKoNMZssDpLEDrHGHMmcDlwZ/fwPRXctH9UJ0X7yN60j+xN+8ijCkj/qIXiqSkGsnt8nQWUWpRFBbHuOQb/Bp4wxjxndZ5gYoypB94G5lqbxHLnAPO75xusAC4WkX9aGyk4GGNKu/+sBJ7HO6xRBTftH9UJ0z7y6LSPPEz7yH4Eqn/UQvHUfAyMFpERIhIOLAJWWZxJBZnuSel/AbYbY35tdZ5gICKpIpLQ/XkkMAfYYWkoixlj7jPGZBljcvD+W/KmMeYmi2NZTkSiuxe4QESigUsBXTEy+Gn/qE6I9pF9aR/Zl/aRfQWyf9RC8RQYY1zAN4BX8U6+/pcxZpu1qawlIk8Ba4GxIlIsIrdZnSkInAN8Ce+7X5u6P+ZZHcpiGcBbIrIZ7y+UrxtjdKlr1Z904H0R+RRYD7xsjFltcSZ1HNo/9k/7yH5pH9mX9pHqRASsf9TtMZRSSimllFJK9aJ3FJVSSimllFJK9aKFolJKKaWUUkqpXrRQVEoppZRSSinVixaKSimllFJKKaV60UJRKaWUUkoppVQvWigqpZRSSimllOpFC0WllFJKKaWUUr1ooaiUUkoppZRSqhctFJVSSimllFJK9aKFolJKKaWUUkqpXrRQVEoppZRSSinVixaKSimllFJKKaV60UJRKaWUUkoppVQvWigqpZRSSimllOpFC0WllFJKKaWUUr1ooaiUUkoppZRSqheH1QGskpKSYnJycqyOoZRSKgA2bNhQbYxJtTpHqNA+UimlBodj9Y+DtlDMyckhPz/f6hhKKaUCQEQOWJ0hlGgfqZRSg8Ox+kcdeqqUUkoppZRSqhctFJVSSimllFJK9aKFolJKKaWUUkqpXrRQVEoppZRSSinVy6BdzCbUGVcnuza8RWtNMeGuJjqjM0gfMZnMEeNBxOp4SimllGUaKw6wZ8tawtprEJsNT9IoxkyaQURMotXRlFIqZGihGGKqyw9S8tIyhpW8zFjT0LvxPSi2ZVKceTnZc+5gaM5oa0IqpZRSAWaMYcc7z2Bb/0dGt2zkTDG92rtesbM5ZiadE77I1Eu/hCMs3KKkSikVGrRQDCGfvvkvst/9NuNNCxsiZ8OkL5I+ciJdjhhsjcVU7t5A7P5XmFH0GO6//o31KVcy4ur7SR060uroSimllN+0Ntez+c93MKvhP5SQytsZtzLkzHmExQ3B4+6ivXwnjTveYkzV66R/fA9F+b+k+sy7OGPeYmx2u9XxlVIqKIkx5viPGoDy8vJMKO0R9dE/7+esPb9hnz0H+xceY/j46Ud9bGXRHva/8FOmVb9IF3Y2jPgas67/IeFOZwATK6VU8BCRDcaYPKtzhIpQ6iNrqspoevRShrmLyB92K1Nu/BkRERH9PtbjdvPpG08Ru+5X5Hr2sd0+Fsf83zL6jLMDnFoppYLDsfpHXcwmBOSv+gNn7fkNG2IuIOPbHx6zSARIy87lrG/+japbPmBfzJmcX/gwRQ/OYs/W9QFKrJRSSvlfW0sTVX9aSIa7jC0XPcbM23591CIRwGa3M+3SmxixZAP5Zy4j3V3GyOfmsfbPd9PR0RbA5EopFfy0UAxy2z94kTM2/JBt4Wcw+RtPExkVfcLPHTpyHJPvXc2ms39PoruaYc9czvuP/wi32+3HxEoppZT/GY+HnY9cy5iuHRSc/WvOuPDqE36u3W4jb/7Xsd+1kY2JlzG75K8U/fxs9m/f6MfESikVWrRQDGItjXUkvn43pbYMsu54nvCIyFM6z9RLv4T9znXsiD2Lc/f9ls2/mEtVRbGP0yqllFKBk//8w0xt/ZCPxnybaZfdfErniE9KZcbdT7Pl3EdJ9lSTvmIu6/79EMbj8XFapZQKPVooBrGt//wuaaaG1ssfIj4x+bTOFZ86lMn3vMSGiUuY0PYJ5tHz2PzBah8lVUoppQKnqvwgY7c8SEH4ZM5a9IPTPt/kOTfg+dr7FEaMY9aW/+Hj315Hc1PD8Z+olFIDmBaKQWr3xreZUfEM61MXMn7mHJ+cU2w2pn/xu5Rf+xJdNicTXrue9/72P3jc+s6pUkqp0HHgibuIMB3EfOH3Plu1NDljOOPufZP1w79GXsPrVP3mXPZv/8Qn51ZKqVCkhWIwMgaz+j6qJZGJX/qVz08/fOIsEu/+kG1x53Fe4UNs/OWV1NVU+fw6SimllK/tzV9DXtNb5A+7lWFjpvr03DaHg5lfeZAdl/ydeE89aSsuY92q5T69hlJKhQotFIPQ7g9fYExnATvH3kFsfJJfrhEVl8SUb73AhvHf44zWdbT87hwK8t/xy7WUUkopX+lYs5Rq4pl07Q/9do0J5y7As/hdipyjmLXxXj58+BbaWlv8dj2llApGWigGG2OQdx6glFSmf/4bfr2U2GxMv+4HHFzwLGHiZtSLV/P+E0t1KKpSSqmgtC//NSa0b6RgxK3Excb79VopmSPI/c7bfJx5E2fXvkDxL8/lwO4tfr2mUkoFEy0Ug8yuDW+S27mDvaNvJSoyKiDXHHXmxUTd9SG7ovM4d/eDfPKLeZSX66qoSimlgkvDWw9RRyxnLPxWQK7nCHcyY/EjbD3/T6R5Kkn55xze//fvMcYE5PpKKWUlLRSDTMu7v6fRRDFt/tcDet3YxHQmfec/bBj3XSa3fYz88Vw+fPXpgGZQSimljqbq4C6mNH/A9syriY/z793EI026eBGdX32XoojRnLtlCet+sZCqirKAZlBKqUDTQjGINFYeZHLD22xKnU9MbELAry82O9MXLaF60ct02GM5e+1i3vvNl6ivqwl4FqWUUqqng689jEEYdtk3Lbl+6tBRjP3u23ySeyd5Le9iHp3Nx6+tsCSLUkoFghaKQWTP6/+HQzykX3SHpTkyx88i87sfsTHrS5xT/yIdD+Xx0cuP6QbESimlLOHp6iSn+EU+iZxF1vDRluUQu4NpN/2M8uteps0ex4wPv0b+LxdQWrTPskxKKeUvWigGCePxkLr332x1TGDsxKlWx8HhjOLM//o9+z//Ai32BM76+FtsfOBSdm7fbHU0pZRSg8y2958nmXqYeqPVUQDInjCbzO9+xLqcO5jc9AFx/3c2bz/+v7R3dFgdTSmlfEYLxSCxb9M7ZHuKaRx3ndVRehk17UJy7lvPpvHfZXznFkasuJC1v7uV6vIiq6MppZQaJFwb/kktcUy56AtWRzkszBnJrC8/QP1X3qUoeiIX7vsllQ9MZeMrf8XjdlsdTymlTpsWikGibv1TdJgwxl/8Jauj9GFzhDH1uiW4vv4xm1OvYkb180Q+Op23//ANiooKrY6nlFJqAGtrqmdC01p2pFyK0xlhdZw+0nMmMP7eNey48E94xMGZH93N3qUz+ODlx+nsclkdTymlTlnIFIoi8gsR2SEim0XkeRFJ6NF2n4jsEZGdInKZhTFPifF4yKp4k22RZ5KYlGx1nKOKSxtG3jf+TtmX3mVPwrlcWPkP0v5vOut+/UV2b3pPlwtXSimLDOQ+cscHz+OULmKnXW11lKMTYdyFi8i6byP5Zy4jlibO+fibVC6dyNonf0pTQ63VCZVS6qSFTKEIvA5MMsZMAXYB9wGIyARgETARmAv8QUTslqU8BYXb1jLEVNGRO8/qKCckO3cyZ3zrOWq+8iFbhnyeKQ3vMPqFK9n9v9N572//jwP7dlodUSmlBpsB20d6Cl6kjljGz7zU6ijH5QgLI2/+10n/wTa2nv0QLWGJzN71C2y/HsdHv7yG9a8+QVtbm9UxlVLqhDisDnCijDGv9fhyHXBoosICYIUxpgPYLyJ7gJnA2gBHPGUVHz3LMCOMPu+LVkc5KcnDJ5J8x19orK/h49V/JGHvSs4rfBgKH2anfQx1Q84mYsxFjJh2MfFxcVbHPSluVxftrc10tLXQ1dWBq7Odrs4OXF0deLo68Lg68bhceDwujNuFx939p8cNxoMxHuj+MMbAobutx7vrKnL4Q+j+HBtiE+jxtfdTQcTmfazgPU6P54v3OYfavF8eOkfP89P9WA5//dnzDgfr8f9gejfS+6ujM4Ac987zyd2ZPplHh+JNbznRF9dvrH/Rxp811+oIQW+g9pEdHW2MafiQHYkXMyMszOo4J0wc4Uy69Mtw6ZfZtfEdmj74P8bWvEnC2jU0fHgvBXEzcWWfS8qUSxgxejI2e+i8b288Hjo722lva6GrrZWurnZvH9nVgbuzE7erw9sfug71jV2H+8pDfaPxGDBuMMZ7DE68f6RHP3ZEf4fYDvdnh/rHXn1kj75V5LM+9XD/iHT/z3vM0H8fKX3+YT56H3ky/SNoH3myBnsfGZ+WQ+aIcX47f8gUike4FTi0G/xQvJ3iIcXdx46pqamJt99+u9exrKwscnNzcblcvP/++32ek5OTQ05ODh0dHaxd27ePHTVqFNnZ2bS2trJ+/fo+7WPGjCEzM5OmpiY2bNhw+Piw4tfYah/HkO6/jvr6ejZt2tTn+ZMmTSIlJYXq6mq2bt3ap33q1KkkJCRQUVHB9u3b+7RPnz6d2NhYSktL2bVrV5/2mTNnEhUVRVFREXv37u3TPnv2bJxOJ4WFhRQWFvZuHHIO077wPapL91Dwyp9ILn+PvOLHcZT8jY43HeyUbJoSx9OVMoEmRwrtEkVUfCoOZxQAdrud8847D4CCggIqKyt7nT48PJyzzz4bgC1btlBT03tvR6czjEnjx9LaVEfB5o0011Xh6WxBulqRrlbC6CQhwg6dTXQ1VePoaiHMdOD0tOE0HUTSTiTtOE0HTjoJFzfRQHSfV0EpFUguY4Oz6k77371BZsD0kXX7PmahtFEWN4WKigrS09NDs4+cfBuRZ/2J7R+/QsP6JxnZuIG0greg4H+pMgkcdAzHlTYZkzaBFlscHcZJRFwSNpv394LT6iONIdxhY9yYUbQ21bFz2yZa6mugswVcrdi6Wgmjg3inDelswtVcS5i7lXBPG07TToRpJ4IOIujAaTqJoBOnGJx9XgGlVKC9l3o9mXf+8bT+3TuWoCoURWQNMKSfpiXGmJXdj1kCuIAnDj2tn8f3W96LyGJgMcCIESNOO68vtNYWM5JiXkr4Sr/feKhJGTaOzM/dSXHxAkrbW+ko20pkzVYS2/aTU/cBKXX/6fX4RhNFo8TQItFsfS8ej91Jl9tDlAEPNgw2wOAwLra8C3ZPJw5XO0NNBxGmnSjTRhTtREonvAqxQPpRsnmM0EwkrRJJGxG0SwQdEkGdPZZqeySOqHg8jkiaOty4cOCxheOxOzG2MJyRMaSkZyAOJ6Xllbg9BmNzIDY7iJ24hESys7MRm4MdO3bgMXDorpwRGylJSWRlZwPw6ea+W4ykpqSSmTkEl8vNtq1bAXP47pvBkJaaSmpqCl2dnezatRMw3f/z3q1MS00jKSmRjo529u/f3/22oPc/A2MgLS2V+Lg42tvbKC4q6n7X8LP/TNLT0oiJiaatvZ2y0pIj3lU0DBkyhKioKFpaWqkoLz8ivSEjM4PIiEiampupOuIXGIChQ4fidDppbGykurqmz3+12VnZhIWH0dDQQE1NzeF3cQ8ZPnwYDruDuro6auvq+px/RE4ONpudmppqGhoa+rSPHDkKgKrqSpqbmnq1idgO/3tQUVFBS0tzr3a7zc7wnBwAysvLaG1t7dXucIQxbNgwAEpLS2hvb+/VHh4WfvjvvrioiM6uzl7tEU4nmUOzADh48CAuV9fhNmMgKiqKIUMyADhwoBD3EaspxsTEkJbm/anfX7i/z36nsXGxpKakAbBvX99fbuPj40lOTsHjcff95RZITEwkMSkJt8vFgQMH+7QnJycRn5BAZ2cXxUV9V0NOSU0hLi6Ojo4OSopL+rSnpaURExvj/dkrKe3TPiRjCGf1OTo4DcY+MqFyHS0mgphh06yOctrsYeGMP/8a9mSewbaDB8mvK0HKPyW2cSdpHQfIKV1BeNlni9+4jI1a4miRaFolms0fxIHNTpfbEOkxGGx4sGHDjcO42PaOwW46CXd1MNzT5n0D1LQRTTsO8cAbkARkHSVfhwmjRSJpxfvRaXPSaouhXlJwO6IIi47HOCJpbHd195FOPPYwsIXhjIolNT0Dm8NJcVk5bo9gxI7YbYCd+IQEsoYNR8TOjh07MMZgetzdS0pKIjs7G0T49NNP+2RLTUkhM6O7j9x26Jfhz0brpKamkJqaeriPNMbbi/TsIxMTE+js7GD/vv0YDII53BempXb3kR3tFB0s+uz83Xr2kaUlR/47dqw+0nuOE+4jmxqprtI+siftI4/dR+ZOmdnnmC9JKC1AIiK3ALcDnzPGtHYfuw/AGLOs++tXgfuNMcccVpOXl2fy8/P9nPj4Njz1Y6bv/DVFN68ne+RYq+P4XWNVCZUHCmgoL6Sj5gD25jLsnQ2EdTbhdDVi83RiM+7u7s+DrXtISpeE02ULxy1huGzheGxOXI5oPGHRuMOiITwGmzMGiYzDERmPIyoeZ3QCEbGJRMYmEh2XSHR0rLewU0oNOiKywRiTZ3UOfxpofaTxuKn5ySgKo6eQd+8qS7MEgquzg6oDBdSU7KW56gCeuoM42mtwdDYS3tVIuLsF8Xj7R8FzuK90i4MuOdQ/OvHYwnDbI3E5ovCEx+AOi8bmjMHmjMUWEY8jOp6wqHgiYhKJiEkgKjaR6LgEnBFRVr8ESikLHKt/DKo7isciInOB7wEXHOoAu60CnhSRXwOZwGig75iWIBV58B32STYjRoyxOkpAxKUOJS71uKOelFJKnYSB2EeW7NxAFnXsGjHH6igB4Qh3kjF6GhmjQ//uqVJqYAiZQhH4PeAEXu+eRLzOGHO7MWabiPwLKMA73OZOY0xI7HTb1dHGiNYtbEyZz0jrZ+MqpZQKXQOujyzb9CpZwPC8y62OopRSg1LIFIrGmNxjtC0FlgYwjk/s/eQtxkknEWMutjqKUkqpEDYQ+8iwg+9TJJlk54y2OopSSg1KobMe8wBUv3UNbiPkzgi5/Y+VUkopv+ns7CS39VPKkvy7UINSSqmj00LRQvEVH7I3bDTxSSlWR1FKKaWCxq5P3iFG2nCOvtDqKEopNWhpoWiR5sY6cjt3UZt+ttVRlFJKqaDSsO0NAEbO1PmJSillFS0ULXJg4xrCxE3k2IusjqKUUkoFlYSKD9lrH0ls0kDYYVgppUKTFooWadv1Fh3GwchpupCNUkopdUhXewu57QWUJ59ldRSllBrUtFC0SEL1BnaHjSE2Ns7qKEoppVTQOLD1Q5zSRdjIc62OopRSg1rIbI8xkLg62hjWsYf16ddaHSXkpaSkkJOTY3UMpZQfFBYWUl1dbXUMFWA1Oz8gFxh+xvlWRwl52kcqNTAFqn/UQtEChdvWkSsunDk6rOZ05eTkkJ+fb3UMpZQf5OXlWR1BWSCsbAMlks7QjGFWRwl52kcqNTAFqn/UoacWqN7xPgDDzrjA4iRKKaVU8DAeD1nNWymPnWx1FKWUGvS0ULSAo3QD5aSSPnSE1VGUUkqpoFFycA9p1OIZqneTlVLKalooWmBo81ZKYydZHUMppZQKKqVb3wMgdbwuZKOUUlbTQjHAqssOkEEVnUPOtDqKUkopFVTcBz+m3YSRNW6G1VGUUmrQ00IxwEq3vQtA7OhzLE6ilFJKBZfEuk/ZHz4aR3iE1VGUUmrQ00IxwDr3r6fDOMiZOMvqKEoppVTQcHd1MKJzN3WJZ1gdRSmlFFooBlxM9Sb22UcSHR1tdRSllFIqaBTvWI9TurAP02GnSikVDLRQDCDj8ZDVsYfa+PFWR1FKKaWCSvXujwFIH6t7DCulVDDQQjGAqot3E0MrDJlidRSllFIqqLhLN9NkIskeOcHqKEoppdBCMaBKdqwHIGmU7g+llFJK9RRXv4MiZy52u/5qopRSwUD/NQ6gtoOf4DZCzvjBWyjee++9jBs3jilTprBw4ULq6+sPty1btozc3FzGjh3Lq6++al1IpZRSAeXq6iK7ax/NCYN7aob2kUqpYKKFYgBF1Gyj2J5FZHSM1VEsc8kll7B161Y2b97MmDFjWLZsGQAFBQWsWLGCbdu2sXr1ar7+9a/jdrstTquUUioQDuzdRrR04Mgc3FMztI9USgUTLRQDKKNtD9UxY62OYalLL70Uh8MBwKxZsyguLgZg5cqVLFq0CKfTyYgRI8jNzWX9+vVWRlVKKRUgNXvyAUjOHbwjbkD7SKVUcNFCMUDqq8sZQjVdaZOsjhI0HnvsMS6//HIASkpKyM7OPtyWlZVFSUmJVdGUUkoFkKvkUzqNnaFjplkdJWhoH6mUsprD6gCDRfGO9SQA0cMGfic4Z84cysvL+xxfunQpCxYsOPy5w+HgxhtvBMAY0+fxItLv+ZcvX87y5csBqKqq8lVspZRSFomuK6DYMYyR4RFWR/E77SOVUqFCC8UAaTnwCQAZYwf+RsJr1qw5Zvvf//53XnrpJd54443DHV1WVhZFRUWHH1NcXExmZma/z1+8eDGLFy8GIC9vcA9TUkqFLhGJBtqNMYN+stnQ9j3sT5jFSKuDBID2kUqpUKFDTwPEUbmVCpJISR9qdRRLrV69mp///OesWrWKqKiow8fnz5/PihUr6OjoYP/+/ezevZuZM2damFQppXxLRGwicoOIvCwilcAOoExEtonIL0RktNUZrVBdfpAU6nGl6tQM7SOVUsFE7ygGSHLzTkojRpNudRCLfeMb36Cjo4NLLrkE8E7W/+Mf/8jEiRO59tprmTBhAg6Hg0ceeQS73W5xWqWU8qm3gDXAfcBWY4wHQESSgIuAB0TkeWPMPy3MGHBlOz8mBYgdPvCnZhyP9pFKqWCihWIAuLs6GOoqpiTtAqujWG7Pnj1HbVuyZAlLliwJYBqllAqoOcaYriMPGmNqgX8D/xaRsMDHslZL0RYAMsdOtziJ9bSPVEoFE58XiiISAVwJnAdkAm3AVuBlY8w2X18vFJTu3Uq2uLGnT7A6ilJKKes8LyJPAiuNMS39PaC/QnKgs1fvoJoEUlIzrI5ySlpaWoiIiNA7fEqpAcencxRF5H7gQ2A28BHwJ+BfgAvvkJrXRWTQ7aZbs/9TABJzBt23rpRS6jN/Bq4C9ovI0yLyeREJtzqU1eKb91IWnmN1jBPm8Xh48sknueKKK0hLS2PcuHFkZGQwceJE7r33Xnbv3m11RKWU8glf31H82Bhz/1Hafi0iacAwH18z6HWWFeA2QtboM6yOopRSyiLGmJXAShGJBOYDtwB/FJH/AE8ZY163NKAFjMdNVtcBNqfNtzrKCbvooouYM2cOy5YtY9KkSdhs3vfca2treeutt/j+97/PwoULuemmmyxOqpRSp8fXhWKkiDiNMR39NRpjKoFKH18z6IXV7qTUNoTs6BiroyillLKYMaYNeBp4unuUzd/xFo2DbuxiedEeMqQD0sZbHeWErVmzhrCwvlNJk5KSuOaaa7jmmmvo6hp0I4iVUgOQrwvFG4E/iMhq4CngNd0fCpJb91EVOZJsq4OchPb2dl566SXee+89SktLiYyMZNKkSVxxxRVMnDjR6nhKKRWyRCQduBZYBGQAzwBfsTSURar2biIDiBs22eooJ2zhwoXccMMNLFiwgOjo6H4f018hqZRSocancxSNMQuBXOAN4C6gSEQeFZHzfXUNEfmOiBgRSelx7D4R2SMiO0XkMl9dyxdcHW1kuktpSwid7bHuv/9+zj77bNauXctZZ53F1772Na699locDgff//73ueSSS9i8ebPVMZVSKqSIyFdF5E1gIzAG+K4xZqQx5nvGmE0+ukZI9ZGtxVsBGDo6dLbG+OpXv8qLL77IiBEjuO6663jhhRfo7Oy0OpZSSvmcz1c9NcY04h1G83cRSQa+APxORJKMMad1U01EsoFLgIM9jk3A+67sRLyrrK4RkTHBciezdN9WhokHx5DQWfF0xowZ3H///f223XPPPVRWVnLw4MF+25VSSh3V2cADwJpDeyj6Uij2kY6anVSSRFpSqtVRTtiCBQtYsGABbW1trFq1ir///e/cfvvtzJs3j+uvv/7wHohKKRXqfHpHsScRSQSuBq4DkvDuEXW6fgN8FzA9ji0AVhhjOowx+4E9wEwfXMsnag9494dKCKFhNW1tbXR09DvNFIC0tDTy8vICmEgppUKfMeYrxpjXjDEeEZkiIvNF5OpDHz64RMj1kfEt+6lwDrc6ximJjIzkuuuu4/nnn+e1117jk08+Ye7cuVbHUkopn/H19hixIvKl7hXctgMzgJ8Cw4wxd5/muecDJcaYT49oGgoU9fi6uPtYUOgs34HHCJmjQmde3xNPPEF2djY333wzr7zyCm53ULzxrJRSA4KIPAY8BlyDd7uMq/DuP3w65wy5PtJ4PGS4imiJHWl1lFNSUVHB7373O8455xw+//nPc+mll7JhwwarYymllM/4eujpfuBV4FFg9cluHCwia4Ah/TQtAX4AXNrf0/o5Zvo5hogsBhYDDBsWmF06HLV7KZcUMmPjA3I9X3j++edpbGzk+eef5+GHH+a2225jwYIFXH/99Zx/vs+mmyql1GA1yxhz0vMRBlofWVNxkBTaICV05vAD/PnPf+app55i586dXH311Tz44IOcc845VsdSSimf83WhOMwY03qqTzbGzOnvuIhMBkYAn4oIQBawUURm4n13tOfcxyyg9CjnXw4sB8jLy+u3o/S1+NZCqpzDyAzExXwoLi6OW265hVtuuYWamhqeffZZvvnNb1JbW0tRUdHxT6CUUupo1orIBGNMwck8aaD1kRX7tpICRGeGztYYAB9++CHf//73mTNnzuE9FJVSaiDyaaF4qEgUkSuB/wVy8O4LJd5mE3eK590CpB36WkQKgTxjTLWIrAKeFJFf452oPxpYfxrfhs8cGlazKSF0VnM7Ul1dHc899xxPP/00tbW1XHPNNVZHUkqpUPd3vMViOdDBZ33klFM5Waj2kc0l3jo5dUTozOEH+Otf/3r4882bN1NYWIjL5Tp87OqrfTHdVCmlrOfzVU+7/RbvQjZbjDF+fVfSGLNNRP4FFAAu4M5gWc2ttvwAyXQgITaspqmpiRdeeIGnnnqKjRs3Mn/+fH74wx9y0UUX0f1utVJKqVP3GPAlYAvg89VPewrmPpLqXbQaJ2mZI6xOckpuvfVWNm/ezMSJEw/fWRQRLRSVUgOGvwrFImCrv4pEY0zOEV8vBZb641qno2LfZpKB6KGhNaxmxIgRXHbZZdxxxx3MnTvXLxsH//KXv+Tee++lqqqKlBTvdl/Lli3jL3/5C3a7nYcffpjLLguq7b6UUspXDhpjVvnr5KHSR0Y27qfUkUWuPTSHb65bt46CgpMaPXzCtI9USgUDfxWK3wX+IyLv4B1WA4Ax5td+ul5QaindAYTesJqDBw8SFRXlt/MXFRXx+uuv91osoaCggBUrVrBt2zZKS0uZM2cOu3btwm63+y2HUkpZZIeIPAm8SO8+8jnrIgVeavsBSmLPsDrGKZs9ezYFBQVMmODbfZK1j1RKBQt/vY23FGgFIoDYHh+DS/Uumk0k6RmhtUfUoSLxpZdeYtq0aSQmJhIXF0dsbCxxcac0zbSXb33rWzz44IO9hrGuXLmSRYsW4XQ6GTFiBLm5uaxfHxTTaJRSytci8RaIl+Kj7TFCTXtrExlU0Zk4yuoop+yWW25h9uzZjB07lilTpjB58mSmTDmlaaa9aB+plAoW/rqjmGSM6W+Z7kElsmk/pY6hjAnRYTV33303zz33HJMnT/bZ3MRVq1YxdOhQzjij97vIJSUlzJo16/DXWVlZlJSU+OSaSikVTIwxX7E6g9XKCwvIAcLSxlgd5ZTdeuut/OMf/2Dy5Mk+W/1U+0ilVDDxV6G4RkQuNca85qfzh4Sk9mJKon07JCWQsrOzmTRp0kkXiXPmzKG8vLzP8aVLl/Kzn/2M117r+2PR33TWo113+fLlLF++HICqqqqTyqaUUlYRkR8CfzDG1B6l/WIgyhjzUmCTBV5d0U5ygPihY62OcsqGDRvG/PnzT/p52kcqpUKFvwrFO4HvikgH0MVpbo8Rilyd7aR7Ktkff4XVUU7Zgw8+yLx587jgggtwOp2Hj99zzz3HfN6aNWv6Pb5lyxb2799/+J3S4uJizjzzTNavX09WVlav/RmLi4vJzOx/98nFixezePFiAPLy8k7qe1JKKQttAV4UkXZgI1CFd4rGaGAqsAb4mWXpAqijYjcA6SMmWpzk1I0bN44bbriBq666qlcfebxVT7WPVEqFCr8UisaYwTcf8QiVB3eRKQZHSujOv1iyZAkxMTG0t7fT2dl52uebPHkylZWVh7/OyckhPz+flJQU5s+fzw033MA999xDaWkpu3fvZubMmad9TaWUChbGmJXAShEZDZwDZACNwD+BxcaYNivzBZK9bi81xJOckGR1lFPW1taG0+nsdQfwdLbH0D5SKRVsfFooikiOMabwGO0CDDXGFPvyusGo5mABmUBs5jiro5yy2trafofA+MPEiRO59tprmTBhAg6Hg0ceeURXc1NKDUjGmN3AbqtzWCm65SCVYUNJtjrIafjrX/8asGtpH6mUsoKvV1n5hYj8W0RuFpGJIpImIsNE5GIR+V/gAyC0NhU8RW3luwBIywndOYpz5szxa6FYWFh4eH8o8N7B3Lt3Lzt37uTyyy/323WVUkpZK6WzhKao0FoR/JCf/vSn1Nb2O80UgDfffJOXXjr9aabaRyqlrObTO4rGmC+KyATgRuBWvMNqWoHtwH+ApcaYdl9eM2jV7qPBRJOcOsTqJKfskUce4cEHH8TpdBIWFoYxBhGhsbHR6mhKKaVCVEtTA2nUsi9xpNVRTsnkyZO56qqriIiI4MwzzyQ1NZX29nZ2797Npk2bmDNnDj/4wQ+sjqmUUqfN53MUjTEFwBJfnzfURDUfoMKRSbyPlsy2QlNTk9URlFJqwBCRnxtjviciXzTGPGN1HquUF25nFBCWFppz+BcsWMCCBQvYvXs3H3zwAWVlZcTFxXHTTTexfPlyIiMjrY6olFI+4a9VTwe9lI5iiqInWR3jlBQWFpKTk3PUdmMMJSUlZGVlBS6UUkqFvnndW2TcBwzaQrGxZDsA8UNDdw4/wOjRoxk9erTVMZRSym+0UPQDd2c7aZ4q9saPsDrKKbn33nvxeDwsWLCA6dOnHx5Ws2fPHt566y3eeOMNfvzjH2uhqJRSJ2c1UA1Ei0gj3VtHMci2kOqs3AtAWs6gWLJAKaVClhaKflBZtJsMMdiTQ3P+xTPPPENBQQFPPPEEjz32GGVlZURFRTF+/HjmzZvHkiVLiIiIsDqmUkqFFGPMvcC9IrLSGLPA6jxWkfpC79YY8aG7NYZSSg0GfisUReRq4Fy875a+b4x53l/XCja1JbvIAKIzcq2OcsomTJjA0qVLrY6hlFIDjjFmgYgMB0YbY9aISCTgMMYMionhUS3FVDkyQnZrjO9973v8/Oc/55lnnuGLX/yi1XGUUspv/LLSioj8Abgd2AJsBb4mIo/441rBqLV8DwApw0J7/oVSSinfE5GvAs8Cf+o+lAW8YFmgAEvqLKEpMnSnLvznP/+hq6uLZcuWWR1FKaX8yl93FC8AJhljDICI/B1v0TgoeGr3027CSBsyzOooSimlgs+dwEzgIwBjzG4RSbM2UmB0dXaQ7qniQHxo7qEIMHfuXFJSUmhpaSEuLu7w1lG6hZRSaqDx194NO4GeVVI2sNlP1wo6zqaDlNuHYLeH7tYYSiml/KbDGNN56AsRceCdpjHgVRTtwS4Ge3JoLvYG8Itf/IKGhgauuOIKGhsbaWpq6vWnUkoNFP66o5gMbBeR9d1fzwDWisgqAGPMfD9dNyjEt5dQ5xxKjtVBfOC5557j/fffR0Q499xzWbhwodWRlFIq1L0jIj8AIkXkEuDrwIsWZwqImqIdZAExGaG/rcTKlSs5cOAAu3fvZs6cObS1teFyuYiNjbU6mlJK+YS/CsX/8dN5g57xeEh3lVGRNNPqKKft61//Onv27OH6668H4E9/+hNr1qzhkUcGzXRTpZTyh+8B/4V3SsbXgP8A/2dpogBp694aIyV7rMVJTt+f//xnli9fTm1tLXv37qW4uJjbb7+dN954w+poSinlE/+/vTuPj6o8Gz7+u2af7BthCxB2IWxKZKm7ooJa91q0Kq0Lj9pWa2u1fdqnat+6trXaSrVYtdoNrVqxorTirkXZBFlUdiFsWciezH6/f8wkJCRASGZLcn0/n2Fmzpxzn2tmQu5c596imiiKyKPA34wx70az3O6kunwPWeLFZHff8RdN3n33XdatW4eIADBnzhzGjx+f4KiUUqr7EhEL8KkxZhzwRKLjiTezfzteYyevB4zhnzdvHsuWLWPq1KkAjBw5ktLS0gRHpZRS0RPtQXSbgF+LyHYReUBEJkW5/KRXvvNzABz53XdpjCajR49mx44dzc937tzJhAkTEhiRUkp1b8aYELBGRLp/ptQJrtod7LH2xWK1JjqULnM6nTgcjubngUCg+cKqUkr1BFFtUTTGPAI8ElkfajbwtIi4gL8DC4wxG6N5vmRUuyfcrSazG6+h2KSiooIxY8YwZUq4G+3y5cuZPn06558fHmL6yiuvJDI8pZTqrvoD6yPj+OubNvb08fsAGZ5dVDkGJDqMqDjllFO49957aWxs5I033uD3v/89X/3qVxMdllJKRU1MxigaY74EHgAeEJFjgaeAO4HufwnxCPwV2wDoO3hUgiPpup///OcxKfd3v/sdjz76KDabjXPPPZcHH3wQgPvuu48nn3wSq9XKb3/7W84+++yYnF8ppRLs7kQHkCh9gnspzT420WFExQMPPMAf//hHxo8fzx/+8AfOOeccrrvuui6Xq3WkUipZxCRRFBE7MJNwq+IZwLv0korRUr2DcjLJS89IdCid9p3vfIcrrriCU045Jeplv/322yxcuJBPP/0Up9PZPJ5jw4YNLFiwgPXr17N7925mzJjBxo0bsfaA7klKKQU6jr+mqpwMGiCz+/e6DYVCTJgwgXXr1nH99ddHrVytI5VSySSqYxRF5EwReQooAeYSnsltuDHm68aYl6N5rmTlrt9Fua1fosPokpEjR/KDH/yAwsJC7rjjDlavXh21sh977DF+9KMf4XQ6AcjPD68xvXDhQmbPno3T6WTo0KGMGDGCZcuWHa4opZTqbnr1OP6yHeHRJ84+hYkNJAosFgsTJ05sNY4/GrSOVEolk2hPZvO/wFJgjDHmq8aYvxpj6o90UE+S5dtDrat7j7+45ZZbWLp0Ke+++y45OTl861vfYsyYMfz85z9n48auDTPduHEj77//PlOnTuWUU05h+fLlAOzatYtBgwY171dQUMCuXbu6dC6llEomxphHjDHTgVOA/YTH8X8mIj8Tke4/XuEIqvdsBSC93/AERxIde/bsoaioiDPOOIPzzz+/+dYVWkcqpZJJtCezOS2a5XU3oUCAPqEyvkw/K9GhRMWQIUO44447uOOOO/jkk0+45ppruPvuuwkGg4c9bsaMGezdu7fN9nvuuYdAIEBlZSUfffQRy5cv57LLLmPr1q0YY9rsf6jZ4+bPn8/8+fMBKCsr68Q7U0qpxOmt4/h95eFEMX9Qz8iJ77zzzk4dp3WkUqq7iMkYxd6qfO+X5EsQSw9YQxHA7/ezePFiFixYwJtvvskpp5zSoYpxyZIlh3ztscce4+KLL0ZEmDJlChaLhfLycgoKCti5c2fzfiUlJQwY0H7L7Ny5c5k7dy4AxcXFR/mulFIqsXrtOP6qHdQZNxnZfRIdSZd0dRy/1pFKqe4i2l1Pe7X9uzYB4M4fmuBIuuaNN97gmmuuoaCggPnz53POOeewZcsWnnvuOS688MIulX3hhRfy1ltvAeEuNj6fj7y8PM4//3wWLFiA1+tl27ZtbNq0qXlZDqVULxIK4qspp2LHhkRHEnW9fRy/s66EMmtfxNK9//SI5Th+rSOVUodijKGurpbdO7fE7ZzaohhF9fvCS2NkDejeayjee++9XHHFFfzqV78iJycnqmVfc801XHPNNYwbNw6Hw8EzzzyDiFBUVMRll13G2LFjsdlszJs3T2dzU6o7CwUJ1O+nprKMhqpSGqvL8dZW4K+rwDRWQsN+rN4q7L5qnP5qUoI1pIZqyaAeB5ALwMjEvofo+1/gb8Btxpj9iQ4m3jK9e6h29U90GF12yy23cMstt/Dll1+yYMECvvWtb+HxeLj88suZPXs2o0Z1vmut1pFK9XzGGBob6qjZX0pdVSmepvqxvoJQfSXSuB+Lp5360dSSJn7SgHjVj9Jev/feoLi42KxYsSKqZX709B1M+/JxGm/fjTslNaplq/YVFxcT7e9RKdWCMRhvLbVV5dRX7qO+uhxvTRmB2gqCDfuhYT8WTyV2X1W4QgvUkBaqIc3UY5H265eQEWpIoUbSqbek02jLxGfPwO/MJuTMQlKysaTm8L17n47a/28RWWmM0X54HRTtOtKEQtTfPYD1+ecy9dtPRq3cZNE0jv/TTz894jj+eNI6UqnY8vl8VFeWUldZRmN1GZ6aMvy1FQTr90PjfqyNldgi9aM7UE1aqIZMU4tL/Ics02vs1Eg6dZZ0PLYMvPYM/I5sQq4scGdjTc3hhvv+Fpf6UVsUo8has5MysumjSaJSKhkF/XhqyqhruopZU4avtoJgfQWmoRJLY7iVz+mrwhWoITVUQ4apxU6ADKC91WFrjZsaSaPOkkGtNZNyVz/8zmyCzmxIycGako0tPRdneh9SMvNIy+pDRnYuGS4nWZb2J+Nodu/TsfgUVALUVJaRKY2YrO6/hmKTzo7jV0olHxMKUVNTRW1lKfVVZTRWl4YTvroKQg37sXj2Y/VU4fBX4fbXkBqqJj1US4Y00Adob+S131ib68dGazq1rgFUOseyzZUN7kj9mJaLM6MP7kj9mJ7dB1dK+iHLbHbf32LyORysWyWKIvJd4DtAAFhkjLk9sv3HwLVAELjZGPPvRMSX0rCLClu/w3+xSinVVcYQ8tRSV1VGXWUpDdWleGvK8deVE6rfjzRUNLfyuSLdVtJDNaTSiAtwAXkHFek1NqpJp9aSTr01gyp7AX5HFgFnFsadjSU1F1tqLs6MXFyZ+ZEKLZ/MtBTSj5TwqbhI5jqyrGQTmYAzr3uP4YfwOP6///3vLFq0iClTpjB79mzmz59PaqpeJFYqGfh8Pqr376MuMvTBW1seaeWraG7lC/eCqWquHzNMLZkSJPMQZdaQQq2kU2/NxGvPoNYxmBJnFsadgyUlG1taHo70PFyZeaRm5ZORnU9Keha5FktkKEX31G0SRRE5DbgAmGCM8YpIfmT7WMIzxxUBA4AlIjLKGBP3vh9Zvr3sSR0b79MqpbqzUBBf3X5q9++lvrKMxpoyfLVlBOoqMPUVSGMlNm8lDn81bn91h1r5akwK1ZJOvSWDSlsm+9xD8DuzCLmykZQcrGm52NPCFVpKZj4ZOflkZmSS77CRH+/3r6Ii2evImr3hMfzpfbt/ohjLcfxKqQPCk7fUUBvpBdNYXYavppxAXTmmYT/SuB+bpzLSyheuH4/Uyucz1ki3zgwabZlUuwdT7swm5Ir0gknNxZ4ebuVLyQxfEM3IySfDZm+3vu3puk2iCNwI3G+M8QIYY0oj2y8AFkS2bxORzcAUYGk8gwsFg/QJlfNl+sB4nlYplUSM34Onpiw8gUtlKZ6a0gNdO+srsHoqsXsrm8cqpEfG8jnEkAttrjr6jJWqSCtfgzWT6hatfLhzkNQcbGl5ODNycWfmk5qVT2ZOHzJS3GRoK19vk9R1pK9iOwB5A7v3ZG8Ab7/9dqJDUKrbCQZD1FTvp2b/XhoiE7j4assJ1pc3j3W3eSKtfJGxfBmmlnTxkX6IMutwUyMZ1Fsz8NgzqXUMocSZDe5sJDU33K0z0sqXlpVPRk5f3GmZ5Im06VWj2tedEsVRwEkicg/gITxr3HJgIPBRi/1KItviqrK0hFwJYMkcFO9TK6ViwPjqw1079++jvqoMb00p/tpyQvUV4Rk7PfsjXTurSA3UkGZqSMWDG3C3U169cVIt6dRJBnW2DMpdo8KTt0TGKljScsPdVjLycGf2IT2nH1mZ2eQ7tZVPdUhS15FUldBoHGTm9o37qZVS0eUPBKjeX05t5b5I0lcWHvoQaemzeipx+Cpx+qtIbe7aWUe2BMlup7yQEWokjVpLBvXWTOpc/ah0HkPQlRMey9eylS8rn7TsPmRk55PmcEVmAFWxklSJoogsAfq189JPCMeaDUwDjgeeF5FhQHuXzdudak9E5hJeu4rBg6M7oL5i9xZyAWfekKiWq5TqImMIeeuoqywLV2rVpXiry/DXVRCqK0caw0mfw1eNy19FWrCadFODi/BVzPauZIa7dmZQZ0mn0pbN3tShBBxZmJQccOdiS8vBkZGHKyOf1Kw+pOf0JSsjnQHW7r1+nEqs7lxHOup3UWrNZ0g3X0NRqZ7G5/M3j+err9oXHu9eW0awvgJplfRVkxqpHzNNHXli2m2VO9C1M5MGWyZVKYWUOXNaDH3Iw5GeiyujD2nZ+aTl9CUtM5csi5WseL95dURJlSgaY2Yc6jURuRF4yYTX81gmIiHC8zGUAC2b8QqA3Ycofz4wH8JTf0crboC6yBqKGf26//gLpZJWc9JXSm1lKQ1V+yJJX7ilTxr2Y/Pux+6twh1oSvpqceJvdzxfyAjVpIYrNWsm+2157HGPIODMJuTOwZKSizUtXKG5svJJy84nM7sPGakp2rVTxV13riPTPHupdrSX4yqlosXr81G9v5S6ykhPmOoyAk1JX/NF0Src/ipSg9VkhGpIp4E+Ytodz+c1dqojXTsbbJnsd4+kNFI/SmSCM0dGH9yZ4aQv3LUzS7t29iBJlSgewcvA6cA7IjIKcADlwCvA30TkIcID9UcCy+IdnK/iSwDyCnrcAtFKxYzx1VNbWUpdxV7qm1r6It07pTE8ps/hrcQdCF/JzDA1h036qkiNjFfIpNzel93u0QRcORh3DpKahz0tF2dmuFJLz+5LRk4fstxOskWTPtXtvUwS15F5wX1szjwm3qdVqtvy+fxUR3rC1Ffuw1tdjr+ujFBdeOZOm2c/Dl9lePhDsIaMUA0Z1JMvpt3hCh5jp9qSQb0lkwZ7FmUpA9jrzMK4c5vH8zky+pCSmU9aTjjpc7rTydf6sVfrToniU8BTIrIO8AFzIldO14vI88AGwlOCfzsRM55aakqoMSlkZukMaKp3Mv5G6ipLI2P6SvFWl+JrmfQ1hq9kupquZEa6dx4u6auVDOqsmZTb89mdMpqAM/tA0tc8K1k+6Tl9ycjOI9vtJEcrNdU7JW0d2VBfSw41hDJ0DL/qnfyBANWVFeHZratK8VaV4ouM6aOhMtLSV3mgfgzVkEndYVv6qiwZ1FsyaLRlUZ4ygH0tk770PJzpfXBnRS6K5vbD5U7DFfd3rrq7bpMoGmN8wJWHeO0e4J74RtSao3435db8Xjl1rup5TMBHY00ZNRX7aKgspbGmFH9NGcG6CogkffamSi0QTvpS8BxyTF+VSQ2P6WvVvTMH485G0vKwp/XBmZGHOyuf9Oy+ZOb00aRPqaOQzHVkWckWhgD2nOiOe1QqEULBENU1VdTs30t95T48VeGLosG6cmiowOLZj8Mbrh9TIvVjpqklT0KHGNNna64fw907R1HqysK4mi6K5mHP6ENKU9KX0w+nO42+Wj+qOOg2iWKyy/Duocap4y9UEgqF8NVXUrt/L7X799JYVYqvtoxgbXh2MktjBXZvJS5/JSmBatJD1aTTQAqQ0k5xtcZNtWRQa8mk2p5FaWohAVdOeMxCSh62yCB1d2Y+qdn5ZOX1JdPtIksrNaV6perIGoopfQoTG4hSBzHGUN9QT03Fvub60VtTRrCuPLKO7X7s3v0t6scaMk0N2RJod/bOgLGE60druKWvOnUoFa4cgpEx7+GWvvBF0bTsvmTk5uNMyaKPSLsth0olmiaKUdInWEppynGJDkPFkD8YYv3uGraX19PgC5LisJKf7mRIXir9M1xY4jW5ib8RT1UpVRV7Ilcz90W6eJZjaajA6tmP01dFSqCStGANGabmkOv0eYydSsmgzpJBnTWLcnd//M7wmD5S8rCm5UZa+vqSmt2XrNy+ZKSmkq4TuSilOshTvh2ArAHDEhuI6vG8fj9VFWXU7t9HQ9U+PNWlBGrLWteP/vBkLumRIRBp4jnkEgvVNC3ZkEW9ewBVziK+dOVAah7WtEhLX2YfUnP6kZnTD3d6NrkibepapborTRSjoKFmP+k0EMooSHQoKgY8/iBPvLeVP/13OxX1vnb3SXFYGdk3ndF90xjeJ43CvFQG56SQn+4kO8Vx2CTS+Oqp37+X+sq9eCr34qkuxV9bhqkrQxrLsTXux+nb39zal4IHF23nyA8YC1WkUxNZh6jaMQS/Mzu8DlFKLpa0PuF1+jLzSc3uS0ZuX7Iys+ivSzYopWIoVLmToBHy+hcmOhTVTRhjqPcFqazzUFdVRkPlHjxVpQRr90XG9ZVja6yIjOurJC1QRUaohixq6Ssh2lutswEnNZJJnTUTjyOLvY6h7IpcFLWk5uLIyMOZ2dTS14/UzDwyrXYy4/7ulUoemihGQfmuLQxGx1/0RHuqG/nW08v5fG8tZxyTz0XHDeSYfhmkOW3U+wLsq/awtbyezaV1fLG3lrc+L+X5FSWAIYda+st++lkqKbDXMNBaTR8qyaWK7FAV2aaKbFNNauRq5sFXNBuNg/1kUG3JpNyahdc1GH9kBk9Lah9skaQvJbsvmbn9yMrpQ67TTp528VRKJRFr7S7KJYe+DmeiQ1EJYoyhssHPnupGSmu9VFTV4tlfgqnZDbV7sTfsw+UpI8VXTkZwP5nBKnKlhv7UYJNQu2VWk0atNYt6WxZ1aYVUOnPY5g5fFLWl5YUnO8vuS2p2PzJz+5LiSm13OIVS6tA0UYyC6r3bAUjpMySxgXQDq1ev5oYbbsDj8WCz2fj973/PlClTALjvvvt48sknsVqt/Pa3v+Xss89OaKxVDT6+8cTHlNZ6efqbx3PaMQdNOO1vZHhwD1/xbgbfFjDbwfklwcqdSO1uLEFv6/2DUGfNpNaWQ60th3L7YEocufhduYRScrGk9cWWEU780nL6k5udRX+XnYHazVMp1Y2lNO6h0p7fbiuPaq071ZGHUlrrYc2OKrZ9uZX6XZ9h2b+FtIYd9Avto0DKGScV9JHqNsf5sFNny6HelYPXNYQKVx7lqX2QtD7YM/rhysrHnd2X9Oz+ONLzyLTatLVPqRjTRDEKPJE1FHN0/MUR3X777dx5553MmjWL1157jdtvv5133nmHDRs2sGDBAtavX8/u3buZMWMGGzduxGq1JiROYww/eH4NJZWN/O36qRQPTIHtH0LJctj9CexdC/u3Ai3WpE7Nh+whWAceC5nnQUYBZA6E9P6Q3g9S80mzOUgD+ifkXSmlVPxl+kvZl6ZrKHZEd6kjW2r0BVm25lN2r30H6+5VDPZt4njZwZlS37xPwGKnLnUA/rQCyJpCVfYg3HmDcGQXIBkDIK0vDnc2OSLoImNKJQ9NFKMgWLWLgLGQ119bFI9ERKipqQGgurqaAQMGALBw4UJmz56N0+lk6NChjBgxgmXLljF9+vSExPnKmt188cV6nh23g+J3H4MdH0FTC2HWEOg/AcZfCn1GQ94oyBkGjtSExKqUUskqFAzRJ1ROSeqARIfSLXSXOtLn9fLph69Sv+YVCquWcorsC28XB5VZo/H3Ox/fkAk4+o2B3BHYMgaSZdHx8Ep1N5ooRoEtMv6in92e6FCS3sMPP8zZZ5/NbbfdRigU4r///S8Au3btYtq0ac37FRQUsGvXroTE6KnYwaCFs/nAuR42AX3GwPHXQeGJMGgqpOp8Zkop1RH7y3eTJ34kc2CiQ+kWukMd+fHzv2LkhkcopoZGnHyZWczWkdczaNIZOAaMp69V/xZSqqfQRDEK3J697Lflt5mFsreaMWMGe/fubbP9nnvu4c033+Q3v/kNl1xyCc8//zzXXnstS5YswRjTZn85xKQs8+fPZ/78+QCUlZVFN3igxpKF22Fj+zG3UXjKN8KthUoppY5a5Z5t5AGOXO1x06S715HW1Ey2p06iZNJljDnpYo5xaW8apXoqTRSjINNXyp5UHX/RZMmSJYd87eqrr+aRRx4B4Gtf+xrXXXcdEL46unPnzub9SkpKmrvcHGzu3LnMnTsXgOLi4miF3Sw/O4P8H78X9XKVUqq3qd0XHsOfnq+JYpPuXkcWn3s9nHt91MtVSiUf7TDeRSYUHn/h1/EXHTJgwADeffddAN566y1GjhwJwPnnn8+CBQvwer1s27aNTZs2Nc/0ppRSqnvy7d8BQE7/oQmOpHvQOlIplUy0RbGLavbvJVP84dkt1RE98cQT3HLLLQQCAVwuV3P3mKKiIi677DLGjh2LzWZj3rx5STGbm1JKqS6oLsFnbGT30YupHaF1pFIqmUh7/d57g+LiYrNixYoul7P10w8Z9tI5rJz2OybPvDoKkamjkZeXR2FhYUzKLisro0+fPjEpO1Y05vjQmOPj888/p66uLiplichKY0z0++H1UNGqI1f++iL61a1n4J0boxCVOlqxqiO74+8TjTk+NOb4iFf9qC2KXVRbGh5/karjLxKivLw8ZmUXFxcTjT+U4kljjg+NOT5iMb5KxVeKZx/V9ny0z01ixKqO7K6/TzTm2NOY4yNe9aOOUewib0V4cHlOPx1/oZRSSrWUGSijwaVzgiulVHekiWIXmepd+IyV3L56vVQppZRqEgwGyQtVEEjrn+hQlFJKdYImil1kq99NheTooPIeqGl68e5EY44PjTk+umPM6oDK0l04JIjoZG89Tnf8v6kxx4fGHB/xilkns+miDfeeBCbI2J/8NwpRKaWUigWdzOboRKOO3LjqXUa9cj6rT3iMSWdeEaXIlFJKRdPh6kdtUeyiTH8pDc6+iQ5DKaWUSip1ZeE1FNP6DEpwJEoppTpDE8UuMKEQuaEK/Kk6UL87Wbx4MaNHj2bEiBHcf//9bV43xnDzzTczYsQIJkyYwKpVqzp8bDLGfM0115Cfn8+4cePiFi90PuadO3dy2mmnMWbMGIqKinjkkUeSPmaPx8OUKVOYOHEiRUVF3HnnnUkfc5NgMMixxx7LeeedF6+QuxRzYWEh48ePZ9KkSTorapLz7Q9P9pbdvzCxgaijonVkfGgdmdwxN4l3HZl09aMxplfeJk+ebLqqumyPMXdmmP/+5f91uSwVH4FAwAwbNsxs2bLFeL1eM2HCBLN+/fpW+yxatMjMnDnThEIhs3TpUjNlypQOH5tsMRtjzLvvvmtWrlxpioqKYh5rNGLevXu3WblypTHGmJqaGjNy5Mik/5xDoZCpra01xhjj8/nMlClTzNKlS5M65ia//vWvzeWXX27OPffcmMcbjZiHDBliysrKjvq8wAqTBHVPd7lFo4787+PfNt6f5ZhQMNDlslR8aB0ZH1pHah0Zi3hjUT9qi2IXVOzdBoA9pyDBkaiOWrZsGSNGjGDYsGE4HA5mz57NwoULW+2zcOFCrr76akSEadOmUVVVxZ49ezp0bLLFDHDyySeTk5MT8zijFXP//v057rjjAEhPT2fMmDHs2rUrqWMWEdLS0gDw+/34/X5EJKljBigpKWHRokVcd911MY81WjGr7sNev4dySw5i0cneugutI+ND60itI2MRbyxootgFtaXh8RepOv6i29i1axeDBh34vgoKCtr8gj3UPh05NtliTpRoxbx9+3Y++eQTpk6dGtuAOxjP4fYJBoNMmjSJ/Px8zjzzzG4R8/e+9z0efPBBLJb4VQVdjVlEOOuss5g8eTLz58+PT9CqU9yefVTb+iQ6DHUUtI6MD60jtY6MRbyxqB81UewCb2T8RVbfwsQGojos3MLe2sFXtQ61T0eOjYWuxJwo0Yi5rq6OSy65hIcffpiMjIzoB3mQrsZstVpZvXo1JSUlLFu2jHXr1sUm0A7Gc6R9Xn31VfLz85k8eXLM4mtPVz/nDz/8kFWrVvH6668zb9483nvvvdgEqros019Og0sne+tOtI6MD60jtY5sTzLWj5oodkGwahdBI+T10xbF7qKgoICdO3c2Py8pKWHAgAEd2qcjxyZbzInS1Zj9fj+XXHIJ3/jGN7j44ou7RcxNsrKyOPXUU1m8eHFsA+5gPIfa58MPP+SVV16hsLCQ2bNn89Zbb3HllVcmdcxA831+fj4XXXQRy5Yti3nM6uiZUIi8ULlO9tbNaB0ZH1pHah0Z7XghRvXjoQYv9vRbVwfqh0Ih88a9l5h9dxZ2qRwVX36/3wwdOtRs3bq1eaDwunXrWu3z6quvthoofPzxx3f42GSLucm2bdviOlC/KzGHQiFz1VVXmVtuuSVu8XY15tLSUlNZWWmMMaahocGceOKJ5l//+ldSx9zS22+/HbfJbLoSc11dnampqWl+PH36dPP666936LzoZDZxrSP3l+015s4Ms/SvP+9SOSq+tI6MD60jtY6Mdryxqh8TXhkl6tbVSvBfa3aZd396gvnk/yZ1qRwVf4sWLTIjR440w4YNM7/4xS+MMcY89thj5rHHHjPGhH8J33TTTWbYsGFm3LhxZvny5Yc9Ntljnj17tunXr5+x2Wxm4MCB5o9//GNSx/z+++8bwIwfP95MnDjRTJw40SxatCipY16zZo2ZNGmSGT9+vCkqKjJ33313XOLtSswtxTNR7ErMW7ZsMRMmTDATJkwwY8eOPar/g5ooxreO3PzpR8bcmWFWvvZUl8pR8ad1pNaR0Y5Z68jYxxur+lHCr/c+xcXFZsWKFZ0+/qE3NnLu+xex3fTj7P/3ZhQjU0opFW0istIYowsvdlBX68jVbz3PpPeu54tzX2T08TOiGJlSSqloOlz9qGMUO8ltt9JXKtlrshMdilJKKZVUGveHZ+HL7qtj+JVSqrvSRLGT+qZAltQzYtjwRIeilFJKJRV/9V4AcvsNTnAkSimlOksTxU5KDVQCMHLYsARHopRSSiUXqS+jjhSsDneiQ1FKKdVJmih2kt1XDUDIpV1PlVJKqZbsvmpqJT3RYSillOoCTRQ7yeavBcA4Y7/IqVJKKdWdOAJ1NFpTEx2GUkqpLug2iaKITBKRj0RktYisEJEpLV77sYhsFpEvROTseMRj9TeEHzi0IlQKoKKigkmTJjFp0iT69evHwIEDmTRpEmlpadx0000xOefDDz/Ms88+2+VyZs+ezaZNm6IQkVKJkWx1pCPUiM+i3U6VAq0fVfdlS3QAR+FB4G5jzOsick7k+akiMhaYDRQBA4AlIjLKGBOMZTAS9ITv7VoRKgWQm5vL6tWrAbjrrrtIS0vjtttui9n5AoEATz31FKtWrepyWTfeeCMPPvggTzzxRBQiUyohkqqOtIc8+Gx6IVUp0PpRdV/dpkURMEBTP89MYHfk8QXAAmOM1xizDdgMTGnn+KiyBL3hoKyOWJ9KqW7tnXfe4bzzzgPCFeScOXM466yzKCws5KWXXuL2229n/PjxzJw5E7/fD8DKlSs55ZRTmDx5MmeffTZ79uxpU+5bb73Fcccdh80Wvt516qmncuutt3LyySczZswYli9fzsUXX8zIkSP56U9/CkB9fT3nnnsuEydOZNy4cTz33HMAnHTSSSxZsoRAIBCPj0SpWEiqOtJmfAQtzlifRqluTetHley6U6L4PeCXIrIT+BXw48j2gcDOFvuVRLbFlCUU/g+LTStCpY7Gli1bWLRoEQsXLuTKK6/ktNNOY+3atbjdbhYtWoTf7+e73/0uL7zwAitXruSaa67hJz/5SZtyPvzwQyZPntxqm8Ph4L333uOGG27gggsuYN68eaxbt44//elPVFRUsHjxYgYMGMCaNWtYt24dM2fOBMBisTBixAjWrFkTl89AqRj4HklUR9pMgKDYY30apXoUrR9VskmqrqcisgTo185LPwHOAG41xrwoIpcBTwIzAGlnf3OI8ucCcwEGD+7a2k59x5zAx747KMrp26VylOptZs2ahd1uZ/z48QSDwebKaPz48Wzfvp0vvviCdevWceaZZwIQDAbp379/m3L27NnDmDFjWm07//zzm8sqKipqPm7YsGHs3LmT8ePHc9ttt3HHHXdw3nnncdJJJzUfm5+fz+7du9tUrkoli+5UR5ZN+g729NwulaFUb6P1o0o2SZUoGmNmHOo1EXkWuCXy9B/AHyOPS4BBLXYt4ECXm4PLnw/MByguLm63ouyoIWMmM2SM/odR6mg5neFWeIvFgt1uR0SanwcCAYwxFBUVsXTp0sOW43a78Xg8hyy76XHLskeNGsXKlSt57bXX+PGPf8xZZ53Fz372MwA8Hg9ut445VsmrO9WRxeff0JXDleqVtH5UyaY7dT3dDZwSeXw60DQF0yvAbBFxishQYCSwLAHxKaWiYPTo0ZSVlTVXhH6/n/Xr17fZb8yYMWzevPmoyt69ezcpKSlceeWV3Hbbba0G+m/cuJGioqKuBa9U4mgdqVQPp/WjirekalE8guuBR0TEBniIdI8xxqwXkeeBDUAA+HasZ3NTSsWOw+HghRde4Oabb6a6uppAIMD3vve9NpXUrFmzuOqqq46q7LVr1/LDH/6w+WrtY489BsC+fftwu93tduFRqpvQOlKpHk7rRxVvYkyXepd0W8XFxWbFihWJDkMp1QUXXXQRDz74ICNHjuxSOb/5zW/IyMjg2muvjVJkKtmIyEpjTHGi4+gutI5UqnvT+lF11OHqx+7U9VQppVq5//77250a/GhlZWUxZ86cKESklFJKJZ7WjyoatEVRKaVUj6ctikdH60illOodDlc/9tpEUUTKgC+7WEweUB6FcHoa/Vza0s+kLf1M2tLPpK1ofSZDjDF9olBOr6B1ZMzoZ9KWfiZt6WfSPv1c2orGZ3LI+rHXJorRICIr9Ap1W/q5tKWfSVv6mbSln0lb+pl0X/rdtaWfSVv6mbSln0n79HNpK9afiY5RVEoppZRSSinViiaKSimllFJKKaVa0USxa+YnOoAkpZ9LW/qZtKWfSVv6mbSln0n3pd9dW/qZtKWfSVv6mbRPP5e2YvqZ6BhFpZRSSimllFKtaIuiUkoppZRSSqlWNFHsJBGZKSJfiMhmEflRouNJNBF5SkRKRWRdomNJFiIySETeFpHPRGS9iNyS6JgSTURcIrJMRNZEPpO7Ex1TshARq4h8IiKvJjqWZCEi20VkrYisFhFd1K+b0PqxLa0j29I6si2tIw9N68jW4lU/atfTThARK7AROBMoAZYDlxtjNiQ0sAQSkZOBOuBZY8y4RMeTDESkP9DfGLNKRNKBlcCFvfznRIBUY0ydiNiBD4BbjDEfJTi0hBOR7wPFQIYx5rxEx5MMRGQ7UGyM0XWzugmtH9undWRbWke2pXXkoWkd2Vq86kdtUeycKcBmY8xWY4wPWABckOCYEsoY8x6wP9FxJBNjzB5jzKrI41rgM2BgYqNKLBNWF3lqj9x6/dUqESkAzgX+mOhYlOoirR/boXVkW1pHtqV1ZPu0jkwcTRQ7ZyCws8XzEnr5Lzd1eCJSCBwLfJzgUBIu0n1kNVAKvGGM6fWfCfAwcDsQSnAcycYA/xGRlSIyN9HBqA7R+lEdNa0jD9A6sl0Po3XkweJSP2qi2DnSzrZef8VHtU9E0oAXge8ZY2oSHU+iGWOCxphJQAEwRUR6dTcsETkPKDXGrEx0LEnoBGPMccAs4NuR7nsquWn9qI6K1pGtaR3ZmtaRhxSX+lETxc4pAQa1eF4A7E5QLCqJRcYYvAj81RjzUqLjSSbGmCrgHWBmYiNJuBOA8yPjDRYAp4vIXxIbUnIwxuyO3JcC/yTcrVElN60fVYdpHXloWkc20zqyHfGqHzVR7JzlwEgRGSoiDmA28EqCY1JJJjIo/UngM2PMQ4mOJxmISB8RyYo8dgMzgM8TGlSCGWN+bIwpMMYUEv5d8pYx5soEh5VwIpIameACEUkFzgJ0xsjkp/Wj6hCtI9vSOrItrSPbimf9qIliJxhjAsB3gH8THnz9vDFmfWKjSiwR+TuwFBgtIiUicm2iY0oCJwBXEb76tTpyOyfRQSVYf+BtEfmU8B+UbxhjdKpr1Z6+wAcisgZYBiwyxixOcEzqCLR+bJ/Wke3SOrItrSNVR8StftTlMZRSSimllFJKtaItikoppZRSSimlWtFEUSmllFJKKaVUK5ooKqWUUkoppZRqRRNFpZRSSimllFKtaKKolFJKKaWUUqoVTRSVShIikttiivC9IrIr8rhORH4fo3N+T0SujkI5C0RkZDRiUkoppQ6mdaRS8afLYyiVhETkLqDOGPOrGJ7DBqwCjousfdaVsk4BrjTGXB+V4JRSSqlD0DpSqfjQFkWlkpyInCoir0Ye3yUiz4jIf0Rku4hcLCIPishaEVksIvbIfpNF5F0RWSki/xaR/u0UfTqwqqkCFJF3ROQ3IvKeiHwmIseLyEsisklEfhHZJ1VEFonIGhFZJyJfj5T1PjAjUrEqpZRScaF1pFKxo4miUt3PcOBc4ALgL8DbxpjxQCNwbqQi/B1wqTFmMvAUcE875ZwArDxom88YczLwOLAQ+DYwDvimiOQCM4HdxpiJxphxwGIAY0wI2AxMjOo7VUoppY6O1pFKRYkmikp1P68bY/zAWsBKpCKKPC8ERhOuuN4QkdXAT4GCdsrpD5QdtO2VFmWtN8bsMcZ4ga3AoMj2GSLygIicZIypbnFsKTCgi+9NKaWU6gqtI5WKEm0CV6r78UL4CqWI+M2BgcYhwv+nhXAFNv0I5TQCrvbKjpTlbbE9BNiMMRtFZDJwDnCfiPzHGPPzyD6uSJlKKaVUomgdqVSUaIuiUj3PF0AfEZkOICJ2ESlqZ7/PgBFHU7CIDAAajDF/AX4FHNfi5VHA+s6FrJRSSsWF1pFKdZC2KCrVwxhjfCJyKfBbEckk/P/8YdpWUK8Dfz7K4scDvxSREOAHbgQQkb5AozFmT1diV0oppWJJ60ilOk6Xx1CqFxORfwK3G2M2dbGcW4EaY8yT0YlMKaWUSiytI1Vvp11PlerdfkR4wH5XVQHPRKEcpZRSKlloHal6NW1RVEoppZRSSinVSq8do5iXl2cKCwsTHYZSSimllFJKJcTKlSvLjTF92nut1yaKhYWFrFixItFhKKWUUkoppVRCiMiXh3pNxygqpZRSSimllGpFE0WllFJKKaWUUq1ooqiUUkoppZRSqhVNFJVSSimllFJKtdJrJ7NRycOEglTs3cme7Z9TtXsT1uodpIRqMVYHWOxgdWBsTnwp/cksOIYhoyaQkp6d6LCVUkoppZTqsTRRVAlRVVHK5+/9A+vG1yhqXE4eXvJavF5nXNgJ4hR/6wM/Cd+VSzbl7mHU9T0e58hTGTz+JDLT0+IWv1JKKaWUUj2ZJooqbgJ+H6tfewLXhn8w2vMp0yRImeSwLncmwT5jyeg3gr6Fo8kZMAKHxUkgFKI6ECIQ8BP0NeKv+JLyL9dTt+szqNhMn/pNHLf1D1i2PY7n33bWuYrwDD6NQSfOpu+QYxL9dpVSSimllOq2xBiT6BgSori42Og6ivFhQiFW/ecv5C17gCGhEnbIAHb3n0Gf4y9h2MSTEIu102VXlu9j96dv0rjxXbJLP2J4aDsAm63DKRs0k/7Tv86QURMQkSi9G6WUUkoppXoGEVlpjClu9zVNFFUsrf3gVezv/JxjAl+wwzKQiqk/YtKZVyKW2MyjtH3zBnYtfY7cLxdzTOBzAD6zjqZq9NcZe9a3yMzKicl5lVJKKaWU6m40UWyHJoqx5WuoYcOT/8OkitcoJZedE29h0ldvwmqzxy2G0pItfPnuX8jf8gJDQjtoNA7WZZ1G2leu4Zjjz4pZsqqUUkoppVR3oIliOzRRjJ29n39M8B/fon9gNx/0v5qpc+7F6U7cRDMmFGLz6nep/OApxla8QZo0stUyhF2jrmLCrOvJzMxKWGxKKaWUUkoliiaK7dBEMTbWLH6KMR/9kEoy+PLk3zDl9AsTHVIr9bXVbHjjaXI3PMOwwFZqTArr8s+j4OxbGDxiXKLDU0oppZRSKm40UWyHJorRt3zBLzj+81+y3lZE5jefo6BgUKJDOjRj2LrqTarefYzx1W9jJcQnKV/BdsK3mfCVWdotVSmllFJK9XiaKLZDE8UoMob1z36Pom1/YkXKSYy/+TmcrtRER9VhFXt2sPm13zB65z/IopaNluFUjL+WY2ddg8vlTnR4SimllFJKxYQmiu3QRDF6Pn32NiZsfYK30s/nhO8+idPhSHRIneJtrGX960+Qt+4pBod2so8cNhZ+g6LzbiYnLz/R4SmllFJKKRVVmii2QxPF6Fjx959T/MWveS9tFlNv+QtOuy3RIXWZCQX57IN/wn8fZaznE+qNi9V9vkrBrO8zZPjYRIenlFJKKaVUVGii2A5NFLtuzb/mMXHl/7I89WQm3PJit21JPJwd65dS8cZDjKt8EwshPkk9CcdJ32X8tDMRkUSHp5RSSimlVKdpotgOTRS7Zse6/9LvH1/lM8c4jrltMU5nzx7Lt3/PNra8+hCjd71IBvVssB5D1cS5TJ55JU6HM9HhKaWUUkopddQ0UWyHJoqdV1tVQe0jX8Fi/MgN79O338BEhxQ3nvpq1r/2GP0/+xMDQnvYQx6bCy9n7LnfIbdPv0SHp5RSSimlVIcdLlHUNQDUUTGhEBufmEOfUBnlMx/vVUkigCs1k8lf+xH9f7KODSc/TpWzgJO2/46UR8fz30eu4vNPlyU6RKWUUkoppbpME0V1VD74231Mrn+fVSNvZty0sxIdTsKI1cbY0y9nzI/fZefX32B97llM3v86x7x0Jmt/cSJLX5lPY2NjosNUSimllFKqU7TrqeqwdcveYtSiS/kibSrjfrBIF6U/SN3+vXyx+DEGbPo7/c0+ysnks/4XUXjmjQwadkyiw1NKKaWUUqoVHaPYDk0Uj46ndj+VD00FDBm3LCU1q0+iQ0paJhjgiw9fxv/xHymq+wiAtc5J1I69gvFnXEFmelqCI1RKKaWUUkoTxXZponh01jz2TYr2LmTdzOeZNP3MRIfTbZSXbGLrG08wZMdL9DVlVJo0VmefRWrxFRw77XTsNmuiQ1RKKaWUUr2UJort0ESx47Z/+gGDXzyP93Mv5ZSb/5jocLolEwywbflrNHz0J0ZVvYuDANsZwNb+5zLgpKsZPWa8rsuolFJKKaXiShPFdmii2DGhYJDN900nJ7AX682ryM7JS3RI3Z6/vpJNb/8F2/oXGNW4GoAvLCOoHHw2/aZdypDRx2rSqJRSSimlYu5wiaIt3sGo7uWjl37LVwJfsPzY+zhek8SosKdmM/a878J536Vm7za2vP0MaVtfY9r2ebB9Hl/KQHbkn0bqxIsYW3wKLoc90SErpZRSSqleRlsU1SHt27cX+++LKXMOZtSPPtBZTmNsX8kWdvz3BVK3LmZk4xrsEmSvyeGzzBOxjTid0dNmkZ/fL9FhKqWUUkqpHkK7nrZDE8Uj++CRbzJ9/8vsu/w/DDhmSqLD6VU8NRVs/fBFzOf/Ylj1x7jxEjLCFttwqvpOwznqdIYcewaZmVmJDlUppZRSSnVTvSJRFJGZwCOAFfijMeb+w+2vieLhfbn+Iwqen8knfS+h+KYnEx1Or2YCXnasfZ99a/5D6q4PGen7DIcE8RkrX9hGU55zHI7BxQwc+xUGF47EYtWWX6WUUkopdWQ9PlEUESuwETgTKAGWA5cbYzYc6hhNFA/DGDY/cCLZjTuw3LyS7Nz8REekWqitqeLL1W/j2fg2OaVLGeTbgp0gAOVkstM1Bm/+BFKHTqHPqKn0HTBIJ8dRSimllFJt9IbJbKYAm40xWwFEZAFwAXDIRDEZ7dn+GfU1VYyYMD2hcWxd+jIjPOtYMvLHzNAkMemkZ2Qx7uSL4OSLAAh5G9i5cQVlny+F3avIrV7PoC8/xrJjPrwLVSaN3fZBVKcOJZA9Ame/0eQMHsfAYWNwu5wJfjfqUEwoRCAYIODzEQj4CAX8+AN+QoEAwYCXUDAQuQUJhYKEgkFMqOl5ABMKYYLhe0IBDAZjQhAKhe9N+LkJGSCEMQZCwch2gyGEMRD5JxKUQQi/HtlwIN6W1xwPdf2xxfWK8LULafuiHHTf9JoIAhjkwLGRfcIXQg4+zhLeFDkOsQBNj8P/hHe1tDqnHHTfsqzW26RFOS1a8ZuObbGvtDim6ZFpc46WZTbdtb3Ac/BYcdOizFZHHu7aUIcuHEXj4lIULkRH7WL2IX5Wu6FuHn6PEP9Lr/qt9wROdxpDxrSbjyWtnpIoDgR2tnheAkxNUCydVvLSzyioXQ0TNiUuCGMIvvMge8hj2sU3Jy4O1WEWZwqDxp/MoPEnN2+rrd7Pl+s/xrNjJZRvIrV2KyOrl5JX/RpsBz4Cn7Gy05JPrSOfBldf/Kn9IH0AtuwCXLmDyMwfQm6ffqSmuBP23uLGGPx+L15PA97GBnyeevzeRvyeRgK+BgLeRoK+RoJ+DyGfh5C/kaDfi/F7IOCBgA+CHiToQwJeLEEvlpAPS8iHhPxYIjer8WM1AazGj63FvZ0ANvzYTBArQWwEsUkIO6Bz3iqllFLd3xe20fDTZYkO46j0lESxvYs7bS6/iMhcYC7A4MGDYx3TUbNYLCS6K/D6/y6iyLeBD0f/mBNSUhIai+q89Mwcxn1lFnxlVqvtntr97Nmylqqd6wmUbsRSvQNX414GVK8mr6oChwTblFVr3NRZ0mmwZtBoy8Bry8DnyCRoT0fsKYjDjdWZisWZgt2ZitWVithciNWOxWpDrFYsFjsWqxWL1YbVakMsFqwYQiaICYWaW8VMKIQxQUwoSCgYfhwKBgkGfJiAl6DfRyjgxfi9mIAPEwzfhxM1HwS8EPQiQS+WgCecrAW92EIerCEftpAXW8iH3fhwGC8O/DiMDyc+7GKwA2md/Mx9xooPB36xRe7tBMVOIHILip2gxYlfUgla7BiLnZDFTsjiwFjsYLFhrHaMxYZYbGCxg8UKFjtitYG1aZsNi9UGFhtisYLViogtPDZVrIg1vN1isYZft1gQiw0RC2KxhFu/JNwKFt4WaRGzWBAsiFUQLFgk0oonLVruAEQirXrhVryW3ZpbNlQd3M5lDm7RafG7zrRosQTT/Fpzu2WoqVXTRDYeaNU0LVo8m7dFWknD+7UsN3IuEzljpFW1OYrmolrGYJpfk5bHQavWVdPimOb9WrxNCbfpNp8Lc6CcVtsOvBvaOKh+aF0mBx3fPmmnjmmzJZr1UCe7vbc8ysSg63x3741/8P8v1QnGdOoHwRz2f1gs6Xfe3TnSchIdwlHrKYliCTCoxfMCYPfBOxlj5gPzITxGMT6hHYUE/w4wxhB65wHKyGbyhd9NbDAqJlzpOQyddApMOqXNa6FgkMqKPVTv+5L6si/xV5bgq9tPqH4/4qnC5q0iJVBDH98+0utqSaERJ/4EvItD8xo7Pmx4xYkfO35x4LM4CYiDgMWBz5ZF0OIkaHUSsjoxVifG5gKbC2NzIXYXFrs7fO9wY7GnYHW4sDrdWB1u7A43dmf45nC5sTtTcDpdOJxuHFYrjkR/AEoppZRSUdJTEsXlwEgRGQrsAmYDVyQ2pM4QJIH90Fe+/zrF/k9ZecwPmexOTVgcKjEsVivZ+QVk5xcAJ3ToGBMM4PXUU19Xi6ehnsaGWvyeOoyvkVDQjwkFME2thcFA+HkogAkZglhatHJZw/cW64FtkecWixWL3YnN7sRqd2JzOLHZXeF7R/je6XRjtztwWi04gfSYflJKKaWUUj1fj0gUjTEBEfkO8G/Cy2M8ZYxZn+CwjlqiZ6a0fPArqshgwgW3JDQO1X2I1YYrNRNXamaiQ1FKKaWUUlHUIxJFAGPMa8BriY6j6xLTovj5qnc5zreSlSNuZrJb22OUUkoppZTqzXpMotgzJK5F0fPmg1STyjHn35qwGJSKhby8PAoLCxMdhlJKKaV6ke3bt1NeXp7oMLpEE8UkIpKYVHHfxhVMqv+A9wdex0kZ3W9GJqUOp7CwkBUrViQ6DKWUUkr1IsXF3WvNxPZYjrxLmIikiog1lsH0diZBLYplix+g1rgZ8dUfJOT8SimllFJKqeRyyERRRCwicoWILBKRUuBzYI+IrBeRX4rIyPiF2TsIxH2IYl3lXkZXvMmK7Fn07zcgvidXSimllFJKJaXDtSi+DQwHfgz0M8YMMsbkAycBHwH3i8iVcYix90hApvjZa49hlyD9TrsxrudVSimllFJKJa/DjVGcYYxps5q2MWY/8CLwoojYYxZZrxTfdRSDwSD9Ny9gvb2IoolT4nZepZRSSimlVHI7ZItie0liZJzilSKy6FD7qK6I7xjFVe+8TIHZi3/SN+N6XpXc6uvrCQaDiQ5DKaWUUkol0BEnsxERh4hcKCLPA3uAM4DHYx5ZbxTnWU9Dy5+ikgzGzbgqjmdVySYUCvG3v/2Nc889l/z8fI455hj69+9PUVERP/zhD9m0aVOiQ1RKKaWUUnF2uMlszhSRp4BtwKXAn4H9xphvGWP+Fa8AexchXmMUd27fwuTG/7K94AJsTndczqmS02mnncaWLVu477772Lt3Lzt37qS0tJT333+fadOm8aMf/Yi//OUviQ5TKaWUUkrF0eHGKP4beB840RizDUBEHolLVL2VxK89cfuSPzBIQhTMuClu51TJacmSJdjtbYcb5+TkcMkll3DJJZfg92svc6WUUkqp3uRwXU8nE57ddImIvCEi1wK6jmKMxWMym4Dfz4iSF1nvOo4+hWNjfj6V3NpLEuvr6/nLX/7Cueeee8h9lFJKKaVUz3W4yWw+McbcYYwZDtwFHAs4ROR1EZkbrwB7E4nTrKdr332R/pTjP3ZOzM+lug+fz8fLL7/MZZddRv/+/XnzzTe54YYbEh2WUkoppZRKgCNOZgNgjPnQGPMdYCDwG2B6TKPqreLU9dSy6mnKyaLotMvjcj6V3N544w2uueYahg4dygsvvMBVV11FTk4OTz/9NF/96lcTHZ5SSimllEqAw41RbCYiFwMnEp5p5QNjzLdiGlUvFutUsbxkM+PqP+ajgd/kBIczxmdT3cHZZ5/NSSedxAcffMDQoUMBuOWWWxIclVJKKaWUSqSOLI/xe+AGYC2wDvgfEZkX68B6p9jPerr1zSeximHQGf8T0/Oo7mPlypVMmzaNGTNmcOaZZ/Lkk0/qOopKKaWUUr1cR7qengKcbYx52hjzNHAOcGpMo+qtYt311Bj6fvkvNtiLGDx8TGzPpbqNY489lgceeIAtW7Zw11138cknn+Dz+Zg1axbz589PdHhtLF68mNGjRzNixAjuv//+RIejlFJKKdUjdSRR/AIY3OL5IODT2ISjYjmZzfb1HzMktJPqERfG7ByqezvhhBN49NFH2bVrF7feeitLly5NdEitBINBvv3tb/P666+zYcMG/v73v7Nhw4ZEh6WUUkop1eN0ZIxiLvCZiCyLPD8eWCoirwAYY86PVXC9T2xbFEs/fJaBxsqo06+K6XlU9/XSSy/xwQcfICKceOKJPP3004kOqZVly5YxYsQIhg0bBsDs2bNZuHAhY8dGaZkXY+K6nqlSSimlVLLqSKL4s5hHoZoJYIxBovzHaigYpHDPYta5j+fYPv2jWrbqGW666SY2b97M5ZeHZ8P9wx/+wJIlS5g3L3mGJO/atYtBgwY1Py8oKODjjz/uesFBP+seOIONKceRPet/OW10ftfLVEoppZTqxg6ZKIqImLB3D7dPbMLqpSS8jmIsGjU2Lvs3x1DB9qIfRbdg1WO8++67rFu3rvkixZw5cxg/fnyCo2rNmLZds9v7NTR//vzm8ZVlZWVHLthqJ8PqY2T1f/nq08v51gmF/N+5Y7FY9FecUkoppXqnw41RfFtEvisiLccnIiIOETldRJ4BdMX2qAr/URqLUYq1y/9GvXFSdOrXY1C66glGjx7Njh07mp/v3LmTCRMmJDCitgoKCti5c2fz85KSEgYMGNBmv7lz57JixQpWrFhBnz59OlT24OPPYxybuWFqLk9/uJ0H/v151OJWSimllOpuDpcozgSCwN9FZLeIbBCRrcAm4HLgN8aYP8Uhxt5DiLQoRjdV9HkaGb3/LTZknkxqemZUy1Y9R0VFBWPGjOHUU0/l1FNPZezYsZSVlXH++edz/vnJMRT5+OOPZ9OmTWzbtg2fz8eCBQuiF9vw0xET5I7R+7hy2mD+8O5WXv10d3TKVkoppZTqZg7Z9dQY4wF+D/xeROxAHtBojKmKU2y9UGxaFL/48J+Mpx7bxMuiXLLqSX7+858nOoQjstlsPProo5x99tkEg0GuueYaioqKolN4wfHgSEc2v8mdX/0qa3fV8NOX1zGlMIf8DFd0zqGUUkop1U10ZDIbjDF+YE+MY+n1hKbJbKJbrm/ty1SbVMaemBytQiq5NE2edMoppxx2n2RxzjnncM4550S/YKsdhp0Cm5dgtwgPXTaRWY+8zz2vfcYjs4+N/vmUUkoppZJYR9ZRVPEiQrTbEwM+DyOrPuDzzBNxOrVVRLV12mmn8bvf/a7V+EQAn8/HW2+9xZw5c3jmmWcSFF2cjTwLanbBvvUM75PGDScPY+Hq3Xy8tSLRkSmllFJKxZUmiknEIOEWxSgmi1989DoZ1GMbd0HUylQ9y+LFi7FarVx++eUMGDCAsWPHMmzYMEaOHMnf//53br31Vr75zW8mOsz4GHV2+H7jYgBuPHUE/TJc3Pf650nVqqqUUkopFWsd6nqq4iUyRjGKf482rPkn9cbJ2BMvjF6hqkdxuVzcdNNN3HTTTfj9fsrLy3G73WRlZSU6tPhL7wcDjg0niiffhtth5ftnjuL2Fz/lPxv2cXZRv0RHqJRSSikVF4dsURSRWhGpaedWKyI1XTmpiPxSRD4XkU9F5J8iktXitR+LyGYR+UJEzm6xfbKIrI289tumNRxFxCkiz0W2fywihV2JLaEis55GSygQYFjFO3yWNh13SmrUylU9l91up3///r0zSWwyahaUrIC68PqLFx83kKF5qTyyZJO2KiqllFKq1zhkomiMSTfGZLRzSzfGZHTxvG8A44wxE4CNwI8BRGQsMBsoIrw8x+9FxBo55jFgLjAycpsZ2X4tUGmMGQH8Bnigi7ElkESWx4hOaRtXLiGXaszYr0anQKV6g9GzAANfvAaAzWrhu6ePYMOeGt7YsC+xsSmllFJKxUlCxigaY/5jjAlEnn4EFEQeXwAsMMZ4jTHbgM3AFBHpD2QYY5aa8CX9Z4ELWxzTNNPGC8AZTa2N3Y4I0Qy8auVLeI2dY068OIqlKtXD9RsPWYPhs381bzp/4gAG5bj5/TtbtFVRKaWUUr1CMkxmcw3weuTxQGBni9dKItsGRh4fvL3VMZHksxrIjWG8MSWYqExmY0IhCkvfYkNKMemZOVGITKleQgTGnA9b3wFPNRBuVZx78nBW76zi4237ExufUkoppVQcxCxRFJElIrKundsFLfb5CRAA/tq0qZ2izGG2H+6Y9mKaKyIrRGRFWVlZx99M3ESv6+n2dR/SjzK8I8/temGqR0tPTycjI6PNrWl7rzTmfAj5YeO/mzd9bXIB2Sl2/vj+tgQGppRSSikVHzGb9dQYM+Nwr4vIHOA84AxzoC9XCTCoxW4FwO7I9oJ2trc8pkREbEAm0O4lf2PMfGA+QHFxcfL1H4v0mI1GYOUr/slgIww/4ZIolKZ6stra2kSHkHwKjof0AbD+nzDhMgBcditXTRvC797ezNayOob1SUtwkEoppZRSsdOZWU9rojDr6UzgDuB8Y0xDi5deAWZHZjIdSnjSmmXGmD1ArYhMi4w/vBpY2OKYOZHHlwJvmW46iEia1lGMQvi5u9/hc/tY+vQd0PXAlOptLBYYdzFsegMaK5s3Xzl9CHaLhac/3J642JRSSiml4uCIs54CDwM/IjwWsIBwgveLLp73USAdeENEVovI45FzrgeeBzYAi4FvG2OCkWNuBP5IeIKbLRwY1/gkkCsim4HvR2LtngSIwgjF/Xu/ZFhgC5UDT+16TEr1VuMuDnc//ezV5k356S4uPHYA/1i5k8p6XwKDU0oppZSKrY50PT3bGDO1xfPHRORj4MHOnjSylMWhXrsHuKed7SuAce1s9wBf62wsycRExih21db//pMcoG/xBUfcVyl1CAOOg5xhsPZ5OO6q5s3XnDiU51eU8NyKndxwyvAEBqiUUkopFTsdmcwmKCLfEBGriFhE5BtA8IhHqU6IjFHsYq5o2/If9pLHiKLjoxCTUr2UCEyYDdveh6oDkzEf0y+D6cNy+fPSLwkEQwkMUCmllFIqdjqSKF4BXAbsi9y+Ftmmok2ae592ms/TyKi6FWzPORGxJMPqJyrZHWrW06ZbrzZxNmDg0wWtNn/zhEJ2VTWy5LN9iYlLKaWUUirGjtj11BiznfCi9irGpGl5jC5kihuX/Ztx4sU1dlYUI1M9WdOspz/72c/o168fV111FcYY/vrXv+qMqNlDYMiJsPpvcNJtzTMTzxjTl4FZbp7+cDszx/VPcJBKKaWUUtF3xCYnERklIm+KyLrI8wki8tPYh9YLSdfXUaxbtwiPsTN6uq6fqI7Ov//9b2666abmFsYbb7yRF198MdFhJd5xV8H+rbD9/eZNVosw5ytD+Hjbfjbs7tIk0EoppZRSSakjfROfAH4M+AGMMZ8Cs2MZVO8lkVGKnWQMg8re4wv3JNyp6dEKSvUSVquVv/71rwSDQUKhEH/961+xWq1dKvOHP/whxxxzDBMmTOCiiy6iqqoKgO3bt+N2u5k0aRKTJk3ihhtuaD5m5cqVjB8/nhEjRnDzzTc3Lxfj9Xr5+te/zogRI5g6dSrbt2/vUmwdNvYCcGXCyj+12vz14sG47BaeXRqnOJRSSiml4qgjiWKKMWbZQdsCsQimtzMIFul8x9M9W9cy0OylYcgZUY1L9Q5/+9vfeP755+nbty99+/blH//4B3/729+6VOaZZ57JunXr+PTTTxk1ahT33Xdf82vDhw9n9erVrF69mscff7x5+4033sj8+fPZtGkTmzZtYvHixQA8+eSTZGdns3nzZm699VbuuOOOLsXWYXY3TLwcNrwCdWXNmzNT7Fx07EBeXr2LqgZdKkMppZRSPUtHEsVyERlOZIoVEbkU2BPTqHoraZr1tHOp4q7lrwDQ/3gdUqqOXmFhIQsXLqS8vJyysjJefvllCgsLu1TmWWedhc0WHgo9bdo0SkpKDrv/nj17qKmpYfr06YgIV199NS+//DIACxcuZM6cOQBceumlvPnmm53+v3LUjr8uvKbiiqdabZ7zlUI8/hDPLd95iAOVUkoppbqnjiSK3wb+ABwjIruA7wE3xjKo3kq6mCg6d7zHDunPkOFjohmW6iU2btzIGWecwbhx4eVKP/30U37xi19ErfynnnqKWbMOTLK0bds2jj32WE455RTefz88/m/Xrl0UFBQ071NQUMCuXbuaXxs0aBAANpuNzMxMKioqohbfYeWNhJFnwfI/QsDbvPmYfhlMHZrDnz/6kmAoTkmrUkoppVQcHDFRNMZsNcbMAPoAxxhjTozMhKqirvOJot/nYXj9akqypzcnnEodjeuvv5777rsPu90OwIQJE1iwYMERjoIZM2Ywbty4NreFCxc273PPPfdgs9n4xje+AUD//v3ZsWMHn3zyCQ899BBXXHEFNTU17f7sH+4CyqF+1ufPn09xcTHFxcWUlZW1u89Rm3Yj1JfCutYT/HzrhEJKKnWpDKWUUkr1LIdcHkNEvn+I7QAYYx6KUUy9lmn+bI9+Ee8tq97iGPHiGK3jE1XnNDQ0MGXKlFbbmrqNHs6SJUsO+/ozzzzDq6++yptvvtn8+8PpdOJ0OgGYPHkyw4cPZ+PGjRQUFLTqnlpSUsKAAQOAcOvizp07KSgoIBAIUF1dTU5OTrvnnDt3LnPnzgWguLj4iO+hQ4adBvlj4aPfh8cstlkqYxtnF/WLzrmUUkoppRLscC2K6ZFbMeGupgMjtxuAsbEPrfdpnvO0Ey2KVev+Q8BYGDlF109UnZOXl8eWLVuak7kXXniB/v27tkbg4sWLeeCBB3jllVdISUlp3l5WVkYwGARg69atbNq0iWHDhtG/f3/S09P56KOPMMbw7LPPcsEF4TG3559/Ps8880xzbKeffnp8W89Fwq2Ke9fClreaN9usFq6ePoSPtupSGUoppZTqOQ6ZKBpj7jbG3A3kAccZY35gjPkBMBkoONRxqgu6MEYxZ++HbHaMJjM7N9pRqV5i3rx5/M///A+ff/45AwcO5OGHH+axxx7rUpnf+c53qK2t5cwzz2y1DMZ7773HhAkTmDhxIpdeeimPP/54c+vgY489xnXXXceIESMYPnx487jGa6+9loqKCkaMGMFDDz3E/fff37U33BkTvg4ZBfDuA60u6Mw+fjBuu5WnP9wW/5iUUkoppWLgyP3KYDDQcu53H1AYk2h6vc4lilXl+xjh38SywdfFIijVSwwbNowlS5ZQX19PKBQiPb3ra3Fu3ry53e2XXHIJl1xySbuvFRcXs27dujbbXS4X//jHP7ocU5fYnHDSrbDoB7D1HRh+GhBeKuOSyQN5fkUJd8w6hrw0Z2LjVEoppZTqoo4kin8GlonIPwkvkXER8ExMo+qtmloUj3IlxS3LX2OyGLInnB2LqFQP99BDhx9u/P3vtztcufc69ip4/yF4534Ydmrz/9tvfmUof/loB39e+iW3njkqsTEqpZRSSnXRYWc9lfAAoGeBbwGVQBXwLWPMfYc7TnVO02grc5TT7Ac2vUWdcTN84snRD0r1eLW1tdTW1rJixQoee+wxdu3axa5du3j88cfZsGFDosNLPjYnnPR92PkRbH6zefOI/DRmjMnnzx99SaMvmMAAlVJKKaW67rAtisYYIyIvG2MmA6viFFPv1ckWxUGVH7Mp9ViOdWh3N3X07rzzTgDOOussVq1a1dzl9K677uJrX/taIkNLXsdeDf99FP7z03CrojX8q/T6k4bx9fkf8cKqEq6aNiSxMSqllFJKdcER11EEPhKR42MeiaJFm2KHj9i7/TMGmH00DtLWRNU1O3bswOFwND93OBxs3749cQElM5sDzvp/UPYZrDrQE3/K0BwmDsrij+9vJXiUPQOUUkoppZJJRxLF0wgni1tE5FMRWSsin8Y6sN7ISOTrCHV8HcVdK18HoO+kmbEISfUiV111FVOmTOGuu+7i7rvvZurUqcyZMyfRYSWvY86DISfA2/eCpxoIrzM796RhfFnRwL/X701wgEoppZRSndeRyWx0Yb54aVpG8ShaIixffsA+chg6amKMglK9gTGGq6++mlmzZvH+++8D8PTTT3PssccmOLIkJgJn3wPzT4O3fgHn/BKAmeP6MTQvlXlvb2bWuH7xXetRKaWUUipKjpgoGmO+FJGJwEmRTe8bY9bENqze6ui6nppQiEE1K9maXkxfa0cah5Vqn4hw4YUXsnLlSo477rhEh9N9DDgWpv4PfPwHGHcpDJ6K1SLceOpwbn/hU975oozTjslPdJRKKaWUUkftiNmFiNwC/BXIj9z+IiLfjXVgvVKk62lH11HctWUteVQRGnxCLKNSvcS0adNYvnx5osPofk7/KWQWwCvfhYAXgIuOHcjALDe/e2vTUa+LqpRSSimVDDrSDHUtMNUY8zNjzM+AacD1sQ2rd2puTzQdG6O4e/UbAPSfdGaMIlK9ydtvv820adMYPnw4EyZMYPz48UyYMCHRYSU/Zzqc9xso/wLeCa8cZLdauOHU4azaUcXSLRUJDlAppZRS6uh1ZIyiAC0XBQtyIKdRUXS0k9lYdnxIKTkMHl4Uw6hUb/H6668nOoTua+SZcNwc+ODh8HIZw07la5ML+P3bm/nVf77gxeG5OlZRKaWUUt1KR1oUnwY+FpG7ROQu4CPgyZhG1UvJUayjaEIhCmtXsSNjMmLR8Ymq64YMGUJVVRX/+te/+Ne//kVVVRVDhuhagB028z7IGwkv/Q/Ul+OyW7n5jJGs2lHFW5+XJjo6pZRSSqmjcsQMwxjzEPAtYD9QCXzLGPNwjOPqpSKJYge6nm7f+Cl5VGGG6PhEFR2PPPII3/jGNygtLaW0tJQrr7yS3/3ud4kOq/twpMKlT0HjfnjhGgj6uXRyAUNyU/jlv78gpOsqKqWUUqob6chkNtOATcaY3xpjHgE2i8jU2IfWC1maJrM58q77Pl0CwMBJZ8UyItWLPPnkk3z88cf8/Oc/5+c//zkfffQRTzzxRKLD6l76jYfzHoZt78K//xe71cL3zxzF53treWXN7kRHp5RSSinVYR3ps/gYUNfieX1km4q6yBimDoxRtO0Mj0/sP3RMjGNSvYUxBqvV2vzcarXqjJ2dcew3YPp3YNl8WPYEX50wgKIBGTyw+HMafcEjH6+UUkoplQQ6NJmNafHXojEmJCIdOU4drebJLg6fKDaNT9yeUUy+jk9UUfKtb32LqVOnctFFFwHw8ssvc+211yY4qm7qzJ9DxRZ47TYsNhd3fvVcLvvDUua/t5VbZoxMdHRKKaWUOgwTCuH3NuLx1OPzNOBrbMDvqcfvayTgbSDg9RDwNhD0NxDyeTD+RkK+RkzAg/g9EPAk+i1ERUcSvq0icjMHWhFvArZG4+QichvwS6CPMaY8su3HhJfkCAI3G2P+Hdk+GfgT4AZeA24xxhgRcQLPApOBCuDrxpjt0Ygv7prWUTxCi+KuLWspoIotg0+MR1Sql/j+97/PqaeeygcffIAxhqeffppjjz020WF1TxYrfO1PsOByeOW7TLnIzrnjR/HYu5u57PgC+me6Ex2hUkop1S2YUBCftwFvYwM+Tz1+T9N9U9LWQNDXQMjXSNDXiPGHbzQlbAEPEvAgQQ/WoBdr0IMl5MMW9GAzPuwhL3bjw258OPHhND6c4scBODoZs8fYgcLofQgJ0pFE8Qbgt8BPAQO8Cczt6olFZBBwJrCjxbaxwGygCBgALBGRUcaYIOFEdS7hWVdfA2YCrxNOKiuNMSNEZDbwAPD1rsaXGB2b9XTPmjcoAPpNOCMOMane4qOPPqKoqIjjjjsOgNraWj7++GOmTu38kOS77rqLJ554gj59+gBw7733cs455wBw33338eSTT2K1Wvntb3/L2WefDcDKlSv55je/SWNjI+eccw6PPPIIIoLX6+Xqq69m5cqV5Obm8txzz1FYWNi1Nx1LdhfM/hv87TJ4+UZ+ftajLPksh58tXM/8qybrchlKKaW6pZDfh8dTF07cGsMtbn5v+BbwNhD0NhD0NRLyhVvbQv4G8Idb3SSStFmCHiwBD5agF2vIgy3kxRbyNidtDuNtlbQ5AWcnYvUZK14ceMWBHwc+ceK3OAiIE7/FiceaRtDqJGR1EYrcY3OCzR2ux20uxO7GYndhcbixOlOwOVxYHSnYXSnYnW7szlScLjd2VypOdwpOpxuXxQKLiqP90cfdERNFY0wp4eQt2n4D3A4sbLHtAmCBMcYLbBORzcAUEdkOZBhjlgKIyLPAhYQTxQuAuyLHvwA8KiKtust2G01/OB5hdkTLjo8oJ4vBI8bFISjVW9x4442sWrWq+XlqamqbbZ1x6623ctttt7XatmHDBhYsWMD69evZvXs3M2bMYOPGjVitVm688Ubmz5/PtGnTOOecc1i8eDGzZs3iySefJDs7m82bN7NgwQLuuOMOnnvuuS7FFnN2N1y+AP76NXL/813+WHQHV60Zx78+3cP5EwckOjqllFLdnTH4fR48jfX4GhvwNtYR8Nbj8zTi99ZHWtsaCXobCfnqMZGEjeYWt0YsQQ8S8GIJerAGDyRttpAXu/HiOChxs0mIFCDlKEMNGcETSdp8kaTNJw4ClnDS5rNlEbAcSNhCNhfGFk7WsLsReyRpc6SEk7bIzeZMxe4MJ24OV9N9Ki53Cg67HYcI6bH47HuBIyaKIjKKcGteX2PMOBGZAJxvjPlFZ08qIucDu4wxaw66qj6QcIthk5LINn/k8cHbm47ZCWCMCYhINZALlHc2voRp6np6hBbF/rVr+DJ1Ank6PlFFkTGmVSuXxWIhEAjE5FwLFy5k9uzZOJ1Ohg4dyogRI1i2bBmFhYXU1NQwffp0AK6++mpefvllZs2axcKFC7nrrrsAuPTSS/nOd77TJuak5EiFK56Df3yLk764l99nnctdCy2cMDyX3LTOXB9VSimVtNpJ3PyeevzeQyRuvsYDyVugdYubtenWsrUt5G1O2hzGhwsfdjHYOxHqgdY2ZyRxc+C3OAlYnPjERYMjk6DV1dzaZmwujM3dKnGzRBI3q9ONxeHG5kjB5krB7gwnaw5XCk5XKk53Kk6nixSr5agTTJU4Hel6+gTwQ+APAMaYT0Xkb8BhE0URWQL0a+elnwD/C7S3rkN7f/GZw2w/3DHtxTSXSLfZwYMHt7dLQklT19PDjFHct2srA0wpOwZeHa+wVC8xbNgwfvvb33LjjTcC8Pvf/55hw4Z1udxHH32UZ599luLiYn7961+TnZ3Nrl27mDZtWvM+BQUF7Nq1C7vdTkFBQZvtALt27WLQoEEA2Gw2MjMzqaioIC8vr8sxxpwzPZwsvnk353z4CLmhrfzyJSf3X316oiNTSqkeL+Dz4mmsx+upa56UxOepj4xvayTorSfgDXeVPDC+rfGgrpJerMHGdhM3u/HhwhvVxM0rTvziwB/pIumzpNBgyyFodRG0OTFWVyRxc4E9BWlqcXNEEjd7uKWtKWmzO1NwuMOtbU53Ki5XGna7TVvb1GF1JFFMMcYsO+iq/RGbGYwxM9rbLiLjgaFAU2tiAbBKRKYQbikc1GL3AmB3ZHtBO9tpcUxJZDbWTGD/IWKaD8wHKC4uTr6uqU2f8WF6ze5c/TZ9gbwxp8QnJtVrPP7449x888384he/QEQ444wzmD9//hGPmzFjBnv37m2z/Z577uHGG2/k//7v/xAR/u///o8f/OAHPPXUU+0uuyEih9wOHPa1g82fP7859rKysiO+h7iwWMOzoeYXMfnl71Cw5TqWvXE/U868LNGRKaVUXJlQEK+nAW9DXfi+sT48xs1Th98TmZSkxQQlxteAiXSTJOBB/OHuks1dJSPdJe2RrpJN3SVdkZY3m4RIA9KOMs5WLW7iwC8OfOI6kLjZs8MtbpYD3SSNzYXY3IjDDfZwK5vFkYLVkYLN6cbmTMHmaEraUnFExrW53GnhbpKgiZtKGh1JFMtFZDiRVjoRuRTY09kTGmPWAvlNzyPjD4uNMeUi8grwNxF5iPBkNiOBZcaYoIjUisg04GPgauB3kSJeAeYAS4FLgbe65fhEOND11Bx6rbXA9v/SYJwUjpt2yH2U6oz8/HwWLFhw1MctWbKkQ/tdf/31nHfeeUC4pXDnzp3Nr5WUlDBgwAAKCgooKSlps73lMQUFBQQCAaqrq8nJyWn3XHPnzmXu3PCcW8XFSTaYfOLXIWcEoT/NYcqH11NT/h8yLngQUtp/L0opFQ9Bvw9PYx2exratbn5PfXhykkjyZnyNhJrGuQU8SKTLpLVFl8nwGDcP9pAPh/GEx7nhxRWZnMQFuI4yxqYxbh5x4KMpeXPit7gIWJx4HOktuko2JW/hlrZwV8mUcFdJpxurIyU8MYkzBbsz9cD4NncKLlcqrpQ0HHaHJm6qV+tIovhtwq1wx4jILmAb8I1YBGOMWS8izwMbCLdaftscyJpu5MDyGK9HbgBPAn+OTHyzn9hMvBMfzYniofPc3P2fsM01hiJ7ZyfsVap9Gzdu5MYbb2Tfvn2sW7eOTz/9lFdeeYWf/vSnnS5zz5499O/fH4B//vOfjBsXnoDp/PPP54orruD73/8+u3fvZtOmTUyZMgWr1Up6ejofffQRU6dO5dlnn+W73/1u8zHPPPMM06dP54UXXuD0009P/vGJh2AbNBnrje/zx3k/4FtfvEho3rtYzr4Hxl0KOvZYKQXhsW5+L56GeryNdZG13OrCM0t6wi1w4eStnpCvqdukB/wN4QlKIuu5WUIerIHGcHfJoCfc4hYZ5+YwPpx4cRofdgmSCqQeZZg+Y8MTGd8WbnlzHphR0pZBvcVJwOpuMcbNFZ5R0uGOtLy1mJjEmRpucXO6I10l05pb3JzutPAYN4uOcVMqXjoy6+lWYIaIpAIWoJHw8hNfRiMAY0zhQc/vAe5pZ78VQJtpPo0xHuBr0Ygl4SJ/9MohEsXqqv0MC2xlxYDr4hmV6iWuv/56fvnLX/I///M/AEyYMIErrriiS4ni7bffzurVqxERCgsL+cMf/gBAUVERl112GWPHjsVmszFv3jysVisAjz32WPPyGLNmzWLWrFkAXHvttVx11VWMGDGCnJycTrV+JpMBedmMu+qXXPhkMY/6n2LIS9fDB7+B0/4XjjnvQFd0pVTyiExU0thYjy/S8ubzhJO4QKT1LehtIORvIOhtxPgbML6m5M2DJdLyZgk2Nq/nZo8sDeCIdJl0mnDi5sLbPNbtaFu0PMaOVxx4cOKPJG9+S7jlzWtNPdDqZnMRsrmbkzexRxI3e7jrpM3ZTqubu/3ukkqpnueQiaKIZBBuTRxIeAmLJZHntwFrgL/GI8BepblFsf3JbLavfoeJYkgbeUI8o1K9RENDA1OmTGm1zWbrSKeDQ/vzn/98yNd+8pOf8JOf/KTN9uLiYtatW9dmu8vl4h//+EeX4kk204blcsk5szj1X4N4ZNx2zq/8Ezx3JfSfBFPmwtjzwxPhKKUOKxjw42mIdJtsanlrrMfnbSDoqSfgC09YYnwNzQty429E/A2RyUrCk5RYWo1380TGurWfvB2tRuPA0zy75IHkLWBxteoyGbSGZ5U0zTNLusHR1GUyPL7NGlkKwOY8kLg5XKm4UlJxulJxWa24CE/aoJRSnXW4vwL/DFQSHvt3PeE1Dx3AhcaY1bEPrfeRSKLIIcYo1m/+kKARCifpRDYq+vLy8tiyZUtzd84XXnihuduoip05Xynki3113LzMwpbT/8z3+qxC3n8IFt4Ei34AY74KE2fDsFPDE+Io1U2YUAi/tzE822RjXbgFzhNugQt4IjNN+hoIeRvC3SYjM06GJytpONDyFjh4zJsXh/HgNF4chCcscXSy26TH2PGIEy/ONsmb15HWouXNTcjatAB300QlLbtMpoRnmHSmYIssCRBudUvD5U7F6UrBbbXgjsUHrZRSMXK4RHGYMWY8gIj8kfC6hIONMbVxiaw3soT/QA8doutpeukKttuGMjxDJ71Q0Tdv3jzmzp3L559/zsCBAxk6dCh//at2HIg1EeGeC8cRChkeeWsbodOP5/vfWYGULIc1f4f1L8Ha5yG9P0y4DCZeDvljEh226saCwWA4eWuoax775vfUR8a9he+bxrw1JXDhSUsawoty+xsjXSc9zV0nw7NNRpI348NpvLjw4RBz1N0SD14iwCdO/OLCb3XSaMukzuoKJ3A2N8bqImR3Nydvlqauk46UyHg3N3ZXaquWN6c7LdxtskXLm1JKqbYOlyj6mx5EZh3dpklirEVaC9pZRzHg9zHM+xnr8r/K8DhHpXqHYcOGsWTJEurr6wmFQrjdbp577jmGDBmS6NB6PItFuO/i8YjA797aTKMvyB2zjsc+eCrMvB82LoY1C2DpPPjwEcgbBYOmHrjljtBJcLo5Ywy+QABPfX1k1sl6vJ765gQu4A3fmse9eRsw/gbwN8042YjloO6TzV0nQ61b39zi61Lrmw8HXnFFWt+aZpvMCK/vZj0w5i3cdTI1PO7N6cbqSA0vyO1MxepMxe5Kxe5y43Sl4XCn4kxJw+VKxe5w6NpuSimVBA6XKE4UkZrIYwHckecCGGNMRsyj620sTevFtU0Ut63/mJHixVo4Pd5RqR6upqaGefPmsWvXLi644AJmzJjBvHnz+NWvfsXEiRP5xjdiMsmxOojFItx70XicNgt//GAbH2/bz2++PpER+elQdGH4VlcG616ELW/CZ/+CTyJjQF1ZMGgKFEyBQcdDn2Mgra9OiBMFTeu9eRrCiZuvMbzuW6B5se6GFuu9NUCLZQOalgywBDxYg41Ygt7IxCWeFrNOepvXenOJHydHP66sOYETJ15xhScvsYSTtnprFjVNXSdtbozNfWDGyebWt1SszhSsTjf2SPdJuzsdpzu1+eZyp2nrm1JK9TKHTBSNMToYJt4k8pG3M0Zx/2fvATBwwmnxjEj1AldddRXZ2dlMnz6dJ554ggcffBCfz8fLL7/MpEmTEh1er2KxCHdfMI7pw/P433+u5dzffsCPZh3DnOmFWCwCaX1g2g3hWygEFZth58dQsgx2LoNN/zlQmD0FsodCTuSWMRBS+0BaPqTmh+9dWd2vJTIUxPgb8Hoa8TbW4/M0hhM4T0N42QBvYySBa9F10t/YvFi3+D1I00LdAQ/WkAdb0NsmeWtqfXN1cr23gLG0aH1rPetkwJaGx9qnxTpvLow9JZzA2cOLc4sjnLhZHU3j3lKwu9JwusMtca5IC5zdkYLLYtEETimlVNR1bUpDFVVNk4iYUNsxirbdy9lLHv0HacdTFV1bt25l7dq1AFx33XXk5eWxY8cO0tO141eizBzXj+OGZPGjF9dy97828OZnpfzyaxPon9liKgyLBfqMCt+Ouyq8rbESdn8CFVtg/zao3BZOJje9AUFvO2eS8KyqzozwvSsDHKnhhMXmBJsrcu8MX8gSCU+oI5bIc0v41jTJjgmFb6HggccmCMaEE7xQAL/Xg8/vxe/zEvD7Cfq9hAI+QgEfBMM3CfqwBr1YQj6sxo/d+LAbP3Z82Agh0KnkrWnsW7jlzREZ++YkYHHitaXRYMkl2Gq9NzfGHl7rjcj4N0uLRbqtDnek+2Qqdldac/LmcqdiczhJO/qvXimllEoamigmk6ZuYu10PR1Yu46StPH0i3NIquez2w9M9G61Whk6dKgmiUkgP93Fk3OK+fuynfy/Vzdw2q/e4dzxA5g9ZRDFQ7KbLyy14s6G4aeHby2FQuCpgrpSqC8N39eVhhNLbw14a8FTfeA+UAYBDwS8EGiEgK9F0ndQIkjbC1shLITEgkEIGQtBhCAWAsaCHxs+bASMFT82AljDz7GGb+IkaEkhZHVgbE6M1REe62Z1IHYXYnMidjcWuwuxu7E6XFgd4aQtvEi3O7zWmzMVhzulueuk252Gw2bT9d6UUkqpDtJEMam0uCrfQtmubfSjjG39ixMQk+rp1qxZQ0ZGeMixMYbGxkYyMjIwxiAi1NTUHKEEFSsiwhVTB3PCiFz+8N5WXlm9mxdXlTC8Tyqzjx/MxccNJDfNeeSCLBZIyQnfOKbD56/1+NlT7Qnfqhojj8P3e6s97KvxUOPxE04JDUEsgGARyEl1kJvqJCfVQU6agyy3newUB1kp4ftMt50Mt50Mt408l50Ml41Uhy3cxVYppZRSCaeJYhKRyFghc9CspzvXvksfIPuYExIQlerpgsH21+1UyWNIbir3XjSen5wzhkVr97Bg2Q7uee0z7n39M4bkpDC6XzrH9MvgmH7pjOqXTqbbjttuxWW3Ym2ReAWCIep9QRp8Aeq9ASob/JTVeimt8VBW56Ws1sveGi97qxvZU+Wh1htoFYcI9Elz0j/LzbA+qXxleC75GS7y0530zXCRn+GkT5qTrBRHq/MqpZRSqvvRRDGZNCeKrf9w921fhtfYGTpuWiKiUkoliVSnjcuKB3FZ8SA27qvl9bV7+XxvDV/sreWNDftoZ3gzdqvgslnxBUN4A227tTexWoS8NAf56S4Kc1P5yvA8+me66J/lDt9nuuib4cJu7WaT3yillFKqUzRRTCZNs57S+o+5zIrVbHOM4Binu+0xSqleaVTfdEb1PTCWtNEXZFNpLZtL66jzBvD4gzT6QjT6g3j8QRw2C6kOG6lOK6lOGykOK1kpDvLTnfRJd5KtrYBKKaWUakETxSQiEr5SLy1aFP0+L0N9G/mk76WJCksp1Q24HVYmFGQxoSAr0aEopZRSqgfQPkTJJDLFfMuup9s3LMMlfhyFxycqKqWUUkoppVQvo4liMmlei+xAorj/iw8BGFB0UiIiUkoppZRSSvVC2vU0iTR1PaVFi6Jl90rKyaLfoBEJikqp7m379u0UF3dsaZmysjL69OkT44hUNOh31T3o99R96HfVfeh31T18/vnniQ6hyzRRTCKmna6n/WrWsTNlLHkWbfxVqjPKy8s7vG9xcTErVqyIYTQqWvS76h70e+o+9LvqPvS76h46epE6mWn2kUTE0nrW05qKUgaZ3Xj6Hpu4oJRSSimllFK9jiaKSUQskQbeUHiR6y/Xvg9A+nBdP1EppZRSSikVP5ooJpNIoiihcIti3bZlhIwwZPyJiYxKqV5j7ty5iQ5BdZB+V92Dfk/dh35X3Yd+V91DT/iexBiT6BgSori42CRb/+6N61cy6h+n8+m0h5gw81pWP3A2Wd5dFP5sXaJDU0oppZRSSvUwIrLSGNPugEptUUwm0tT11I8JhRjU+Bml6eMSG5NSSimllFKq19FEMYmI1R6+DwbYu3MTuVRjBhyX4KiU6v4WL17M6NGjGTFiBPfff3+b140x3HzzzYwYMYIJEyawatWqDh+roqsr39U111xDfn4+48bpBbZ46Ox3tXPnTk477TTGjBlDUVERjzzySLxD71U6+z15PB6mTJnCxIkTKSoq4s4774x36L1OV37/AQSDQY499ljOO++8eIXca3XluyosLGT8+PFMmjQp+WdGNcb0ytvkyZNNstm0+Qtj7swwn778kFn52lPG3Jlhvlj5bqLDUqpbCwQCZtiwYWbLli3G6/WaCRMmmPXr17faZ9GiRWbmzJkmFAqZpUuXmilTpnT4WBU9XfmujDHm3XffNStXrjRFRUXxDr3X6cp3tXv3brNy5UpjjDE1NTVm5MiR+v8qRrryPYVCIVNbW2uMMcbn85kpU6aYpUuXxv099BZd/f1njDG//vWvzeWXX27OPffceIbe63T1uxoyZIgpKyuLd9iHBKwwh8iXtEUxiYjVGb4PBfDtWI7P2BhSdHyCo1Kqe1u2bBkjRoxg2LBhOBwOZs+ezcKFC1vts3DhQq6++mpEhGnTplFVVcWePXs6dKyKnq58VwAnn3wyOTk5iQi91+nKd9W/f3+OOy7cWyY9PZ0xY8awa9euRLyNHq8r35OIkJaWBoDf78fv9yMiiXgbvUJXf/+VlJSwaNEirrvuukSE36t09bvqTjRRTCJNXU8J+kmrWMeX9qE4ne7EBqVUN7dr1y4GDRrU/LygoKDNH6WH2qcjx6ro6cp3peIrWt/V9u3b+eSTT5g6dWpsA+6luvo9BYNBJk2aRH5+PmeeeaZ+TzHU1e/qe9/7Hg8++CAWi/5pH2td/a5EhLPOOovJkyczf/78+ATdSfrTlEQOjFH0MsS7kf2ZOs5Gqa4y7czsfPBV8UPt05FjVfR05btS8RWN76quro5LLrmEhx9+mIyMjOgHqbr8PVmtVlavXk1JSQnLli1j3TqdhT1WuvJdvfrqq+Tn5zN58uSYxacO6Or/qw8//JBVq1bx+uuvM2/ePN57773YBBoFCUsUReS7IvKFiKwXkQdbbP+xiGyOvHZ2i+2TRWRt5LXfSuTTFhGniDwX2f6xiBQm4O1Ehdhd4fvSDaRLI5aBxyY4IqW6v4KCAnbu3Nn8vKSkhAEDBnRon44cq6KnK9+Viq+ufld+v59LLrmEb3zjG1x88cXxCboXitb/qaysLE499VQWL14c24B7sa58Vx9++CGvvPIKhYWFzJ49m7feeosrr7wybrH3Nl39f9V0n5+fz0UXXcSyZcviEHUnHWrwYixvwGnAEsAZeZ4fuR8LrAGcwFBgC2CNvLYMmA4I8DowK7L9JuDxyOPZwHMdiSEZJ7PZUVFvPD/LMeV3DzPmzgyzbf3HiQ5JqW7P7/eboUOHmq1btzYPOl+3bl2rfV599dVWg86PP/74Dh+roqcr31WTbdu26WQ2cdCV7yoUCpmrrrrK3HLLLQmIvHfpyvdUWlpqKisrjTHGNDQ0mBNPPNH861//ivdb6DWi8fvPGGPefvttncwmxrryXdXV1Zmamprmx9OnTzevv/563N9DSxxmMhtbvBLSg9wI3G+M8QIYY0oj2y8AFkS2bxORzcAUEdkOZBhjlgKIyLPAhYQTxguAuyLHvwA8KiISeePdisUieHGQGyqnwTgZNEpbFJXqKpvNxqOPPsrZZ59NMBjkmmuuoaioiMcffxyAG264gXPOOYfXXnuNESNGkJKSwtNPP33YY1VsdOW7Arj88st55513KC8vp6CggLvvvptrr702UW+nR+vKd/Xhhx/y5z//uXl6eIB7772Xc845J1Fvp8fqyve0Z88e5syZQzAYJBQKcdlll+myCzHU1d9/Kn668l3t27ePiy66CIBAIMAVV1zBzJkzE/ZejkQSkU+JyGpgITAT8AC3GWOWi8ijwEfGmL9E9nuScDK4nXBiOSOy/STgDmPMeSKyDphpjCmJvLYFmGqMKT9cDMXFxWbFihUxeX+dtae6ER4aS3/ZzwbHeMb+7weJDkkppZRSSinVQ4nISmNMuws6xqxFUUSWAP3aeeknkfNmA9OA44HnRWQY4W6lBzOH2c4RXjs4prnAXIDBgwcfLvyEsIpQb+wgUJMzIdHhKKWUUkoppXqpmCWKTa1/7RGRG4GXIt1Dl4lICMgDSoBBLXYtAHZHthe0s50Wx5SIiA3IBPYfIqb5wHwItyh24m3FlMUihCLzC0nhCQmORimllFJKKdVbJWrW05eB0wFEZBTgAMqBV4DZkZlMhwIjgWXGmD1ArYhMi8x2ejXhrqtEjpkTeXwp8FZ3HJ8I4RbFewNX8GpwGmljz0x0OEoppZRSSqleKlGT2TwFPBUZX+gD5kSSu/Ui8jywAQgA3zbGBCPH3Aj8CXATHrf4emT7k8CfIxPf7Cc882m3ZLMKb4Ym82ZoMityMhMdjlJKKaWUUqqXSkiiaIzxAe0u8GKMuQe4p53tK4A2K9AbYzzA16IdYyLYrQcaeHNSHAmMRCmllFJKKdWbJarrqWqHzXJgXh6Lpb05epRSSikFUFFRwaRJk5g0aRL9+vVj4MCBTJo0ibS0NG666aaYnPPhhx/m2Wef7XI5s2fPZtOmTVGISCmlYidRXU9VO6yaHCqllFIdkpuby+rVqwG46667SEtL47bbbovZ+QKBAE899RSrVq3qclk33ngjDz74IE888UQUIlNKqdjQFsUkEp6nRymllFKd9c477zQvDH/XXXcxZ84czjrrLAoLC3nppZe4/fbbGT9+PDNnzsTv9wOwcuVKTjnlFCZPnszZZ5/Nnj172pT71ltvcdxxx2Gzha+xn3rqqdx6662cfPLJjBkzhuXLl3PxxRczcuRIfvrTnwJQX1/Pueeey8SJExk3bhzPPfccACeddBJLliwhEAjE4yNRSqlO0UQxCQ3KcSc6BKWUUqpH2LJlC4sWLWLhwoVceeWVnHbaaaxduxa3282iRYvw+/1897vf5YUXXmDlypVcc801/OQnP2lTzocffsjkyZNbbXM4HLz33nvccMMNXHDBBcybN49169bxpz/9iYqKChYvXsyAAQNYs2YN69atY+bMmQBYLBZGjBjBmjVr4vIZKKVUZ2jX0yTz0Y/PwG23JjoMpZRSqkeYNWsWdrud8ePHEwwGm5O18ePHs337dr744gvWrVvHmWeGl6UKBoP079+/TTl79uxhzJgxrbadf/75zWUVFRU1Hzds2DB27tzJ+PHjue2227jjjjs477zzOOmkk5qPzc/PZ/fu3W2ST6WUShaaKCaZfpmuRIeglFJK9RhOpxMIt+LZ7fbmYR4Wi4VAIIAxhqKiIpYuXXrYctxuNx6P55BlNz1uWfaoUaNYuXIlr732Gj/+8Y8566yz+NnPfgaAx+PB7dYeREqp5KVdT5VSSinVa40ePZqysrLmRNHv97N+/fo2+40ZM4bNmzcfVdm7d+8mJSWFK6+8kttuu63VRDgbN26kqKioa8ErpVQMaYuiUkoppXoth8PBCy+8wM0330x1dTWBQIDvfe97bZK4WbNmcdVVVx1V2WvXruWHP/xhc2vmY489BsC+fftwu93tdnFVSqlkIcaYRMeQEMXFxWbFihWJDkMppZRS3cRFF13Egw8+yMiRI7tUzm9+8xsyMjK49tproxSZUkp1joisNMYUt/eadj1VSimllOqA+++/v92lM45WVlYWc+bMiUJESikVO9qiqJRSSimllFK90OFaFHttoigiZcCXiY6jHXlAeaKDUD2W/nypWNKfLxVr+jOmYkl/vlQsJevP1xBjTJ/2Xui1iWKyEpEVh8rqleoq/flSsaQ/XyrW9GdMxZL+fKlY6o4/XzpGUSmllFJKKaVUK5ooKqWUUkoppZRqRRPF5DM/0QGoHk1/vlQs6c+XijX9GVOxpD9fKpa63c+XjlFUSimllFJKKdWKtigqpZRSSimllGpFE8UkIiIzReQLEdksIj9KdDyq5xCRp0SkVETWJToW1fOIyCAReVtEPhOR9SJyS6JjUj2HiLhEZJmIrIn8fN2d6JhUzyMiVhH5REReTXQsqucRke0islZEVotIt1nIXbueJgkRsQIbgTOBEmA5cLkxZkNCA1M9goicDNQBzxpjxiU6HtWziEh/oL8xZpWIpAMrgQv195eKBhERINUYUyciduAD4BZjzEcJDk31ICLyfaAYyDDGnJfoeFTPIiLbgWJjTDKuo3hI2qKYPKYAm40xW40xPmABcEGCY1I9hDHmPWB/ouNQPZMxZo8xZlXkcS3wGTAwsVGpnsKE1UWe2iM3vcqtokZECoBzgT8mOhalkokmisljILCzxfMS9A8tpVQ3IyKFwLHAxwkORfUgkW6Bq4FS4A1jjP58qWh6GLgdCCU4DtVzGeA/IrJSROYmOpiO0kQxeUg72/SKqVKq2xCRNOBF4HvGmJpEx6N6DmNM0BgzCSgApoiIdqFXUSEi5wGlxpiViY5F9WgnGGOOA2YB344MCUp6migmjxJgUIvnBcDuBMWilFJHJTJ27EXgr8aYlxIdj+qZjDFVwDvAzMRGonqQE4DzI2PIFgCni8hfEhuS6mmMMbsj96XAPwkPOUt6migmj+XASBEZKiIOYDbwSoJjUkqpI4pMNvIk8Jkx5qFEx6N6FhHpIyJZkcduYAbweUKDUj2GMebHxpgCY0wh4b+93jLGXJngsFQPIiKpkYneEJFU4CygW8xCr4likjDGBIDvAP8mPBHE88aY9YmNSvUUIvJ3YCkwWkRKROTaRMekepQTgKsIX4lfHbmdk+igVI/RH3hbRD4lfFH1DWOMLmGglOou+gIfiMgaYBmwyBizOMExdYguj6GUUkoppZRSqhVtUVRKKaWUUkop1YomikoppZRSSimlWtFEUSmllFJKKaVUK5ooKqWUUkoppZRqRRNFpZRSSimllFKtaKKolFJKHUREclss9bFXRHZFHteJyO9jdM7vicjVUShngYiMjEZMSimlei9dHkMppZQ6DBG5C6gzxvwqhuewAauA4yLr6nalrFOAK40x10clOKWUUr2StigqpZRSHSQip4rIq5HHd4nIMyLyHxHZLiIXi8iDIrJWRBaLiD2y32QReVdEVorIv0WkfztFnw6sakoSReQdEfmNiLwnIp+JyPEi8pKIbBKRX0T2SRWRRSKyRkTWicjXI2W9D8yIJJ9KKaVUp2iiqJRSSnXecOBc4ALgL8DbxpjxQCNwbiRZ/B1wqTFmMvAUcE875ZwArDxom88YczLwOLAQ+DYwDvimiOQCM4HdxpiJxphxwGIAY0wI2AxMjOo7VUop1atooqiUUkp13uvGGD+wFrASSdYizwuB0YSTuzdEZDXwU6CgnXL6A2UHbXulRVnrjTF7jDFeYCswKLJ9hog8ICInGWOqWxxbCgzo4ntTSinVi2m3FKWUUqrzvBBuxRMRvzkw8D9EuI4Vwkne9COU0wi42is7Upa3xfYQYDPGbBSRycA5wH0i8h9jzM8j+7giZSqllFKdoi2KSimlVOx8AfQRkekAImIXkaJ29vsMGHE0BYvIAKDBGPMX4FfAcS1eHgWs71zISimllLYoKqWUUjFjjPGJyKXAb0Ukk3C9+zBtk7jXgT8fZfHjgV+KSAjwAzcCiEhfoNEYs6crsSullOrddHkMpZRSKgmIyD+B240xm7pYzq1AjTHmyehEppRSqjfSrqdKKaVUcvgR4UltuqoKeCYK5SillOrFtEVRKaWUUkoppVQr2qKolFJKKaWUUqoVTRSVUkoppZRSSrWiiaJSSimllFJKqVY0UVRKKaWUUkop1YomikoppZRSSimlWtFEUSmllFJKKaVUK/8fIHe+lqlXKlQAAAAASUVORK5CYII=\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA.reset()\n", + "sA.set_constant('amp.tau_amp', 1e-10)\n", + "dB = sA.run(5)\n", + "ax = plot(mA, dA)\n", + "ax = plot(mA, dB, axes=ax)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "id": "f6ed8c8e", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA.reset()\n", + "sA.set_constant('amp.tau_sum', 1e-10)\n", + "dC = sA.run(5)\n", + "ax = plot(mA, dA)\n", + "ax = plot(mA, dB, axes=ax)\n", + "ax = plot(mA, dC, axes=ax)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "b5d0a954", + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-Z-references.ipynb b/artefacts/appendix-Z-references.ipynb new file mode 100644 index 0000000..b23d48c --- /dev/null +++ b/artefacts/appendix-Z-references.ipynb @@ -0,0 +1,205 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "fc24dbb4", + "metadata": {}, + "source": [ + "# Appendix Z: References" + ] + }, + { + "cell_type": "markdown", + "id": "aff7c8af", + "metadata": {}, + "source": [ + "- Auerbach, Sachs (1984) Patch Clamp Studies of Single Ionic Channels\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "13d37e5d", + "metadata": {}, + "source": [ + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "fcdf2bc5", + "metadata": {}, + "source": [ + "## Amplifier design\n", + "\n", + "- [Finkel (1985) Useful Circuits for Voltage Clamping With Microelectrodes](https://doi.org/10.1007/978-1-4614-7601-6_2)\n", + "- [Finkel (1991) Progress in instrumentation technology for recording from single channels and small cells](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) \n", + "- [Levis & Rae (1998) Low-noise patch-clamp techniques](https://doi.org/10.1016/S0076-6879(98)93017-8)\n", + "- [Sigworth (1995a) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4419-1229-9_4)\n", + "- [Weerakoon, Culurciello, Klemic, Sigworth (2009) An Integrated Patch-Clamp Potentiostat With Electrode Compensation](https://doi.org/10.1109/TBCAS.2008.2005419)" + ] + }, + { + "cell_type": "markdown", + "id": "b391edd0", + "metadata": {}, + "source": [ + "## Amplifiers\n", + "\n", + "- Axon manuals are available from [moleculardevices.com](https://support.moleculardevices.com)\n", + "- HEKA manuals and tutorials are available from [heka.com](https://www.heka.com)\n", + "- [Sigworth (1995b) Design of the EPC-9, a computer-controlled patch-clamp amplifier, 1 Hardware](https://doi.org/10.1016/0165-0270(94)00129-5)" + ] + }, + { + "cell_type": "markdown", + "id": "84a95a78", + "metadata": {}, + "source": [ + "## Leak\n", + "\n", + "- [Lei, Fabbri et al. De Boer (2021) A nonlinear and time-dependent leak current in the presence of calcium fluoride patch-clamp seal enhancer](https://doi.org/10.12688/wellcomeopenres.15968.2)" + ] + }, + { + "cell_type": "markdown", + "id": "25d73b94", + "metadata": {}, + "source": [ + "## Liquid junction potential\n", + "\n", + "- [Barry (1994) JPCalc, a software package for calculating liquid junction potential corrections in patch-clamp, intracellular, epithelial and bilayer measurements and for correcting junction potential measurements](https://doi.org/10.1016/0165-0270(94)90031-0)\n", + "- [Dickinson, Freitag, Compton (2010) Dynamic Theory of Liquid Junction Potentials](https://doi.org/10.1021/jp908024s)\n", + "- [Figl, Lewis, Barry (2004) Axon Instruments; Liquid Junction Potential Corrections](https://medicalsciences.med.unsw.edu.au/sites/default/files/soms/page/ElectroPhysSW/Figl%20App%20Note2004.pdf)\n", + "- [Harden, Brogioli (2020) LJPcalc Liquid Junction Potential Calculator](https://swharden.com/LJPcalc/)\n", + "- [Marino, Misuri, Brogioli (2014) A new open source software for the calculation of the liquid junction potential between two solutions according to the stationary Nernst-Planck equation](https://arxiv.org/abs/1403.3640).\n", + "- [Neher (1992) Correction for liquid junction potentials in patch clamp experiments](https://doi.org/10.1016/0076-6879(92)07008-C)\n" + ] + }, + { + "cell_type": "markdown", + "id": "df427405", + "metadata": {}, + "source": [ + "## Membrane capacitance\n", + "\n", + "- [Thompson, Lindau, Webb (2001) Robust, High-Resolution, Whole Cell Patch-Clamp Capacitance Measurements Using Square Wave Stimulation](https://doi.org/10.1016/S0006-3495(01)75752-9)" + ] + }, + { + "cell_type": "markdown", + "id": "4a52dfab", + "metadata": {}, + "source": [ + "## Modelling patch-clamp\n", + "\n", + "- [Abrasheva, Kovalenko et al., Syunyaev (2023) Human sodium current voltage-dependence at physiological temperature measured by coupling patch-clamp experiment to a mathematical model](https://doi.org/10.1101/2023.06.06.543894)\n", + "- [Clerx, Collins, Volders (2015) Applying novel identification protocols to Markov models of INa](http://michaelclerx.com/publications/files/clerx-collins-volders-2015-applied-estimation-pre-print.pdf)\n", + "- [Lei, Clerx et al. Mirams (2020) Accounting for variability in ion current recordings using a mathematical model of artefacts in voltage-clamp experiments](https://doi.org/10.1098/rsta.2019.0348)\n", + "- [Lei (2020) DPhil Thesis; Model-Driven Design and Uncertainty Quantification for Cardiac Electrophysiology Experiments](https://ora.ox.ac.uk/objects/uuid:528c2771-ae4f-4f3c-b649-44904acdf259)\n", + "- [Montnach, Lorenzini et al., Loussouarn (2021) Computer modeling of whole-cell voltage-clamp analyses to delineate guidelines for good practice of manual and automated patch-clamp](https://doi.org/10.1038/s41598-021-82077-8)" + ] + }, + { + "cell_type": "markdown", + "id": "9400cb91", + "metadata": {}, + "source": [ + "## Noise\n", + "\n", + "- [Benndorf (1995) Low-Noise Recording](https://doi.org/10.1007/978-1-4419-1229-9_5)\n", + "- [Sigworth (1995a) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4419-1229-9_4)\n", + "- [The Axon Guide](https://www.moleculardevices.com/en/assets/ebook/dd/cns/axon-guide-to-electrophysiology-and-biophysics-laboratory-techniques)" + ] + }, + { + "cell_type": "markdown", + "id": "068467b5", + "metadata": {}, + "source": [ + "## Op-amps\n", + "\n", + "- Wikipedia: [Difference amplifier](https://en.wikipedia.org/wiki/Differential_amplifier)\n", + "- Wikipedia: [Negative-feedback amplifier](https://en.wikipedia.org/wiki/Negative-feedback_amplifier)\n", + "- Wikipedia: [Operational amplifier](https://en.wikipedia.org/wiki/Operational_amplifier)" + ] + }, + { + "cell_type": "markdown", + "id": "4a892ebb", + "metadata": {}, + "source": [ + "## Patch-clamp in practice\n", + "\n", + "- [Hamill, Marty et al., Sigworth (1981) Improved patch-clamp techniques for high-resolution current recording from cells and cell-free membrane patches](https://doi.org/10.1007/BF00656997)\n", + "- [Molleman (2002) Patch Clamping](https://doi.org/10.1002/0470856521)\n", + "- [Penner (1995) A Practical Guide to Patch Clamping](https://doi.org/10.1007/978-1-4419-1229-9_1)" + ] + }, + { + "cell_type": "markdown", + "id": "f4271f52", + "metadata": {}, + "source": [ + "## Series-resistance compensation\n", + "\n", + "- [Hodgkin, Huxley, Katz (1952) Measurement of current-voltage relations in the membrane of the giant axon of Loligo](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716)\n", + "- [Armstrong, Chow (1987) Supercharging; a method for improving patch-clamp performance](https://doi.org/10.1016/S0006-3495(87)83198-3)\n", + "- [Sherman, Shrier, Cooper (1999) Series Resistance Compensation for Whole-Cell Patch-Clamp Studies Using a Membrane State Estimator](https://doi.org/10.1016/S0006-3495(99)77093-1),\n", + "- [Strickholm (1995a) A single electrode voltage, current- and patch-clamp amplifier with complete stable series resistance compensation](https://doi.org/10.1016/0165-0270(95)00021-L)\n", + "- [Strickholm (1995b) A supercharger for single electrode voltage and current clamping](https://doi.org/10.1016/0165-0270(95)00022-M)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "9ca8d636", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "7e5d3406", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "c02a963d", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "92f0e461", + "metadata": {}, + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 74040d7..9d5c5cb 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -171,61 +171,52 @@ "source": [ "## Series resistance compensation ($R_s$)\n", "\n", - "Slow capacitance correction can hide the membrane charging current from our recordings, but the membrane still needs to be charged, and in whole-cell patch clamp this is a relatively slow process, with a time constant given by $R_s C_m$.\n", - "The milliseconds it takes for the membrane to reach the desired potential can have a pronounced effect on fast ion currents such as the fast sodium current INa, and many examples of this can be found in the literature (e.g. [Sherman et al., 1999](https://doi.org/10.1016/S0006-3495(99)77093-1), [Clerx et al., 2015](http://michaelclerx.com/publications/files/clerx-collins-volders-2015-applied-estimation-pre-print.pdf), [Montnach et al., 2021](https://doi.org/10.1038/s41598-021-82077-8), [Abrasheva et al. (2023)](https://doi.org/10.1101/2023.06.06.543894)).\n", + "The _series resistance_ causes two issues for controlling the membrane potential:\n", "\n", - "Several strategies exist to shorten the membrane charging time.\n", - "One of the more straightforward ones, described in [Hodgkin et al. 1952](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716) and [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), is to _feed a fraction of $V_\\text{out}$ forward into $V_c$_.\n", - "In the schematic, we'll show this with two new components: an active component labelled _R_ that generates the voltage to be added, and a _summing amplifier_, labelled $\\Sigma$:" - ] - }, - { - "cell_type": "markdown", - "id": "d8e68433", - "metadata": {}, - "source": [ - "\n", + "1. It causes a voltage drop, so that $V_m$ is not quite equal to the intended voltage $V_p$.\n", + "2. It causes the membrane voltage to lag behind the desired voltage, with a time constant $R_sC_m$.\n", "\n", - "_**Figure 3**: Series resistance compensation feeds a fraction of $V_\\text{out}$ into $V_\\text{ref}$ via a summing amplifier._" + "To compensate for these effects, we will increase the voltage clamp potential a little bit above the desired command potential $V_c$.\n", + "Two separate mechanisms are used.\n", + "A feed-forward _correction_ mechanism based on the observed current corrects for the voltage drop,\n", + "while a _prediction_ (or \"supercharging\") mechanism based on the estimated membrane potential speeds up the charging process." ] }, { "cell_type": "markdown", - "id": "204a0287", + "id": "5787e7cf", "metadata": {}, "source": [ - "In this new set-up\n", + "### \"Correction\" reduces the voltage drop\n", "\n", - "\\begin{align}\n", - "V_\\text{out} = V_o - V_\\text{ref}\n", - "\\end{align}\n", "\n", - "And so the extra voltage added by the series resistance compensation will be zero when $V_o = V_\\text{ref}$." + "A common method to compensate for the voltage drop, is to _feed a fraction of $V_\\text{out}$ forward into $V_c$_ ([Hodgkin et al. 1952](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716)). In the schematic, we'll show this with two new components: an active component labelled _R_ that generates the voltage to be added, and a _summing amplifier_, labelled $\\Sigma$:" ] }, { "cell_type": "markdown", - "id": "e0dc2b80", + "id": "d8e68433", "metadata": {}, "source": [ - "### Feed-forward speeds up charging\n", + "\n", "\n", - "To see why the feed-forward mechanism makes sense, we first look at the situation where there is no ionic current ($I = 0$), no leak current ($I_\\text{leak} = 0$) and a perfectly compensated voltage offset ($E_\\text{off}^\\dagger = 0$).\n", - "Under these conditions, the only currents in the system are the charging currents, most notably $C_m\\dot{V}_m$.\n", - "These are only active while the membrane is imperfectly clamped ($V_m \\neq V_\\text{ref}$), and so _exaggerating_ the difference between $V_\\text{ref}$ and $V_m$ (or $V_p$) should speed up the charging process.\n", - "When the membrane is perfectly clamped the capcitative currents are all zero so that $V_m = V_p = V_\\text{ref} = V_o$, $V_\\text{out} = 0$, and the series resistance compensation term is zero.\n", - "We can also recognise that the response to any overshoot is going to be similarly exaggerated, so this kind of feed-forward comes with a risk of increased instability." + "_**Figure 3**: Series resistance correction feeds a fraction of $V_\\text{out}$ into $V_\\text{ref}$ via a summing amplifier._" ] }, { "cell_type": "markdown", - "id": "3a1363ec", + "id": "204a0287", "metadata": {}, "source": [ - "### ...and reduces steady-state voltage error\n", + "In this new set-up\n", "\n", - "Next, we consider the steady-state case but with a constant ionic current (or leak current).\n", - "A simplified schematic for this case is shown below." + "\\begin{align}\n", + "V_\\text{out} = V_o - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "And so the extra voltage added by the series resistance compensation will be zero when $V_o = V_\\text{ref}$.\n", + "\n", + "To analyse the effect of feed-forward on the voltage drop over $R_s$, we use the simplified schematic shown below." ] }, { @@ -235,7 +226,7 @@ "source": [ "\n", "\n", - "_**Figure 4**: A simplified schematic for the steady-state with series resistance compensation._" + "_**Figure 4**: A simplified schematic for feed-forward series resistance compensation._" ] }, { @@ -243,32 +234,102 @@ "id": "d96513ab", "metadata": {}, "source": [ - "Using $\\beta$ for the fraction of $V_\\text{out}$ fed into $V_\\text{ref}$ we find\n", + "Using $x$ for the fraction of $V_\\text{out}$ fed into $V_\\text{ref}$ we find\n", "\n", "\\begin{align}\n", - "V_\\text{ref} = V_c + \\beta V_\\text{out} = V_c + \\beta R_f I_\\text{obs}\n", + "V_\\text{ref} = V_c + x V_\\text{out} = V_c + x R_f I_\\text{obs}\n", "\\end{align}\n", "for\n", "\\begin{align}\n", "V_m &= V_p - R_s I \\\\\n", " &\\approx V_\\text{ref} - R_s I \\\\\n", - " &= V_c + \\beta R_f I_\\text{obs} - R_s I \\\\\n", - " &\\approx V_c + (\\beta R_f - R_s) I\n", + " &= V_c + x R_f I_\\text{obs} - R_s I \\\\\n", + " &\\approx V_c + (x R_f - R_s) I\n", "\\end{align}\n", "\n", "where we assume first a perfect op amp ($V_p = V_\\text{ref}$) and then a perfect measurement ($I = I_\\text{obs}$).\n", "The error in the voltage is given by\n", - "$V_m - V_c \\approx (\\beta R_f - R_s) I$\n", - "so if we can choose $\\beta R_f = R_s$ we can compensate for the voltage drop over $R_s$ entirely.\n", + "$V_m - V_c \\approx (x R_f - R_s) I$\n", + "so if we can choose $x R_f = R_s$ we can compensate for the voltage drop over $R_s$ entirely.\n", + "\n", + "In our model, we will assume that the feed-forward rate is set based on an estimate of the series resistance $R_s^*$:\n", + "\n", + "\\begin{align}\n", + "V_\\text{ref} = V_c + R_s^* I_\\text{obs}\n", + "\\end{align}\n", "\n", - "In our model, we will assume that the feed-forward rate is set based on an estimate of the series resistance $R_s^*$ and a _fractional compensation_ rate $\\alpha$:\n", + "In practice, the various delays and imperfections in the system can easily create a situation where the feed-forward causes oscillations or \"ringing\" in the system.\n", + "To alleviate this, we only compensate a fraction $\\alpha$ of the estimated resistance:\n", "\n", "\\begin{align}\n", "V_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs}\n", "\\end{align}\n", "\n", - "In practice, the feed-forward system is a bit more complicated, and the whole system once again acts as an oscillator with a damping factor dependent on $\\alpha$.\n", - "To avoid \"ringing\", $\\alpha$ is usually limited to about 70 or 80%." + "where $\\alpha$ is usually limited to about 70 or 80% ([Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4))." + ] + }, + { + "cell_type": "markdown", + "id": "2a8cd7d8", + "metadata": {}, + "source": [ + "### \"Prediction\" speeds up the charging process\n", + "\n", + "Above, we used $C_m$ correction to subtract the charging current $C_m\\dot{V}_m$ from $I_\\text{obs}$.\n", + "As an unintended consequence, the charging current is not accounted for in the correction term $\\alpha R_s^* I_\\text{obs}$.\n", + "Instead, we will address membrane charging seperately, by\n", + "\n", + "1. Making a _prediction_ of $V_m$, as affected by $R_sC_m$\n", + "2. Speed up the charging process by temporarily applying an exaggerated voltage.\n", + "\n", + "For the estimated membrane potential, we will write\n", + "\\begin{align}\n", + "\\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "where $\\beta$ is the fraction of $R_s$ we will compensate, leading to a remaining series resistance $(1 - \\beta)R_s$ for an estimated time constant of $(1 - \\beta)R_s^*C_m^*$.\n", + "Next, we update the reference voltage by adding a term $\\beta R_s^*C_m^* \\dot{V}_\\text{est}$:\n", + "\n", + "\\begin{align}\n", + "V_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est}\n", + "\\end{align}\n", + "\n", + "Sometimes only a single control is provided for both mechanisms ($\\beta = \\alpha$), so that this simplifies to\n", + "\n", + "\\begin{align}\n", + "V_\\text{ref} = V_c + \\alpha R_s^* \\left( I_\\text{obs} + C_m^* \\dot{V}_\\text{est} \\right)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "9d336650", + "metadata": {}, + "source": [ + "The addition of the predicted charging current causes a significant \"overshoot\" in $V_\\text{ref}$, which has been likened to the _supercharging_ method of [Armstrong & Chow (1987)](https://doi.org/10.1016/S0006-3495(87)83198-3)." + ] + }, + { + "cell_type": "markdown", + "id": "d888d313", + "metadata": {}, + "source": [ + "Note that the prediction compensation doesn't appear in the [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) paper, but is included in Chon's thesis: [Lei (2020)](https://ora.ox.ac.uk/objects/uuid:528c2771-ae4f-4f3c-b649-44904acdf259).\n", + "A derivation of the prediction equations is given in [appendix K](./appendix-K-sigworth-rs.ipynb)." + ] + }, + { + "cell_type": "markdown", + "id": "f0e20b1a", + "metadata": {}, + "source": [ + "### What about $C_p$?\n", + "\n", + "In our schematic, we have placed $R_s$ after $C_p$, to reflect the fact that the fast capacitative current pathway \"has negligible series resistance\" ([Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 5.2).\n", + "As a result, we don't need to take $C_p$ into account for the series resistance compensation.\n", + "\n", + "However, if the large peaks caused by $C_p\\dot{V}_p$ are not filtered from $I_\\text{obs}$, they will appear in the feed-forward series resistance correction term and destabilise the system.\n", + "As a result, good $C_p$ correction is essential for series resistance compensation." ] }, { @@ -276,17 +337,73 @@ "id": "fc428a62", "metadata": {}, "source": [ - "### The summing amplifier has a finite speed\n", + "### The finite speed of the summing amplifier\n", "\n", - "To add a bit more realism, we follow [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) in incorporating the finite speed of the summing amplifier as\n", + "Finally, we follow [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) in incorporating the finite speed of the summing amplifier as\n", "\n", "\\begin{align}\n", - "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^*I_\\text{obs} - V_\\text{ref}}{\\tau_\\text{sum}}\n", + "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}}{\\tau_\\text{sum}}\n", "\\end{align}\n", "\n", "where $\\tau_\\text{sum} \\approx 0.04$ ms." ] }, + { + "cell_type": "markdown", + "id": "095a0512", + "metadata": {}, + "source": [ + "## The final model" + ] + }, + { + "cell_type": "markdown", + "id": "7ae20d53", + "metadata": {}, + "source": [ + "The final model schematic is shown in Figure 5." + ] + }, + { + "cell_type": "markdown", + "id": "f43319ae", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 5**: The full model with compensation._" + ] + }, + { + "cell_type": "markdown", + "id": "26ad6d1b", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "C1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C6. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", + "\\end{align}" + ] + }, { "cell_type": "markdown", "id": "4c9cce05", @@ -314,13 +431,23 @@ }, { "cell_type": "code", - "execution_count": 1, - "id": "775d4495", + "execution_count": 9, + "id": "7743c602", "metadata": {}, "outputs": [], "source": [ "import myokit\n", - "\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "775d4495", + "metadata": {}, + "outputs": [], + "source": [ "m = myokit.parse_model('''\n", "[[model]]\n", "ina.m = 0.01\n", @@ -369,7 +496,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 11, "id": "177a0f1f", "metadata": {}, "outputs": [], @@ -381,7 +508,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 12, "id": "dbea8388", "metadata": {}, "outputs": [], @@ -394,9 +521,11 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 13, "id": "2a831ee3", - "metadata": {}, + "metadata": { + "scrolled": true + }, "outputs": [ { "data": { @@ -412,8 +541,6 @@ } ], "source": [ - "import matplotlib.pyplot as plt\n", - "\n", "fig = plt.figure()\n", "ax = fig.add_subplot()\n", "ax.plot(d.time(), d['ina.INa'])\n", @@ -422,9 +549,11 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 14, "id": "5d429877", - "metadata": {}, + "metadata": { + "scrolled": true + }, "outputs": [ { "data": { @@ -440,7 +569,6 @@ } ], "source": [ - "import numpy as np\n", "import scipy.signal\n", "\n", "t = d.time()\n", @@ -474,90 +602,26 @@ "For now, we will not include the filter in our model." ] }, - { - "cell_type": "markdown", - "id": "095a0512", - "metadata": {}, - "source": [ - "## The final model" - ] - }, - { - "cell_type": "markdown", - "id": "7ae20d53", - "metadata": {}, - "source": [ - "The final model schematic is shown in Figure 3." - ] - }, - { - "cell_type": "markdown", - "id": "f43319ae", - "metadata": {}, - "source": [ - "\n", - "\n", - "_**Figure 5**: The full model with compensation._" - ] - }, - { - "cell_type": "markdown", - "id": "26ad6d1b", - "metadata": {}, - "source": [ - "\\begin{align}\n", - "C1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "C2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "C3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "C4. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "C5. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^*I_\\text{obs} - V_\\text{ref}\n", - "\\end{align}" - ] - }, { "cell_type": "markdown", "id": "3e18bb3d", "metadata": {}, "source": [ - "### Simulations\n", + "## Simulations\n", "\n", "As before, we can code this up in Myokit and simulate a voltage step:" ] }, { "cell_type": "code", - "execution_count": 1, + "execution_count": 27, "id": "5b668a14", "metadata": {}, - "outputs": [ - { - "ename": "NameError", - "evalue": "name 'myokit' is not defined", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn [1], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m m \u001b[38;5;241m=\u001b[39m \u001b[43mmyokit\u001b[49m\u001b[38;5;241m.\u001b[39mparse_model(\u001b[38;5;124m'''\u001b[39m\n\u001b[1;32m 2\u001b[0m \u001b[38;5;124m[[model]]\u001b[39m\n\u001b[1;32m 3\u001b[0m \u001b[38;5;124mcell.Vm = -80\u001b[39m\n\u001b[1;32m 4\u001b[0m \u001b[38;5;124mamp.Vp = -80\u001b[39m\n\u001b[1;32m 5\u001b[0m \u001b[38;5;124mamp.Vo = -80\u001b[39m\n\u001b[1;32m 6\u001b[0m \u001b[38;5;124mamp.Vr = -80\u001b[39m\n\u001b[1;32m 7\u001b[0m \n\u001b[1;32m 8\u001b[0m \u001b[38;5;124m[engine]\u001b[39m\n\u001b[1;32m 9\u001b[0m \u001b[38;5;124mtime = 0 [ms]\u001b[39m\n\u001b[1;32m 10\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 11\u001b[0m \u001b[38;5;124m bind time\u001b[39m\n\u001b[1;32m 12\u001b[0m \n\u001b[1;32m 13\u001b[0m \u001b[38;5;124m[cell]\u001b[39m\n\u001b[1;32m 14\u001b[0m \u001b[38;5;124muse amp.Rs, amp.Vp\u001b[39m\n\u001b[1;32m 15\u001b[0m \u001b[38;5;124muse amp.E_off, amp.E_leak, amp.R_leak\u001b[39m\n\u001b[1;32m 16\u001b[0m \u001b[38;5;124mCm = 20 [pF]\u001b[39m\n\u001b[1;32m 17\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 18\u001b[0m \u001b[38;5;124m desc: The cell capacitance\u001b[39m\n\u001b[1;32m 19\u001b[0m \u001b[38;5;124mI = 0 [pA]\u001b[39m\n\u001b[1;32m 20\u001b[0m \u001b[38;5;124m in [pA]\u001b[39m\n\u001b[1;32m 21\u001b[0m \u001b[38;5;124m desc: The native transmembrane current\u001b[39m\n\u001b[1;32m 22\u001b[0m \n\u001b[1;32m 23\u001b[0m \u001b[38;5;124m# Equation 1\u001b[39m\n\u001b[1;32m 24\u001b[0m \u001b[38;5;124mdot(Vm) = ((Vp + E_off - Vm) / Rs - (Vm - E_leak) / R_leak - I) / Cm\u001b[39m\n\u001b[1;32m 25\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 26\u001b[0m \u001b[38;5;124m desc: The membrane potential.\u001b[39m\n\u001b[1;32m 27\u001b[0m \n\u001b[1;32m 28\u001b[0m \u001b[38;5;124m[amp]\u001b[39m\n\u001b[1;32m 29\u001b[0m \u001b[38;5;124muse cell.Vm\u001b[39m\n\u001b[1;32m 30\u001b[0m \u001b[38;5;124mVc = -20 [mV]\u001b[39m\n\u001b[1;32m 31\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 32\u001b[0m \u001b[38;5;124m desc: The command potential, i.e. the intended voltage clamp potential.\u001b[39m\n\u001b[1;32m 33\u001b[0m \u001b[38;5;124mE_off = 0 [mV]\u001b[39m\n\u001b[1;32m 34\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 35\u001b[0m \u001b[38;5;124m desc: The error in the voltage offset zeroing.\u001b[39m\n\u001b[1;32m 36\u001b[0m \u001b[38;5;124mRs = 0.01 [GOhm]\u001b[39m\n\u001b[1;32m 37\u001b[0m \u001b[38;5;124m in [GOhm]\u001b[39m\n\u001b[1;32m 38\u001b[0m \u001b[38;5;124m desc: The series (or access) resistance. Note that this is in GOhm.\u001b[39m\n\u001b[1;32m 39\u001b[0m \u001b[38;5;124mRs_est = 0.009 [GOhm]\u001b[39m\n\u001b[1;32m 40\u001b[0m \u001b[38;5;124m in [GOhm]\u001b[39m\n\u001b[1;32m 41\u001b[0m \u001b[38;5;124m desc: The estimated Rs, used in Rs compensation.\u001b[39m\n\u001b[1;32m 42\u001b[0m \u001b[38;5;124malpha = 0.7\u001b[39m\n\u001b[1;32m 43\u001b[0m \u001b[38;5;124m desc: The applied fraction of Rs compensation.\u001b[39m\n\u001b[1;32m 44\u001b[0m \u001b[38;5;124mRf = 0.025 [GOhm]\u001b[39m\n\u001b[1;32m 45\u001b[0m \u001b[38;5;124m in [GOhm]\u001b[39m\n\u001b[1;32m 46\u001b[0m \u001b[38;5;124m desc: The used feedback resistance. Depends on the amplifier and its gain settings.\u001b[39m\n\u001b[1;32m 47\u001b[0m \u001b[38;5;124mCf = 0.3 [pF]\u001b[39m\n\u001b[1;32m 48\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 49\u001b[0m \u001b[38;5;124m desc: The stray or feedback capacitance. Depends on the amplifier and its gain settings.\u001b[39m\n\u001b[1;32m 50\u001b[0m \u001b[38;5;124mCp = 4.5 [pF]\u001b[39m\n\u001b[1;32m 51\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 52\u001b[0m \u001b[38;5;124m desc: The true pipette capacitance.\u001b[39m\n\u001b[1;32m 53\u001b[0m \u001b[38;5;124mCp_est = 4.2 [pF]\u001b[39m\n\u001b[1;32m 54\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 55\u001b[0m \u001b[38;5;124m desc: The estimated pipette capacitance, used in C-fast compensation.\u001b[39m\n\u001b[1;32m 56\u001b[0m \u001b[38;5;124mCm_est = 103 [pF]\u001b[39m\n\u001b[1;32m 57\u001b[0m \u001b[38;5;124m in [pF]\u001b[39m\n\u001b[1;32m 58\u001b[0m \u001b[38;5;124m desc: The estimated cell capacitance, used in C-slow compensation.\u001b[39m\n\u001b[1;32m 59\u001b[0m \u001b[38;5;124mtau_amp = 50e-6 [ms]\u001b[39m\n\u001b[1;32m 60\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 61\u001b[0m \u001b[38;5;124m desc: The time-constant of the measuring op-amp.\u001b[39m\n\u001b[1;32m 62\u001b[0m \u001b[38;5;124mtau_sum = 40e-3 [ms]\u001b[39m\n\u001b[1;32m 63\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 64\u001b[0m \u001b[38;5;124m desc: The time-constant of the summing op-amp used in Rs compensation.\u001b[39m\n\u001b[1;32m 65\u001b[0m \u001b[38;5;124mE_leak = 0 [mV]\u001b[39m\n\u001b[1;32m 66\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 67\u001b[0m \u001b[38;5;124m desc: The reversal potential of the leak current.\u001b[39m\n\u001b[1;32m 68\u001b[0m \u001b[38;5;124mR_leak = 1 [GOhm]\u001b[39m\n\u001b[1;32m 69\u001b[0m \u001b[38;5;124m desc: The seal resistance. Note that this is in GOhm.\u001b[39m\n\u001b[1;32m 70\u001b[0m \u001b[38;5;124m in [GOhm]\u001b[39m\n\u001b[1;32m 71\u001b[0m \n\u001b[1;32m 72\u001b[0m \u001b[38;5;124m# Equation 2\u001b[39m\n\u001b[1;32m 73\u001b[0m \u001b[38;5;124mdot(Vp) = ((Vo - Vp) / Rf + Cf * dot(Vo) - (Vp + E_off - Vm) / Rs + (Cp_est + Cm_est) * dot(Vr)) / (Cf + Cp)\u001b[39m\n\u001b[1;32m 74\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 75\u001b[0m \u001b[38;5;124m desc: Pipette voltage (before the voltage drop over the series resistance).\u001b[39m\n\u001b[1;32m 76\u001b[0m \n\u001b[1;32m 77\u001b[0m \u001b[38;5;124m# Equation 3\u001b[39m\n\u001b[1;32m 78\u001b[0m \u001b[38;5;124mdot(Vo) = (Vr - Vp) / tau_amp\u001b[39m\n\u001b[1;32m 79\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 80\u001b[0m \u001b[38;5;124m desc: The voltage at the measuring op-amp output\u001b[39m\n\u001b[1;32m 81\u001b[0m \n\u001b[1;32m 82\u001b[0m \u001b[38;5;124m# Equation 4\u001b[39m\n\u001b[1;32m 83\u001b[0m \u001b[38;5;124mI_obs = (Vo - Vr) / Rf\u001b[39m\n\u001b[1;32m 84\u001b[0m \u001b[38;5;124m in [pA]\u001b[39m\n\u001b[1;32m 85\u001b[0m \u001b[38;5;124m desc: The reported current.\u001b[39m\n\u001b[1;32m 86\u001b[0m \u001b[38;5;124m \u001b[39m\n\u001b[1;32m 87\u001b[0m \u001b[38;5;124m# Equation 5\u001b[39m\n\u001b[1;32m 88\u001b[0m \u001b[38;5;124mdot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum\u001b[39m\n\u001b[1;32m 89\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 90\u001b[0m \u001b[38;5;124m desc: The reference potential, i.e. the command potential with series resistance compensation added.\u001b[39m\n\u001b[1;32m 91\u001b[0m \u001b[38;5;124m'''\u001b[39m)\n\u001b[1;32m 92\u001b[0m m\u001b[38;5;241m.\u001b[39mcheck_units(myokit\u001b[38;5;241m.\u001b[39mUNIT_STRICT)\n", - "\u001b[0;31mNameError\u001b[0m: name 'myokit' is not defined" - ] - } - ], + "outputs": [], "source": [ "m = myokit.parse_model('''\n", "[[model]]\n", - "cell.Vm = -80\n", + "amp.Vm = -80\n", "amp.Vp = -80\n", "amp.Vo = -80\n", "amp.Vr = -80\n", @@ -567,127 +631,120 @@ " in [ms]\n", " bind time\n", "\n", - "[cell]\n", - "use amp.Rs, amp.Vp\n", - "use amp.E_off, amp.E_leak, amp.R_leak\n", - "Cm = 20 [pF]\n", - " in [pF]\n", - " desc: The cell capacitance\n", - "I = 0 [pA]\n", - " in [pA]\n", - " desc: The native transmembrane current\n", - "\n", - "# Equation 1\n", - "dot(Vm) = ((Vp + E_off - Vm) / Rs - (Vm - E_leak) / R_leak - I) / Cm\n", - " in [mV]\n", - " desc: The membrane potential.\n", - "\n", "[amp]\n", - "use cell.Vm\n", + "alpha = 0 #0.7\n", + " desc: The applied fraction of Rs compensation.\n", "Vc = -20 [mV]\n", " in [mV]\n", " desc: The command potential, i.e. the intended voltage clamp potential.\n", + "I = 0 [pA]\n", + " in [pA]\n", + " desc: The native transmembrane current\n", + "E_leak = 0 [mV]\n", + " in [mV]\n", + " desc: The reversal potential of the leak current.\n", + "R_leak = 1 [GOhm]\n", + " desc: The seal resistance in GOhm.\n", + " in [GOhm] \n", "E_off = 0 [mV]\n", " in [mV]\n", " desc: The error in the voltage offset zeroing.\n", - "Rs = 0.01 [GOhm]\n", - " in [GOhm]\n", - " desc: The series (or access) resistance. Note that this is in GOhm.\n", - "Rs_est = 0.009 [GOhm]\n", - " in [GOhm]\n", - " desc: The estimated Rs, used in Rs compensation.\n", - "alpha = 0.7\n", - " desc: The applied fraction of Rs compensation.\n", - "Rf = 0.025 [GOhm]\n", - " in [GOhm]\n", - " desc: The used feedback resistance. Depends on the amplifier and its gain settings.\n", - "Cf = 0.3 [pF]\n", + "Cm = 20 [pF]\n", " in [pF]\n", - " desc: The stray or feedback capacitance. Depends on the amplifier and its gain settings.\n", + " desc: The cell capacitance\n", + "Cm_est = 15 [pF]\n", + " in [pF]\n", + " desc: The estimated cell capacitance, used in C-slow compensation.\n", "Cp = 4.5 [pF]\n", " in [pF]\n", " desc: The true pipette capacitance.\n", - "Cp_est = 4.2 [pF]\n", + "Cp_est = 4.5 [pF]\n", " in [pF]\n", " desc: The estimated pipette capacitance, used in C-fast compensation.\n", - "Cm_est = 103 [pF]\n", + "Cf = 0.3 [pF]\n", " in [pF]\n", - " desc: The estimated cell capacitance, used in C-slow compensation.\n", + " desc: The stray or feedback capacitance. Depends on the amplifier and its gain settings.\n", + "Rs = 10 [MOhm] * 1e-3 [GOhm/MOhm]\n", + " in [GOhm]\n", + " desc: The series (or access) resistance. Note that this is in GOhm.\n", + "Rs_est = 9 [MOhm] * 1e-3 [GOhm/MOhm]\n", + " in [GOhm]\n", + " desc: The estimated Rs, used in Rs compensation.\n", + "Rf = 0.025 [GOhm]\n", + " in [GOhm]\n", + " desc: The used feedback resistance. Depends on the amplifier and its gain settings.\n", "tau_amp = 50e-6 [ms]\n", " in [ms]\n", " desc: The time-constant of the measuring op-amp.\n", "tau_sum = 40e-3 [ms]\n", " in [ms]\n", " desc: The time-constant of the summing op-amp used in Rs compensation.\n", - "E_leak = 0 [mV]\n", + "\n", + "# Equation C1\n", + "dot(Vm) = ((Vp + E_off - Vm) / Rs - (Vm - E_leak) / R_leak - I) / Cm\n", " in [mV]\n", - " desc: The reversal potential of the leak current.\n", - "R_leak = 1 [GOhm]\n", - " desc: The seal resistance. Note that this is in GOhm.\n", - " in [GOhm]\n", + " desc: The membrane potential.\n", "\n", - "# Equation 2\n", - "dot(Vp) = ((Vo - Vp) / Rf + Cf * dot(Vo) - (Vp + E_off - Vm) / Rs + (Cp_est + Cm_est) * dot(Vr)) / (Cf + Cp)\n", + "# Equation C2\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp + E_off - Vm) / Rs + Cf * dot(Vo) + (Cp_est + Cm_est) * dot(Vr)) / (Cf + Cp)\n", " in [mV]\n", " desc: Pipette voltage (before the voltage drop over the series resistance).\n", "\n", - "# Equation 3\n", + "# Equation C3\n", "dot(Vo) = (Vr - Vp) / tau_amp\n", " in [mV]\n", " desc: The voltage at the measuring op-amp output\n", "\n", - "# Equation 4\n", - "I_obs = (Vo - Vr) / Rf\n", - " in [pA]\n", - " desc: The reported current.\n", - " \n", - "# Equation 5\n", + "# Equation C4\n", "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum\n", " in [mV]\n", " desc: The reference potential, i.e. the command potential with series resistance compensation added.\n", + "\n", + "# Equation C5\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + " desc: The reported current.\n", "''')\n", "m.check_units(myokit.UNIT_STRICT)" ] }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 30, "id": "aa002393", "metadata": {}, - "outputs": [ - { - "ename": "NameError", - "evalue": "name 'myokit' is not defined", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn [2], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m s \u001b[38;5;241m=\u001b[39m \u001b[43mmyokit\u001b[49m\u001b[38;5;241m.\u001b[39mSimulation(m)\n\u001b[1;32m 2\u001b[0m d \u001b[38;5;241m=\u001b[39m s\u001b[38;5;241m.\u001b[39mrun(\u001b[38;5;241m10\u001b[39m)\n", - "\u001b[0;31mNameError\u001b[0m: name 'myokit' is not defined" - ] - } - ], + "outputs": [], "source": [ "s = myokit.Simulation(m)\n", - "d = s.run(10)" + "s.set_constant('amp.alpha', 0)\n", + "d0 = s.run(10)\n", + "\n", + "s.reset()\n", + "s.set_constant('amp.alpha', 0.4)\n", + "d1 = s.run(10)\n", + "\n", + "s.reset()\n", + "s.set_constant('amp.alpha', 0.8)\n", + "d2 = s.run(10)" ] }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 31, "id": "88e8b7ff", "metadata": {}, "outputs": [ { - "ename": "NameError", - "evalue": "name 'plt' is not defined", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn [3], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m fig \u001b[38;5;241m=\u001b[39m \u001b[43mplt\u001b[49m\u001b[38;5;241m.\u001b[39mfigure(figsize\u001b[38;5;241m=\u001b[39m(\u001b[38;5;241m15\u001b[39m, \u001b[38;5;241m10\u001b[39m))\n\u001b[1;32m 3\u001b[0m ax \u001b[38;5;241m=\u001b[39m fig\u001b[38;5;241m.\u001b[39madd_subplot(\u001b[38;5;241m2\u001b[39m, \u001b[38;5;241m2\u001b[39m, \u001b[38;5;241m1\u001b[39m)\n\u001b[1;32m 4\u001b[0m ax\u001b[38;5;241m.\u001b[39mset_ylabel(\u001b[38;5;124m'\u001b[39m\u001b[38;5;124mVm (mV)\u001b[39m\u001b[38;5;124m'\u001b[39m)\n", - "\u001b[0;31mNameError\u001b[0m: name 'plt' is not defined" - ] + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" } ], "source": [ @@ -696,9 +753,11 @@ "ax = fig.add_subplot(2, 2, 1)\n", "ax.set_ylabel('Vm (mV)')\n", "kw = dict(color='#aaa', ls='--')\n", - "ax.axhline(m.get('cell.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + "ax.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - "ax.plot(d.time(), d['cell.Vm'])\n", + "ax.plot(d0.time(), d0['amp.Vm'])\n", + "ax.plot(d1.time(), d1['amp.Vm'])\n", + "ax.plot(d2.time(), d2['amp.Vm'])\n", "\n", "ax = fig.add_subplot(2, 2, 2)\n", "ax.set_ylabel('Vo (mV)')\n", diff --git a/artefacts/artefacts-3-simplified.ipynb b/artefacts/artefacts-3-simplified.ipynb index 5a3d300..c00115d 100644 --- a/artefacts/artefacts-3-simplified.ipynb +++ b/artefacts/artefacts-3-simplified.ipynb @@ -37,15 +37,15 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "C3. && \\dot{V}_o = \\frac{V_\\text{ref} - V_p}{\\tau_a}\n", + "C3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C4. && I_\\text{obs} = \\frac{V_o - V_\\text{ref}}{R_f}\n", + "C4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^*I_\\text{obs} - V_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C5. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^*\\frac{V_o - V_\\text{ref}}{R_f} - V_\\text{ref}\n", + "C5. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", "\\end{align}" ] }, @@ -122,7 +122,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/resources/op-amp-5-inverting.png b/artefacts/resources/op-amp-5-inverting.png new file mode 100644 index 0000000000000000000000000000000000000000..bf7a2b845f2c605380349148b9f32523b7bef6c6 GIT binary patch literal 3516 zcmV;t4MXyYP)cGZbloq9Df~izxOGb_L@?30Y~CHrN)mZr$oCiS`uORrlbj z)UX^~kJhb$t<+QN;fxB{T3hY1Yh88~>p3C>h@p$*2ht5fvWs1c38l*NBgruL*+1?b zyh(fL)%VBESZI4?M1_&-&t6 z4j>{A0rvnQPw_{hFAUEJrEw+krF^0>%I{fd6sJMgg|~Pm4&Ss{W^6&iTw| zfp>tv^^{!ztOkAxT;qpRxr*ht0~z3`s{YZngQ~g;I0Ss&Z^wM*gsQfAY%5R;{Dp{! z-_GR{K@5ssh{!BpBCy9(W{JqT{(0p;ZXi?w`_war7Lg(mnIa++{PWIVf;4Z{` z5esM%kv~_}-(=ri{|I7G{D-O@1Q3w}z|TbFu&Qpx36#yizu^oW3W;`AT@N54&*SvN zFGZwMRb%cxj{v9K{}mATZ$T00oI+K93A~6C7@twqovQjY1^7XGQPpms3YZD3@RWa` zs>j@y-@{RtUHw}KHh~TbmwRy(ah2bWZv4k0*@5n z4*?=_3ou_*@9^7~TtY;?3)~9)4G?#W52)&g{&|&sf}|8f;VAImemjwaOa=Y`{G+Gr zVPKm-?)XDNDuux?5QUIv8w^7tXNOPjG=$J6?&uG;s@mCpQ`0Be!4i?XMPz2OjUuv8 zL~hKsQ!dp&EJtl+29J9nZXSY<*|sM$c-&c~2q*)-23+UeAR-aqN5EhE;1>X+N008= zxN&2NU+=vrcF7e@GDlVh}YHC`Rzv9C<4|3TSR1nsvh=i zyAe2!k8^~=;jmUzRQUDwNf|R{jIU=VEX%TUtxv{|9UG{#HI}Mw2U_vWmUO8G)~af} zf3^OI$aNz|jCg(I$dPT~aQI(Eq%7Ue8VuPWarS8)a9g5KL?!~)0_z9Uh=|-}S=PT- zRaJdy<;sZT{ajpOCl(K0{p3nOm$!14!o(ViQ766ku@T6ci#p? zWK1X&TK&v3&sfoDRPMRw9yV;)5L&iunS{gPwV6KzgCV^X0jTN`5!r|{g!%`Zp!gnu zh>Qk)=x$qU>@q-f#7g}n+FEm|b2R;`*SB6UDl3cgKL)#4QDWfWB{0&W(O=_ynf zoO{Uk;pA&WWjv6JeG&q$7m+E$Xr@M0-$|EG!@+wNV=eHMi2Mqe0KDRs-;Q%DXY?#> z9FNE2)~QpcC@%i^^E!6y%)Go^q!tuCz~w2_%QOmnKx$K}Lpa0I!#H`|Q289rRE(Uu zRv{t^bdvfs^ZRigu8(bm@HYm(d3)01<3(%RY@sM*$o z4hmJhFO!ZW;e&WQzWcGq9?Pi?S0^)U_v!6BMdY1a>l2p)emjsZa#kr+^);L`VFnj< z9@CR_MzbM(6aQ>IU!{wbVg%eIQhrGEPqBur4`A*H3I zG&D3=wY9Yr6&00RmenF6HSU@chGAklF;6KfDx#*QhTXe&TQg?NC;@6kWQ$Ra;bVd# z&nYb})ofH_aF`AXFdo&Ava&Lx8iT|HMFB8%>Qr{@*kM#-keE&> z5YD0+bw)M%V}hcI~g}_9Iw3c3R|~s9k9ZA=bcAQP0d-i+qTWK z&pyl2rAzIeo}QR(+YjM90WoV!b%{s}_^PV;#(8|^B`MVJwLpAF zM+f`%?HjPd$&)7s+-_MGix)5E+H0@1?!5EPlDfLOS`oQkMDA48fg2Ahem}R<*x(K4 ziSXLl*{PWz9*=7@8r4W761Oa?3#h?a*eBD+m7C9!H5d}Av1iX7YtEcGi8)l;3N6<# zL1E~}#esW8jTW(L}umd87dLEL_`jV$h0Ke&KHp*o`s6ekXHw3$Q}vRXlZG& zDk>_xs*#Iph9pH*+kijdS-Q@{?LfP#?(Mt51chNhCQqKs=FOYEs?p@CMougoBO(`z z$Q%**%vp9>3*44ORu3uMCx!B!BNYy;+AE79|-l?@6$L-seQpyRtB5|Mkj51I0t1cyXJRL zsH)nwt#MS|AWR-HY2c0x58fG^@) zdl{^Kt4}~A?58+u9S&r-g+ihD;lqb}`Lt%u8Z9X)IimghtpfN67=g2pW(V#PYdDLW z)&nbm24K1GA9NuZ&H|2)0T-uOKWBnMRrS=VQ#xbDjAUQzjN60>6Z-kHbLY;)_EFlu z@Ad+J3ET+$m#b8Qv#94-cc1ft4wGnSmk_WVxX^D$hD&2(BQs~tw0G~`jflJfOasOa z!M=W$rq=)yKf$i==;+Y*-+#YX#qHa-6NyARHTkk~>w#|qx8e+?5{y^XqwYSFfDe5C z^(-V~_^YG(t`xCYOlxavwYa$0wk+!yuo!m^-B1m9iQWou0Ql@6>RFLUWc##f)4J>H z>-ETyBl_HP&uJtQ=>hIKOP%q+QJhn>=O)=c*?s@{zJF1IZop_@5zcrvuY;ngsY$0# zpB~4_BQ^mSrg9wm@$m>wg8KvD??^K28KCaD;c$3kBA`N{P?u#{_YV}HIQheOl9YcA zXal~XY7*^?8_r_z3QWk?py=u8Nt-&P+uR?WX+Uv`^{)W-UZQFSM z`RCKtW^;2h)z#H@V`HNwBEMGEMXLJ#C)dmls`?@DVJdaqsr)bDEF(8sM5=&@NwhP7 zh>R1FFJ$|DJ32aOYimnOTd`P-l`B_r)m2w%b93_vV2N$p^K&U6(!h9N3yy-%17-l1 z7^TPzvw;_Zk$yXp3eC;UR8>{kO-)S+b@;ZbrgBqMPU8mnlD_3eDGX=uszXali&q^2 z7Z3wslp?z%`uoQs@=*%)FZRB8^2sOJuwlcQ*9Q+Cf{dp>$hLIgb9iOF>~fjMvWSkbXy{Kc;JBt)VA&8z;_EicQ^|sC;~)fWhIrBmHo<% zIt&eyQ)Hg^fJ=eSY`?KLci6LMPa=2tiV28;F-nnPR5gY(C7EpA?BCefNOg6!QHK;T zN)a%+y1H1td^u%hWkwxR#3)5}i2+{*_GF^*hK2^JtE=tS)>cbIUQ^Y@CK!f}QHm_$ zUNd_`o$1bLBcTrE<>mU`d+#OG;j1PfQo|@ko|8l!7OCnXzx~Q*j8fz&1E_-uh+$%s zA`fY3XyCs4?n|h{t8VUKf+0z7dEU%Cj>#XL`n4MohV_55>cZEqxUj86GGH5qKqCH5^adyO$dU~dml;k z-aGH{|E>2~>-qA0m@~tabDwkXa_#HdJ6cCem5i8y7z+!F45X&4i-m=Q2fUsmBmj;S zdkxpX6Op@`u@@E=soLEG`_}t+J@8L@Zxti&H*R2Wh?S=;76by}cW`y`vbJ)!<#+S6 z%iNJ+z`|n10x7@H^UKgl;^M|RIo< zI>N&4^HG|AmAD6Z+w?2vIx&XdQpjDxouGnFP)WDsp^_Ie?_UXNmREcVLKZ3>W)41i zH?uE>x5!Z0-Z1V0r8XHEjYc^cJIKlb#T(Lj}T)Bm2H;;sA|eay_p5 zK*PgrQgr`O7`#lY=~5bFikVi#TQ+^v9EFmcOy?+Cy3IpShw(wf!!$|mfA;d&V+Ph^ zeZnj#IEo9?!lT96#c_ffhLw}hWq5s9mc<)r=-R^0i$YwFQmAhb&XI0eg3GZ>pmzOe zY8=B#nY$&u1)tEmlL%^#+=6DbN1mg+Et%c5OR=R46%V_YyU+S@a>Wth>8N0lmA)|2xe) zSZzwRZRFo=j%_N{R|B1$cX!fSEV*LT$~FyWSA~Z%%jv=0CnZ<2xbW_dhY={?=;e2} z6$6t0z4hq7T_V3b?||ezk!WeGRnxy54sS3~&m)H#BfCE(KQIhFUG3i*P0yJXRlNK7 zpK7u_h$T^C+|v2%#NHVne)K!*ZRpQ1E*%BL6?j|38cB_|HC;h@gGXL7E)#G1BC~8mIRoP|I%vExqSn07lf8z=g+e$L@n4 zf?J*Borx&)=>7-X8{cMfXkUQqp{P7rM1*sX7!p*G58)&QB(R0dJsF z<>qpylFh+R$Pi*;4fbMpp^&i#&(aC;PYQxI2%5>f2lTD-zdt(AM4f;q1n697-|D5W zu?uHDg76~u0ediXf>EJD!^)ut>J*{GTkxfNFMKu->L~`t(gbtt_rw!v1*~?wlT|pd zF{Zl}NAI^~R`M8iJ;hYUF(d6Z|GEV}u@wT||7^%hGK~({TGLAcBPIwXa@e2FkKy6( ziwKMcW*A5Iwh~A7lb8QrB4|nM0L-`4d&^1qvK*3wy?1-h3!Tznu{g*4I9l(7oiES$gzM-)a{n?Mw#{!=?(oC9>96c#j zIHR1RG5XvlXl88JUIZq`hMf?EsHa;>OrRH%y_tsyB*ZR^ z$gk$m{M^bYq{s6rE-06il=jF9J$a}DxMxmv+0^5(U77zII}@o4p$x?pV>+7y7e>7)kn9M=0MZm>ZSu;er+dE5{?@Efansl(*{EkhU#d{T@k z3mXTE@l2>?lLWx0Nw8oUSixaYM4+vyvvyBp1>mpao!c<;KKD&RXQlKfi3da#wvS$@ zJMyeEf#iSh83}_})TJILOqqHJRe1_$YJIob%dY?HflLIxY1={Qg;jhYx+k~9vi^7Y z$1cuozn3XJd^!!bg3}S!%R^5;*{L>p-b3cm5YTg9p-POIj%hSYSRaJFfD|4prQRh5)U~n zSk=_O)lN!520Kdrp1(v``P7i7C?R55~TfUN*2;6s0^ zFMcgSLeaLTGN>D??Fp$8FWSQIoTG@xDxs4Z1y%@Of08yvZ2vlMwfDq-B48e8G7*Tn z2QNpgN<$~Fg6ySNU_i+k0g& zWZ%;0oHe)&Z56m4LJ`;dX7*T=dW{Z3IWV3IY)Eb)j^@|FQ-nh2$I5C-Kj};ov@;y> zhN$G3FM$|#Ds~&|=a&AIQ_m>_eIy$?b4~SWKgpgrX8Mtws`+W98atI~z^!D;FAnQ4yp&Gf}%w! z3-b(meDt>k_k~fj`*5*KEVAi&WKp`=)Nu76>;J^$GpwXbc)VMn!clY^6X0;6EBmZ= z>Vz2%lKsKsLKZ;v*=rg$_CmMHwA%LPyaGjgY|*$o$XspXsl%u5k&4;r(Px1o=8~Olic~^8Q{8 zB6IT)Cu0EJDq2M%+-%ZUV*jDTuf3w%1oaJ;@Lf9-EcO!(USUTus5Ro88G1u<5MIRl z&7Hg%-y5;)$>w<02YTDS4TG&Hs83ea#2@(0q2>71Dv#M%%TB1BuhlFB-LiS-7j*r&a2AL7x!3uWyD}K?GN+i^A?m8k?8m# zhEfK7NKZ6k2^M-py{8HiupJ&aeKueV!)XNd7G8!>D8AF1ejd?)y`J;fc{7;ZU4Dqp zUd2dp(=^+U;zdWbxVzC^HvMA-CP364M+%w>ip{)z1X@f!Dn*EMXr@+cn*L~v>#&6pN1FAH33Wk)#U*jd}rV0m7GNq-@I(2z+Omt$ngRYGIy#zfh$h zDe|+xf)0W#2k>Z>{i>KHT}*izDy(7pD*h#YKDf$5;ODizsi(NR)zLfohimjTqcMWY zV!zSmsoqjn`ClfL-Nz4rRC|ElGO?uG1ABkZs_5K4{(+yuXo&T9bVmRuf$ziytNovf z;#E;}dI_|u3PhkD4{y=%>u%%q`B)LaVV(5d{s1G4azSl^QyDln$gd{R<_SBC*gjnvW2)+XyDQ-MqeSDMW~-BzQkgrNJC>cs?Q9g26^bi}fR91V=Im z*?iDj-|?My0+aw2qes>(-h1q|>Uco; zsl#aD>%JcSZmL?fK^%cCO1#R^Kn`_m3xAg98Ijwv0=>76W)v6~mBf zAgM&16)9V3Or65lMg1Yky5~R==8wO3Lk*#H%^oFU#IO@(G6!QFB~?emgPELc1{(5# zo$aF+zONb8lu@GS7XxCD!s{K5Pck?7gTivl4(v0N*IQW1XQZW zy`?O#g08^-;oemus26ex=IyQ*JuGRceFt(GD*v*#JX;T|$MrydIgH4|Vi%2rq~f!L|UYH>MhSGvL^GK)Yjfmr7MY&hiUp-F3++6P3^?rU(ZX?cg&|yElFqrgB;j1r7 zQRK5Y!Yy^EhME0#<#VqJ#AN=U39dAj8+La$ovuS{tq;=S%8$pg9?>eA1&M~cR0t>? z<=^7$;R{mwQA{z_Q>SA+(od^5+fix3x1}@pV}s0jbUQ>V|Iq<)Wljrwbg!8HIEY{k zUBOPn=?}9~kQAIk%f$$Pr>f%`YK!!Gi)BVc^wtO2=f3r*o%6+IL1cJX8v8Y#->Z>Y z@QH^QcGDH;nD&wXza&x?xuDq(ByI?-5??vdxu7l9{`ktV{zPH$ol&HMHqghMY!V9>F{0nsY{9MT8h zpt$#h+!mTEs~hmWf?T0qjCUK3<|QKK@;1CBSJ0Kms7BZ)&YZpqO+yNUl-No6`r#Xh!W>e{_B2)`PqA~f6E-Rs=y_DDenGo=t2EGzi|th zn1xxCc?t!0y{7J`wHsR)Czddw{Ny5ygqC4EX$!t^a~(=DS1+9oELM}zeM8E+?rs?< z-1M;CXvEZ#t35WM1Q)b;ueNNd+65{AEq9b~D}?hEd2hL)_+ZHARobHoEJ_clE;PN{ zI4UHE()PqpA`wyOt?{=(^hgEW7JLVu`{c0(k7bx3&I6r4so$SnG1eRF3ba@L`V`i% zA327}#=T-!b=juS(<1DmHovlfc~e{EubmRM$hC=7X^#wCtBOEu;t}xIfq-$sk5UMq zyo{Uj{rMhWIEdrDQ>hHwN5GRg9R%8`>IH%&-)WhWnp$*7Qs9K%WB$I>4B=)GtU@4u zqI57(sA1G?*b|}Vl22+-hrg-@xJoQADNu;d)PdsL3X$_^@)=kCfvM z81O{{D2&XbW{beJ$Rw+d$RE?-O#Q&k$#RPt=p&C`_u(hXdnts-f8*yLOmy#AzNVpQ zBib^XtOc9~G{ZSO mR%ESeO`~Jq3+O$}L#k-i4ardw*v}KWPIwPOnK#&b94E*(& z_l^SgqlGJ-cnc;NhOeg0$@1^Xx=jpm7FY7j(o8g=5NJ(=>lPBF)=t%9Z5`Jq`VL%6 z{C#wfEDRwqvb$T+LB3U?q^V!zBrtBG6XQj~9*?slQWaBg?a|3br$msOK7G%jZm_?! zki!g#LOXM~%UP)?30uBtSFWr28&~oGKCQAKts@1P#b}}CSL^PCS)Io|B<$Cx4J>>DsRxBF3QNhIRFLKB15ETjDs2XDTNAYSg6<;n-g zw4e1i_#`^f;4UT;Gj^(IWfhgqRv>n0g3_?X{oG#sO^uDf_=9L76nUQk=ER7_e$DKM zLYt#&tw7~ezJ#U65xk&m!ls1+_AvInR(No_3n_=a#Gb?#!9kk+$UntT9H>EcaARXW zfrdibRp$?<#N);V4fwOXMirI;N;xJ&-?UlKrR!CLa)I#(77Ye4*qovusaHkO9fy%_ z3?#5tNj+4X;Nqr!(!p>K_Y}JdYCHTRK>-2^6|C~wc&NeK70+l#A+r~zFIBqsm!SLW zvcY&r8+wZjG>Dzdy*p!wW@pk&zxRW>0*AmLcchy2C<~$H7kGLT$Gf$;kSxv5R2gUSS(jD z?Z(m&dc^Xevq{6OZAEc0%mZ~z@9IWv;Aa!C6=K=VFylgfI%yEK+bOG{awPm}9z5|S z8xl79_a?xRezOMV3^;8n$!azv=YBxnO!sxo@?PN4D&Q*YUI%2wUxBRjt9H(_?_e!Z zx==3EB0^l`Ha?`y5H}6C*eP&)zPGnGHP`P?^k!=Uh5XeJx#Yh@c1su-SN34PIfQ4y zWL^L*#K!8aAM&u}%nkn)7ut0(LZ=oY-fmMTf4{3zm+0pXYP9B>%Un}&NHV6*aBlG^ z;C4tcDbwyx!dH~VVPQmZSdk5eI!}XX#zBw>iZJwD3_d09OM3RE5YY+=|9dmz>BAEl z0T}jlr7e&;ztLL)rWT4~OTC_~SG%!=ZNClOMoxme|@T+T0_(wjC&w-!cb;xxhGh7WmJg)h}d`Xg+< z<6D{c-o7K}amzo)U6lQosN`uNvxNz#;7n385`u4$$$T#HoxfcDTq;+N=-6@7iY*&v;91ST^f6ECd1`lLOz8%2=DfMsl zn|5PjG=guYORE@_mkJiK5CPc;$7Y|`T(eU1f7*EB3R?Uz)Bn%R5p1Hy9XoR? zEjU|jY)h$sQ1X4wn(`XEx|-XOM{VYTkqepqdvhgQFbyn(+}ZXEQt{a)UvxNyoW!^I zlkHi<^0u1`X4p*T^q-7!_nIF;=brgQkw7H+L^OY8tSK00pw@5u*S0V%V|wb*heoYr zPR)?^$Xh3#?l<~wKLv#YpG@x8pDo)8gmb@QbEdo02K^08A z2$P2O*qk~iV`B-MsHI=RS2Xu@uIb zku+W3*YBNy3OYE`3=63UZ)Q0DLPt)DVhIO|Z1~qJjgWv-prBDgb#CXP`&A*##9Hht;fX^*lMHgnq;lqC9P1^~Z(R2H06~&Y)aXj`$ z)VeEf81T`k;W?aLzNh#7ci9B1@WHi@w{N<^Vq7Vt%H0N;)6(GDk@rE)wO(>oo`btQ zOuHn`_vXm2uz~9L_L_L_JHkRE08b+E*o$21er;R!I#B?sI`YGZMRpu?+mvJ-0%3{kkGa5+IM9zcd&y(J}db|=!p39ua~OR-}CD* zgdi?|w->l{s~M__^z+}sR-Vh83Msmwj3e}M`-vED(T~S8>zU7jy?0zA#);**#)Q*Z zm{>@g_1DfEB76uP&x7H=yPWDb14@1n8nn8?%(o}XOlpqr!FmubEyojL9)EsqX!Y4| zj1_Ub`4q;mL7C+Ci-K1_Oz#yD|Lq#?6|AnOPAz_%DPi&=!achv| z6V(J80B-|Pa?Ls~7am_tP!D;5EC?W32)W$;#TNBQmmp4yovMm`8vwZcfdvvaIy~Ui z8q`l5 z3Qb*XN3#{ugTx7@rhDY_UiD>C4+a|`R2AkRPHE&IB2sKY5hsIw(GF%v}Jev?Ao}|+aciD zpL2ypi8k%?`sH`OS(DEXS54YNu3^KcuH+SvIg64-M@V07Nh_{9!xwagSEn{8V%4;h;_Zbpk z4}}t|IjkrmB=|(C&YyQ<9@82S2H{ZBe4csM;o#KId+Ic@@w8Z8=kFEtGhiI)6mn-_ z;p9>uamgjZG{xsXpDu>x#_~6Q@7jj(j6d-cq}!hyodl=)C~`W4@2sWrnYdQ`$v3V7 zi@3~uu61!*fCdAI!PIZ*!}>S@<2>2Q8vhv+RuW}3ZpT{8wK^gRKD}s z#hb2D~8YW(s|@IZ{BPEq9Ip@MgEMUH15P zNqK9)^eXLx@|h#bE!DMHHIZ|kG}qR1@JyYX#bLCh?+A#?SP0I0 zc37BPO;eEz*Bsb0^1?i|Y=rai__^-~=2S=LImEc!MoVp53{}}_1FQ`EI9cVGuo1Lj z500&L&BN2%pgH@f<1!S8@2N(`mF-Oh#7^zFN0PcgDd6PYf)69WF)3hqthXFIP&{qT zwSD0grrN*NBJ+Xp^Y6qLy?HOfrvR5DxoHL{2fi~e@X#Yaosk>bV%|bV!nv0PvX2>J z*C>!(@{RQc{VY#^3(YOG1bTIli6d6-Kj^4l|=Ndpq11v_T`KP?@ijjShIM)3T8{W6WNcdve_7&7rtwc4kNj5e1 z)#b_d`dq^yK{sF^UB8o_NFMxta{eb)K#qX?c{z`cVD}?0(mPt_uHq|d#4p#la7E^- z>T-eZfi@C1YrmeHIu7S|eg@!9@LZ$MW+>>wm@G}k9<20rvE~hW>oOwSuWDLUqP+NG z^-x$5|7V8XpZfRFVlw^-6;@*qD1>7>&9~PKr9DdBu$IvQZ6cvB>3b_6tTE<$qrfjx z8%)M}L~Jt^j)B+zb##`3?4ZYr-uT=ynIZPEuvkp*dI7Fat9m#yw{kX92w_M(ISx{~ z5YF{Krq!7fH!q@<-%S89N)D51;yS&879N~y13Vy$6>wBWfgFi^UwaUYL z?VK9V%)%~5Z$2{P^-fjfjqIa%z+!cRY>iUIqgT+2joG?#0EpB*_24xgKO+7Y#GhQ! z0;F{m6xVCQgff28;^mnIp_ToWIP79lc+LlaupZqX??0hR=F)Z*diRSE*|a0YshwjA z27l$)HtMv^<~WXXz5eQt{Wa`|!w#D;@lyQ>CtC4M=%b53#18iq0h$Q1I3;jPy z#k6PDhzoS@rs?4`e-4Z)tTlOcby}d~2Y-aRn_K!cH|X?!BpGu&GYj!t#%jH(EJzAr zQs;`FDW5pOwXZjIi~NLyQ&ul)JHWN`@zOBB{jjZ>X(4~*jym&K-jr#oWaN1ToH zQtlq9h9+K`-peVC)z_FdRJY_uQ63*n!W%N1suF!+Odx&2H^(@+7gJn$NOHW|^6uk? zz5AOM5r+lROKC-wN5``e9D$dj`%RQ5vFUh}+n9PPiHwTP#bwkNloiSv?5lL62+>cf zE<&_O`);MDi#X`M$h`z&g?jKz6qN>{P${d#bkx0=(R`IyKLGX^HTjmY2j6c|3(@Od zAxQK$M?bO*$PPCx=S@=EI$ut+NG)LD6%eok@SH8mP~Y@aRk%rH#^v-CrVjPKx?7rR zLB>L7-cb<52VJCu#ipRj`+1LNs*(}(5zAw@f>k^mHP~I)vy=gvNYScjdd5M$*2JOt zcM){)xi52fDURagSTZyvmD?YJ0?voZzW$YbX7(!mrvppK*_X~QrKP+?bEz(K(+eXZ z{OfgG*!oht`t34_jA73my4`SAr~<$M%RrFuL@(L|YwPoF`A;|cP^7BOSp)5b7*}Dr ztbU_kpOks7M_mU{0~!I?c?81g%yc@~Fy(sAZI)Gbx!bk~?33aBW2#TsZ;h`*zWIJK ze>xi4*4DFpTes4msl7d2wYss~mzHTfb-bS+%U@ZjnaO`oY>;yF6=p-ddx!n#y?5M> z{Qb^ry~xcp>TNCJIkj|Z1A;AuHP96OLxP}}M*TxLe$hJN%XpSS-rEMK+~SKyb;oI| zCwnG&I1;&uHu1Ovb!%MNE3TM_mgQ^KjnQC$f^&mJ=P%jrRYl66~fI?!9w^?yAXP3qm*?6N!vC}fW)0jF+- zXFG!j$Lq|5Gr86U6}K~)BV5m_(7blHvj*knvIk{#3jwZ|+jWbz04$FNz;4Q5uKZ$e zU!QjM%w&LM+K^Hp$x{5O4n{?|#PLnb=T;O5Y=9l~)Pccj5~Cks4#mfUapFW##;nY< zuD`bAcDL0hvwKB|-r{a2kcwC!GbBZqW(i6bN`5JxFVfEnU~y*xh#LKcuG82xYbv88 z-{veqx^|j$I3FJE#Bl(qiMm&Cuz3I9*35in1*8YycJ&LoXYMvWv6Ss&7R6HRcqCnwR&t|0GLVz zC3C(uFgRgbnjdZZlhEhFt5l8xYDKx7`i;lxFsG)hOFSXHm!ehO>@Heo^rrp)OE7&F@|qq)z5GC!a&b;6MSSc{=X zpQSW!&g7o_Ksy)k?k}crrzxNl3PeG91J~CgjKm4;5j53z@3GMZ7%By-`iA`cKZfvy z^ZK*9RGIWd)qvNc)f9EyV{;JGE2~}5i!L^OZUydRb9OZtl}sXmwrNPk2>S172?t8P z-8r+s(C2YjonfNCuT3wq_j9sy)V*whF&1em3Z_)NnX`*ncJcY~o~-Vbxz2dBPqk2z zT9Bk|@8?J2Ceko_R&Y?fu3?U4kkr@y~$TWY(Wp{Q=I0omkBS6v~!jWGeHi zW?|p@dF?Vo)dB<iido035Wli}%%TL~j&8 z0IWQ;1Y2N_yM;0oJ!h-X892;b138X@3&rAwt^sb`m(faQRR75_h3o~e_Q`2#>XHjLO-l@XRxs6!#{Y!(u0BcF>l_MW1-06iws#!z_p*z znHBmzAt_4jMK-J^=0!u2hRJPI+e!Dg3p`}LtXeYZ2h=6D4!%Ti0o@Jpy|$L%lPoUW zPP?V{4_9a1@+(u;pO*Us+O9sqnJmF{0YW-+mDx&rEQ-c+b%&H1S|BM(BS-MzpM=f^ z0#{xu&k=GIf5iAxZEUs12p#$zJdhn_OxqlGX*BG8QbfDWDo#IpnP8O#&5efp2dZOY z_A~(tSHL0r`o#^LC@a+o^M8Ca)eGol1exH5vgrvt!U2>)Q`z+CKlZ){9w=9onS&Y3 zgfb@Io0HczTji(){!T^LpGb2!g^GB{Qd^DoQ5e|gU7}^yhnQ$YOQ;KwV-*FRCe*sU_ zUBCT48mG0&5M%!_&xGt-FzvjrNEHZ1&PKYp4ck34UGgtiSdVUsV*QpMs`!Z#_Wld1 z4t!!umL|En;Yu{@nh6HOBC*6ljfq3Tg1^w*?0hyImBmQtfjM+UUzZ5G)Fjit7Qwh@ zn=-JR0>3UCxrPvB^6AU%y6KAy18q5U4FX0ho_CjP($i|0G2K1wEV7iv^9V!)N)FS@ z--|%Z{*CL=cLDfRQf3(meM5|y@T`0>52i&#mP&eB`#DvxD)dm2=8}w zkmtd_9vW0lkBz4r%j2h-9O5=Q8~N%UOktYrqNk+uDpH_gpJz*tb;e)vQ?vWiZ?{i* zFEke$Y6uurgpF#;XZIv?U7A8)wo|_+P}Wt&uqh66gh4o%Haq1RT2`agNyJ&ZvBQDB zB1%65>W7FrK)WEzYG;b|3%i4m3V;6*z34c&H^GHV>6_4GF@glI2-h4T+R;JNR-^#uk!1re?_^5jkpWcG-44^1%LL8`=Em!LZ&2s2Yq}b% z0m{cKehi>d6ep2p$rhp4HP~$$oXLild)9xK?=H+l5&myUfzguqSd9Q^I!%M$kw2=B zlhWw`lVgUw;y2Hs6k!D$kY8~&O0Jq_b0qhOMl|Bc8KSW7)M8WX@$Nbo$a=Hx7q0=J zOOmv{7wB1%eB#iIsQR1G0es^G_`9K+tU~3#j>eoppi#1cieuftvTw~*vf^PnS)2x+ zz~?c#H8*gY^64#w#B-@#DGXRqHYEux)>L5u{jcM-VMH`+ugi^Akk0<)ciV$F#6*gA zYBjw($(QsQCX@h?MwS4+9Ip;NSHCXk=g}zBIVBSF1jax5e3_UvhZvr}FXy#Lb<$rKu$ zsir#Ycz7-YuKl>TbIUdSg_@8?8^W#2h!7Ky_*t)-%`p!ws3(8XWUlQ|kx*pidgbjZ zT=9?D607}>`p$kJYG87S-X5Pj#kgTqu#l(g#2^T+ER>18ChkrsPp-zphy%820ZYZz z26$U9lD#7@s98Q_71e0_QU2r3jw4w5KGg?C^wvjGmn@2AiYjQ z8_I%Xhiw6$u0^$?h+ezBP#-}zQd1>sLSr{>Z5y3GUnRZ};XEPUV-}34(A-);Wh>ZW zR`*p5jbInG_QUU-{r%4;F1M-%XR>`~iq7BTlWY~FnZN7L5RGNeb^$|H*Bdga8Ywp$ ziIRCVGhecpbTX)5D_fu3!*1a?PO2`4i(*gtuV(XH9B&$)0M+Edgo#_xMXG0hoFKan z%PQ3hyEA{$y$ZSt&{18E(6fv5j5rDYi!<5^+O=O74MdYTcO?ykk}4r~lm2U;VhuJ?)YgxQOQG4}lo$6;%noUl=z(;F^XveYe&^!2 zJk(4KkR9R&9HuKd0NIM!tjU*8dgJ?7ems8X(Zc>28Xg0YX8;FvpF^|m-xIk>36&mH zas*!sTXVe(_j(kW=(F!GID$YeS3B=JI~D2l6|yIf&5X2r6N+7kO_yn`s~d`W#o7|Z zni)S?i+jTu+)CYA`~{;f#r82|zYcq$U+M4`C!uU9%U8im3>_rw&&74WAbLgBbOwlz zhkbp0CXn5QmaD77lH3vzcZ@>UCl>8b%(8dEepJ82;JNSC)P!Ab@Cg}=3hes^`PVyM z`>jxgTR{~0 zerMa|L-dw4=C3J!hLdKd7}I2>Z6BNXVvyG;HZDO0pl^#l<0 zu}T^i;_{&ccOwV%LxgRFIDDFJSkeMFS0%Tc@dy3F7x$v0O!}C^)X8 z_cQ{rmRQD?QLOC;@H#>-N`_zJ8oIa2kN1Dw(d%o|RfX#$qL&*b<@S@v?39!gO%s4& z2Z3vwV^)I+{07kcKuhEj>hqZNQ@~EDW|_3uQsS2;5NFZ})eSF=Q>u#^vf zq~e%lPTPrQ=c+VG?*f+PxF$T~ zvHH{R+1?wmo&QNiAW7}&3=sHBfGe@nS}1UCTrWhYKUV^}EhM)7ev;22KI&CzLV6@s zYXeP5xxiviTo#)B4~CQ|G`A}I_`TPLpg?oY0U$Pe=SX_36gf?l(&wnL=RB_xj&V?x z14Rd)Oh*;H`8EPP-mPQ1^VBBy2}T7ZPTh5QNtMc&AXFtVIuOi#aYnS40Oun} zJdzx;jIja2GC3e#nUzI>9KQl4FLQJDx8HZce--!ZvTpfGWw7B)zCORT__R$jXWPYi zxy1F+D0SIem*$Z@fVmjy7hgozOqvJo73-InCwXVVWvP^Y)7Lmn^4qmwyJqW0M2|0y zdFS_)!v;OSKHrr?`C%m5bx>j`3beCx!RTlx+h}*A7z1~rcQv9wbgng}x|nv=ET^x$ zp}G00%+TE5)~DYNY}d^MK>(J%Jm%&T>14xBmM9YPN%qA4U?tOy6SylfLL9i10blu> zwuBad2C84cixKq^8&C_$qe>oDVG{>1-GuFVU0+$(kdlIkp1X^8U&UQvni2$=#zSX!pTnhqNmy@hF4 zrDXw`QAPhk0GTCvH7Ah&uCHW#qtVQF`op)}5UF8+sRgS2C0tlz#`K6x)TZgziKkTW z-(I{wmc?7FT+;1?gpq+KGpGAdedD4}*BLNP;M69=<+p-W5I~I&kpwoKF*k5WGLn=& zpRaZ*e_%T$E$wi|sobN7Bdye7rh2^vFa!TK^8RKLQ{7cohR92(7ss|GcymHWm3mzZ zKZMT22A|czO*ruD$Wlp|0l+yVjwD0xWWPcAML*G<*2|r~36m(t+H7eExIKc%wk7b? zRr{&+W#3yFi!JluV|}^nqc_~#-1fuy__6^c5X?`hI4P@Y9KuK}c;!GAFTfSJsKe)K~rL%?Jq5<6! zgb-heZGQpWTJvaO&NrT{%vztlvvfZd35eV<0c@{qf)XdC%)#k}5#`e!9v<^z}QdjGfrG z6X(70yb>LoWrEE8+$?X?0$?VfqdaqKJ?AvE2o?pL@T0WIYB7K{iG%@xtNW|t2gdDS z=5w#3{W!-AZi@y_+hMwxXH=p1FDtDS1s|LRy2Ng)IgU5`AFgJKI!y$l!mw>MQhAHg z0oU{gth6O)d#2`?d*ZPJ4@Mhh1#89b!ZI{K{xhZ!*LbW>oPa~s5lJ??h5)L~tk9do z+@r1Os#gKMpJWn{=}yP3dNWl zF1&bPZVG$CCg9ab#@eGr@2BEj*prCHs;_43p`^|t<$2rykI+yF5c=~Lf!j6U;kc7Z zpvn;T+r#j1$b!LD8&pPmEe9+SLO-zpKHjwt%Ck8FF8s!(P8_z=pDC`*?1zCeYMQ%F zBAEb&0xOEi_aP7`n8FFjiYtHKTwi{Zn-`c-=K7ZGe(3To+xH!y!T=JjPUauW{|25s zf1^{?GhR(u-;lM#Cbjb=xvK$P&iy*|Cu1L^_wPZEF`l^_DKXa6Sk z+a1Nj$IE~=Rj&pJox9sujqK+NBGL-it;=qdO?&#~2e25&^*dpw;mYN%^1(8bI@$_8 z#?-+6m$Tdnby%$?-C`w9p#Y>QzNM>jUsB8vchk~LHvj4?R_5(T;za_D$>G z`nO#0&DA+6gUClf@8io{bx^lzL+YM9Na2iVDh6ioHaG(8{MnXNY*q6d^k@TG;kL&X z6%lAG1FUc&YGUm$pQfdF0^fuHszU50{nq;C=AadT7`#aaTG~XNW<(znA{S`T9mGo+&y$Cpsv#u48l>$-xHIk=eZ?u<-OOStn)Y&IS zj|DIyQDCM#uVcM~57DL}Dj#ymvG`e=17)!!Vg=>qpQ;3!$KktjY%Nwu1lTiLf*fUA z;McQgvA6yezS}b-D`@!P_yVx;D~+2->!Y>dpxetu3lo5y`_#yZJA7Ei>3lDz)!CaN zCG~I_HIE|1EG~vzy8P)E7w0$G2d-Yoc}no*C+2>jQP;x7dQkpWW?1ZT6*Lz+bkL*O zU)b}X8o(fT?}px9)+>1+Ujk*9r`5wf;-z`U2(y0IXuga;dxnbdz3pax03#EyUUaD< zFpEMceCc)Z0bHw~TL&<%W&z77hRpB48U{$ya|kBkg1{DB1wE1T zW8M@HmErl2(S8Lk~aU9>ZeJGlc5^L!WDxduM(4E~CC4iZ_+vw=@D zf_-lQ>ZI%rKLN$U*hV8D1$Z3|tIlS+wZhdnlb@iSLqeYT0Q0RwVQLr5g7?m3zr4KC zfW7Qw91j4CO`~tC>7hQNV-$1TJ2hdv?WbNGBG)f-4T@$R>||)4o@aLU++T z1_&!@pqsgk6IT58HoHu|a_Q}s5QY=>*7J7k8t8Q)ACmvoa=ymh+2Ou}BQ-JB1&F3gn=|DRJ zeX!m;bCT2L7H!IRSa!ZiylJP=Y3_?;8a4Mm8`=mo!!RWjrKyGITkOpXnmQ_w19ele ze04Epzb5e;LvPd=>&jZM;dx_=-Al!~jWsZQP+&hWKoPb8#85*axHJ9`fGuNyxSzKC z_{Ck72TqRdgm>DJW*nm_s(`=kPmlHyRJ3Zu#~t6sj0 zV3OLS)K{G1`1XK#sQ`~Ya)9_Ims(?Oy`0~rayij^0@xTZr2v?~w(3-+A0uWJEcR?A z(G(Zpw_NqLDA}1@z?y7Lct5Fe=(uu~hEVC#JTPW_@)H|W!yihTKN>%7u9+;^bMSvs!iZi(+EI3RKaMqn`ppTDP z#$g2E<3)K_?oTv9+-L>Nvl^^ciGg`v23C;a{Q$y?Ure!1=|R6)Pk|=&Ui^-ndm(q| zKYNy4s+__K_UC62CBf?K zy53Ekd@t6rUvW0kJat|b!vINWV0@7|BAf3`lSu+jt4&8)1_a-H(A%Q`?`MM%koU8^ zj%~mKSOSP04JlG1OYreRUL++QJ;8i=BnpXzXD5HH1x}tKxXbobt_oV^H8#O0>DUdhYXARW z^m%2+JIA2muYrb3{Rhh;vB`S1DT4k5Yk>pItU&hK8cj!(KXS<&lgAq#sh?QdFF%-DYX&|^-! z@{vwq6MvJH>(S?@p8P$`T*3jLe?;5XI%w(L*D9iQihFeZiAg`X%He6RKh<03(?P?T zQ-53kyZ(bnm+dI$ojn+mV^C@0rY`5z910kv8AUbOXYA%kJk^Q~#d~LE5HUxe8o~}b zBu^wej}nM%z-%CoY8GmJ2?YzL;z@of;xL@0MeUH?jPxa_4F+M&Z~#al2Xn8m5W^l!{8?fz9yWzZgil2;7L4X>nA41p9gr~2cmh&B|YAkv@`d$JtU z5fT}OShL6}m-Z=y4>mIxf;#$P*9MECCxE*THmm-Y43cAf26dg)_DU zU)`=yPyb2vWIwbDS(&;|=G%m9&p!a!8YYis)qI$nFSS~IWDF+gIcR`qL|C1Rt?Pc` zAkY=@spix@&bD$a55_@LGAyXs|2*n&m^az~Iz)&3bCg$9GY4aDbH#7kdDCTS=s~O4 zU^RtViTF*T;1;>+l}%j>dtL_}NOh5D%pT4&I7@w?Ie7QV&iZqP?D6ef58Zq+A2OcF zB!W4}r+95IY%4GW3{1YPF1_wy^K%dEW+#4cBuZ#`LC{T_!0EtGx++5#h{s+`CVgu; zV`nH<(I5VcnZx8^n893WQ~%`*sOJ%EO%oD%`=AuIWZ2D0y?Hoq!J}7Tl7uf8DUhOj zm6IQQdgG`R73FyXUeEasn6d1<8*8$Smw#z&%mwMpkh2<&T%Ek{Ag#%_ri~fAPg`R^ z^$Q*}h_#bPKlca@t9$!!#`Q{ve-MtPt(*mteSL*Zk!&91odlC0*>}Od1YxcaLNxQ& zzFvK^&W$kBmF=oXa>j^379cIpj2+*8_pf>YxJY^Vc@z_N(+UJxAXm(`l!n+-fN`GD zsGX=jXoFnJH)ZOp3g{BYbMBMyn5xh4C~H}cOn-)Nz$5z?5iP>)Qnw|-!JL!iM|aj{ zMKES=@m>OmJo4hKsWH}^kSj<3VWspUUPy5vr`#Q)QHnGae{%*@0Mj^oQ?v-S+v&J^ zQ)kki@yQ6Tg)%uj4c09Gxq(qaJj%9Iefh*#hv0il#9Y~*L1)+y(-C9IJGjhaM5@w+ z9ypm2g~G1Jr^47}sP72`zvvH(DGfTOc}~upKs7IqMsmdVJEv&qpFG?T74P~p5JA07 zo-|4qi16K;9>8|=>!uDCy$3e42-Hm2?V9g3M||=F=)p_aiENjHO5`)zxD+{hSM9v={ffLfwell*ORjD2?kq@$rw97cy!Vx)KrAQ8@#qz+`ffQ_6%jx`ZLUV0HPA z{2wWK)Ay~x;%Rl%HC!ZL&gZ^U9_dH}P?8)&Ccj%L(pJRHg8;`cVps#w?E@6*27}8f z8MHGKyf@l;8CBh(3wmNnb@iwN#6jZ8imiY%bT29ylV6y|-bs}QnkTzR@0-lgX9Wx~ zF32Ob*8jNosoiKrur!Gaa+h#Nvy!*#%cUDeBZ>@T0#PSby*%YA=)2g7B(o>e$ed#5 z_}JfkV^=uXoSmAyHz^mFd3cTLoB=vwpgT>jgPfW`K$&~!xDglEY^lzWHHuxqP__)1 zs_zxY8B5}y4CrU`n01uTa5GeUBi|LyKCU#2at2t|Jao_jw(YkTV4BF5O__pJ+vVYtL^M2T4cH z(ROHnkHNg48@$WuPHW0{#css(^#&4;)=k*aqp_X;c=4b5-d?myQ|bwg9UK-SEiE5j z`E3&x7!Ci+Huio-M_NMxJp|@3V#Q*;Z|@J8i|dKODKx03wcjmaG=wS*9c?0H(N^`s zqv5emF&8$H0TU0j1+4XRXB$lAFWNs zHw!T|TVSVx&-+J~iNX@LEh>&T!bM59NRD*Rv-7&XitP#3@R7g{8>^F#2?aO8drCwl z$~8;!!>1MR24ZZ7sXb2+pj4fI~VXXwYKQ;6uDXmpu$ZDTyatzdNW*r2Jot@ccV7nr9f zxVrPAMcyQuI)HpIwJwZOpO`>p=k>*KhEFUR)70r&abm2^kt z|HVSPHeRIa21t+q*yyQjs4kFZxeX z_PG>bZf#O)(Xdc&g%lXbk)_xSLxH%kx#*Fc#%*(SQR(%)rC`Bsroeuz`(*&z$j4h? z)G(V&8}mdn1vZG-7w-Z!uiy(BFdBZ2rE_Es^lSA6NGN1jeK1%cV;DKvAAagwO$mP%~*YlJ;t@5N%sXng#)%im=8ST;SR@j%{fg^vYu@w^QekTn4-}rPa zz8BoMZ){HB>>>=oBlkX&6%7VO4_)td8DTU>YzxY;8vG{}2#!|qvX`tH#Lkkn?ZF*4;4@FX^9KjP{6I~l&~}3Sr{*w{F)zp+ z4KKB)^8R5+a!0S2`q+n<%9u1VE+KIC!y_ewypOxmgv2zP&i5q$GjoRoBrd`56{Wf? znigNIH$m}wy@ok|;Mu?3bQgVzw4`+;%P7HCBqHUH$R!y1qR})n`{XcrG#(jWs!+(5 z`(rTdUFH;WIpEj>hTRO;M4vEWIG_P2r0Ey~oQdSog|q8g!IYsUHfZKdM6-F<{jwL< znnUZO`%jnrO`WvUY&M_Ua-L5)lz08+1Y1kF@yO4Nk**Vz2(75+I~2A;uRbW4Dbr;7 zK+DC%F#ooBuD{Y!RqJ+P(B8_JeWtK}x&&mv;6)u0r?{LD##5O{dW%Yotc-MAd*8cE z^)FCdkgM{l84=fAtCBgChT%;HP$-jb@Xb{ki7E$(^~8GscK(GzmC#$R9VvAwNJ%V= zn}Hxqh1B0<-mjv`6tm19a4!{FQEH zalR5LC=D>#_Z^gX0&cJM;6YS7sf%8ovj!coWyPr8bY>;Ry8bYeVw+a|(pJ}X*Nu)_ zWNqB_MZJGj@}DdDLQb_eUsExZ;p>Zvnp;pU9yECGAc4sm4qQWw0i1Ej;>lohJP^Rv zov41^9(mC8ckp(_wL{7pp&HPtkXs1&^v>sGC8BJP`B(pXC={M)*?u4ZFMSR*&$MJG za62?3x1dm4)n=86CcsFR5aU`@g}uj|67g#q5DF@%DNbJ~(-8l6K>vS0o_1a-h%lm|G#LT2L|-hDIF#pLkGWm>c+~ zPlToZ*jCVB7Z?%OUj6E`=Q*e!7c;q$xse*ksZQ|CXY+_UCenbr)G6(gJ8m%f2{mhc zX9{W~>UpGoWFLkNV~QC-9LR$gc17r~a>&N~`t@s4v?QnNXm?4c$Eo(aAOje^hD^XU zKi<2N&$$$}LmPX3mEZ~vxsB#uu2+jnmTwCKd7@g+`|AP$wjH6zHz10j2ol%biOe9DF!xy(C_HP560<`~ zu{oYdN~=SoI`vwthm(=?U=6M8xjWj4T;fOX?J0bOgbsfYrKpv&ks^l@axeb7wt_{n zsBG4JXAax*30Y|KzygNOM9wj`QK?FZ)@mFE60`|W2NRQruqh862XAc=tfyDj^OyBM zoBdt#g4av67S5_5VSDO6^YY4h1g;($&HOEAyaxpp2uFkK7?EYoEKk1YNQWAD8asVf z@Qyc2b$FhLYkkzs5_&E{v3{8xa`B}Hjf*jNw#X4Q$&nt zS`L@aP1}WN8Pc{BxK(SIFR}nLvlb`UsXLve$Lx!^eLuDJxC}!MK1I#e_D1dr{*^=j zy)?uV6UYqX7`>>jQnir9MU_M?fDXrghcxZqlzl;Se)2ai*RNkx^?ZLs;Cbz20bci% z`1!4An3)3{tS&Qu?*cET;i zo@Vpib-FU7y7#zHA;QK_|D*qL7fUE-re%(wf2Hjla_Y9jQ_~4uWzgg-mbZMpMNIvB zWNV?0DI);H z6v?%i{4UxQ4;u13XhAL4hk@9I+5Ik#i6bqqHpKYagH6?3!~aX-$7ackEBF#HH@Q}D zryz?AMR$|e8T%rd3okXveu@RF|G?ToUO5adzi)=FSW}q$Q2GX{&0lV;l3{9ldG+(v zO?Q?@zd8@N({BwI(Mxxpecf)^kQ!7woSiePvQaV}{ut;rzZ{jNfQN(BDKD<1k}U}3 zS|_c4vN_jnp}u#`m98#zpZ_QK!!wpKZEm#N`J5iVa>)Gk*m5^TWdA1EmE;=`|T}i1NtGru}jQmUDBc!Z)lP7|j7m z+$oDF*9YPgSM5QJU?;2ZJCVcGkn8tUfG|C(JG!#dw8WUD=+hCxi_4DC9sZ0^hALmn zuv7m}iV5H<5ItliEX-#mm@(u>vCGUUI?WOPb_l2LWW^V;nMVga>kysNqy)TjvLh8MOkX1#yy4La{Yvm zA|&$h;Qpm@4?dZpK4m)du`WqNt2!>y5K#jaJQTNR9{R6{q;u7YwAa%lDiX1RfA>XRC>bAd66#N%y#ujhC` znS|Rfbz+o=#Ov<0%?^1;gTT`*gPuK4s!jSfh{Zrh+nce}5Wa%^dl~x_7kW)mZj5bO zH^sj`6n^dQaEe4SYMhbWO9IAze52;W?hR=y(5*&E$J+SVw!j>_VrWGxar)D5YFb}- z5ZEA<*v*~N_|~=+TsAm(-<67qw_@@8yGH98hi}jE>v1lDUg{e1qpC+5pm${OYu>kc z6B7~z&&WIJ0_g&chfjnfAQUtnVHy_Se|OM=Pg9?u7itIbB}nAl3n+DJM2#b4rhqk9 z5v@`#I)U9Bcza1D`bygIdNyt<>38+u#B>NMLV^tq9Al|B%#4k{UbyMe!8Sbhb~-P^ zJu#7Flo(yeh|relyRTNv-fc{`&f?+Z+sP*RZZY!XB}RNuTox;rlAjzmUyuix zFch$6n$3CUjd+xm?bJEI!E9LsjMlGy^e zMLjC_8Jnlpuy7|a#bfXLTLzCt-$l)89I+rv_=qFsn^oV|J8@cE4-O$6kMQ)kb(}zQ z)0Ewzw4uNX%6;yg`k65sRTJB*gek2*Vub6qCmAi{xLlj?k|3uXC|FVZb1t3}&xbMjb^{I)aAP|Vjr9=&N%<1AYBj)bzZrIwe zwm)&ui*8@A?%r5kb9kIyf)DLgJ?;2qlWF4_z|`|$hjWvx<~HA6P1wRwC^FzIijcq0 zEj5Rg5Mt7_-}S)n8AVm$_k?5STC4z0S{=VzNkbd%p{dzP>-xar9x;+Le1#peLvxNk zy|!I=NqIxa)}Phaj;C`ZkWf;3qEhyqxTAN|q0zM1=|2K|=W2X;@9EAJ-v0f=FAs7$ zuXvsv?WXG~E`*}BHB{8V=RD8$4`E6U1L>0bYYWf3Ds5ZGA0_g7y?OJ-xz@sywP5D1 z*;8zq8V$vVi1!y^2tMLOaZZ*x5VtrAW-O@Px);J;i)!93$=C zSjXy})S}FV+9lWWez@q0rSG?(I1o^6e?-bcV>8NJkjFZ^^NKl~t-+zvySFLyrv_VG za@obS)sbY@xO!BCk;L!CVhr(n{1|=w-Yy;<$a+tH4sI{^i!+q& zV%x8fO4@BaFJ#*iDlg+TE!VnUR_^)xzvO_WkBZZ+I~~?*^}B(y%COZZRH|ufJDDG- zIV!%D6pq{V!KbgTGI&}qd@Tzet)t4{#3?T9PlxiV8k@aVL@z@X1lInFKo3wtmBr4` zm*5bA;0gtFBG$7M8Ri1jPpLW`?Gkf*6}zNO2q&09yiyF$1yA+}t~CzgT{uw8gP>MO zOZ?C1q}gC!`U0-S?Uo-)HqL_e6fN4VNYk~my&)pN!>pi()IV#-u>mK4oDOdgs_g69 zLMISr;uw-lo~*qIa+><;9C|S2A}XzDT7U2XIQhWYP6dzhO-~NCHtLU6lQ#6n>_Cqz z2}eqpi|`m0ZowmwqA}MmcWQUXQzQ6ixoxi4Ao~fnb6Nr)4@+0R z=0-vh38gD798d*C`Q)+baA@(xdAS|m`rgx6bPTSUi%9o4hr-wxQ%M)|` zPb!WM9`h~0(Gj>-lo7axQ+#GT4}h4a-5xFud3TexiV9B#-)5w3{)ZoV;>-eDOe&i) z3|tBobMN@V?@0l`tpzFB2MetVc7u@cFf>=xF7&{&tY02YEvD2FFLOJ;W`*$@+u7>X zHaF;H+)CI508@SRyFU25gecbRVk3bWlJDqp`asdftexfPC==PiLh@5H|BKLD&uBXy zo$oNIAKenkg&9{OR6K{7@+WB3)1X6nxR<>=>=CD1jn5In*;BsYa_^_5ZDWl-_VXb- z?SBQfKARN)G{x@v!j`P4t^5%bLqqVtSxC+BKQd4SB&N_=3xa$Vibj-%QM4sK4A6Uyx064XI%`})Q z;H7Pvl0GMtou}^smMsc9J#f~~klHfJs}@S4v-7C70g&iw!8`XO(&Co-8R>gOkeB-D zaxhC+J$7>#MLeW9)SsTkhU>O?x;7toP3T1AN77kewF$Pgc)#Qk=_>rgo7E=W zV!E;LczI9qyESnfdJ*F2=m?~#2OO!XsW()2f3R5I<+C&12ySb?bKJc?RxT^wif=2|z}#eCuGVCC3;mxu`I_bwY$*-`aw|2uAw(CCJCoWud|vDAE_Pn?xvtxlaY zY@r+Dm3vkp40W#f+sz6Kz!Rs+%Q(+0W1{qeG64PtX|U>E`jKPIewg5{dU+m6!AQvR zsB#1>xmow@m35Dg1pVn^BH7B=VwgZ7HAp}w;rG!}qJ6|4fL(FwPZf>lQ3*J&h2j*f zUih=1U522*HxouEc9loi;2+pvT+m--+bklypJxH6~AF|TXF z*}p5K*|ex;yZ?*n4vpS(Wm^%{_qOUrGF5|QfpuPfFOcx*S&5;9Ro(k23TnUEm%XOP z^HsL3!@zX}p!TmteBpL~VAENM{m$PLGv2L|z~%UE%_To9{eP>`x|>#BpYf~yKv};E z#{~G)d7*Y3Z=(BNiI7oP0J8RJbjIjAnJ=5UEo^s0g9P3r;wq%z8)<2_pclc3hp%E@ z{k1)qVmm@1{B3FL&*RItfYNA|+#-;9{(8f1=Kz?X!m9p5o?6IoD|{y_lubxTs8%FD z^sAO}MXgA!lK+Z~=cG(^eThY9_<7(?`yl`qo%<&UJCy3TB#y1jU9^~JPJ{Dp6Tga$ zw!(eFPe$^KTPEFs?86_Mc~`(WJ9<=Gt|dZ+pii%Vny%5w;z-?AVXZ2_kWLX%@q6|c zjLP`qudIVk5fu`KLJ7D$&K>{79f0%jF<5<9y@v~tD*se{&Sd9dRH@~4k@S!e4**^+ zXD>Trjeal8qoely1XHwlDQX2%fT3qg52$X;@hE(kM5u21^`S0e`&V}y@~!}St`To@ z?m{!5W0w~yHO=iyI4W@}5{;$kzY;2x-g~uS(kZY5}1pZG~b!UIRmr3`EMIqe9?6zn- zC*YJTCATv(Q9Oe6M%s>2%VPSVOHjhoVKMdO*R+aWOI)&d%ry{Hu$}q2=|-cWh*scm z#RJ>o-ji10*W6!63{`4(I=HIcOw7!B>L=_5>_WDj!BDIPg-t&rnH31cd;Cue;0o=| zo8_`ARM2?&OUtWqE?_H4L{M$|TJt00$Hu)T8QCTm35XuRSI?XwirRBOO`B)22MN6G zG`8=`Y2)0BOyg^Q4mht}IGD>rdV%vJ13XYk5hm^UFz?SmJumgF2ehcELa>zKXS?vm zPzebvDk>V=?Np2S5+|~QLxSG{sB1#1jifnVWve2@n+P`=X+lL+YnF;XNEKSH+~H)GI%Iaxjzo*!Bp!snYe~i*=D~ zLze4}%PIels1D3R)Q|Wm$;fv?eE5>lYvU{0GW`U4*; z3uR?xRg-c0@xH4sMMSZE@hw*%03qmxfE_Gskgd?JG2M&0Eh$+4k#|P_M1B#z2ZlH+ zri>aGkNGQ!Cu|_Hylw4l`9gId&&!*O+dhn6%uAf?5&xbI46TA1bt@PnMC!+~4SYK2 z0GY%OtE1;pA%eMF4D&bX+Pt8aca3LF#VXHtXg?P4U3_QKcmYJ8gOzL_z2Q;Um^P6E ziKjL;SS%9!oR|ye1xS#?YlLeMpC^aQh16!go?rq$WM@L@CxcZ+db)QQUYlKL$E{17 z-4?~=-Bh6F2sPC9(4S>fW4@rs@q%80-g|q_3vIskV@?}7D}Aieg=u#;%^-9a3y_yT z8yinGSbt*o)l--Bq;uy9OWJv>V8kVn_FS17G(`5DCghWVo5v@SpEvFZS4+vrFDL#{>KGkNK31ZZ_s1Y2@0Q7xYI$^H;dZA6i`5z%IXf^L_UtL2vgYE@Cyk&> z^KD-zpTh{GrSnLpyKw;7lT%woV{yBg&Xj3{$>T|oNHbe!LQNmtwe;~c7@uR!+`AaQ ztRH2sZ6i-3t!ZZn>JMCpJK38ueGd;C-<~mgKf~WPP*cA+dcM_uIL|@%;Bi0qg$<`!5fv0Hx)cBLKnN<+;!mgeN%EHH%2QF;bMbLkw_pg%1DsLo;Lot_}cQq;emJA>NdybzwEs8-h zi(2FFW;yNa6Yw9>9n)cqq|k=Z_<^zM678@p%j?-Q7ROet$g%QqyFBFF9XBcx=g*>+ z?icG%F2oTnMp<%en`C)nvB1MWUtvzd+9UC4E^r&9fT_X=spi@FsttT9np zT*;$cP3a8u@E4<*cb`uJJk75ZVFNMBa2IHpTC>YPi@yZYFJl`U&p0+oE2^t^(e_2M z%_43;&A7nX_GV@QC;e&Sr|D^FxmE^#8k~Wp(Mz8r&!ft`@3XN4^q&2|nvq?mjI!J} z*4Nw$GlY5NuLkn}WXhCUGwx!n5ul~0k4R53*uOj;if973qLGVcpU(4uX$`b8RDu1= zj9uX%8MMK0m?Fk4qcKB8EnX%-SiFI({ZGGmvRwB>(vY1``(L*2@0b#$EoyEFg>5ze zz|L3vKGM^)S49L=|IU*4Y#Uj�VgSuOW^;LJt;Pe=gVo@{R`Kx;9(_)zqBGnwRFl znfx-#nNQS7Ra6Ky$#4JcdvLmG0VGBEuG=tbUE1?zdMQ)Yn`4X+q}s^&yGg1`r1=PM z@<0Hbf~YtB4a-KR>rQu-EZjCby6{_#HMxD!S0kHYcW~<@*1JFBLG-a8ej@z*?*vzY z>8cz!L}KKnbAc%)W6TzyV1U9%4Yh9?QmDnYno5rr_jiL?U<2oAT-QIJ7GvuuPkE@{bO8eDQ;!mT7onff=LW6H|a!m>aJ@~ek6gq3VF0P z-t9cTSrEcUlJQmhNq!o4@R+pFVjYU;VaH1C@;CP~-fi=b{=THQG2fomhaN!dXnKdD z0PW5r;&kmAUAolJW58Ab<=3lmM4$lf6bTbL8jGP+hnm10UkUydiNCU-x<;ChdHQDU zC;fjN$9{uF_v@w?{TxENq)9Jgzo}8iyt$W75xZvOBBTZng8p3}vk5<5w(}Ue`!5}3 zE-OKOsfeWu`Fu$^nNYL2V&kAC)?ZC#Z}NS)bv{zenpGl8@lt`*>Dv7BASQeJ1KW|W zk~e^xuW~6!JoSi3kkOp?*iyPBlD&%CEOLE$PER|tRr$p;ii~Kpm1@n4mMsA>=_*r9 zQZ@Bq)Ag+$CRF?HMElhr@I~&mkLOwY$$oqIMH^%eY00fAPw=l=Jd-@pppHc1$Xm4Q z4|kaB!@yij@zsQL$wCclg+Nr*eA{>)pVOEuaCgEgJJ8@vjLg zRJbcW7-lU^7%J)k(!6G%*t#~;SS@MOM56Ax)$$Nnk>Z?6Km+*IzRt2|D<&#PE5c6L zEghM*Ffil%#IMqJcn_-3g;gJd*;H)WdCucm)COM|m>oBy*B*3O38 zWUk$Fe`w6!weqa*^+tc>2+mUvTIg;=M@KifK3e+B@z34rdPT-O!n6CsY#2Ucix(OS zUgHwv7yNEkYWV=c( z3dE9Rl;JB0G}y7y7pSaP7KWASNBTqoss6mURm(Jxj4mzFs@Fe4Id#5*P(+%8gm|PV z5=u9!f&grpb)M`05!)8DH|bH`{%daq7Y7OM!5Z$KPw&|e4!s`IfgW)B3fIUg@ALQ; z`owPJl*cvB2ggy;vxPEob0zjthxqw$tA2A41-E|ux!+0ua~`{m^VJ<}=Dv~GyM!5W zc6M$(E_C=9r-*l{b$wIAB+K*8U#cY60h;KnOp_7*PYK(sy3*Ia`{&AzyTLF&q6*NR z076YMBJKQGchwPNI3Oq;Yh#*MBKq0XRYh#9E?YU!k`j>Z*)Uulh8KF^upb-&l(TtB zAYaCxj7@l~x}p7kRlz^yF41p+5|eyi+ChWRh`9=B)YYpfsa5g48HqB(pkug6tfb!6 zbNg#--(Ch`JOEv62H&;}x|Ky*@UO5$raVK_5SG{JyOa40`5Qn!!ImL+wW$~D{TTkU zYVm(}|MR47{&FEI1tiGBza|9<0VOh<_2&q?DLY=qp@q&rhbkeg^je+`lS3BtPK@gI zWe_cT!6w6$h;-c(o8c#rUi}l};Y&dw^#~70wVtw~@?kTIe)vxYUU%7+!ESgPQ;M;R zNh1i8N64ceO>7F5a?~(6whnsVk3wZ4yTM#Ye<_1vvRwVb^kt@d6ruaa>y%u=X6nzUJE$KVj#BA%J1M%3^8Vbe9ltvI&qd@3#kOS?Z$x3 z$=!GhiSY_cG}K;KS!v|1GI7{RzCbiw4Q#Dralr{b?ZI~NU&UHGLl?}S^t5ASz}9qf zLbJC#Q(zsSCb8+{?U$dFeBU6$XjRGO1Uv&MfqX>?+Axe=VfMa}V9=-dD*M0eE7GL` zGU=r6{^>}y>ykUlk-m-hR8w|~;!x=T9F=Z#8-e~qAyyHGbMTX2anf0mc5O|h0qM>c zqhFp{D|k)s`v9L~5}VXCKE2T^V`SWElD?Kxp28Dpv~Jm>M{tMG)AohkmIT|EAs#k#EU+v>{Mm%QC(z264W)CnN7YbQD5V6;zEdz7+I+#R=O!Sc-q zM+MYJ6=fTO@<¨$4Z;fMIHfW_&tr15egU&{I&ZfV{2AS^;+Z(7P!jMp8-p8^Q)z z68!O>Y=;5YlNQPqD?L-+e^m=!lmfIEmKv1vUtBm6nOpps6wrnkQ_md2#8_bk+tUfh zu5`?=eyM=JDMn$WRx-5My1fG0Xv6YAg9i6F&5dqT%R;fEjPGD)okQo1pqIIYMPL!? zVSj)BbOMh``c~sY$M8nT!Db(jEIuP$obEJoDZ}mnDNlxdc+db~v9s!;qN2G-Fz0PU zK$GpxUn*JfYnU_P1d2Q7RC*e=~_0wi&DY z7dk4AQ5$(Sh#mBP@AvG1abs6k7bIk>-W&}cy!Y7`U^Yvdnwq8$dBXPbTv z_i=pra<>%0D~E366oUfKZ4wOzRgle-18VMfe&XQ;4X+g*K`o|HI}<4xB)SK z`V_zUno-%6_;RU7Ui8kFh>eqf#Og{ed>&~5VA99NomR&~=W4$3$Su>pV_Cv|a7gn! zS##U1QhAajAnd^X2U9&3J~_2rSmtEN5sxsq{&N)Dk=XLfN~kKOPN`-bt0yiR;n8*H zj1t;#`=rhEKKG>RI-OA2Vk1?DJUEVR%8f!oWcFGpP2DZ*cWHU_NdlAZS$KrNiML02 zYscNb67{2!C|kj;+)x?!M=h_DM)@VW1BD#P-hZc!4Fky}{bWzo7r=&80S?#LdGWVe z6tWFcob`P;0!*Pf0+`?LRgHFksR88FpC9j!J|IG125wHNZOAksKwzk0l0N%+FF$)q z1sL4g-gxFziblx~aMOY8D|`mcO)P<$!wwLy&y+kDkj(NR z|KVkN+~$<49I+Sk8rtwdrn~BS*2C&V9)*R_J$Zlx^y;UR5EXTtu5&Y(sdGci%iMOR zR3UPO2X;b%U~z%Ri%ojQ&%o9xg@tf3E3=m!Niq(oB%p&} zLiB^kVtcVccKEBU`U&rsIQfY$Cj%Zm*i7}XlPnbLiI_!f+Axhzf&deL#I871y?4KSB?(R@G#a=> z8=agzz-7s|dH|qtM&4uG)AqrG2imp_ce@yDixky?eDvnBUD`-%x?eiw@tfN-?_Y|-@6)zQ80oL*DYwTUcjPY5)1ii z3!jr$DiBvHP|2YEDD{m924_=a%U5$y#$EG6iA9QH6Bd9bs^CPH0wy{~W0Eg^NL3Q;#!%dmU zU%#A+{8m2vPvj4@(bQ2YBz8N!>si|U%^B(wX(7=d!JC*!p?pmtwqF=nz1sHAF;xfz z^TCXdM!nmJurt^cXfguS={X`2FDocxgQfZoU+SK<8fW$pPd z_Rdcp6IFFS3wc0=BB9xz_y-FfstWy?fC;OwaQ@2AOmpEe(d#P)!bj5sT+pF2Z(^O-!?iBiV>b? zwh(28rd6O6;4qAW)Bq&30BDo2Qe8Ffs&W8< zahu0i-=;D#k_l^XfK%ZQ_Wuh;1R!9~7COSV0RH};I8;8^{=oyX=RNU`t3L}sorzQp zN3V9}Gpzc>^`sMutM%qk_w~H31LIl3_PUp3U-tRqnu; zQh=~+ZjkM5@%Dd(fuan%X;l341f{nRUQ-G6F9AzJ1pf9x+P>o~xGRex*8dIWB~+my zs>3k%9N@iNe*K93;dB>>C*J{*y8CCrLs1C{EX;L~%4_6g-B%cHL(!W=+2 zy)zQ^yT!E}CqmPwI%Pyl&-Ss}%@PrAVq&sC1dtn{%~R0qFpDQod_SVHOp82R_z0#z z*c|c_UwaQ^%x=9ra0xz^M2(Ak|7vL{)Qj`y<&_F~oC__lJb>y^n}ww%(0!s-c*q7i zWNvshs0?-)e9?yx+TWZ?8O&7y81$Ql%6&UQwg)86&~(JU3xT_<=Y}()N)|l;<}!7F zq6Kra*Y5rK&%&V%g~M&WTb-04@R@Gma~}_n1JrfUPOrwrOEim-YV8_O8y{N5e;zsq zINKBbb@OnI?Hj9>t?sV z>YIr=j5?+DU(45jxhaZ1-EQ??LAsDTk_bRB9s&*_$kV4!-wOB-dXOw3fLdwieL(K* zc#F%2!*zA>1L>j$LBHe)`dt!leq7MJBRd?eiv$3I#^22;^c0s*8-5=sbrBmo&m~8S z4Lro$d>nLNYcZTMx3C6-OccI&@2}!L(KrR5`%1}_tzmCa1^8IDY*&Iu?C(7Ac%`+D zqa!~6zmSaGN&uWMJ%~n`cm~vX3H9=&?rZZ5c$!W)*nF1-r|dIng3n)Ls-xgoPsT`8 zF{U&|OPT)2`q)68j-LKdWxHu9tH+6Jd#VwDZhCrpS*n+3dy4ukGH>{Oa`!{+3B3TG ztOKeaU2#G$XS^EmmDY;9LBErKM7DgO2YAHje`FL5*QNKmh#ORlygJr3c8(JXc42Is z^`kF0tNay3%}W4E>m`sB&Pyw=l);^}UWEY|XsRDb?LrxHGP?dSl8JE|sPY8X^DY;A z(%WYEMNmtn)lvF#7fh)y8anX!auv)#^$7^S9s34AYGN=hV9^%%l0$}N5{9y#JLlG& zr`+1B_m~I}6&EkBI|v4-cznS_YDT5sNn-SNwNBdHM|7*$w`@H_w6Cv^EOU1SACFnJ zz(ncUrCtEevA?sBA1BrFMIry0UT~**$KG1YG7_orb(3sUb8-rY;9X#D_>2n-y>P9G zICP2BARmZI$JegJ%rRwaSI>ZK2Raqm;?6q&8qfpKr#`0z_FX4XgpqX^y3u=_fyy7y zkBkGI?vEH%-Ui~^R{)sK19BiFX+}-nr!#oUa~M7C(mF ztUwQb{inaeVv7xP-K{-q-$+{2e40K)BF|4IIvb*+qpL@b+t2Vvyz|ugZ?K-~j?WV= zwccGYT$Mo&$+D;EzplmT67m}y*A~n$dSGh?$I*6VyZyz--M}+x0Q*Vjf{_4-f0;thCT)iWl%7Dz@cATA^(~X|1gw^tp57Cw zi1XwwU{V)pki6(K3hyF(d)>T0tPke-;J*QMBN#&!kUvf6Wk#`W)l!#g6x$~~!w{mm#N$`&Wd zp%SnuOd$=R1RQ|h`+%V+WC@$&BU?kZo2enrTAx?^CHw4OcC$XtxtF}VEuE^+nw#dxU%s+COOyyUtlO=|tf^?!kVFb>E>-kdRmeVG=Xk6- zy#AsKAbHaPnUewColf{ko!dy@(c(KCc3S~mozl-M;%4?RH1q)Qp7G^CZ>KdKT}QT3T<%lkf@?ckOKQ`?=9-BgiMOCX<&0Q`C;9N~t>2j4vITZl&l9r9$ zw!Q1s0ae~@vGdK`4$wX9ru|grzjljPs=OL6x<9aL>~Bm+tH1p9gR|Y|TQucn<3ji@ zVBJo(#NI?K%nvS=5A;P10?a!OATFpSfRkh6{BU8xP-ROFD4{ITRsS1OhK(QXTr2z^ zZR}8lJfFp`gDFRq%o7d)a>}>|TMSvJwfU#t;CltUcmOrIc=*P+WCI{X`TC-dd1WZy z2cVIFs-yn!05kyT@)&?^_N7bN_WuijKw&ajVQP53Ifw91k#CF-OkU9CWZ?e0-MYhj z1|%w5Pt455W!d5?$<-5jm!!!OyO(y~Zmd=bfUV^tE<#%Zw)EaH^8ES-XZ`~I3qTX2 zBdL<3Tdfi+uQzSflTBQg(jid8rtjnFs?%@?*lz+WElLCU`zLTL9FDl;1r^KRKRwtQIGBSk z1dD_M-PHfOYs)oFX(QH#i}Fae;{dCZ<6lppU09~uc|GI=^v2k1$1;Z-eY$c7sLGx% z?H)lvfTBTy>z=Q}H^2%=6?oO*w^piUTJ<;p$4MPR+`Hb!ENCQ?0QfWkz*p-R3d$Kn zz$z;dIg^l;6vf(!8-+0o;=GAFK>Mjp#~x=W6(pExvf_h2UDP0kc;AwBBFq_jS`0LM z=lD0{JV;1+CRaP0y!;fz!;Q}ACBXXV{ObYtA6(|I{^mjpX(U$}|0TJDoH}M;O$0ij zXIE&|Lp&)qpTupnTLO=@Df-{ja|Rv)U_ld+KrNP~3GT-7>cLe3-pVggzGMLvK<-uhImhccdhWk^sUVP(-QH`O$kQLIk9U zf+7(FgwPd1K&42%!?WIX-*wmX;eH?_S;=H(&Y4s8-h;nwq|L-|mH`HXG3n}{OkpsJ zZt(mw9SwM7TVfvp|LFa7tb<^%Gdib#6eqz01n?$ru%=b8nV)-bm~)^TEG#VSs;94a zkc+dw+f~0nkE~xRXJN2&FkRFQ^YHBTZxP|r|I=>yp5*zdE1mw&}>t|Y3HwvN}PX;c~EfASCi^#F)qLC9FMD*>+<1oxSvy| zL7J}23*{Gzc`L0fbJZS?r95Wr%{g6?q(#iA51ENPE=gPI!Z*Qd-VbcAr28_H-0>fI z(&dnWWjGaKWUBj$sKn!i#lSNLq&tF3ga8WR@- zG~o9_QIoLx(Kn{gLniCl=^|f2W>_^1Wha?U zj$g9h70~wc9`{5Vtp8_gASJ%c^)UWERDA&Wsi)_W@swF;lG0FSQ61_o*w;d9zW;Y4 z&6ZF_ujHd`{vN+X{^moJFKOHLVZe491SZi~^iAX?+G6K>7azO47d+kDK36#~dma~= zm;cKmE(Tf+|7UchTpv&Rr+cG5E;4brMT(~A$fzNiWFax+t0I)?bPLorQR}9dPYk>^pYEq+mbL zGrh|UtOZLbmqI8qSvh=(fFf%kK~9&GD72~#dc|B9)7SgPJwv+hX%?=LIu|wxw~c1* z&(u5JRD2e0jZuXvn~tBnUM+?+OXKKvMMq!P9g*QZb%zp_W{S($Uj*HHIDx)l{Nj&) zUo!5p4WBxSFVx0J&_oH+2-398S=5R7-1KoVeHrpUKLZ_?L8b66+RiOyK^O5JJzz}+ zGmsES^_TIBOpEV_I zU~`CMo_N~%&S$Yt$MTc#NMsWx9V2;^{MPlle%z0r0c3sz{uk>r7K6>UH+<;^9{7P^ zM1&>k7=B3S3b*9WIfo3x4=^BpY}h^(S+GJHII?3WiS(MvDA(A0d0#IHm$daDC;sXx zsWa~>jBby&R9_D+!A7&@?P?6AT zXl%i?7h32Q+&~xRKy%T@NxIey?NViX*Wg(lD)U$b=0WL5F#@eN<6NA{u4ptOg2wVr zl-$4bFVAe!)Ro?8r?>=DqCC;{LiL49AWFJCFt(UsqEJEdhlH{RHn3owJ9Z)u%T{Og zCmb>Qk8wH+MKo?n{cO%J%}7kh-^TgnPz+ZH!MAsW36nQ;KNj(I#Crq8L$5(LXPVn2 zK7EDY$2b)%UsN8;8QgB}%wDfI#+%|m#E;FH-S--!OWHd&XZHh`yl=&MDFgJv%eh;q zNH`0ui#i6X|8AgVLb^$2>{^g|0e;c_zyU3Z-*rWJQg%@BKd#WDR?t9ki6~S@q3zSi z_h0I=4wbjS!eq?#06_;6N7x4BCO zoveA~$#j5SA(0C4f@=Dqw^?N|6eg;qmj1H(^^Q&C?yvPQo_71#qis!mt@s$F14rsf z*{Y>U|1kWj`^Jj^gKKUL_{=~vk`ELq=C9)0Iq>uXa{Dm()kS0)yNk@<`wvY4^8%8C%n`Lybd2CLLU{wnYx6OQ8C%IAo-bZr{LQhk+^|# zh<{v{SW`ogfkrR5h4DE4i^vAd6`~~aHx9RWajsPxH_)#kO9m1Ab15oY1~@z7#e<5O z_uQ%6nnlh@WFs|H%&GznEXskMn$g@Lx1v%d)Ki`5f58w z_{h+9VU7fnwrq93KmgiL#C1HdeY<8@|cRkdhK`64ye+>=pPrZ-cwh+1r znIZ$P)=l|nm9M%CCX1%Yrz|r#<(1v~jBfJdRND@!&LE~I@Wpl$Z&MQUU1(6?slX#Ja*MHe9BS+3L`^X=!qyQmhp zy)PKJK>Mn`(lY}A5$PD|W0d()E?f{lxvQ`l$5OZU*4y|UEmHj)H=NsIE2xW73zn)o ze_?tooL3heHV4UH@P7OS=Fz`Oy}Ev%%~CZ|##i$>@(7b<-lTyprAWm5LUXj0BQxke zXG5Kj50={z1U>YK&N#2a7%$fLh(A9MI@U#3K$CTL<{=CpX{=~eX!whviuBFtet(~* zd>CcS0y@M6tuFtpd{vteI$}*2My;D~e>T1P0+vnl-i$P`RJ7W#`#kFkv6G(Zi@BnH z9IpmC_N`%Mj@avI3$3FUG96TnyjD5yB`-Rx6GNTcP)!b6W~3_w)44FW56la%V|^~E zm23vt9XOdtuK$`*GkfBC2c+bZ2Q$&T_A!TB+Fd;pK0ii_b?;|DF?=q&oWwuKKrY{a zx!A8Nt)!IGYGb-DNq2mCDZzwfj4FcrW{yUpuk60e?TgKzGt^>BErIrPW&@szyuFhR z58hVBneKi0RRt+iT&A{g@qA9F>FRig1xYJkp~Z+$lj+Gb z>5Y`HF6;H(J=|9Ct;e=wE=MO9^Z4zg#m>|8I8sml^h5O(wFDc)!R%uGEj9Yhs#OOG zMSee@Az^K5!7_P-iO28~9AZn(vZa0wFw1eHC`}xgEokd0eJ~Vo%fSo&96!MRWyjTk zq&tP0sBu&`QuI_rnAbcyF0LXo(tow)SGRf_F2_ zsn+iXZ~F2*{#Sz+Zv~iTbB1VGQ}c@tkUziC+16xW?bImt00)2;WSX zHs3~|zvZbuESQ443T50mbj8sERS+#1(w49A;zRpXLMPkG*Ih=R33q$lL{+n;4uK+r z$7R%TvKdkG>H;Qw+*Y=ahh6mY|1GH8fMI5=Y+Oc@Pfe(jOxekrn(LP9X>jedE@rQ> zK+2e*1ZziM>57Uy14e4YRVGbjBo6Q+S{+cm8+%EE!2-&m9OT~`=QbbV_&35-`Yzto z0|pWB75;wmr#T3_g0;mm9x)E_!2LH~@5+R%n!l9u9A(QCMy4d_e3Oxs6M0l@gQRK+ z+!dXM@JZRpO?`x!Q?IX2Xz-1iZf_(v)x`U= zYHX?bIJ@snh%20_yvV@h*#O?yu^PubZK3GKvfv5+OwZz5X7ns-ID8saPXl}Fuy&w}j>IthQI*o$v*nEAk&IBg!L zS=?h%s3q+?O_;-KYe+x*Npae}xdGFY3b%nsN6mS};dlR+GKgVnG#E=VE zZ}V--t_9f=iSkUk+1n%!7|NAlQ*D#Jn%(e|snW{Am#4N=Dp?Gd!w=Rd?S3dCzVuCm zU9B!6h8qBbmGl%XrhIdxavGH5KM-LW;)b5Vn_o{gG}qK)}W}&KYbw ztLhtuq0mz2#Ob_~N2#pq{BYXk7L=;NncM-99beWUu0+Ks%z^@}uk~7s? zb5Q{CLKImla!oJYw9=!z{HiJBWsV+^a6Ty|Q0(9asEHRKB^#6x>=?@zoL7fhgt1L% zl|jmdJFh9TFC$&hA(p*C##DloB@yj0wEXaFm>g_5aexwS|2%)f;F_yXya=h-1}`N@ zOO6zGi2I4&ZywnydLZh5>nvNH0RO`AE=3bYUbCnj*T|L{6tLdNQnm`=g8Iu>Ezn0? z;Vc9CYx>tnCaynf0~Y$gMu-U)>&0!|CMjz!!tH)6+;B=BIbZxB7H0NNVMTEIjOw2u zg^l*_VEN~e-vV;dMCSGGU&eM(o+K$nd-sk!GVhs{HVfI`-541y)~^b_YpU0D_@60> z#eW?SYP^6JCkA zpceZW>{`a__038Q=JtQqNd75!#K>L0(Rt9Pa@~mtyDaeXsT2O=#mR6o^|>Nw>WH~- zZHXq|fx2cL=bcWQZ{Hqj7rjk#b1<*4s4`8l{qeDgQa{dZb6Hg&g|CIKx<}`_|1+p_ z&C#`dNR4P}rdjcp0_A!Rfv@$MYHsx53qlz_I>RG{k?h<<`VR^}-yGGKWMZMBjP4kn ztBg~zU`-4jUE&0d}GmiszG5koT>9_#nyC+ly>4^dow9}{~Z5LcdiR^Io0 zk#2gXoG07%&v8GBj;sf&`nIq{lq=y1SFTzm%8CZm=)5!r)I0r6Q+5kXf8`M!=h@ME zs2Ex~$%V$gnLK;VA~)WZYBN&tvy?L^S9Nlkg3&kby9-ZxBn+LQXSg)ykNGzimzIhH zfA|Z5l8yHw@)kPe$t=Z-cXcQpTD1Koq!3&bo>#G&71RIpjUeSay>pr(PeUHyqNsu^ z3^Wil6bthzySJwow~E2=A6eeQ|;%s}++TY07*D|xYkBc46j;$Hc5nj=jwu+jyq|0qf)tU?vy(Ot2}fATu^S1Ys=b&?@peGOcMqN3uQ zm{|#xxUF|{_||;Ec&0whNVd7T62J5vs&r9fqZgxdwaz_z{p!c(AJVc6|66MqsSLLI zR_)R5PD7~_z5h3^ zqcZ{6H0}Dm2`Q>LtTt9@t5?vw=gMI;V=eDEtrN$8oc$s8u;^c{E9U5s@oIY|_xC&> zhKHGHDX~`wlJ8AF5$^r*&~G1>fs`)uGq+rokT~@D%f;}>;_=N_pX!p!y&U*=9dhHI zvtAJzcWL6f%_ncGKdM(OCca?#|*sYSiyR z(ZIdA_!aUb^DH8ji!*6x@0?GC*5^l1j2LobVKNWqUbe~|)3;bSU1FH^c&gDe{Xa>6 zTEXyhK9h~~H8xG+h#~mWjrlY=jgef{rNR_7B^^{uA@76{kAAv{Vfc^Y=coREK`ZI* z)}ImeuFFU>EHCluv|a1L^MEXg4&B2-J2B)5wO&?0Sx9A$fKss2n+Md`gJ10_S-x%M zmX|o{n|r)^*_^li>HYbu&u+-%xokZeSDUD^tZ|^PdaE!O$4&`Ox{?-Z_3o0A^WyU2 zVqA*SYFXUd=UG`pjwkz;1Dm1UR;K|i%de9+e6_rkX!tYiV)c)FM78Q`xp|*Y_1K>Q z=o;a2>rrgM=-i-ap2WX@|GtD;Jt?v$-BmIrJt55CSSqq2FS84s=oZ%;Bf&Nb^LE-I`U_gwBO78&~BAWin`n!9Gf6KH-xU+ ztd7?h12^{^uNElH4rCa5F6ps8*>pxg=_wXY%hi6pAg9)oT7C0#_jGH};K&Gzq+_QF ztOKk6fHOwje48DiNG1PR?o3n}p7&M<%6mNo8uNxXL9bC1fzkM8#gFgW@D6Z?=aA{wzeh-sdtv;_`63uyj&jbf4WP& zGI2kv+mpSkTT3QFn9j;M9qw&aTGc&Bdzq3jNguX8`7F_vbV1lKPb~-RW_{4gaJ_M| zS%E$_=I6&(a7ON{jib)uzwe)Ok&&N%FZFy+K%29py2W2rkG-yG_h7p>JUW&p_-z8G zjqCB@znAIhh2CE(KfT-k)xMG7aNxsbP+08XkqQ#!kAc(+*I4P|;8>!&6Ctlgbv1gzTO(TYicO=A zGH`iHbJPrl&ECl=?>kq%Z4(%8?8Y?roP<{UpI7@r%Oh<$) z@CETuBM__L#5&Hqq7rq{thmd4Im%)6F4}{$#*n_Uvm2_Jy4tD^`N{`d{tldycwq05 zD&o>oD}eIKytdZ@rTO01G*X3SLy)vq7pubPD2@2UZ}^$;Kv<619u4g`fzU{CF+}3JV7Zkr!m1Uk_j1#sMR79<*Fq6|;S5AV!_h zs~3OVfXa+_K2e#lIhKDIWd(?D@ImgTu4e)iGpM z{A|G5lZHhw`wy6%x;QeHBURm#?aROS!2pm*f;t&HeunYWKLv^D^X3g&fksQxT_YoA z7L`pH2;x!OBuoCV>41Av%};=>{%rQJ!2SPz(%!iGYOKOC#U)vh1_RPseaO!l0hg@n zK6*dDRP~%3ZNv_JHp-+GP7zxBR7h*-5FuQe8!3J(o_UGhGV7YRR)hP8P@$3GVG&k3 zDJLR^@ay@HVqzM{6XlMRDj?llbC`TZP`HVOOL2S5?1ggJ)he5&J0N3L+B7BHVpeqB-TLm;<|JhmJexW4 zC)X@DwA*xwmI6e*oBp!M!KFVr z$(vE4W^L`BRxHyODM_mb>#MUU|XyPhT9<=d$cnpxg0@%PqdOPULcg` zWPiNtj$on};~^*Es@W9fPz7jZ073$iS52}{{0K!nTr-i{mEs_A;H(n{%0Me$`9G$p?U!|r zoK*@gQv*jQATM^|i98q6p`VRKlyL7MlYb0#P1Q$+Z4mKE%|26)I|z<7ch}16Q}Zdd zIqQN}j09xeliWQ#;z11N+6cSNw$=8oVJ@S!?acVrst7sXbT~St3@?s3!YC4-D&%f6 z`g@_fN|O98{8gd(%awZM9M+F%!1hN!5r4?p#F866kJmjCxT3A>>PSXebtu5p;=Vgn-gbJGV8VgPv=Tx6~P^*>sPXSx8rj}~DHsB5c(UPcx|0$tm zKULuV;iW!6N@RADWQPg);ON8-zk9cDiZa6Q{#%6#*vEI4a#Rrm&>Y05AHv7!-qw8Yn=NiD=#n4x{-fuC3t^LdRTd*gD@iL zC3^8K`&>uFABDrso}RGTX!$w+y$}8hQDrvhyWPQS6RDtgI#>L=DF z{X6Wbf%4;c9Y;iKeaEGdyNKhRDL;Ka<4nenIhFUw4;5F-3KzjTP@wXM>@j)ztwlvPm1hYf9ix$j?&V(R zBGclWq;x*Kyw(Fkgrw(ATtB93ZjwtI{sA);G9oU|gfY^%uzi+BABjA|&Mr7Cs9%Wb z4@508+nGk`p*`-eIMV#3=%fXZftxh-v+v(vF#b=cS^&T7wZ|6f^6#voDZ?qpamvbG zCoLM@8!IM60mPHepGPtFKbk4NBI}>^R+X9jGDIEIEjrmCfm9I+rpC2B_{@sa-;K{hZuS+>7=-p7{P^k? z8JjOh*2I51 zfNbW*zn5D1VCVcNCMna&Ibk!--#@;Z0|he;=DGPc5`CpgypacOe-XLvU))rKRWIND zAero~&XzhX>;9hL21+dLiq+Nb?(SG<=OkA1d5hpyE8q$Ku6KyHkBb@bIqD<{R<`;7+wu}J)lai9q9 zL*pNKf9~KOk1xE{d#!(-Z5Ec_3?*+W{fvSi(u|KaaqGtg+$K%q@V8~mAQICl2yn<}ixZqTmA;o75plifnPu1v*8A_yLl|?Py)gVMpn1jLoUNI4R z{@v6mq!bF$EaLmfX<2oE9bf);w%Y7{3_-B$&lpqm!8`qz0s+y~omYR%@TSsfE^$fLy7 zF;_rbWF`1BabfmXxUn8vgffNA{Blp?7@fTP=9=Ejfn^QO&%nlv#B!K?p1t=HwF3`Y z^)95LCvualPT2njjiso{7FdpH4}~4ffm?}5aTWz4?Fykh5wcod!T>wr1zo}#th)03k!I0^6^EG;qWlS; zj+!3Y5vPrO*Kijekf`AIB;b7};q(B`J>nj1 z@pJ415C#*$v-fx;EnnTV6_qJ9gZV^1<99pd+Q=*Lk+N0hym?9uG@xQs$ozJ; zAw^M03t{OJWF<#tq)ajDu4$Yl+ewlEJ9e_?Ywph${2ysWMGG|{vw%@;cKk@o* zU#GzfhRJ3hzCx`h1%9)gUd6<*JNS znKpNNIfVRN=;DKiE0jS)vODG|;8w!O-yw=zhE;kLYbPC&YI|h3Qt)@y)0LQz2Iu?< zIUzV*ft|eWSSHLf^FZf8uVv5tLfql-!GV*V*ctyEQ&dqGF8eC-Kx@r!p{iF^2Nl>c zEZ<7_@k~irTp{FV(d&Vk!N?k(1vyx_F?uQ^OsyZqmU;o@itrU6Xro+{a1$p-7cYrZ zFE1~z%FjkMATCpvTs#sfp&y+0N8ekJOV1H10LoeynQLS>=~t*nO1OywAhaTJC^rS zn+5V%MItL%7X6w=0~I>=Mejbh{HtG4XaP}VKUw5kw2;D0w7QZIOZSiT3@43&C5&i?TMrWstfJG~NMIJ}m(ws=Ig3u}Mh+>X*E$KEtzd8nn0?1zQ7ea zOxp~zM$k77$W0fN0X)yvaQePkM%r@~#XVEGWl1Ses29ZNuj|f%!SN>?Y_(*j4 zSpwXO%jNf@f>%OJ0p93b+~vAs7Q!Rbv?ORI?YoBld{f<3I5SS^FE{$NymdekBjN|; zUjXoIIUHQj2cj5+ymHd4%N@h)cLs3|@o_!=Cw0$DexCU*@~>mH;JbKXDK@2pR1`}@ z=Q&`rxOWs0t%8sM>{#p)W86vioMDJ170{Fj6QpFxJJ=nb;N(OQg3mv6UVDUXfxfy7Q zsc8j*Z#R^imD1QWP2P7av-NEiJ^(=WQvJ}?`#PwX^OF^4=PYjK7C^HX&m}PiY=re) z>^3ijyzi_{(Y=2%=@FDxQVs~yr%qA?ie27+FK4$h--(r@CYZ)AS5BzcLdg}2vLn<+ zi3=U-k+z=h^`)P0c89xs-A@=$JSAnWgKquo_A2Md5waV=9;izk3=WY$iseJrCbnWh zkHB!%rm@T)RIa;J58wQ}_39%WpFF~|(Cz`Aa(?kZsy=;6#{#=<`AL2fLAd@5W%WG& zU`+%W?KM`m^#Hf_8K4UvL4Ct3ORv?on5O^zYlA32{VM45A!}pxBROt6|2Id-dKW2a)`UsF@01Z*`yM+2>IA2#w+;y1g$T&)G#GUBuSwO&KXrtxjWx~P~o zN{RO2n{n+Vp49E}u48myVBoxLJpGjzg@`y~x!TSsKR}o)vu;?n7tM>RKV0{V zP0!ALH!>0OPOP*RKm=EtyhxE#e2?z{CFk2-gE**-Szdk4K2?Z}9EB0+tq`A7qlCyJ z=+|`e+zS?H0p>HbzagoexXTg}Yl^|&KgJo#d@MB<>fD=W^aSwSh?A7Ub(7s|K(eV& z9Q{3o_ylhwfvlMm`KcqRMBw2;0= zRDc;N7T8w$Xn&iJ)E>5R8>rSAhR!OPq;+@SyzV#iz8B9P25`N%G^k22g^m8vXnWSl^3VziQYs5l4Irhg_s#!7QEhu{?W}?uGALy_n84RpaICM zfI?hyN+Cla;dIijTtL>Fp{{RC)GZwOE2xwd$db#vN~#Z) zbNL{F{-)!4u%iCY%URF`3WMT#-g9_#;9a-(mCSs!G@4SS4=cMku!63knL4D z*D3N3z7NlfJyr}_em~d4qJB>fa8Ea=si`&b0WOy~UM3z;{(02(sYDR*gL#d`P6Rm; zzJBufThL{pc-!HMb{u~V^c8l+w)xEN_fHo-zP=x}zx}fxj7!-s5SHCVkrf9rDD=ch zY9LixaPahx)6(w7C5{AxB&JfstkA$4H*TC11c3uzh?HT{W;Za_Z6pU zM7TBTIJ11PRs~-Z@n`w|v*RyS`g@hhBGlm2kt}%esQ?sR;^N@=`*--;CFI6@M!?Hk zk1uLT(s$CMnnO+m!yQn==A=f)46&Ksuu)ynPX(Hc6+7=WGU%d_$X0^jE6tNF2i8=3 zq5!1ywQB&XQP4|@mAG_?XE7&h2QQ7J?_1rEIx8hDkp4n>eZWw_6WH>$)2_MI`)RA0l76hcDBbQNh70u!Dp*@vpQ64=XRjp`CW|+J)N8tk zyn$%t&U_7I1cK3jKFBxR{e%o%n>Y`ETQ`8#V}W-_0qp+w@84c<`BMT8RrGMSPV^m^ zw!)wHvZv_XA@f^vcCfo)6tzr*OW!8T3<4wc3sq_Df|g%+)O(R2;+2-^oAJ!|R|vqj zWbS@E2f%T$n%6rZy^y>G>8O_RI+0O)QYG0kNJN58GtN#Fxq%z_gB&GoWG0lYis#or z!-hKzuXmS>5I?NCw=SbxHR=~+I74Oq`$(3K9{_!74C2912SAT00Ni{IQ2!5Y-Z=R~ zI4kLQuGvXCal}NTs)ip~f*g%d{51RD&Zc|))^R zj8s(nw*4si)+GrJ4?m!F$yt8)&Q2LTf0yGniPzbnnl8Qe_IWe|Wh{GY#pQt6;D2me z3Q`WJtBE#KTXtl1Xx~~z9+7%)I?#R!vjm+riYj|s<=B;*t9l=xVa9+hV^P~X*AvgO z0yG6WeG5l2C=`Qv$erHKPr#}Gy^i`%!)c^u8IV=|O!CvW*JwO)Lbw3(xBIIL9Pfv3 z9?}kdHda?fp?;Z?IAO@NhX(?R6_A60%n<Q^B6FEUBAK0pf}XqQbEfGUAJV_ z^hhYHvGs!ls_BZNn!b3Gf3FyRd@PZt4yThJPzpSpcbZPK268jm`i6%7QxHy20~7_8 z_ZL1di>gfWfJ8x@ix*-hFiRaB>}sQj(BhDLJ;p<7T7^#3T$>%4!WcCco)Rx<8z|BA8dwmGZ?w#x(JLo(lF`t?(CwbUpFR%TYp z3;=TvK(tnZ@@s&Ni0Mz+DXHn)PXK^zaS&iRdxd*svDI__ z3La!4_N|fEXc4{S>%VLZeNSA#f*ZX^34r_f1mqWYRs=&QoWUF7XZ}9Xd7xUc7qSO^ z-+i0rWd(>chR8{jy9_CFgb zKLPSIdV=2+C|2l(v*c@*6F4tdv!%vg=ChOHk=Wi11_YagV`sKu*7dr;B|X8&@2?ot z^-wkzf9EfHk&k$Lqe0pEvD$v^!PG{~uCidnB4SwmB&x(HNAHw@=m-Fha8ML`@-aMe zC%b!KSO0W!jQo=B0JwjpeS5~~xKaNC1;feM1eFStYZ1nJW&_gpU^pTtGOw zyl!FW@0bRqpL6i+1cnmM1#Fch0A}9+kk!r$d2g%?0ork~k8*+Xq>X4X6F?*m4h=0l zvvfEwFaJEq{~qC8^g~{)R|(){<2j{4Co{zS4Q$?6L4NTw=eYq7XPFa zIypBS+9%VISTUdcqqc|HW#GT_r>6@PpMib69v?y22e^Ts$NjW&#ku_mo!zaHa;x zFW;wHBmu0L9FkRm9cMeDjJoY!Wh^p~iMsplFS2@n&y>7{dvo*}YiYjiv9Zyw?a&D5 zmO9Cf&6_8n(8y+#?D+4r>F!~Yj{|y>*X#sGbXUziClHDrZDo&x*a*P$KEQ>)xaQ3T z16rh+Z_=*d+`P|}E^I{cn3A47d(r~o2WU3>S$_=;MUvmU4P|_44O+Rg()DjSO9gOv z;G+*;Uh~d~+yH9PI8bDaYsA{|ISZ0jqW_hvteot$bS(ib*7BrxjoWaxV#oFu+cSLE zL2C2**bxx~PLSE;lDc{PZf~!su<}sm;vc=ibgUXt)+GLxraDf?1yRly@Qoj>x+@v` zZ>Z)}C;`0PZN3*j`wK)dV`Q3>H3(^g?GvZs+pAV6sWb#zt z{{qb93Ap{g0NKVz{PHZ#DS6|xsoXWY5q{lC!9R4Ya|JB3=Ts5%Geq_Gz=g?rH+`R( zj(-leE5kX7uW4^RK4XHQr=ZoIZeiXmn5|J9-gV>2Pvp8HzB4%=@UyCA)$O@`2?TW= z?^l2V+-|(av1?orcnf;Ew*2)>>=d-?jxH=Qhm>ql4zy};2J8#S=3Zl4n=_A)sB&lh zy~#%WDG`7Bwnj{hnl^tx-0XSbZZn&xkbky}jEpB6K%p=ax!yD$$^vzLFGwVOFR14p z%arwC27O{9v`U4xcW87(wL#U!$@UM%EmIecYV}j-fpZ9spZ&dAdlK{aa_WGT-%k zbdWe!!->{E_7X>%Hk$D;S=HpU&>>>sgC9N=1D}kEcY!GM<3wCgBAuX4mA$`n?_0fF zA`CSDdEjgU5oP8jNQqHF3pGj6HCyM>{|clPMc5u^OXC+>fZ_{;^jsW$JC6K42=s(g z|6X|H(&Omj`2%$bAben_r|tBUN>JcN!6d_@n-aFoNgz!9$1Cf;3HZ#o*ndmUVQWTF zLUZmPUmzGBseQh9Mc|nM)JA1xx{UYKKzqQoBNpu1%U1Cq-v6w-_qE>TG!;rlVaubqO>)HY&S;t3p)xvL&hm={GNj{7uw3gq za_!cjP00{eV`l+VlkiLj&_7Rp1aBz`u7n>D8dC7fXj{S=FyM`%0PfG?H`CF2Y0C%9 zUg!>fyQ#1H}FxL?q|^MhY*;$oLCd%FSJ*cK2192^`WzF#>Q z9?ej@!#5}#z1j|C_#E*AF&ZrAj8VTaM$lPS#LMeGXF*F~4^z-<x#pQbZ{!?Owk6SjPA|>1LZqw=MS5Qb#2o%J5c|1vh$0j+4O|cp1)18#dw1 zUa!IR()>mV1i0@3t7GqkR;yk6Pp<(txpo&#c~G1r)>&UG0~g*JtIj@Y;8v=Er_3v_F>qm6EcO5Ydg}k@Vrb(Dtq{$1&6>=Q65uv8OjpwgRejUx G!T$qGky~y6 literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-comp-6-no-leak-eoff.png b/artefacts/resources/patch-comp-6-no-leak-eoff.png deleted file mode 100644 index f568d8a8135cd53410d4f19f3e97a0d37e8d90a4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13929 zcmb7LWk6GH*xnf3N+}^I14JA(It^;1f=V|`=@=5yh$0{$LxEBH!cb|D8a)v~WCI;B znjymIkd*w6-;eMA_XF4t=RD^*Ph9tPU)OyS@0jYdG4nHnKp-}RfsQ!{1a1I6_cGE0 zzs;EWegJ=%{0*#8AP}p;zaQ{f&^H`#@M4gzRgi@rGAP73&>a*K5+d#4>xFW4_IH=| z3w)TnuE7riiGUC~H}8e!txbjJy?*@nY>Ry45X{HB3Cg|+b^1tmK_rfWmRIMQ0`cB! z1zAqnmE4@Leues|>>Nx^Ax7W)?iPso<2+`F`_0oNjN#R*g;(P`KdF;9(vB_(W@>H_ zCgHW@?Jb<}>g2xW+a_eln3vW=%*FnuPVps13LicsMkcl=W}D!xTOO;L1->~6R-wup zk?8P`@fG+xPOLxZLT`~83#F^?&pz4w8cEb%fiEz<|DkEB>ht{CTUVyRQb>U z{@G9z^R)!Qh9@Y2)Fm=Jq-e~;TT3px(cA+*5{ATl6FP;HE&buyue zb&Ee2^=!@I-3Khh+{|$N9FBX@1zAPIgD*oiXg$A>ZzNS!qiTsKtahNK>5+zq(fC@t zDSiy^LV2$;hmz)sz;&QtHxzCjg?4_13WHHGWrWq_#Y1_gcbJMKm;sg4#g&Wd=gO73 zI^DC72T`FtfUb+=88HB@QuCy)I=G_xRW0vm{JRHq7hUJYMr|=zmO%t`&D)8Gxu7bm zr|9f#zx=g`rMrO${t_U)4V?P#!h+E}R6h^5nRmY`l{ra5iJFI^`}*%zO>w^xiKF2Mvn-?ys=&PIfOZdvgrcS8qWPZ^oG4=OhqUqvhHfq?~}J`pSKnBn)Ik zCkgNgwGq^bn@B31YdB2;O~I($IM5Agb-moNm9eP1h~(v_;xvp8!BAEb8kxRE4uU1@ z|9^X=p+x=j8Y@ItEqdY`PJ2-Pe48a^>BjwW2ud3HuQPD8+PO+3iS5EYavL((21eZg zts6uK^?x16y^`O@g-#O72e*QbIj<(ELaBjhGH?k5y+@0rQ3q8N4YrB3VTw7U+>kQJ zrTRQCUSNpk6D(uOV!4=dMIfE`kIu4-$_3m^hqp+N z;Pd)!{_BS*a*t_K6wwwd{8cCo)$|P*-mq_kOb~S>N8OwR|C#KgFzhBOMvqSyma0lT@Xt z@||3^1sOXz*qM>QHC@WtCqZ;y0J0(5|Mk3dK+i8b@lcn(Xl3vmRB{)Kb-3Y38L=u1 zR7IEzAc|rmV;+RV3-6KEKPY+%l&6U^0+kOXzpD0lN@^+`&Afq91*#YCU)e`dtb zs__sRNhp6$myzEmRWQo@B?T{sHZ1I60MjgV@uB-JOA%Ln;Nj;lunE!~v)>>!#?FAg zKu^TFft@2@=KaiBx|;n0kLD$gNjKzu^td7a)uk5ndmXNDdlq+O9}@$2{$&qscsL}R zS;Gk_@l_xnwKSVp0m`i^T&o1-xyE0ZeCybG`F=?6pJ0l zq~+SNviU+&B#YI^Xq?#NjG-FL)>l(=40fYSC;=sn(x~C#golq1`ju)^hNT}vq_cKz zQ?5|V*kEw}h(NY#&P8i@IH5pDWGT8t~u1gt*@Fklz9|#f&41} zRT2Mm4W15wn1?sgu|cQ(_b`~^npS=UKWGxPLpu(sARTL+{|PFn%h-9`XvH}kYiw0TY zv7&=k4yA)Jj_w~<7oG@SI2Xd%f1jFIu^Gv(F`g}vZC#8NWwiV-Dm@U@WBmV)ThzYT%&-(S9lEpbyU z;4Mwhy?SV7|^6FDVv_T`yNXj`j&oPlM$R3*8jATPXW`60( z#4p4$D>rz`t7%@z$+lDxWjLPfFES*Ac{wnzZRSmPizFQ%=~Ts~v_;GsQna7X9gF-K zXl%{pQyeHUOUX)z2@%U5%#$a2Ct@@+GeIktm*kzIcKj=`9!rP#^eX5HO(@@0a3xy7 z);xD8yzp7}hNzvl$Z#lS{iEXNCYvx9DZyxo$&0EUe)1Z!qAaG6RMW6YW}J#}CT)ho zbJ^3OGuMn*rY#+AZ3HA%iZR26^f~@%cxlTym0RX5i%nwq3lTlcWDwn0kNA>=_lZZ< zt2dm!M&?to=mJpbzQylkO-~gG3NOOXT|HZ`)aU%cS;kJ0-s?fQ&}X-pm?-q=&ll97 z4+|WF43J^3UuGbw*xO9nbwbP$tQu{)oaQwcA5R_DO1i7xy7X|(-HkCz0Ssud;`ngS z`+f_hG^ccKZmIWqgBTjhW{+F&DC-pLRs)?H+5Z&6&li5NX+pa(v%8HC5?ncZ++5+S z07odF>n}c5cZUB?=?zOmvrE`{Q&xBy@t%pQ8T4JAKEb^L@^} zXvNyvxfc>bP?-2}QKlzER&3l?>>(nDPUE(U!Ij<^3u5`_dO<|ic_k?-e^L5b5A-pF z_nax(?6DEdtm&eP%sAXPniBsp&oq8ekv@Cu(<5fQW9*;qRhU+4YlU#-grsuHP`P~D+1O!F=a+m773Hk(RGbN6gB&58y%LKee& zW6Uki-=+hflX#?Da?>6n-x{j%<7`MucypUg1?EP@e}SKHYYnTWOaM{laXsgC( z-o_=pMA-u5;ZFh9#Rjdd#$kq~8q(<($&#{N;OK^%(RvHMaS8IunkZcywsK{i}N zr8iwy=To^$Y9x3^PJULQ{(7^KxON3DRW_GdQlIyhWhiG9Y7f@9xaPbNn2oJEw^tQJ zD@|vbqHHyQXrxtR&Ngqus9%P`aO__0ORK5P0%6X{2 zz}I!A(Lf;$fLNta{*O`Fv1bH*#8&=8ZY^Mp?0hH8NG=HNM0HN;AKmNQqtM5o1|!ws zO9!l0zR3FlUBB%rGt%;E8?v2j;=3zoAQEA|59t6KGW>3 zO*F#%T{&sDp^@-)Twb59>R=BN$rG>GSc$gj5i&E9C^0sdK{%$V^YV>H?oWlyKI4lk zvG_nLyCCdNMoh_|5P8yBnDLoZ}sa5Yvn zA%#-TmYaMYzB4D`pRBjw4jVLnKF?1BdBsi{VJ~^GVIYF(sYQHUq1d!{_E9HA1{19$L0ELu%>?Y zm^=t>tB>m$E5nD7&i`kW?6wA54&NTnMWw5Fc{^s0P5dNxWDcDRy+bNMHkg}BQJ9@% z-krx02*_?8Z)w?D+ZbERK5^<0j)K{n?$qc; z(M2#Fi#>?|{TL+BgZ07MNmA9kSeDHW+*ALQEc0-d!`&5Xy)wCQ-q;0RyNhRvZxIm& zx3ceK1Tox6-EVXMqV~=wQWSsK}NV+We>=7J}Xavp0daZj!y#@p)?k za<%nHrSlhPR$Y<1#2HVfN?Nrc)Fj8`P|T5U#S$H1)y9d#Npdz1cLom6wcZ`KX?b0x zP9v;*G^IH8Fg|g7xX@;1qWvc4b#&1C{j}y=ulp30KoLCXDxH~RwGLtQwU`uS!~2U> zwX{~|13vnQX2~RKDCNidb_bL$@%{ctxNB>>lt-?(MipxLX~=X~!u_%b!++x&d?rZ1 z(x~W{@bXI#3^b7Soy4K(Sa^-!1ic_n+x^%3w`(DABqP4aN*yer)B9t1y+4RMaO*4I zc4Nm$MSu9=-ddkjRjc{R?sQxDhrPBnTVS~x0I6~@GSfgmum5Xr?&T{#3p*fCHW9mD zWnMd@WP|dt(no&PUx=$)xTn3&!kkJIO1lRZp3Y>4aM;R7McH=fdJ}b-?V5FX_}}@g zr>7@JSs)8BP05mn&C@tkz*g7Z8|Kc_68%^|a7IiIQ|{PgrWV749w8mA>K}*iFX7)0 z{V_B&d^FMEldr!r!ZssV6tXd`u|J8T8`~FO8bFWt2qpBjx1Px0SD?`dyVTamuuAOU0Zk=~Mo)pLj{$kDkGL+K%NTgHXg&7T&URj#QYe2s69vCdW%IJYuMXSCEv+! zIf`ropo6b`jtajU-hu`|Qr}kNzQ78wB8+i^Ny*8L9#hSaZ;P`EJCMIVUKuGVE%g+@ zbJ=ngr{)Q2M@94+$+RilC+!!dXPhOfw|Dca2VV!Elgy?(DXUA`hnmRN_WVlibI-=i zuU+=goc+DleJOI~8Xu1T!YkrFjWNBixN+%9Vburl%p*6|5HPv>q}Ic!JRGzK1gbHT z^Xg~~FYV_i?^M?JGrKo_3WFx9op0N;>!;Ls42tKfJuZFo`ZY98(>n}a()cNd150|O2%@8Iu!eS;QOVXBEbg{&7|WzyK< zhEAsUMqSg)JKGySK-Wk^@G?%kNO z4(Mo9h)Q!Qt0^|rUt=4k8~ru{yV~JttjeZo?&V#w(4U`M-gZ$IGOEQ@wV@xOKfPbW zlRXz3eNNi#xDIooKJ70rvFs?&aCQnn;H!e?Pwhjd-t_0IOFXEwQ)SK0$-E_0tvi#O zsN;Mvh=WZ^zxsyYwUSW+ z{~-fe@rJv*7>C9t$ggcTlkVoG`<3+2m;T_@=j+@*_!b|0`5O}3GRVDvL>~?Z`%L&W zx{ud+9B(FQyLHAhvAk}Ccs*Y~ zGtXc8=@Z&Wx+xw&1__6#zw-bZ^f{-Pc!GHQFAIPt^+ywq{U{6=1J9HiqSAz{&$z+@ z0rQ32ak^`Ye~LyLjBEAd>;?h7#9E@{Dr21Umjn(D=y2FZk8m_0jGEu`Zkq&fZ%uC zps6b`;({oGT+rf=|N5zH!de=PaZWF=zk=xVlM9|KOCkx`=_B%k)<%8GCH0NK{#pfT za1Ku|pBAH3zaEYLd4c^B6R zVz@W%RdvWBBjYD`;tg!oS>XO|glstvNxxpS*h>Lr=^CfcapL>MJaJFi)n14hWzzPx zH;OPm*Nya3wfGWLzulGT*bE9Mqs+oPhk2G;WcpK76Le3!9yeSdB_`n_+YN z{>nqC#jZ?gV3>5gbi{!jb}fd5a9xJ{!Z-_HM=wNEoqlyC=1&8|$xxV{A(1mC0nj5) zs!4iS7ok$I)^7;q?TVaGq_lVRY+d3X?AV)45dJi1s;+pb7(C{l<)&>LHdyFw%`4+T z0CxSGk@mVRek2x1Ju7L2ALP z-#)yJ8prZolylMX?-2@NeRIzR$zyU2$_A|m=fMAlCg*b$ge_d0j@Tv=)A*$Az5%I6 z!|44M*Pc|t;8EvYUb~9SJ-SUVc*}RL@sZZOOh=8H`6~O^L4m({2~1?{OAA#6?^BzB z0?jaBU0+lW_AYXC8?AOWeyi1{=&U_)tjG6CYXTfCIteTMlsGWsJyLEx`Iu~(%NGHh z?>&i2XOU_3-)?efdor9m`tLChw&pS-Ju;V*oAJ0stVU-izGt+qOGGqykgo4TPFq zt$m{MjB(}dP*cK2BZ|8xBTKotr0ww6 zB}EPOR|eguTf;_x7O%D2pg!H6+!#ySd?Xj?jkYlwugvs{#pa9E_XpdVxFeb16HZ8h z7^Qe3T2V;1_vre9`1|giDzM;_#sBPp>CB7V8w=W)&X0vKe40yDA5l$%Nfy5)QfqZf zcZ*L%(+zf%YFCKm{~5V7aStC&7l!%mHiBDTdLc~GpTB|J#{! zh6$DN;l{(iokc8z!5diy&;}2Jyp6?sV`l)*TK{~Px_9s1k0O1J=Ab3gJL-a=w(X?n zqLDh0;JX<=5&^7t(KHP-6PI|p_4VslRza0lpPw=)tCZXv9mq6*qEEc(O0d{?C7oxq)GdzZVvV3CWx;mWJZ9)M8eJ8b+ELBFJmeB#f$(9y^M;-*$DBC1 z)ELrdf>O@dFPqf&tXAJ%|49{67k{$5{N@dxfL6r80-5-orJDjUG8l&zZZ~iC_wwcN z)tZF1!{stZ&Pto;SARk2FA*ct1rdJ`%>Wr3`??r#^S;5J=M~R6(e27Skej%n-vsO_mv%^q_Qc%~6b8O3wMhOL);Ur+@d6nP# z{4CThsjus4&CImF{hZYq`1%?1upkjDS~NbvLHf&&PJ#+uS$c@3FRoqvczlCYQe0eI z7CT^MWTY*9?V6f}xqX?KhTH6Mj}dXz3>mB-%aHwQ{a|^p5Fp->j2b!zOIJKJC?{+f za>sw$WKv0Mt45Qrn~8uO?`t=h4?YI$V3cfaZGF+=gXw&)#M^uK!dqlY+a7!Sj-kKD ze2B5e597Ddm5+RzO5#LRs>;h((S0%eH z`YFNvYJ#!gklduON__S62RgW=*(^M{5a2TX9J!!8dT*lxk24x4|H%!hw;UA97??xr z=%=OU0=@?9M``m^{pSfs5->a5kHh^9N4jim7~V9Xb;eFjUURbJzmY0OzlQ~3?-7RF zJUkUwCQCo4c34n#J1mCNE)yk{i;tCVa(Xud+n^y-82+%oPK2>E#>XqPKRkY0Q9{2* z6%WDlQ(7eVBZUuyeyGk*DiTONA>Bb?*V zF#b|JF@uAv;iC4{C0pc3U9s$B^~Y#fSq1IzNJ@lKZdE>;r06B0zQ)3Pw>6(1?`{J0 zq$pUVyv;}C_|6n}{cadB`MXbLq0W_`o;!;_ZSw>*pC}-CL6IN-o|$i4ZK#4gW$JG+t$A()t1;-_7Zdbfu1iAep&ihrC&%gmOa?F+Tqdz@-W1 zQ?5v|K@P{{L*xgDff{%b+?q!WWw{x6YSR+D7FRo zT-5PF7ch^422X|vrn0s30X_2#dy7SBfq!}>B+e)5fC!MvB5?GiSAe{8pT%QKP?~ZL z;obxUKK*0`M$dYo`tNUBzqU8!s>6Hg!6}$2W)Tk>e>P{Bulo?s9ro*x>1zMMF@D6d zu%;jEhc!tR8Eh8x*QzspZNl)$D4{>IPT9FL;@k!3l5&kQpWCl@1#k3Y@{9b&Td?Kb z=T1b#bshJ?4u(y83e^uMH(}nJtD6;?H! zdy}Yvq>&0+?fXW?8{oOp{1%MAWD|S5sQ4NCG2elE{ZYfCBwAij0@m&bv}iEO9cC8P zP8$kYWU-^qyWZQE#n>*dUYC)pHSu7% z&H=Njdl4|#E#?q5&r?-AkJG;t7N5u^A^tCZgK!fBlDx+R;Z)QEv?<}l?Jv2h4xbpE z{FDLYJ1V{auig(?8S(i+Zr`g+O_z=^LlHT=2uBk?gaSld8L%7EdS*ZaVLl5@|xjUzZ-wD z{8XJK9+LbR%YIhg$R5kR`(e8V|7=)V*aY``Qp%d6oF2l!Zrpl$xDtyELn`$Wrjymh z8|#wo~=YHuUuNz5^WEF9!mZ`kzDFibLtc!UCvM5`2yd%Z*J?6dJ_QC0oi-rEyU zHYd!wfvZ}^|NLfn=ld~72r71iFVMv+XfO-Y_WIB6L%geG+Tc&gmB4|S7%nk;x4WW| zZi4=<^{5(aAQGxkUh7;5u$ZjDRa5{2_DD(~PQ=P&)Usn2En9h6oKw6$i|L3Ac&AzD zQ!dT++-mv|ET$qoh<)>nk)gkpMbP3-BFyvciKa8JOnQ)vKl&M0ZGKrVF}_HQfkp;o zuzXQd>ysQELXCfs-Oe59h?VPZO4M=rq^!R1{#I$R`{)^ChIxKr2f1h1|Fn6r&!}uz z1BwKJc$WXw0_2}@A9yS`-CA*NVLTA~Iqe$nb))}I!-#)iSPwx}#^-Aik8pY{KoVV$XNtAubt+vpt{DoclxK3bi^6JR0LadMXbHiY9_QpJ!e{>X$oovxpU3w}G?Z(dr{vG*N-^&VVfIx6mE%Kg z>y&AC6Hmt+Y#QSc3HenhYr=dok4GsGrGtjBJpJ!4}8ok0cisM@~cYHlyLyX&C!A!xZ}wLauTez;*5cfKvDZ z*cx96BVE89Y^0$LL27i1v{0^j7s_Ig5?fQ;W3J8fv$Q8|rS`U<++48`uy0bckM5}P z7%bem+4~5Pd{yRN!qN40zhCGBC{Z0}=>7AH(S4;~P3&4*h8JWTPm3z{WO-SV{=#MR$wm6qrC>Q52ojgOpH zm*Q6@n`r)wJ$x(Y{+(Md?GlkeIHHurZ+9EJvXG07utO%bGs~|nkw!{Va_pDgu8qx=^i3NZFtdnva;AbFNfW)G ze|G77h<`x(4jUrDFoz}x+>od)p5;g=kMjqw*A`lIK=%G%dDA?%Nz)AMFQ0FPn@ioO zfYRaf@gki~s(z3bNQgo64}x?>Sw5_Yq*q0NIkgRt|Kb4Y(V==%g=Y|vUDB@Y5*+MoB^_cb3>*wn}Q%eRq9HZd{&0_(Yi)u*0h zB&a24Oy5M(io^l6wrB8{mThf6f}Z>r=2tsy)hGpnMQ#G#&%^?tgd5<=FBBn2bkhw0L?dWdoDj@ z8Uy4ye2mqi0bn#DhpNs_EC8;3P^&HP`k#rCo zMo&yhoQUYmIxBON?Z<(cSS_@4)5+e%)3VBDLtsD4YKD&-z#hEVw=SuDViSB7S;inVFgE@=o{|^x=j#4&JZw^k}`M3is*LA1b;X)Bg!5 z_27Y-=O5dkNr(IRuVrsAk=H!5JLjV>(W`MPnVly3JJ^<*6-Kj-W(R8BZF52#(eRCI zALhp>4QU&=KgZZR4rsD900gVpC`(#1raD^Ahh1ayhE2WaS73Gzf3(Ib_czhMQV<@M zn;-gsH^S>88#J09YRHlZQG&#Yd?rEhrOOnxPNGX=`p^Wyp>JK?AM=A*ywG`=_YZ@iagBc?HN%x$j&^-c`%u2)?q)AIA8| zNW~pVvssRfy~{G_(taq?4)?!W&9bSr8A5r`8SASR+kV5%yTSl|B(b}yDZb?5n2Xgk;+im2G8TB%t*TpAyc7rIE_-lBm4}&1%J}Ne2-rLauhT_i{HBAoY zFlCi z>YBAPLLvpAQ}oB#vNb}c*>|EYMNTni3rZ()`_CV=jzFHoqJ1EEiuCcTj4 zp*!8Ncpx|N3EI%;L=I#Wt<2D-D%D0K_;2A}b$v$eRbw6S=MlXe#I;x>!s_}X!yg(H zg}~5CKP|7ViIHq;9_NRs6sQS}*6vA8UZ2zi7dzBL91q4N`ot}95x;@>p1EO7iK8YXnhsA{jctiISK2FuZd?dR;XtM^MQa!?+Y^wY!ho0qG*2>lE_>jToqn6E3C5l>=#@azGE|lXTOTck&}41j6l$*c+o|FJvrq zW$;5|jCRa2mQ@__^HfO}kK)bZe2$xRjWWu+Of-mjN)SRUWq+2j~j-iFbl#}WLJ z9-f@E1BQn${N*+*S^x>kkAy{het8jo03cDF|7@qzfad;7bvH82@6PDDNU;+c6Zgjz zvy-X#GwA&x|BB0MG>yudQ1W?Q#F}9z>>j9H|KRdU4>Gec5{4cJheJfg*wPig5p2MK zj5x)vLII@+s-n+{>iwv3)gQLcL1E5?xU^&;rNb?V?(vBqn@be@rc`DeiHcT+=0_c# zS*eMYRFlAMorOPl%M*zN2YLrn%P{mfB)T&ANi$}+UcLk6VWDqs^nK~~LLz}D4(H|V z;f}OK*s$0cjCKTHboL=Od3(@J>fz+S$|9!XL`Grh2FGN=^ySI%-*TOAWCO3&Aez(s zRZDN6*V>QPm0Y@BylZXEyL|gOU^g(Bxk<}#-*bTg{ylW<7Ot@O8L&2N*bx&TD(y{w z!z<}mr=pJ5$^gyp{!od9gx2Z7oL}2kx4@KTm4l`^DGV8$f@L9kn@k2s(A})|O1q>P z2Z$s8TK~p{>9eHE8qG_7inWgu$<8-`1`BCEsml-OzQ}q>0|#)UN86f!&9?ZL`j!e* zEyxt8q8234Q6fu6$Oe_}AB{gMY?ay7SF3Sf{41@QeSjiiz&8m8Dm5nRJO;N+4J{sJAU^&Bp(_`W#Zras{(yj~4B z+`_(1^O+RDby!3k6v!C1HD;2Nr~b_MS+}Vjrx&*iQv;u4cqYMitjF+mmE(`w54kzl z^LGb5sUc#MeWgaCeG@|2tb$BI-|rB}GzlLxe0gX;mx3Ym_r%UK8SVBto|48Je7vSw zLS}y9fUcA`|M~Mrhm-a##K-)*76_-9tDONDk}ogFxs)B0<|=rgAFof29quf-=BtM^ zM4cRtX`gPTJ$d^Ox%X7~7!MG*>Ar=dYeSH$3;?{QijmpyKF0_-;0`hl4c=pP=!JXHrtqB?r!e|;umeEQ>j5v8tTI#P z*b!wHHvcBizmw@-3Jh@BrtVu4jecec>_X?uEUPZj9Sa&rk5AnvrF*>WFaGEV-_&$vJwN9h&lnuK11!6Q~R&u%gMHN+Q>`_F;NdOQcfafP94aB-iQXmHr?Io%Z4HY?fU+zYFd5L}b83G| zdCGCH-*INo^b%g%GRX50+d;!sl)w^81w1Ku6X3Q!sAxE?s*5^#B5s@w#QMD3pY*JA zIkb;uZ{nDI%sT7&!Iu))Jp8<@ps&)dauMK^Sylt6d zZK58alEwRPQh5|*ruA@H(;dXsj-SQ8czU=k|J42~%t_7RwzBuA{{npL5eLXU+uBk;j2$#R05%jZ7KGexJrE-VlR+pM^x?d|U?&k9QON3l+=y?$yvu zQta^CN60GZ`NR_-6Wsd#EnT!MRec>dkOi|k(~xe!&bKG5h9Rvuttq+{@WfXJ9`$7ip=Zdq0&tK%Oh%l+^D{+s@+EO$H)w~H~QYHTHP0nN4bc4FeC{4z=6hO)3^^e8CdZXg&S>l`mJUl$A zPWERU=jZ2H1(aTD?u{17NhJ-fY<}T*2;6AH^1*{c4W%r(uzcX<4B%}7(CwJ@8w{O1 zS56r;+>tA;tQ8N?sgQPI+RvK+enb8(o-&{&CYkhR5h(lgzM9=7UXne&zo`aa240j= zyiq5+Yv9yTSXg)h;7l_J*mdG^p_>$^P0Huh#+fKqA+^_NG`gAPA27JzcG$1EFWNc( z!V}FWRji&RMOgLe+!d@!s5(EDk@2L;eMtMjqP25nG zz0MeSu2+ZQ4q(g!J$U`Ek#5~Y-wV#4CdLSRK=G{Qw^MC_V?`*|I|Q>f|QvQ2M31&qOJZ62M4zcc>kN2 z5co~ALOTTfA@R|+@W;U+*S>q<-Uj??0zPC4&@c}$_J#()9Q>ScU@(}VtCzd~O9vk( zL2p0j>|J?g9Gr(Z5Oo!k;GCT~SdPi~_3goA*y~q;xCGs=nKkayRzIYF`m~R#^)Yi& zb>YX4<}Y4MdT&?b>n6IW7yUIW`mt_C>tRIxI4H>;H1g&T-#68%S99lAH_|XC^9IcB z;9%}yh~k6OZ@cZV-Eb%jIg}GNc+QGs8kAYHF4g(L7VSSM!@y$*2maT|;!$18@#h+# zE%4{k8;wEay*n-~ZsfAzEOnptmC4XO{3be>#tq2;_24q#3E{YdGH{&GIAUdQMda7~ ze%_s>J&Hx^Do2ThNq9z?**_5O!#qgjQ(W`w^-hvC%Zemv%gn>a#dtibiu9!U5KvXT zfk;l`)L!!|u$je`UVBl^v>y~fgee!@nJJ5*C2lmx<`u_N5Z7oj?EJGg1>#Otab2O1ma(A=&-Nj_g zMu8*$=d8PH{9pDMY(}ic4+A!X9K8z3O^7KTkHHmrJzS9b5>W>mU!P?!HjpfQ-oB;z>L9<&4Y7ws=2RdfSdB*iVV_XRz%v& z54?lZhF6|iL2`oVi|LQnAK3orVJ$XUNOHo7!SMArl;vPWO81Av*c3zaC27!&Kdst;9K=3^0UWUL+oZF zK5I319!P+}D1s>8)rsx%d?ebBLFO`hI}XxO0_#bKD;B0Q8iY2t?`c~0MZF6K{7T!L z5iioePG(K?Uy)`mp9sP<(OikVK;SlKc7}XB;2S_B7n@Rx~L7@hJc|6)EuQKFUz>`9o&F{`PRCv36MT=-9*>u(UtzCVPmXfGuh`=~Uw z*Z?t+T9*sHwR-FT#oqT(@X0Qwh^~xgAijQOD2_E>BhBqRrxOtiqYh^Oqx4m_Mr1!~ zWT_}R3Kxdwj60-jDUhyg({U!SPvPTVCqAZy&agF^p_4O&cYD+9>n;i}dWl&fg7^ux zUqa(>_l#~I8=HlvQ(q8v8Z0nfd$JO=3AkQMDg69Z)WC5TVj3cw?W0@& z5i(71Mzw$YH<@cl9>dJz-2H-sJA|4-|DHsGH&)d-QZr(+pOuakL4$l|eWf#=7{Whog>^kW zUp-@P0!g#4lYhX~jlQg9VJ52$Z%@laLRzT)fYz1GHLLezwOEmMh*~a(xTb0;BC(UOB`(gId zPraa9KUtq8Kx7%u*p?*sg#1r5d0mMX>Fkrz$%j+LOJDXRYYAA^J+8bsmzr{XpGBo~ zwVw}d@Ya1GR7%R|08JV9-+s2Z25oRs=qUk-VYV9uLTt^Z4>y%k`N*LSKQIQ|#}x`J zW~>N=>vMn0ADCUDhDYS2=a2Yenj8G&sx;q+*b5IPN+F~twioamuKo80I!a1v_mcqaNg z7uRnr8}$whrJ>_im00YHp7!lYRcj~itwi+~YM7Iam!53T;52DGlO$@bdu2CKTdCml zzIe4xyOArL_?Hxky+4FOa#;jq-rS^C@zO%#n}Tv?ix_?;uj@mHSBY_!_saa0;+X5) ztzF?-UdSnpWsEUNqsE?Stq!pbF1%DEn?|SG#siv=MV-K>@+qAd7bRB9vP{XrP}tS$ zZ*fAg;wIKA{rX)EM<{3cOwCt(Y~n@gVS4fJn6fPy@t>FnUOxl5sYxBztWGsag9~Je zG>iHahicqj*g5@k`6p@ry4VgBhtsM#`GlwhxARc|i8Z;N7SPX6f6& zE}}N~Xc%UDV12j&-2)AZ8Y8_D@QJRh-^ltK^-yCFbEDF9QipR^k7RR$J{O4_=Y?Xq zs>p;NoRR+-!|cA5`ijZ401lFlQJQbML)U&V87m6cmJv6`m61fC!zL|6%TY7t^;Fe- z4q)F39aS^!%WciAxB5@!nFVB^4ceokq)mb@Hc(Rm*9TS7I*G4J8q7l;)xGfV!YCp> z;N84$kT+NJ;?Yw%2YW79DYHY$v@}QwL3@)}cG<~$cNy$URu=R1icnO%Tw)r(ZJRU? zC>Z0xBwRVzVFv7*q-&jiuxf_r3!I>dJFc8_FLpZ zG*qscry<+NgHoFrbF`WiB;|LNYXo?CR=K)!`u{7`g~NI2QpEkP%3ZADi>Zj;`)twC z!4wJ4?qX^mqYcaZI&L4|81aDA49mRmRsyIpc2 z*>cZRET1%(0~19{GHyl#zxWy<${4?%!>6K=O?)~Z=8c_puS^`w6?G!5b#9#cZ(Xnl z?5WWt_VjY8gs31e*xf@#X6-QD@C&Sao%!SIVLv;a$J{<%Cja%zteHNm6Hqm4!FU)( zy9{)BV)$#VKmfi0avR>GjY-6&Rpc)KV(|qhRQVh`trY$MKyeM)>i zbZ@acGc(X^&k3d`xm4{do6%6;o`2Sl`pVRaJxKKMBB?#K@J?|UKrffc`kSwQcSM9` zOSqf<-L4(d9Nkyv5HTCgY+Ck14ga&^z|ZuC-X=F>V79pzaqWkiK>mUYA2KrhSJKQ5 zua1V?j|Qan@b&b-G%2AA1^&qX9`z;~2wSuwn#i~3Ss$2-D=F>xL$M5^By_>#W__}f z-L>L-J5Br#4{tWv3}krD)2Q-dg&3?dL6yKhm(TNZqZJWI-0&}&XQ>8g9|()2@4P2~ zICHleK@YgfFRsJ7WP`0hQreqNP=*G6zYP8QitivQ`KO#($=l+v-P!nf^%rm?n>RH7 zCtJS%IWIfyk{LQ1?v!GHzl#^?1T8f$>htcw3v>3FFBqA~jEnK!+?FF)r|@us)|aIW zy*p+SwitzT*bsC)9B-f$YFX#_nZn+gQ9Okh6tA`Vn>^4Q{vjoPpIWSyOnFodEr#wS ziFJ85mbd?Nfh-u*G=p*bOCsT&$WfP9yYxO3%LZ|IB3NE~yNA9w*@lUfd9o!lim3Lm z`X@G0=DMrfo>FH`>B6atq7cWwT}}z$E!kAKmpE%Z_ig8eYlSc#w&@K{C-NFXs(kIc zM_D}It|mI8qW0thP$VI_#GIW(P*9_;4vtNXM6?syrEA(B<;jI(H`lHV%soGBSBBkU z(^}zBfO-%|(Qv^5I*vYoTLsPFI)nCTOj)9+q#yQ(q!)(3O_zAVx#pLzc{dy(&f1}> zqX`H~jOz!K(Y$N`Dl%kT%LUr$VSnomC&6kn6I}IT1mB6@Z;BD#=y24a)2NxyG9TI* zxdkPE5TD1Se^%HNw#VBaa+#z@8=#-s>o0Oagu?PoZnXF0SY`YiKf@LxU@tgQrO}w5 zXM4SAU&Gz0p)VA=w7Y4Ei*(piWb(p&1fBK9HqJ;+oO=eHE z)%kmi)OJI$BEl4mIdd7CH3d<(H*Gf;TYx9Dw+F84SlHGRi#b3ubhA`kBCe=|C0E}a zexrbYQ%gEmC{P{J)z3M#m}7%t+lyn+TxdsC_q~Wk7&vxlZg1SS_JL`NZ~>@3e%^KpBed^Ypo^kF>qQmTiE{p>O0 zFT!vBdpaYVxXQN6_MGxCBo5_x-;pCAqyjefVvo?;9lF;;_XasG#Ur}V#$C$Q%`u_> z$yDBBw#ip7SGInH=y+=~rFFNZZ2sj*Jtfx;RpP-!0bfuau}#Aq=`7h;=G16YZ0}2U znu{i@O&7?uTc^xoH0TfTs53_>pYG0Ox4Gm_EGb4eQre6hssDk0+1;psha}u1O#Fb` z31F(f3H-q13!7g4f*COuT>8{awV9QFgKQ#6P1KK=vJYP)BgQX&>FA0yP}0V$i>B%1 z=IC+9=`y=Q4SU$$CuHz+k!McvA#AzEBy*jhDL>haMc$7YjRuJIAMYLYk`KyIH5Jl1 zq}9FHlA8r?{tSpjQWqCnm7gIk)Y3f|dZ}||vkz}2sqU zj*_n)@)b^h%~oFs!gB+UqvWJJ-(-|9+e3E*JI-DNO*v(l+HHR+O4f-u=w^sLQ2)c* zJtjNr5XaWEn&nR8oF5_CIP2Hn3j~@dNKe*OClD06(U`YxAVS@&3_b3($yxzj?)PMy za)f$Ai__UX`=Pd6#qoCW^8DR!KTuxSZ!`1>P%yYu#j6*lY3a%UZ5t~nh>#Iq*YajbrTz zMRKcvJt;8wn+;=vBDH+AjDk45j(#FZ{8pwjvaE{X(mm`^SDJR=2i?Z=vix3(1sy)t z8s?OxUm(n*WoDHo+$-p;m%|@bil3E|+Q*gKHo*t7rBWWLz5es3`ekW(c{o>^j;A}+ zOoE35PrdQNkZ4rtqaH450NOTCzYuvT0sx;MnIbRo6Cq$4ZwNDuvmVMbn1lZw)O2oE zDpQrS6z`AX@0)LvwqZ%xUM=xksMchlJd5s5V96s)pD@g94?}VFVVYLbt^T-`RXh`e zV$2OPW2MlTdDm`iwQ7;NKnhBt%FD4SMM-@oc5ddM!>t@2vx{SU?QBb&S^ zZGaFtcQg~@bN?Du_4Vspqaw{}U~&fl_&D5c-SZoem=ty$Ehc0)o-x#p2X}q_%FD~g zR~tEZY`R$E)NkwQ%2%Zb#a1H~T%eUsoD&|06Ar#did`HJOQ{^Dihc&*H1vIBog$;R zdzF-UK~&lFm((_NgDVe6hoQ&osR!LfkzI2-1^N3Qj1879_d1;q|Mgll+eSphNJvNw zY?AQ@)K{qO$?+@Z5hr}7L)$XtzzpgnpnQuvrdnfoh}Rw+=c}c=bFEUrpE=TWeAjYe zej^$T5_}}8>pX31d11WKJ24DaOkTa2Qr-`WG?QvR=SN!hIv$Rj@JiH3G^&uF;d+HNKYbui*PMwmy6lzyfQPDBpG%b~)TE5373!(6kiz3$t`*VDKC+_Gpk zdCqOMEg{`o)#1XMRued3(eohWU#jMi`!65}rZWU;#q1DoSvCY%<>_%ph1+zVbqkdj z7XIz2uxSlS*W)&uZUj6?;`|8m>6s+p0cBsld;uKpIhz;;&83xUXYJLdYM;X976A>TPY-Oj_NZ&CA0x! zvif60JR0YMk`yJWE=ky>YWK1)A3}(@-t&=GkL`%vRR;@Ew+g@+-iMaZd4^1Z`iQv8 zoksTmd6Xm1Kb^ACMrM=S@9$l~(eHRg7n4L!h+BA7KOegC9xs{O0?W&)nH` zHYq>Q67F-6%n6fWwwKA-7vidj&l^1%xD?F^U8uq}a_cXm2V&gpi<*`Nu>vz&RFW&J z+1@BN@R*WWW%aJ+mk>Afra*Xj(H8Y!rpWia(9P1&6d;5R5mG(K!Q)5jb&y~2qw>{Q zt*j{0T`^{M5l(Tee%d>saqKv;Gk@z>W(f5_H)L(>p6oOwKJ8>4so$16L6ja_(z-Vj zUtWd2KE0Qo43R<%N4+26VVD#hs1VAc2)rOk7)8f`m}CRibuKZcW5f}wNau9W+Su6G zwuj=wl7WhL?DQ4uudV5hHnf;>=Q>aF zaxIr|>v8M|wB#SH!@nW)E@Fb<9eB}avBA8EmttU(9rOCxHqUVf`pW0mqc~w8jr{mV z#6HU%5r@EjIDTEs3%O1IFn)poUl*&zQPxgcIOiV3JqTU@0;KPYtDv3fb^%xQlF2Hc z>?4S3>Pg#T{5>G0Mo$u}O+Di0wZxUriOegeM9_>(#($Amw*0I=!S2!b?vWY|kg22~ z1KCkV_HA^TQ4_Xdo;TRWPN(+3$F5e3?C1%&V15VsB|_3WVoW6@I)*tO2Y0lPZnZS?+Il9h@<|<`+hKT1^4V<;1uY&GY!e#j5 z4+u~0kL%L~CCyPGumWEAXqPS}7Z@Ethu%Ttxj(N`5d{j?&VIpG{*mhCw>c5t2pEJT zug=EPh3q=W0-32&#kg|GiP!PMDf9>jU$O6@^$8>i4ZwBu*+p{uzgIgl1M-N?GRdv^ zT=}}PTsFv>Py}rb(9b#-oIZ??o_LYS;|Fti;6m8Ac7{8nZhv&WBJ;nPjkq{2z4jB< zNGBdJO(X`8hAZirjlTZCr$`p@+kmZ!BpcXV8epMcU(3qOh=TAYJeO7`yzOr_;Z)%j zqwrr#aqMtVNnz?{`L8 zjsE*`d78^{{M~uQ+052fG3KJgg1%en;z$c6e)@Ey~1x!8}@` zcjemmQ%Je>9eeD9w@*_*ElQe6RgTN5`&dpIk5QQsInBaIDX(%C?Sms+R4}>_D)fx5U7M_N=MjsMROB;3VYrgib)7qoVGujgvp+ zXQTqh2Hv7-S5CVVS_Ue~N#+20YGDyF3*!Ts1#)#9o#<|&M|K^R7Y&T+oHY&g= zEk{St{EK?3NlV|O*DxNRxgSK{-)9jEqYO43eSp3hsV2f~Kf-}DQvVE-hcr2bG75KN zf;`u|@`!(%n|D9*))b|a6ANPsZvK>#5r9{fhI9&KY$(n{OG2^s3O%NrS3KD-pky`Y zZ2~92V=Ekb?2;|%nFVflf^rUcn9lk(KmT+(qjLc}Ztb(|tU%iEZsfz$jq^Qhxtz}JN^YD*SrrwUU0<}c|g-5fjbra3H)63Ko?0H5M3ju5#ayp|pkq(JRWq;uG(icEKfkBhH?c|7 z_Q+Lwcnn_?$uP%1KMDDKC$>FRaEloM1)n;B2~BugMVUf-fw7tJ#pm;`6X)SEfV)6#9F7VV$Mqq_=Yb%SsbAGploRHZU#Cw5Ic?? zudJagd&M=F=egMuf+Xe&y0Bw+N8TZ5IqghIY z-+WtTG+;D8D&y~gsYzC@)qJ;#dG$ny_R+rV(v6qZG>gj)|L%26=w3`~p%2Txx(Qv8 zlmo|pEDj?1A$hti{AtoUMVI4}XN*~lE(zNi=l6|^G^9=bk=df(Ppv(ARJgw9M@JJJ z9QrqRy#Vlg^V$#G4$DhU9&Uc9Smv^~=0jyCAv6S2kW;z{MSLu$-rx3qOuA+qjNk&4 zLCuYwH#`e#&lEm5HSI!Yb<*nS&Sm{xv>6QMtJ+`j=eYWXvF+~)w0$2SS3sjup|e;w zVQn|c>u+Rj)RH^A$%3akt&daSc>M z-QTQYx<7$w3SOxOzAqeTPDD=OLF9(d{{0Jqf3rEwoa#YHa)XCHCCUG!ySqMnCI7ND zEykk(DbXZu5HNEzi8eOM{T_v9sLqbIPW@6rN&Eb;6c;60%O+1k-+^$UUafU0SkR9) z#CTX>mYOivR8OB@CL!nO^tb2kOMl0>X@R9o?pb@X=J#^|*O&69{^_m17-895RopKi zcFE0Kozbx;Czg#&#}}#95i8SEG8{EG#pvhIR#dMZzH7wOjRl1L{sNbcOr`2VjX!K> z*~N-bNvV!s);9B`{A>URRA42wnZb9zwPw?E#Bb3H(t&_bYP;R`@=yewU%VNn`4>M- zS92O2M-pHBRNO?iitW{jEUBg1a^~t#{?PhJ(T?5iMFud?8y;1&D$Mp1)8l$Nd`K8A z0GiAQSm!m}e>ckl-13_w$!V8QrybL#i{6n(*<%PU-8MS&7g?UyU*3d$>q1daAD zHIf1@bMdXWnh7eMAP*O48Ncb4>G;zG9~=k#8Kun!!nIbG=Q&zNy@c=P=7!+O4?py7oPuV5eV`BuUI<=J+DuWr zSU&|y)^b1xvWp#TmVW_F*9JpNo|P80)2)A8Qg3>&Ux5_xid|zR%0Yf|ce?(aT&ytN z=p9vAJPwcy7XIfe8I#%gzjFgdA>>S=aDm3z8c;N{{&L~=+DDY&}!Vhj*8(24byuo3&zN|LSWh!@Z!@Coh&Udebw8!`g@8Oo?BlwyGpvuUyv$us@}I} z@laQ-NYf5?8YDNS@NmNgVhkQP?FnWIz9-EZ3>nQQsp#N~ra$5Q`3Z0!Gk}dyY}swu zGBGk5+1yHE6#1{OukU7MWhDjZCm?$S^w9Lzgwi^UeUl|<;<%=e&(b=xT2yk?#gtQC zO<)Xgc8Tb-rx@+Yg_|f|4z+AQ&V$R zTC6ULtgET1@vz?20`#rbuVpB=sO{>W2cVps@dUZGO)givkJttSihT;<8B7O6?laFm ztyngF!~)A7qBF)brNze|`FtuFzc9~$wXV$v3OB{e?OH?A$+uSi0}?=?zXRqPC@!|CK{{Ftcyh;=b3tqS0 zwSEDGjYV>9tf3tt{+R8hFT|Rjj}nuj2hqf0R)QNqi2w}>+5H~WoSt-3HDlpRcEt5D z$Y|6%=6QOLNG(rR%g5r{n9l>-Ll$p@UIG|U6xrst*M6$#d^!iKoB|MNe^!?4$h*x= zw~lM96}Mqto5x^|%=7W_@t0PN6M@lGM?o5fqufx*&I*(_luEmWNSYv6+VbA{Ej41P zc)d=m$TvD7Iv+AUjQKepU(4o0SfDq50pBgu9oP;#kc7p0ISPHhF3te;YiG^Reb)*@)~fIeZ?7 zNF7Fq;$vWFf(cxJ5Yh&skzjT3!C!7&8tAviaz=F^J_w|X%UxV77?m&J=1cCY9BV9+ zh=qyCo>szdeps?a*A8qeK-h8C@Bo+d*xd8&qhLXr3-sH$Nus zHS28YM;EYwa-+IOkZjGPWS$21$z57|%KguWhlh3mc@mIICe-#02z`j#o72wtxu6*V zGlvQ_M!IQcZ(Jfs7Vm~`7dpprde-e__t~qj>kC6mJ-!SQ{m|)pXHa&%sN$p^ z&@%|A!Zv+x@q?3!KY7-NLIgFgUxH$A0b19tOIyFMzuy={M5#y4C>$LFBB}uxRc?TW zxM!9bM*TLr?xzjhD&LI8GHwP(%zUJ(Ds)2B!-Y4KSYT|gaIf{AWrbiI(5{!EW<+z9 ze{6%+M?9``G=CUhDLG*P4`~YnU0a4Uql1-bGA-;FP#{q)@cP)++Q@7^uy3Pgy(UE|99nje4UOaI{W;s@V@?^ z$n>g19lMV_RkZs;s`=x5=&*D10F}|Cc=f+)=oXx^iUvzJfJ4(IXBL0+EtaTuIa!W- zy1u`)5piv9G}TAERTgRMOdU-`yp@O7W_T7cc0pGlJHdY(q@PT(5DOB!2o>v%IT|6h($pX5JNS^?+_5*>SPS z*KvDu`6G@!@)rQJXpYu~)>^huMPaAA3dG-6_cF#rR)@_-8@=rY6;7=IZHnPgfrS1Y zbJvcgH||fs8dsV?#}(~iDcz!_;V2=n;Aw!8^gT&x{#Ut0Ee*hYzSyaswB2X}KFI{c zh$Mj06!OJRIdg7&bQC=g2#_16dm?sOi9AVso6^JxoczIrdqWRmsNJG9D(Kr|(vMli z4a=G$^X(aZhDc63?k7y9NHu}gBMNy+f|xWRw5799IXmgvwZZ`(fAi{^i~mbVxWyq>ZyjV zrBk!W;y)AK@zauQFCE}9t+SYv+ihBwzCQkqOBtU|+xPE0$6w&XkoQw7ooNNK$*B*| zGa_{GCLtI4d?+hkb`DWrsioMT!lRn)I-9u1M3mlx-QYBk6=)%IipV0dwqh2%0jsap z`Kk@3Sp&#kZ0SgmR^>6w56GE^W5#942~F47874`OZs%HnF3)V;>ySGEoWah(ob=85 zG!VpT>3pSi@3zD{6{AE(RnCOL~GT0`A~v-;@)eaTVAqBHy45G z3YTjWg1z4$%(fhaie@56_ZIm;_e<}YQOP7CKt~kGYG-Wx8~0;d+tta}uV2*$JiOeO zKZxhND`&uiK}CAK$o1ZfgIiXsfJIrshz&Vvj8`l!#!>+cX5JFO4S&)~d7!krH{X7# zvlh7hC-uF7>=R#rGV|bcB@@eL;rdnzmtzfa?nQ7VGE2O@bAV}FWuClX4nz5ofB%UM zcJm5ZNkAK#kzBRa)Nf}d1Yr0+cJ!!QpX4a<^KHpR^z@@8qq%O*FV0U;ueD|C0ET~Z zF8pYK4e;8q)xq5Uk1DaDu=U}k_=)7g4*_)hREs8Eg=OB_(;X6%f$4jQ!$^kM03qYKxO< z@4++?y^b{-wWcS4{QSGtdFZ)icalp+|K#%@Em9G0bx{ z^OEIHjNT|4+;q_{x+n%>R38DfFJHyHi&n(doM4me2tPl}yS}KfCaf|3Y~aznlHSVz zh=QSA%)V3N@94_#9s3EzNP7c}eJ6FTI&(4oL42Y{) zr{6v8EB7)(AHm^smM|Jp5l}c`_!*#U9G9(~%I5fL&b8L_g#q=X+vQ{lbxDC9!32Iszve8`Z4zY@ShD5?=z@trouC+T z0Wix^luB1Vgp{nA8{fEd}1nd%6@xRje7-04uBJbuf6GCdWmIw z)R5d+B2jGcV~gyv{H8U(JatRCXl)!u4=VY@^Yl`g0b-@TkV3fWNtZQs0zht?nB15F zKyqx9sD;(spIIOQh2AhdKkiLG1{3c)a-^PNH_Iw*Ke^2V>-__8wVuUqu~R`ao>8-d za^5R{BtFo+nClnnl)u5wcsm2(Ln%)h5Zx06fwGq2 za3#ZzJNoE1zzpg^YHRPqOy_*QQ}9JBlp6EchF8CfOhTE2Bn+>>kqWL*D^P3J)IG6F z-rdX^E(MYj8X=4(1Rz&?oSH^_)*#>Ta3 zylGh>T_ilijou4m#gO;rRrV{-VTw0rFTI+U2=AIPTK>M}6RwQ|P`g(J+rxi3{fVd4 zvXie1pK!I}yj%bxFIh5Rb1xhq5LZ~dOp>Ux|ArTNwiJi2%#oT&l|yzVurN^qL@n;+ zo)l-G)#7+(CWD?n+gDHs*iL~;(rAqJeitC-&~;Yzij2Xl$!DpnK1PV7?1NIe&L>-u z+Mj#*KR=d~tX2c;@$pB%@5@+}dy?7Q?*uwlahG>Inr8R!ASoxbAxHR0q}yz@cpNf` z;HS>?eZ~d=&BvrD-JDfvJqU4(h#oh!5|FS*NB^jD_|7P7k0ZBJN4qm~iHJw}04)H6 zPT;Jt5ZyYXY(@Zv$@)y!xqdG($Zb(n21F@O04=@;#(I3WTg9?C=EvC;ApNHsW=jfK zWHW9xFkYT)X<1lUB&NzW))YeEh#)*kx4(6RKr^e7_usaSKr>CBL5Yor_BLpM;z$PH z*D(LoZEj?gI_;EUW2%!l*bNDhl&SnOGK!=<*ECo}vLvyR-#{z-QMLlpX zZldALb2W3%e|hUo(ev=af3dXjKNbPI)5E_>IXOA?vI7hM9VqSf{aBj)yVHmp!QspU zucNZ3PAyu}`#JN7Yb*1>=I);y&DTWAz?x#0ia>kDbjdeavlL*?8{i61`EN|zfJkjt ziJ|{10L%qJ0O@TqZI=8Cn7@PkDMgMwv^J|LIa)u0iJKv=aD%ga8NB!(R1wP7MWZm- zOpcu5M03_J=!W74_h1aXR|6BPbmIMG%*x|^NFADUSNET9<@%%W>6|zc>8&H^l%M@~ zVfR^sHs@~pP}Q@?EfI`QpAW4zssR7fIVV&l%P2>HIMY{U?*Y5B&OfgM6=yqP8$FB_ zASe!vpUg4`0&kd2js;ZLHhq!BxAAgsIL4WRd3GP>xC1+1P-8i`0e7ECN$uj~xF;8u x^DhH^4o-cS|Fd5S=w1E)?)?HfVzIZl*WVbE8&^fyfK6;T5Df!$l&bxI{|orq(}Ms2 literal 0 HcmV?d00001 From 71d44bdfebee9caa3161e41387ad39135f7ac012 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 18 Jan 2024 16:38:41 +0000 Subject: [PATCH 30/77] Updated Rs prediction Cs correction part --- artefacts/appendix-K-sigworth-rs.ipynb | 41 +-- artefacts/appendix-L-compensated-models.ipynb | 12 +- artefacts/appendix-Z-references.ipynb | 8 +- .../artefacts-1-modelling-patch-clamp.ipynb | 38 +-- artefacts/artefacts-2-compensation.ipynb | 281 +++++------------- artefacts/resources/patch-comp-3-Rs-corr.png | Bin 0 -> 15431 bytes artefacts/resources/patch-comp-3-Rs.png | Bin 15261 -> 0 bytes artefacts/resources/patch-comp-4-Rs-corr.png | Bin 0 -> 9645 bytes artefacts/resources/patch-comp-4-Rs.png | Bin 9126 -> 0 bytes artefacts/resources/patch-comp-5-Rs-pred.png | Bin 0 -> 10998 bytes artefacts/resources/patch-comp-5-full.png | Bin 16347 -> 0 bytes artefacts/resources/patch-comp-6-dual.png | Bin 15696 -> 0 bytes artefacts/resources/patch-comp-6-full.png | Bin 0 -> 18967 bytes .../resources/patch-comp-7-no-leak-eoff.png | Bin 14087 -> 15922 bytes 14 files changed, 103 insertions(+), 277 deletions(-) create mode 100644 artefacts/resources/patch-comp-3-Rs-corr.png delete mode 100644 artefacts/resources/patch-comp-3-Rs.png create mode 100644 artefacts/resources/patch-comp-4-Rs-corr.png delete mode 100644 artefacts/resources/patch-comp-4-Rs.png create mode 100644 artefacts/resources/patch-comp-5-Rs-pred.png delete mode 100644 artefacts/resources/patch-comp-5-full.png delete mode 100644 artefacts/resources/patch-comp-6-dual.png create mode 100644 artefacts/resources/patch-comp-6-full.png diff --git a/artefacts/appendix-K-sigworth-rs.ipynb b/artefacts/appendix-K-sigworth-rs.ipynb index 17f27df..e0a6989 100644 --- a/artefacts/appendix-K-sigworth-rs.ipynb +++ b/artefacts/appendix-K-sigworth-rs.ipynb @@ -5,9 +5,9 @@ "id": "8cb8168d", "metadata": {}, "source": [ - "# Appendix K: Sigworth 1995 Rs compensation\n", + "# Appendix K: Sigworth 1983/1995 Rs compensation\n", "\n", - "In this appendix notebook, we look in some more detail at the $R_s$ compensation and capacitance transient cancellation scheme in figures 18 and 19 of [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), and re-derive the equations found in [Chon Lok Lei's thesis](https://ora.ox.ac.uk/objects/uuid:528c2771-ae4f-4f3c-b649-44904acdf259)." + "In this appendix notebook, we look in some more detail at the $R_s$ compensation and capacitance transient cancellation scheme in figures 18 and 19 of [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), and re-derive the equations found in **[REF-TO-PREPRINT-WITH-SUPERCHARGING]**." ] }, { @@ -186,42 +186,9 @@ "id": "8df15eb7", "metadata": {}, "source": [ - "\n", + "\n", "\n", - "_A schematic showing series resistance compensation (prediction and correction) and separate pathways for fast and slow capacitance correction._" - ] - }, - { - "cell_type": "markdown", - "id": "0d9dd37d", - "metadata": {}, - "source": [ - "## Lei et al. version\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "id": "8cea3844", - "metadata": {}, - "source": [ - "In [Lei (2020)](https://ora.ox.ac.uk/objects/uuid:528c2771-ae4f-4f3c-b649-44904acdf259), a further simplification is used, where $C_m$ correction is run off $V_\\text{ref}$ instead of $V_{est}$ and both capacitance corrections happen via a single pathway:" - ] - }, - { - "cell_type": "markdown", - "id": "ac2665b5", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "id": "82f38355", - "metadata": {}, - "source": [ - "_A schematic showing series resistance compensation (combined prediction and correction) and a single pathway for capacitance correction._" + "_A schematic showing series resistance prediction and correction and separate pathways for fast and slow capacitance correction._" ] } ], diff --git a/artefacts/appendix-L-compensated-models.ipynb b/artefacts/appendix-L-compensated-models.ipynb index 757a84c..a34b0af 100644 --- a/artefacts/appendix-L-compensated-models.ipynb +++ b/artefacts/appendix-L-compensated-models.ipynb @@ -39,19 +39,23 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "2a. && (C_p + C_f)\\dot{V}_p = \\frac{V_o-V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p-V_m}{R_s} + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "3a. && \\tau_a\\dot{V}_o = V_\\text{ref} - V_p\n", + "3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", + "4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", "\\end{align}\n", "\n", "\\begin{align}\n", - "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", + "5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", "\\end{align}" ] }, diff --git a/artefacts/appendix-Z-references.ipynb b/artefacts/appendix-Z-references.ipynb index b23d48c..db04e91 100644 --- a/artefacts/appendix-Z-references.ipynb +++ b/artefacts/appendix-Z-references.ipynb @@ -13,7 +13,7 @@ "id": "aff7c8af", "metadata": {}, "source": [ - "- Auerbach, Sachs (1984) Patch Clamp Studies of Single Ionic Channels\n", + "- [Auerbach, Sachs (1984) Patch Clamp Studies of Single Ionic Channels](https://doi.org/10.1146/annurev.bb.13.060184.001413)\n", "\n" ] }, @@ -35,6 +35,7 @@ "- [Finkel (1985) Useful Circuits for Voltage Clamping With Microelectrodes](https://doi.org/10.1007/978-1-4614-7601-6_2)\n", "- [Finkel (1991) Progress in instrumentation technology for recording from single channels and small cells](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) \n", "- [Levis & Rae (1998) Low-noise patch-clamp techniques](https://doi.org/10.1016/S0076-6879(98)93017-8)\n", + "- [Sigworth (1983) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4615-7858-1_1)\n", "- [Sigworth (1995a) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4419-1229-9_4)\n", "- [Weerakoon, Culurciello, Klemic, Sigworth (2009) An Integrated Patch-Clamp Potentiostat With Electrode Compensation](https://doi.org/10.1109/TBCAS.2008.2005419)" ] @@ -143,8 +144,9 @@ "source": [ "## Series-resistance compensation\n", "\n", - "- [Hodgkin, Huxley, Katz (1952) Measurement of current-voltage relations in the membrane of the giant axon of Loligo](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716)\n", - "- [Armstrong, Chow (1987) Supercharging; a method for improving patch-clamp performance](https://doi.org/10.1016/S0006-3495(87)83198-3)\n", + "- [Hodgkin, Huxley, Katz (1952) Measurement of current-voltage relations in the membrane of the giant axon of Loligo](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716) Feed-forward \n", + "- [Brennecke, Lindemann (1974) Theory of a membrane‐voltage clamp with discontinuous feedback through a pulsed current clamp](https://doi.org/10.1063/1.1686583) Chopping\n", + "- [Armstrong, Chow (1987) Supercharging; a method for improving patch-clamp performance](https://doi.org/10.1016/S0006-3495(87)83198-3) Supercharging\n", "- [Sherman, Shrier, Cooper (1999) Series Resistance Compensation for Whole-Cell Patch-Clamp Studies Using a Membrane State Estimator](https://doi.org/10.1016/S0006-3495(99)77093-1),\n", "- [Strickholm (1995a) A single electrode voltage, current- and patch-clamp amplifier with complete stable series resistance compensation](https://doi.org/10.1016/0165-0270(95)00021-L)\n", "- [Strickholm (1995b) A supercharger for single electrode voltage and current clamping](https://doi.org/10.1016/0165-0270(95)00022-M)" diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 23c2130..e2d794d 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -16,8 +16,9 @@ "2. control the membrane voltage and measure the resulting current (_voltage clamp_).\n", "\n", "We will focus exclusively on **voltage clamp**.\n", + "Our exposition will follow the excellent book chapter by [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) (although the parts we use here already appear in the [1983 edition](https://doi.org/10.1007/978-1-4615-7858-1_1)) as well as [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", "\n", - "In the first notebook, we introducing an electrical model of a patch-clamp set up and its imperfections.\n", + "In the first notebook, we introduce an electrical model of a patch-clamp set up and its imperfections.\n", "In the second, we review a model of the common compensation circuitry used to counter these flaws." ] }, @@ -46,9 +47,8 @@ "Now that we have access to the inside & outside of the cell, how can we control its voltage and measure the current?\n", "\n", "An outline of the answer is given below.\n", - "It follows the exposition in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), but also uses [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) and [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", - "Note that the schematics given here are all simplifications, illustrating the principles of a patch-clamp amplifier but omitting real-life complications.\n", - "We will assume some familiarity with passive electronics, but link to appendices for the more complicated parts." + "We will assume some familiarity with passive electronics, but provide links to appendices for the more complicated parts.\n", + "Importantly, the schematics given here are _simplifications_, illustrating the principles of a patch-clamp amplifier but omitting real-life complications." ] }, { @@ -414,7 +414,7 @@ "metadata": {}, "source": [ "We are now in a position to formulate an ODE model of the _uncompensated_ patch-clamp set-up.\n", - "In the next notebook we will extend this model with compensation (or \"cancellation\" or \"correction\") terms for the effects of $E_\\text{off}$, $C_p$, $C_m$, and $R_s$." + "In the next notebook we will extend this model with compensation terms for the effects of $E_\\text{off}$, $C_p$, $C_m$, and $R_s$." ] }, { @@ -424,7 +424,7 @@ "source": [ "\n", "\n", - "_**Figure 8**: It's figure 8 again!._" + "_**Figure 8 again**: A model of uncompensated whole-cell voltage-clamp._" ] }, { @@ -432,36 +432,36 @@ "id": "843ddc45", "metadata": {}, "source": [ - "We can use the sum of currents at the node at $V_m$ to write a differential equation for $V_m$:\n", + "We can use the sum of currents at the $V_m$ node to write a differential equation:\n", "\n", "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off} - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "1.1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off} - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", "\\end{align}\n", "\n", - "If we solve this as an [initial value problem](https://en.wikipedia.org/wiki/Initial_value_problem) (i.e. a simulation), then the only unknown is $V_p$.\n", - "To find an ODE for it, we look at the sum of currents at the node at $V_p$:\n", + "If we solve this as an [initial value problem](https://en.wikipedia.org/wiki/Initial_value_problem) (i.e. a simulation), then the only unknown variable is $V_p$.\n", + "To find an ODE for it, we inspect the sum of currents at the $V_p$ node:\n", "\n", "\\begin{align}\n", "C_p\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f(\\dot{V}_o - \\dot{V}_p) - \\frac{V_p + E_\\text{off} - V_m}{R_s}\n", "\\end{align}\n", "so that\n", "\\begin{align}\n", - "2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p + E_\\text{off} - V_m}{R_s}\n", + "1.2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p + E_\\text{off} - V_m}{R_s}\n", "\\end{align}\n", "\n", "Now we need to know $V_o$ and $\\dot{V}_o$, for which we use the equation given by Sigworth:\n", "\n", "\\begin{align}\n", - "3. && \\dot{V}_o = \\frac{V_c - V_p}{\\tau_a}\n", + "1.3. && \\dot{V}_o = \\frac{V_c - V_p}{\\tau_a}\n", "\\end{align}\n", "\n", "And finally we write an equation for the observed current:\n", "\n", "\\begin{align}\n", - "4. && I_\\text{obs} = \\frac{V_o - V_c}{R_f}\n", + "1.4. && I_\\text{obs} = \\frac{V_o - V_c}{R_f}\n", "\\end{align}\n", "\n", - "This gives us a 3 state variable ODE model of the patch-clamp set-up, without compensation.\n", + "This gives us a 3 state variable ODE model of the patch-clamp set-up without compensation.\n", "\n", "The model above differs subtly from the uncompensated model used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", "A comparison is provided in [Appendix F](./appendix-F-uncompensated-models.ipynb)." @@ -532,7 +532,7 @@ "I = 0 [pA]\n", " in [pA]\n", "\n", - "# Equation 1\n", + "# Equation 1.1\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", "\n", @@ -553,15 +553,15 @@ "tau_amp = 0.05e-3 [ms]\n", " in [ms]\n", "\n", - "# Equation 2a\n", + "# Equation 1.2\n", "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct)\n", " in [mV]\n", "\n", - "# Equation 3a\n", + "# Equation 1.3\n", "dot(Vo) = (Vc - Vp) / tau_amp\n", " in [mV]\n", "\n", - "# Equation 4\n", + "# Equation 1.4\n", "I_obs = (Vo - Vc) / Rf\n", " in [pA]\n", "\n", @@ -720,7 +720,7 @@ "The schematic contains mostly passive components (resistors, capacitors, batteries) as well as two active components (an op-amp and a difference amplifier) and a mystery component (the non-capacitative currents through the cell membrane).\n", "A simple 3-ODE model can be derived from the schematic which is similar, but subtly different, to that presented in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", "\n", - "In the [next notebook](./artefacts-2-compensation.ipynb) we will add in equations representing the various types of _compensation_ machinery typically found on patch-clamp amplifiers, including fast and slow capacitative transient cancellation and series resistance compensation." + "In the [next notebook](./artefacts-2-compensation.ipynb) we will add in equations representing the various types of _compensation_ electronics typically found on patch-clamp amplifiers, including fast and slow capacitative transient cancellation and series resistance compensation." ] } ], diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 9d5c5cb..a958837 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -28,7 +28,9 @@ "To allow for the idea that this correction is imperfect, either because it was set imperfectly or because $E_\\text{off}$ drifted over time, we now introduce a symbol for the error in the offset correction $E_\\text{off}^\\dagger$ as\n", "\\begin{equation}\n", "E_\\text{off}^\\dagger = E_\\text{off} - E_\\text{off}^*\n", - "\\end{equation}" + "\\end{equation}\n", + "\n", + "In the schematic, we will simply replace $E_\\text{off}$ with the remaining error." ] }, { @@ -139,7 +141,7 @@ "## Slow capacitance correction ($C_m$)\n", "\n", "Next, we add a similar correction term for the much larger capacitance $C_m$.\n", - "As with $C_p$ correction, there are practical considerations that complicate real-world implementations ([Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4)) but for our model we can simply add another term based on the estimated membrane capacitance $C_m^*$:\n", + "As with $C_p$ correction, there are practical considerations that complicate real-world implementations ([Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4)) but for now we'll simply add another term based on the estimated membrane capacitance $C_m^*$:\n", "\n", "\\begin{equation}\n", "I_\\text{inj} = C_p^* \\dot{V}_c + C_m^* \\dot{V}_c\n", @@ -198,7 +200,7 @@ "id": "d8e68433", "metadata": {}, "source": [ - "\n", + "\n", "\n", "_**Figure 3**: Series resistance correction feeds a fraction of $V_\\text{out}$ into $V_\\text{ref}$ via a summing amplifier._" ] @@ -224,9 +226,9 @@ "id": "05b12cd2", "metadata": {}, "source": [ - "\n", + "\n", "\n", - "_**Figure 4**: A simplified schematic for feed-forward series resistance compensation._" + "_**Figure 4**: A simplified schematic for $R_s$ correction._" ] }, { @@ -294,28 +296,33 @@ "V_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est}\n", "\\end{align}\n", "\n", - "Sometimes only a single control is provided for both mechanisms ($\\beta = \\alpha$), so that this simplifies to\n", - "\n", - "\\begin{align}\n", - "V_\\text{ref} = V_c + \\alpha R_s^* \\left( I_\\text{obs} + C_m^* \\dot{V}_\\text{est} \\right)\n", - "\\end{align}" + "A schematic including both types of $R_s$ compensation is shown below:" ] }, { "cell_type": "markdown", - "id": "9d336650", + "id": "a9868f70", "metadata": {}, "source": [ - "The addition of the predicted charging current causes a significant \"overshoot\" in $V_\\text{ref}$, which has been likened to the _supercharging_ method of [Armstrong & Chow (1987)](https://doi.org/10.1016/S0006-3495(87)83198-3)." + "\n", + "\n", + "_**Figure 5**: A simplified schematic for $R_s$ prediction (left) and correction (right)._" ] }, { "cell_type": "markdown", - "id": "d888d313", + "id": "82cc6195", "metadata": {}, "source": [ - "Note that the prediction compensation doesn't appear in the [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) paper, but is included in Chon's thesis: [Lei (2020)](https://ora.ox.ac.uk/objects/uuid:528c2771-ae4f-4f3c-b649-44904acdf259).\n", - "A derivation of the prediction equations is given in [appendix K](./appendix-K-sigworth-rs.ipynb)." + "If only a single control $\\alpha = \\beta$ is provided for both prediction and correction, we can write\n", + "\n", + "\\begin{align}\n", + "V_\\text{ref} = V_c + \\alpha R_s^* \\left( I_\\text{obs} + C_m^* \\dot{V}_\\text{est} \\right)\n", + "\\end{align}\n", + "\n", + "The addition of the predicted charging current causes a significant \"overshoot\" in $V_\\text{ref}$, which has been likened to the _supercharging_ method of [Armstrong & Chow (1987)](https://doi.org/10.1016/S0006-3495(87)83198-3).\n", + "\n", + "A derivation of the prediction equations from the schematics by Sigworth is given in [appendix K](./appendix-K-sigworth-rs.ipynb)." ] }, { @@ -332,6 +339,39 @@ "As a result, good $C_p$ correction is essential for series resistance compensation." ] }, + { + "cell_type": "markdown", + "id": "934470f3", + "metadata": {}, + "source": [ + "### An updated slow capacitance correction\n", + "\n", + "Having introduced $V_\\text{est}$ and a series resistance compensation based on $C_m^*\\dot{V}_\\text{est}$, we can now follow [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and use this term in our slow capacitance correction. This means splitting up the \"injection\" pathways for fast and slow capacitance, leading to the schematic below.\n" + ] + }, + { + "cell_type": "markdown", + "id": "d888d313", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 6**: A model with series resistance correction and prediction, and with fast and slow capacitative transient cancellation._" + ] + }, + { + "cell_type": "markdown", + "id": "803954c5", + "metadata": {}, + "source": [ + "Correspondingly, we split $I_\\text{inj}$ up into two currents $I_\\text{FC}$ and $I_\\text{SC}$.\n", + "\n", + "\\begin{align}\n", + "I_\\text{FC} = C_p^* \\dot{V}_\\text{ref} && \\text{Fast capacitance correction} \\\\\n", + "I_\\text{SC} = C_m^* \\dot{V}_\\text{est} && \\text{Slow capacitance correction}\n", + "\\end{align}" + ] + }, { "cell_type": "markdown", "id": "fc428a62", @@ -369,9 +409,9 @@ "id": "f43319ae", "metadata": {}, "source": [ - "\n", + "\n", "\n", - "_**Figure 5**: The full model with compensation._" + "_**Figure 6 again**: The full model with compensation._" ] }, { @@ -384,7 +424,7 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "C2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "C2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", @@ -613,192 +653,17 @@ ] }, { - "cell_type": "code", - "execution_count": 27, - "id": "5b668a14", - "metadata": {}, - "outputs": [], - "source": [ - "m = myokit.parse_model('''\n", - "[[model]]\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Vr = -80\n", - "\n", - "[engine]\n", - "time = 0 [ms]\n", - " in [ms]\n", - " bind time\n", - "\n", - "[amp]\n", - "alpha = 0 #0.7\n", - " desc: The applied fraction of Rs compensation.\n", - "Vc = -20 [mV]\n", - " in [mV]\n", - " desc: The command potential, i.e. the intended voltage clamp potential.\n", - "I = 0 [pA]\n", - " in [pA]\n", - " desc: The native transmembrane current\n", - "E_leak = 0 [mV]\n", - " in [mV]\n", - " desc: The reversal potential of the leak current.\n", - "R_leak = 1 [GOhm]\n", - " desc: The seal resistance in GOhm.\n", - " in [GOhm] \n", - "E_off = 0 [mV]\n", - " in [mV]\n", - " desc: The error in the voltage offset zeroing.\n", - "Cm = 20 [pF]\n", - " in [pF]\n", - " desc: The cell capacitance\n", - "Cm_est = 15 [pF]\n", - " in [pF]\n", - " desc: The estimated cell capacitance, used in C-slow compensation.\n", - "Cp = 4.5 [pF]\n", - " in [pF]\n", - " desc: The true pipette capacitance.\n", - "Cp_est = 4.5 [pF]\n", - " in [pF]\n", - " desc: The estimated pipette capacitance, used in C-fast compensation.\n", - "Cf = 0.3 [pF]\n", - " in [pF]\n", - " desc: The stray or feedback capacitance. Depends on the amplifier and its gain settings.\n", - "Rs = 10 [MOhm] * 1e-3 [GOhm/MOhm]\n", - " in [GOhm]\n", - " desc: The series (or access) resistance. Note that this is in GOhm.\n", - "Rs_est = 9 [MOhm] * 1e-3 [GOhm/MOhm]\n", - " in [GOhm]\n", - " desc: The estimated Rs, used in Rs compensation.\n", - "Rf = 0.025 [GOhm]\n", - " in [GOhm]\n", - " desc: The used feedback resistance. Depends on the amplifier and its gain settings.\n", - "tau_amp = 50e-6 [ms]\n", - " in [ms]\n", - " desc: The time-constant of the measuring op-amp.\n", - "tau_sum = 40e-3 [ms]\n", - " in [ms]\n", - " desc: The time-constant of the summing op-amp used in Rs compensation.\n", - "\n", - "# Equation C1\n", - "dot(Vm) = ((Vp + E_off - Vm) / Rs - (Vm - E_leak) / R_leak - I) / Cm\n", - " in [mV]\n", - " desc: The membrane potential.\n", - "\n", - "# Equation C2\n", - "dot(Vp) = ((Vo - Vp) / Rf - (Vp + E_off - Vm) / Rs + Cf * dot(Vo) + (Cp_est + Cm_est) * dot(Vr)) / (Cf + Cp)\n", - " in [mV]\n", - " desc: Pipette voltage (before the voltage drop over the series resistance).\n", - "\n", - "# Equation C3\n", - "dot(Vo) = (Vr - Vp) / tau_amp\n", - " in [mV]\n", - " desc: The voltage at the measuring op-amp output\n", - "\n", - "# Equation C4\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum\n", - " in [mV]\n", - " desc: The reference potential, i.e. the command potential with series resistance compensation added.\n", - "\n", - "# Equation C5\n", - "I_obs = (Vo - Vr) / Rf\n", - " in [pA]\n", - " desc: The reported current.\n", - "''')\n", - "m.check_units(myokit.UNIT_STRICT)" - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "id": "aa002393", - "metadata": {}, - "outputs": [], - "source": [ - "s = myokit.Simulation(m)\n", - "s.set_constant('amp.alpha', 0)\n", - "d0 = s.run(10)\n", - "\n", - "s.reset()\n", - "s.set_constant('amp.alpha', 0.4)\n", - "d1 = s.run(10)\n", - "\n", - "s.reset()\n", - "s.set_constant('amp.alpha', 0.8)\n", - "d2 = s.run(10)" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "id": "88e8b7ff", + "cell_type": "markdown", + "id": "1a264be5", "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "fig = plt.figure(figsize=(15, 10))\n", - "\n", - "ax = fig.add_subplot(2, 2, 1)\n", - "ax.set_ylabel('Vm (mV)')\n", - "kw = dict(color='#aaa', ls='--')\n", - "ax.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", - "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - "ax.plot(d0.time(), d0['amp.Vm'])\n", - "ax.plot(d1.time(), d1['amp.Vm'])\n", - "ax.plot(d2.time(), d2['amp.Vm'])\n", - "\n", - "ax = fig.add_subplot(2, 2, 2)\n", - "ax.set_ylabel('Vo (mV)')\n", - "ax.plot(d.time(), d['amp.Vo'])\n", - "ins = ax.inset_axes((0.3, 0.15, 0.65, 0.55))\n", - "ins.set_xlabel('Time (ms)')\n", - "ins.set_ylabel('Vo (mV)')\n", - "ins.plot(d.time(), d['amp.Vo'])\n", - "ins.set_xlim(-0.001, 0.02)\n", - "ins.set_ylim(-400, -50)\n", - "\n", - "ax = fig.add_subplot(2, 2, 3)\n", - "ax.set_xlabel('Time (ms)')\n", - "ax.set_ylabel('Vp (mV)')\n", - "ax.plot(d.time(), d['amp.Vp'])\n", - "ins = ax.inset_axes((0.3, 0.15, 0.65, 0.55))\n", - "ins.set_xlabel('Time (ms)')\n", - "ins.set_ylabel('Vp (mV)')\n", - "ins.plot(d.time(), d['amp.Vp'])\n", - "ins.set_xlim(-0.001, 0.02)\n", - "ins.set_ylim(-85, -65)\n", - "\n", - "ax = fig.add_subplot(2, 2, 4)\n", - "ax.set_xlabel('Time (ms)')\n", - "ax.set_ylabel('Recorded I (pA)')\n", - "ax.plot(d.time(), d['amp.I_obs'])\n", - "ins = ax.inset_axes((0.3, 0.15, 0.65, 0.55))\n", - "ins.set_xlabel('Time (ms)')\n", - "ins.set_ylabel('Recorded I (pA)')\n", - "ins.plot(d.time(), d['amp.I_obs'])\n", - "ins.set_xlim(-0.001, 0.02)\n", - "\n", - "plt.show()" - ] + "source": [] }, { "cell_type": "markdown", - "id": "8867cc65", + "id": "c35b52b0", "metadata": {}, "source": [ - "This shows some pretty interesting behaviour: " + " " ] }, { @@ -819,14 +684,6 @@ "metadata": {}, "source": [] }, - { - "cell_type": "markdown", - "id": "2f154e82", - "metadata": {}, - "source": [ - "## Simulations" - ] - }, { "cell_type": "markdown", "id": "0fd3030b", @@ -834,19 +691,15 @@ "source": [] }, { - "cell_type": "code", - "execution_count": null, - "id": "f2bfdf0e", + "cell_type": "markdown", + "id": "93609b05", "metadata": {}, - "outputs": [], "source": [] }, { - "cell_type": "code", - "execution_count": null, - "id": "3a26762d", + "cell_type": "markdown", + "id": "72556131", "metadata": {}, - "outputs": [], "source": [] }, { diff --git a/artefacts/resources/patch-comp-3-Rs-corr.png b/artefacts/resources/patch-comp-3-Rs-corr.png new file mode 100644 index 0000000000000000000000000000000000000000..770501fd1c6ac73f6c4bff185086167fcfa2486b GIT binary patch literal 15431 zcma*OS6EYB)HNDFnl$OsEdf+Ip;tvvLluzTL4rsL5PC-=2ncA966&i&QGo!4UL#c` zfFiwy8i5d+^!9JR|2Y@mIXCAbgq^bY&RlEFIp!E+B|bF1&vKFfA_xRxfj-bR1A(aa zf&Zr%=zzaIo_}D#6Qkb)8w3c%{NUe%>OAm!9q=Mwpq_Q0IUE)k;u7Ev3JD33_Vo2a zxViYbOTz;^aw!`8AkY;MRQJxK(7g5Oki17ryWLv@`_jGA4{-Oquei2f?8;<#_Drg4 z%#GHKDv`gaE9c3O_0K0mPYf$u62;gJp1shOJtI5la@py2KFb-q9p^Bn+Nxd9C@VP$ z+v}eiAK!^?UhbsitB3SgG$NKgNpH||d5He{ZT1gBv50UhEA=VLph@ZrRhyl)biDQ4? zBcx-t$G7|IQ}qWE@t*iDn3D3^0V@(SJ4?_qme3+?FHQe@m+VX&A$PDk!%RRDBjUkO zl0mrT;C~9w0;R3~U0-NC98c(?$l~}x(5N^$d|KRS5_dMjXYSukz2W=0*ij#9c;<0i zYIETTxnci#XOWV(_I-w0$(PIuZGG(78?Ch&$i5JOHPWb8C#ii^k820-e%HF;Y1KwP z)WbvY_K*n47YBL0$>Jd-`}=$1L^q-wl>Whli5O9k7rpQuzB zwf%pB$+`M3*#9@ESD~xm&xM$Rl&RWOjN=Jv)XZXNdl>e zo-N>4A^J1;{+*U&kN*F6ILi$T4L@{^%G2ljSAEDn<3TFsc@nqb^)r~G$kb9#dLu&G zs69>_Ir;_jOgccvlk>m`ZWiT-4&W3tX`+i8?10%6Xo3F-4bb=Cfi(Ly#)3ZzpB zE92%mlW)9MxDZ-3Hn8?1ISmL-9>To~IAg!673^Q6|JPxoF4Pt}IOo|7Of(_1ct}>2 zQEd7kYnv)bP2PkeEr$vQMPDoxTdDQzUI0FkQH}_K9#J2NJfw+y8z6~&o<7t^Xz^Tr z`vU)nczTZ#@hb4*no1Uf2?aY04x&Dynii-(7J%g5T!|(g!-C!YixAQ;4JOX`>O|@) zKJO67=FYmD`$BfvfAS<)f$Q;lQNgL5IB$izN6?MYeCkYyu)hc{1HGczqqn3N)>pnp z8U*J2lA8o1qB!wbOMGZ482bb)M0G;%RWeD))klL(jLLgRrUvQx1d6)H}af z+k#Zi>6@q?QI&Lc&(NJYAW2xMHiSwRCvZg(FN3Fb+)G)oJ<-jpE?p-9;Cvb~OCBqk zo|$S-8HawsJd&XeiD0{Y)zgEh@2uI@CBuv1&qmw?MtXbe!N{l+6+p)9TwUlq^x zy6T$Wt%{rP+^ZoKLYwa2ih_jV(VCK;20CRg$_G=s>#KlSboghMkgbl2r;cE6_|u&` zE=bgHW|!r$POtFTeFIxwn{#+iC}piH{jdYJpq}Oz#6ocOw2XxMYuh$`(xxa@s%SP1 z1_D(anm}JUU@-R>#=Y= zazX36+CEKN&cgfjszgi(co)9dQY{)K?Rl!;iulk&-~m~&&j=_(RRu}g%Wl9Bp3|~= z&$k?n?`(kVE@g^RdD9x+@Uu^$f4rB2p~b&L%AI>B(7?0DCp*&!8MmP9ehTl9+Y&bu z7VKw$+qHz?;EZm|eTOW5_ouEoida(}s8`s=wR`acZQro08;}UrF{)6eI-$!jm?FLyueIg*+tXDl zl4^>&l*QX2=JsGP(yT!$>Y@J>Hjh(al#@ zZab?~RASf&pzLD=1*85DvDLjVpwIM%=aCVu%pZNXQf$c#c z0!$}q4;=3o`4PG`yY>IQxhYdb;u={)uqssLn3)xvgCT0Q!Ph*~Paj%Y!>aZSUSJ&JBw|~T`6m-B zP))`i&5LEX(kO?AT8sl9I@22^7@!t-W19rwq}q8&y>mqzlU>AD3`<-Bqtxk_gUxu9 zmVpX(w~xGrEU(u+fi$GaGJd@uS$8q?o*7EJWPwonkoI{2mC~)B)sw4_Y%6z55ifw; z%l+dA(#L5nh^EEpX67=1Izaz1Z0{pR4!^-rw)d3Csgo6qS{9FNcF(p+!7MPeUwj_x zh@>3MUUD=sD}W!qJ<&Dg+FhT_YG>1KdT8+z-m;4@2%XoPHRPCHZH|m)%cPtv2I(6dDy#esv0o5T~GQ- zM+p6NHB`Pv^ErpFhpYyVp0?+d)s?RD;Pvp&I-Ysiu(d5q&HS|fVs`=$;@qEQ5WLJ* z@>pFk8wQi%m6RX#1rnRkGmHbck8T;{1XWZ0?3FuS3=8%(8}*WcdUfJXW<`fqV=M+g z9y$&Xc+le?b{pSuqc9Yd7)LnM2o=m=qWZ%G6oY^81LgV}T?=(4m-ht;_=LVWN7IOz5zU5DfBs6O#Y4@=isHf7|uCBJIeWq+` zcu7#h#@|cby#_w8DQkYfrB@=(Yc|h{s|yx3;hBcq@at+| zU=F-{wTV60%Wt#?77V|5Ra9s2%k6gmRpq!SLMcY#3pD5cpZNYg(TY}db%Wz=J-yCc zNrxh*r)N)LWsW3a8H1*e-{v}Ro3|DzQzu-?l!|tNnRJbgb06H4&92DX_H~x@3o`!I z7~E$^!IIJA$M_cf@z%RTJak>PvilyD$>XSV{tBnh;(KF!w}V}B(|Y78v)*ZQJQaUD zzd@q9j~-7p$~)&JHX?NkG~f6#_~oLb++o#7&D9Vo!|&KfVZGBq3@|0V!3Lyqe=~tR zSLOCp0&>i7S#pK0t$~!kaQfY#03CJLx__66c;P=MMk`s#q)@MUbFh&pSM2JvJv<8? zCAH#6yh40g+W3Xhj(4>8((;o!Rv<}Zum7lu)Nhn$JE&;}@6jz&RSRiWDnBgz>1C_- zi*OHZWAR)#O*}SAG$_?eM37m-F;>NaaVN78fpy4L^s8{_hUO!? z)_3D}uc;6fAh*4XWF^1ZZQe*-;>hZGERTcr%{T4z8>qiUvF{V}q*s{#Ggn7HpW|2t zl7g=N6Ssf~f0dUc{z%jBLd#}VLY?E=iXybr2gRcPsQr$aJ7EDbrdtg|Q8{&uA{Eat zG&yzW(GUq(l%LgvFV)OdvZj;ekH0j@2%qJi=0FRokQ%@KJtILUrh`wP>7i=8+1{{$ zW!@YwnR&$ln%Faxk^)ZocWRHSRcOJK!4&&_!EamLBC}r*@cJblY)gYh(5+}4>z(zU z=2xA~+E!E&-3h)If*;6SBiUDm2FUm~N9@B&%4(ht*P9m`OZh2a^HuXdY;FEXD3$dsRIk%B6^=5k$l6`|Ia7GaC(%Xeqibj8Fp8 z{P4v|>IV&M2Wja1x4w`1>s_}p8@;T+)8Lh%ss+FPdNUwS)yiJfB^V(j0Gt7o*&p-} z6%Mu4URTLO^Z;FgeRmM^=4jRPCrr@BuG}IO(${~`yV}F*L5`&7dZtMbc42eT@dE1B z@6>Pg0bF{fk714XO#kL!iki4L?1??iAn^3Ho>lnmGK?NdIAchA{UFcl!Jt+j+2UbL ze;=yFyfoX^YavA$mTshELsj?9ZmXZRV2X)Uemeu5_|U|evyEagTFjq;NI6D6T>Pk- z9tTgpWMDjE>m}tFzVhM8RGoj|>X2vFjU<>p|9V;I=o?^A^Y|epw6ZugNCZsjve_hpJYYO?EAQT1vRjuwd=Y>(1CKm}mND)*UA-rb3DQtW+lZz^XhLc~64wCx>_I$#{wNQ7Ar^frxx@ql`Mm_JHCbx+j zI?0tSYkMH)+=JOxP^*!++NgdBwL@I(Z&vV4pqitNjMP(IH!DL&e*tTS1CmRdXY{9K z8d*Vpa>-9{^9_3a4J;C?r?}NxR&Z73^A{m**#?@r3|afF_R@v4uYYMgMPJ_xuut5w zY+8E&T(gS?|2#$TToY(gQ_-4qWctzvf3WAZwb%54#6}x=!TZ;y7E}V-aJ3*Z9@DCS z1o6|By*p@?%%H4bZaZ)LPUkAOPQ;VRjx?A%x=3~LS4u$^;7=3r^;Nr8RCfjtem&XZ)Ud7+w{3x3|(qgU_rW~#o>~pb{?PLI89n?R{`(1V!v@_TJr06HPsRJS1x$bV+=Z(b( z#meCekVmv|kR5uwgvEG3c>4?8@`669cHTjCd$Hhs-Gd(zz?fFn1YhLOsbIZ)a9w_ynfyeOUTP54HUzl2`AS{7(FGU+#Ue-zRyktE*4H zeEE{8PALnuGQKGP&KMQ*#E-S$YT(kw@9ziAc3(s`EwpM4#c+;$FGlR(n&G>v!{qgo zodLM~r9#t%mop=H#Irq~a7-x1$qb`LZ=7mK$8gFw|LQnpHYU@YbKWQ$-W< zd;1bJ{r!ywrayM)r$e93ZK^&U4wwRo*mtV|7|(SF4k@xj-1+0ER?vZ1+*-rqUGPcX zGF1r;sk>Gn&fNS}Z;&%=Pn#HXsMYfR{d=+F<345h=Oe$hj2-JH4ApHoBtm7~+TI2H zOX}RENvq~esn})3IIE@ZP5h`WixW(;Yr zJKJwZdN;Y%XUi5v(&#Xqxk7dvGdZ}+Wwh(Li=%n1ZOpt@J7yPid6%$;c3ae4mX98h zZ?1^XB+1A?!TZIc!md2`KN?!BlGqI|d4)2DBPoQi;+?BMQi&-JCg2WH$ARwwHru`CN0W0>Y0_J0hg zf@GTiazq{P`TTzI^y$+-zl+m`M@A?w#rKtN>PWOaasL)F?#?US{CDtA)o{qMz&Feo^+btb8TW`YLqVnd27l=a%ud5jsk>A5a*Y9?PEtkm$GF^5BApO&@Y~#e9-Ory^XIuADNdhXc!d}o?=EefZ`q$5 zTgKQipIq(sx)DvTsB$uqSU4SBEh%^OVC2&X^%F~aZ{yjD1;b6bn&n(OUSiIY18Sc9 zx+b9Pn`dEZ344DdhyE4aP|lb@BYV8da(8PnF3w@6*^+4hcilN;I%pI%x=nn}X1g)d z%*z?B)=e|bv^5ni(O)LjDyS+N;_O3o(6L&uMNWU*qYJ0n;IuukKw{^a=DYh-)3jMn zFd6=e8B?Qa3)AxJ=YN&Xf3}It%nqg&eJ>9KXcMX3o>L*`7lP%#d{OET`SgCBw6m%c zPyqmKHgrB)mcF-Uu$mbhP`>{|qI?V=N3#Y>|NBtuyc@5@qJ`&oS^Cvb?^wb;P;DQe z3^@jVv-jUWzv!&F^l))=Q0>~;B!t0xyEA*Jlah)hi=d}V(cAE2_J)n)uG*|vnm+Od zN{LSC0_#=qS*J}}qd;goL2U7oIam2ye1#sV0q==yuJfRNVEc=}-!**=I*in=ft9?g ze~cbiM~TrCG4x8w$m5puJ2KytLC}U-LLpOfl}s>)-o|ClnsHd}78y5ikJllPo!8#) z+oqw|P6K8Ixt}+}4|E3HvDyYtt!L`W_#MczI1iJqG?4+VV{-eO`@;2Id8!CuRG{W2 z(@y0<@CPY3^;r(3)oj{GbkK43>)QgUB&_b`g$>?WEh;gnEn{d-g-4(=(IQhwxkY%) zaxhr6qlU-DJWXbv6efEXE)FS488`$dthw7|RqR(ww4^h(I^kk-%~C)7jHhN6Qd6j8 zir$ErEkcm``Q|GlM}4`Xds@Q*t&Vcxf1gklEiA>fCkrL?I?YJ!ADB<^scI~8 zJInfgMx!xrkd=S)<5Xe6pjgbLO|UXH5g&oS0DUewT18u!5MebXAEwQ9MlJ2 z$eBjq?Y7qKe!<+S_b=_;ijg!XdVtJ<9y|qr1}lZgjuzM+jTGT!G~?I3_NUZs^^SrH~)CC;J>(uc~vxuuB`Zhq<)mCn+J9H%c;mVVWI z^9Y!-#JTna@w0tZ?=e|-d2~>-Ww<<#j3Yrb%f8Lh&d2?Cu}LA@D>>=E%?` zS~9$_UKo9JlT*yld6|H|J*MKm`29_3Bc&mwU}J&O1ASjT^BV1NbLFWsH{@91-NQ-A zM(&s))B9zIK+6yz(bB=)wB!pbd>ry2cibD2`(~Qf7sk{_PC1x;g^qHFeV8gv-i>m3 zzR(`KyFkrqMV*1)?<2G8yl+*Zn2u5Z;n0Si9!${#tQqy?i&AN|CWTu0>$S55#f8y1 z>ot?9-=5R&oE>lAobUX;ZYAV1b($z%Ks?=_HTjzZtr-#E+*ipobRDPxCV?Dcneg3i z>qEI#j-LbDb0Ysk6=*vffV~nBp zgHf=(d-LUOONN7&bFyit@Sj2nm8!hCHbzV&F8z|O$(Xa9LP+F+AFv8dqR=~Tsj45x znXIg=aMQt)dL|lMx(SyXkOf8$g$!~uYPc0$tkNdk<2OkbS zF15i~2Mt@;z?*jiqMy+%P_VQR7{M86Dthw#yZ9s>n?j$8rH^+IuxsBFn;n5BV|%fu zwB=~ki<%9}zoFg-j8)^3T_-^)>D+J!^^l%)!wYZ0{y~m|D%)~2gzkLd@ zVr}pB46Lf8tt(>Lv<_l)2cC{A4H7*r^{F@SE>;DL94*!^Ozt_kY$|l*XKUUd)7%gn$5-h}Nbk&t&^AbV(t| zfYRhC|5^;zwgD50Z2V(?@akyrA!q0-m#vdY^m_H^a%H#h0#N^4MoMjf zMmmwxSS7A{#I~ffJ9DruVj|MJ*)LL3OS8>Ix}* z+mx$vr(YchJV<|ahlhu~TTl0X0c&sO>PVwkt{nG`7&x$@F(i@WH4S|&!Ut65$>mUVV(=Zg>$ndbx>1I1yNCWX1 z(`5*Z8Cd>hQ$Tlct08bDz=xBqk;c0Ej(t&VB5coHSS~Ol5QZQvsxO~;LACqlPCgbC zYcXoR@roj&6rBV>Ac61yya05z1;X~B*F{;Rh`SPiKqJ6^<8v*#PYyRAI!!Nl(J-Bh zz2RBTtWn2@TPa(6;ahbPPS7ZVhXDIrdSbly?d<$ZYXw+KDgAt{o9dr#_DpT=;5`tgm>{f zSL7v+@B3Z%OUL|Xbl4y27WGuvyn1!ciZe4Ig}Q})3I^l6$kXiAKY})dy)e#Klk=bN zc(3Je)Q4*J55^GUeg8qV?{BU{WLi(Y4j^UxfoJ&vjUB`IO|5-?Katn)YmS9o32!%O zTE6e(jMMeyD#M*1$zKINI7RIOiH4gA08vvzVy?>6=dS~=Rj6-@ZmQg#vh$~M@uZ03 z$6-oFgY35*P7NcVF;x9m$d(gUgCc$2-bDi=*5hi#QRL%B`MLCX_RJ0+&X_1`Y4=Tr zVa!*9+gJ_}6U>R^rUYK=^sl)@P1w%zaO=n9iEVyc1kD+Kdr1NAD{88qru;Hmafow=k$D*|Fphf{QE%lSZ%IZ__|wc?M_rAmmd7pWx2Mm zQnpp!yHW%^GBPsIH1+&=Pny*Bu0r8pO3$fZ|Eb*#VxmsTc{ z??tzY=&y!=tZ~5fW0J04M2VI!0Hhagcho7yh18#)d!l~L`}H2(=5?Ykv8wdgSDdUn zp7=B9+$B$WfZljO4X##k)ulB09>PC!*sP9~$fYB3s-mNO8l-Te-xbCORi;Uxil~U> z9lijGFdF1?eu*BgT_s)m!PIK^(~8Ky!`qf?(qb(3*FJwJ-fMA^*4C`4dL~+9tAkU} zzk?keG7~0FO_9e{!ZIVImX;hY3{e$x)NHk+RD9HW^X@X__`#s5M}E*qU+q+Yfx~P| zlmx&G%Gp&t`DIsKT`jJ>qmmxT>EgNFkKeKhNdqa#<2sQpt9Eq(^^?v2fn+DC7#KN)N~wxnhK<@?!A zaP;0<&YN3zbE*YG$Gdh1!KX1KNcKGR;HaC}ZntKe*Xw zt?W#cY10MYPiRrcmmLJk>%VOTG-N+l6|o$`P?CMdFHTyX()knJI!jo-ZEZ;(=r+E; z@B+yuRzdFAmo7{BWk+%UPMFD3U^sA^sH_WK=Oju)YbUl}yh)|!$BoN4#;bmDLscS}(`w27e(TqMu8q4O;5^6=8Zo@$)WWh)TxJ);jK1kl>8WCh| zcCiJ1Qo-NW^jR#!B0!r`xA5tUev{)J9FL6e%5z&aNiJhQX*g`}EkL7*&=}l$0$rse z8!?hG^qWU(<(zuIYVlB5aC+^Cfyq0&we>{B7U-Zq-U)!lf3FiV!mS4L@vY!y!^;UV zuYUF(F>Y34c>BCdx(bRFdrn30;rRQKja_QIh&b}9CX`@JsJW8#zI>yeRDhJ3R5Rsz z)AJZ*?P~f&+ihiR6^BG`@75FYw3 zyMS%1-3j+w!^Wukg}uW%0c76q(sEvE#MhR>T>XdGp=oCO8=g!|ibq!?ZxDhsj(L&K z^hY_n#M1*%XwAj4-NA*j-6`~Vw~^pLm^u3EYGz2kXm6&}D+LEL5gnOtyaMesfaxo~WS8b$PMj)Wftl)c=gbNLb7voU|&GaIuznA%=152VCTn2S0k zQBYMkXox`rYqo?ZCzM`4oI6x^@GiPkY-!>t4W|{YDQW~UII)pd8qBY+U`zgpD{{8hQninML;xE~5jvL61UXg6HPk$H) zP~?sN$>$e{)DQtF&kOIm+Gsjirn!@W;WZc!>@`@u2pD0m4&|KFjXg9o!m$~4*+V48 z@~BCJZ!Ipb*tc!x#?yufS3FdY;MIW>^|ns(xZ{kHFMlzJ0}9Q@s`TT0q~`ZY1wOHY z#0ceg7}wKl=e|gEOXntBr}HZ5$FLEydOb_|YfY5n$mqtUTjDyO-m9=#)c`};U}i*t z?I~;qQjhjLn0PKL9LoA`sU^1JF=@QUv6WjNQQ3%KOq3A9PPKWOP+8H;=8OxVqM>8m z#Me6Aq@-TEwGT4unGvq@=muqjhU5xK$`xX+*hM-O5^77%zgh}%Y(03R4U2SOaNF9o zyE{OA0h&G_f$=RpF`2cdKc#>Ni2)*4<3#emYB zpU=vrf@OssisHLPlx^3!fY}p4>S-2wQzh*uW1zf=mi7efLYkcw_D8-SR7!MEf4!WG z?xi~EXGfAQdbttvxUKB{>(v2_s}ULick*=|&p%Y6^QEWzz?mvR3sYjr&I}f;f33h) z$M$_D<>^JgN;tK7C@T0u|H|NpUit3kYDXuxUhv z?LH`Ko2|G0BzycW>I`(!MuuhbmD^ya6Y((cz$9u8* zm_O{V4_-qVNYB0Cbp*1;IaPP|IHt-ipl5S&rX^2j>=Nk`P?2K`+v-iYCydK zuV1!z2|KjOx(q$ftcn5jRlpBO>X`;`>VF;+cmC%Ha4f1?#e>U?Zm>RrQ*H8X{)g5? z1sIdzgO*#k_a-hCnE z9Ua@B{d45m({qgO78*bK&`N#s;-|h7NYG>~e4jSccNM|AX{Qr%%7Kc$aFY0Qc$VqY z>%Z-x*NXt4cAN`xh#dx}&>4$)?>9DJ?)H&=i4TZZbWHBDRK#JJ`XnPBd|Uc-7aRgq z9}-OjRSA1TF_sql=ZS#DgD>C{ZRQIjpb?su?dG}swTCbc+n)y6;})m}>dBn(8I&4T za_k&z&vLIGbt|?cmXko1hF&AT^QXRQLqn!xmIt(((>E0*OZx;eEtD4|P8HHkVx@~m zj~AhoXbQ3vL@73YVK?yn`_UunMmB4cFHELm)`W(YptjEkiBM?SV}_gl3(bko= z(BCF;$)fpinCiwSo|q@r#V>waQZ?vLe0i~>MG)qcKmz?p;eFG;jlYf6jf(REE^0>X zm7b4#QKstc1A0UyQUsJKj!^MSU6UiUFd7KK`wsP0L1=bRqYL#YFv*Q#+I&$y{(^o= zo1*XRL^6YyXdd(a=()7l`Dt|Xd1oHo?0MSHgMhGAhMuSx;@i>=)>!nk-erRyqtSQ~ zyD*Bh)Km<=i=l+Szw#vUMv`>n;c{-!!CZn&h%wOa)@`;i4Huh;05}7|a(kaL?bQmr z-wz;9rw2!9^t9v4gFHm1s3)hhfwQ*&wvyfD^HeZkagFrWsDO=lqPv7Ak@1tp`}76< z%34;-Jq~6v&U$TTuQ5h0L$CNuwT?jSRrWFV4wv|=ApkDHsHE){D%X!_a0*q*A4Cy zEiF1%-TWOI;IJ12TBa89h&q>YsjHfiK(f)tyeiyDa6E7Ec|=L?6m6!rhrvb_RAz+g z7%qFjM4$~Bn@nd>I-`T-484#Mdcbzup2Qz2@Ib8s;MPM73$>bEi&B)H?(EKluSqFQ z`Q5#B>y~e6Nr^i4v+70E46U>*PC%d@F1d+-?8t@GA_3o+48i-f%FTb}<*Wd!JWhzS zg%XDUi7B6S3`AZG3IVw-P_vA8Q73?Q?S!$QKsj6)gO@@K4cQa^t)Lm)QnTh0WkWJXvWg_+IgWp?=rPuEQ zEVFj_>d~w(}csE%4=#e0q*!Mz%jw){0h*0@U1(&5p;Eu8&~Yd6Fn?Ms7s|h zApp4^Z201eCrg{|^c+CrQvap>^yyQDRPB@*u)I7DHs{C{?X0E%zf<~AjFe;BFI7zT z=qOQi{h+I zRWyJ1oHkfG;live+Cv`Q^7Dy&aYI8my4%vq%Ff8BZx=S=(y+wzp=cm;KQn?4f@`IU zNv?JBuCx7brWtR59Huh*>`>wStrpr%Qu}z1LmgSBCz3ft;fQ3FLMR$8_j4zS@3Z0w zJRBFTCGQWqI=@3#Cue}LQC`<7N4|<^UHE0B zPl5=z6d#(%F(s>O#zx#XE zW$dHFuS}z20B8@4!x%8YV~-6QbO-Nvb}#EEV9o%&l-a&=Dbo(SU95eYxIYt-HZft# zBWaDFsz;#Z-7j_vA`JE1-ItGe#@Q(04j9GkPI<=? zDtvrQoRx{i$R%X|RZrZFq=FJGc7_PZ8VdkYdI@CjyM`JF=S(F$lOFPK`8jLOIXD35r+_mkH-05p0jCPCUQZ$xkRl=KVFK5jKTnL z8JhI)<31;T_SNK-GkleV-_!PEaL{${(ecQ5!#hn50e5+`$0zmyg1YmcFKN{aP>tnX z+AaVZrvSiA>qBQfmv&T1n~Xuys@>Gj;{bz@<;1tQaRPC6qC%t2oNs7i2V&2Amt0Y5 zG^epPFw4oo!?2tyo`Fq&IiPD$wN;>59yL~9uOcJ@RfP$$EZL|Thu)e(4E(D0vig_r z>-HwRs&_~1LTLNJWM0Sf@L>9IP>jS+ub#<%^$mhYVH6+?l-5i<1=e<+xv?t1N1d#X zaHpNuR6|fB;@)}!RDk)Q`t4n6JV7fxkl!z4qVjDb6tB^i8XxjlNJQ@w$Jm!a6zYq5 z&}yJ5m!9}zc{D)IOJPe(Pfq7MlLzE+n6*3#>(q76S(R@hPhi}De03Bc4ydFxh6NgE zFKj)mr$Yf^hjpCkz2tm1b?B>Lbg zK$h^J4dVfP16luRPd`7sz5d|jqf&lF%DNuj0JUeL{N)3|Tjx}%9_vH!mfQ3o{ycI+ z9f4+Pd_C8LfYKqoF^(hobBY_2{{lcs9^l`M0cfJ}&;Id{b9j|AvKpg#w7*fvC+mz4 z5YLY}J7m5r8!O;j7Z*oEFYiaZO~=Bo%OwSXXJBfZTUpg!l)WKQnH3n6-4b>D@BQe5 zb`kl2#Rmn^M=Mo#1B71HPBE36nGGPZyW*Kr*C+W7(lH4=G1`wsY9$?%LFM{Kspu&E zC)L_dU?R24-%#OHZU8kIybf3)K;*`amO!9c*? z=S{!p0J9KKoW34Y8X>+@D*Y-8uf${;Rz4ama|;_X6~b^zX3Yt^X#<`DA=E=r0Q@Fl`y0HvX%F^(*M3iYv$~Z z*?<7S*aMhYb^(3>2Htf_1)-+Qop1e=I6LYC(ARLw$#!piYq>$>-nd6z)ZX|%7-S?C z94pgey*<9`=nT)?yOhT?H9PX3-DhP#CodDqXt@X=r+fT(d(%F6+`Y7UZ=x*f6T2fx zFRbHFrn1aBXmGx#IhNq9#8{IG&Icf0elznbGbBRkGs#cX;~L;AqH-{+p++Hwx3HnIY z4nY$Zm1ja18WrSg#nXFagUQx=lW=WT6=E!`{CLF9fXB)H-hm&aU{iUs0NtGZ=%g=^ zq+T@vB!&*)q3h!#^>4*ozxV3Dh<(d_@_D&+d2fbH;lt6??C}fE9z+(RgL4SvII6+4 zUPm6-F4Eh)Mx1CYla0{31EudGQkYm@mwinu;0bq3xgXzI5Kxe7p94Jro(E~P@++m%GNy4&+CP@NCX#q0r{&9~< z^n3Efpz}kM-gkfwYYZshtE;O#G`x>zJz%2-t2xf(#IU6dtIWe_pujlqk#+hV0)4|~ z0z$C)@;E2z=L}^_Z&7dSXdOOIPD3lhg|FXFU94?AmBKq9 zEyVll1^+pKxq)Tl3Tr<*o;{CN4c&BR)!4iZRJtGU^^!AH;eeKC514cR`5l64r-KEM zAEN1NYlg50-B#k~9;Orxm*0-*qDX6Ni7${x|Hp1u($WkSfz(7d^Px*IuprV3;s)vV$?BOuC ziAcH8cVPkW1b_v|N)3%ayFxrh-2*-ED}~$!cy-8gdbZTyF&Fw^V9_1@?fFb$0d}7J z4|THGGhILUI`HfMA_WC3X`yYvHtqS;>wg^|zy5z6bBP~sP^s(l-^>2biqYlS43Mbp z0FD<6c&;aYWC45r?B8@)?0)R3J$l@#DH{kxv_00xjCmk~-Gm}~&y3Bvn#XvJDlmPh z^~KJa2h2&76OEAe^#2;8(lQL38pHoqf@wy|$rYR;Mz+dF{_Z2}=LGiGvv#FNB)+L) zbQ#zKYnUd{-T`E6?o41Ja+jYL`25x#~dvPXO^5cs1{)8*tJaE#gu-c~o q+3pxw^M9ZJKieMv*DlC2k?(Nm_p6-BTfin@5LC}t7pvp^?Ee788YWEu literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-comp-3-Rs.png b/artefacts/resources/patch-comp-3-Rs.png deleted file mode 100644 index e1eb51cae661c595d99f2418e690e1c67e484c90..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15261 zcmaibcRX8f_;ze+mZCK~g0^Zk_UtCMsx3xol*Fpl8YSNXv1dw4RYYwu zgQE7{>plIw??1o4UY}1S=OpKx=RD)Sulu^L6Je;Y$$EkB0tf_Rg=#%|3Ib8B0H6C9 z>4EfHNhEwJ;7_hWN!V|Tc>pZ%*BAU{7pNoO~NmxH~> z3rY7^PU&l^d?3(O5cJXgXRk9>C;T#=?e3m$4hK{duKzc3@6N^Fb_~xRAj@vHzl?f$ z$%u=lU1-)I>U&y#zEPBj%g^Btd?H4l|Dz93xSgAObC2y~Q;2tg%EErf0vk&gG8>}; z3CN1=P!(#}pRDtO^X?J9X2ud(7BUd5U>|A{6+O)|H3Ws|UO6(S@xopFHOod&TG+bZ z=TC?v1Q5yz3->fA?r3>weB^9YPhHqgs}WM&(7is55F5f8+%&=WM2pfxNQPI-;tnzp zAHZ%*3;0Yia@!jlPXa4_OTf>RyR#WLUNqY}6T78s;lz_NJc11+@~< z&QohtNV*f+15u3}rD4U0S{e^hovM}(DrL|Ig(VT(QNDz0^AoW+!s}LFQj#hpojH&d zX?&c4tpdYnD;aEPnyIt8+(SunM6PNtTvaWN;{B(qIfT!s1jdLXISK-jp1e*08W(G? zvS1m>%+yt%73VEt&pB!k(vO-YB8^hh=dJCxLRT{o+(cwD7KYLQEpXNorWp1V@Es1U zq`D^?|GtzXu831AYiB)hN~*xA#&7pfL1x%m>vS7EK6;Uq%xD9XYyao{-?!RX*xS$v zk*Y3_wQ!@}OA8m${`C!_OhsC7M7*s|0cCW^+EMpq8#u8SiJQt`gru}!eevIQ{{Ne$ zB5N64%up3-tM5BoDzYBU;n;`UoSkXOspKY^rfTu)S!J1Chp$*XWXrCG4de0`G8o^R z9R0bK?43k-PT;CW#QkfF`h*ia{Lk@YkyTbz%MNFnb_|*64GR+p8ct(2ckBc6+>${q zWO(5i|8=|4!p9Kcp#Qx&j6~BzN0rwUf!%UI(=DGXN`u#~{=coX$9=a5dxTh#H+{aO zIsxEL(-4wn0_OC@NF|=(kGEb96JFp^9VmB5Mga9|v1OD}$_pFCA1}bpf_4*?4_7Tr zB$kXJ{Jh+s4+e-!x3#9N^`WCz4iq*5C^_{w2;MBDX<4zx-7VKkvO(3pp+1ArS|CU* z#gO&(oHvF3DrWst22EV0(1wB?$M0+N9zc&7jNsIz(kxp1NyDJXE$%2UH0=qKqR_|( zLk3l*sAO+{p$Dn^kI2oPz>5_pVH`*TzVHJ~3`JpW-5j5gG{LGC%$bpr2^nh0+JI2l57; zS7V!IVtVS@f#FPh{8a1{pQ5~F_$mJbvja{F4dn(TAmgTeIz|l1TcbeKEnu)uK4c~c zqDR{W(!E%LCA5T3!gllt8EB{7UVLE3Me%}IvDv|OLfjdHX}9(J3VK(jbr-^*{&JR_ ze*{4AJ#G(?GNCdH;tZ5N)WV%x`ozM6bVh|5^WU3?0>S(AwTFF_`6(v&yND3a6qgsTwRp5ll7>Es4G&0{8teb#Y!XtxU2w89uJO-~W?{8lwWrjYNqzMu0uU8FX zt)(%)tQSiA8ob2hZ}`*}a{RQfmrzZ(g>II|7x+we)?GfpLd@v|gHx(z zAc5MsriwSBh$|vc;fsYOf-EbR%jj~rug2}Yi z;;>^@8SGa5#o9}+yNHtoK5I%KNV?b}@c^gml)`T8|>*IP%smW~8jy3L0tFMHp^Nk0CH$&F%M)C8a&oN|M{(y4q zJH{Wj@^&V%1M29CI!vbud~f^Cq&q8G{uKSL?hq6KVHUE?^X0U)uwUK3)?o{3XejHlz2%qQMy;lsu_kc6m zPp;%&O`OkQI1%ZR+I3A{uSru6Ix>X8GP_b}i-$vrIZ9No|d5&N_B1zi*gHtzC#UQ1%iLznz8VhVPYQR`vcK zWh9my%O_!RMqNb~L}URblV*qJEWPO`U!LBMM^WXq0eRbpvZ7}OrY37T3Ie6^Q%gYV z5Yg-drZOE5)Ze0yM6R#FsM*8KoR;54bDN|DL>lJ9ao91-)Y_K2_$jzlYdv&StM3NE zJ>*nGO}xNL-KN11S{Ucengb{Jqv6`4khVMp8a420;@&uIPWYepM%v{IVL)Vjn!9?j zlzNEa(cjj$hJ8on^h4BWWzasS@8fqH=X)NXJdVPa_uVOC&Qt63x*s?V5I*uAcZ<&G z-RVyh(gbSJn4rh0yy(eaLX5?a4-}>?FABFwdZ2OG7)ktPS6ENo9(rq%TF>iVm;*nk zM%!Ou>YF1};i~b(o;{j}=6z#E{skbbZwjJ3(anfIL0c`I{`~?X&ikU)=gTY|${eB! zXP<{Q`BBRvnQm}#bNrKLgovs=Z*u}F<>%(gm}p^Xl_fng>#O2ji=voWeolGcn@@a9 zz&adnhu&wDjSYFZ89zX~L_IJV;s0Iv!YN5l@$70D^@wqwBjp~YqaXntBgUd|S(-$| zxjqoUeR$m}$@ZDJ5?}jHD_%BAx;nX26~dp<;bF=p;ZtzRfS}s&f*juoi?h75L<(j% zuNf*hPb0@&e&E6hHAZE+2%d3_j1l>g{^YK0ry)C%9|z0epOm)6_>=TYd|uzOFFA1` zBHv>@L)|t>1b+CQuXpw}LU}RYtJlx^c;UVVkjZ!A9wl#BI+MPX6S#|AeBQFMNDMi$ z+QIRR4nvDRJz`X1Ke&t%1gRR6_D)$SzuQHZId{cOh2PnL1WXKiF~OYmUBR783Eg|t zxaeP-Z#56f()zEfN~%u+^XUf>P0)f$ar#rq%i|xoVdOqy)cCrK-(GnQQdILVrRyP1 zrVQ}cvYNAx3=@-o2$&Y)5bDk$x*~}7b#b-x9Nq5<@l|3Z zbA#ON8=h8O)=GX*p%26w)MJ9e4|K6{Zs${ch*F7~#qgF~Sz~-a#X7UM9R9s?hYh&0 zF&^BC?c&7?<%u%_O(Stf58DJpx16rJdxn?y?JV|h?^(jD72BqRK@FN}+DsIhp^Ua^ z?a+TQft}0Jfa5}dVhWdm+U_Ob^=#b!Qq)W5;kf({`WQ@iw2Eko3J~`qG318OXgO;l z*wr3RoH9zm0^w2@9I2M*0PBubeAh7F&o#JKL-bwEwPo8w zEGv_U_!!61(`+W|%LqeKUGWxhl<%0Ug8$CT@x5w9iHRAV{;+m5-BW(eO1hYMZ`Wtu zKa|X<|DltZSkxvVBX!mz1S=HUEjxK*2OD@X^LDcK%YWFLry6k=62SKw0Gifz@P4KV zidGtH)Jts`V!_%I_*PsCeOp9L%(( z*&M1yM624@gkXKq&0QO>jr*KEI~J@((J|;sSG9#P=% zh*_qF7qs5gF;u=WLK^gEY}U6I7i8M!tzj-9M@v!s8$MajozY86E|UT4P2Z^)1Pq_N zbTvpAP*|(Y=mM@z-h7Yg{vfoTPOc(6vx}{z@sfO3iu!@B*BXWRXNWtO{te_z<#h<$ zUFyqY<`UEYLsD7CJ`8756H$|Lt^^uTupOF7)9Z^FqXazSm4l8!>rMJ}p|)B8z-XzR z{q-Lg$`vI}DvqPi~_n8AuR(-oO5of742e5etb8ArXW_ z@ZBp*uOHra77T&#y>WdwtS1VdO9G381XBmg;WhFyj~)&LY*~GJ#<|DqY%4{3d*%XG zB#h-st5Cp7yyA2ySM5Sp07(nX$)LpthfDnsO!p$sFsZ1l$>4cGU_C0KxSev$1ef44 zOU4Cnx-2?3X}lw{mq!V5oG3jlWp;FNkMler%u6%PB8aQ{!k`3U|4NKiGfgMAyKBSK z1&0v}I6Ri~?Dy0i=g)sPSP5SVKL{v7>GKOca{BCcF_<8e<45tL2qND)u$#yb&vd z&WaXN+v0PEq>^vI_X;pU{Hv~6%4hWU)dwg7Ula3>pH^eI#DmMe%#UWDcMN;3U7=h7 zK~tzd6OonJwvf-LfNh?a5%B{&BTcBTU#RNPh-bSP1l$-Q7;q(3-~6odRUZaSwQ%u5 zEY{!P2sE5VUI(*^v4o#gUUdI#=tJjAr|!V6BrjitKhnTM; zt{~Oqya2r~rdtY-1aD3cgUV2(>a^c$0sGiBSihvLcIt0B4+f7CFvh~j_f|SNJd{0A zq{9i_@O*(ug`K!E@Dl%DG|Cgc2OXE;t|Jq2Sgr9~($tC-sAc#I<(Kf-M~HF*8eAk> z3+Uvgw#zN?KKMzbwCy+Uo4~j|pJ!4rAg;W4U{-|4c^N}EIKstO(jH`D1_NL;rQ6if zSX9>#y0R3bMk_$2%Hy@{A7G~+Rcd>wv1;{WJOG7ob74AZPhNJPOOoypSC}DI0!}Qf zm+v^+7z+;^aolwx2`Kxnj8JCx#@tIG9KZ;E)cb5Xf__qdG&)WDTjuB}#b~*;&a{&l z)-L!kzBXpQM~x$ATndCJsp3(X3iNtsF#2X8lfSVY7$I}nYMsC!iWh}?XuQ+Ozxv%P zi5rWLD1ogNkdXb)oyGy_zDeg8>Uc2n&6#c^6BA^#kGEuiG+6a%eUkF{DkUQRI=t^-_fX?1^3XyG8k?aWH$270)27AT79Rca8TtBaRr;8U6UB4`O6_Ll%eFwQaSOw zp*^Tr=67HKzfQM>o9SgL`$S=HfLRsr>@zXla%ha)!PP{ojNb&gppb?BW{oIm@f`uX z)xW7B(}eC&&!Ox`9qbHlADbtWr0olfFM2kyMdkY-A5vH;?d^><4_<>9F2Z}@{$P~Z zlA=QW#r-wT{bR&T&kRE-yB(fM_}Tp9*lgKNbqxld_S3g~R}k0ZwTNm5ly?&RJQ9|U zNl8giJLLGfM7qWe!jq`W@k!Z6UV(>mDe|v3>}c-9Yc;a&xazL>s4>2XZuxi?t3iwG zMIR4tD>KmS4Hz3>FyyVdF4vvEy`~lx7+o-@4r4&O*sIOav!81>#FooMGVg1(I-q`p zR%Bcs5SxrG>;5D7j}!Q+Rx0eF=8=vWEcTpzB8_(s5kpB>?uc&vB%UGQ0Z#rL{xYP( z*%M53t)G$Wj9m`58k@O_@&HvTJg-U4aozDQ*^>}LWl?9*;Jf;FtVSy0QEr`@jpREd zZYGmmPwB#k5a!(!kDL)-BZt%op#7 z{U}b)`r8ybNI>n-W&#tx7)Vv`rf4r$ZGxfS8?^@tuUYLmSqZ*`HiAL-%Bkr0Dl}I6 z6R&;X`j`3f&qJr9RC1<{Fk2A{ODk>hS}4!Y^3AXWFB(u`Q4!v^4MoG?vh}A^4eW|k zkI6K77{+2h4D-_y@EiA31%|;1%o*`eM2$X>96H9*J)6MK zHg*jpyqc+u_jh6^Zh6pCwQAv>ysPJ00_mu>Sroj_ED)NE2;=U}sqC824*-oj@;W7YPws6`jweEKSPdU_Het$E{AMtCH@VBh6CqC`Fn#w$D~d7_DPiO8lJ=d218 zqn;olG4cEJD=_q(GsRP^NwJQEph`}IK4 zcTXe%+8!g=1tf*+4AnPVcPYA%u?gZNqJ33TWas9WK+T)mDh$>tQz2T)8}w{8%TF3&9TJNYl0|J6Q~+fcQqpVxT0 z05$>n(TJsy`_XT?GBrMIIPX7zq=OebLM>MOo)}2boUsxC4nLhQ0ili%oP9a?yLNNg z0uPt5Z>5EkGN9@5u73xMc#qdxG`P9Buaxe4uB>3xgOoJ|eG>K3WT9vqS^RXj?!dj9 zZnOdyxfMqlU$?bNdYAu0F-cWC)fkDg%KxbUL5_)ppUdUQBy$>q)ez88VfyOrpeIa9LQ`fMc+Ds?p~W!P89zEM?evzmqnv?y{I#%1}NoeCckz?3j8 ziiLUc+83W{Q&R8zShc*G)-+|9SE_!m4r?ptF`>ZIkCuT(R8&+@1+3LMU60Q{#&4e- zZY#~FIwzB4wHIfXn{PrF1T1^fEdNVs{k1Qs>-{o6WfB6lf zf$+!SQ-(BVMVZ2TD1;SD^L~<_cPvt7;vJ{}u)n^ck4+|mw?5YonMNT?7!Bt=yXi>;2ZzBx1Xsp4vJ?7 zvv2muPKy%_3SRR>``+Bg|2m6Ry@k+TzK}-l(hGX}Y%8^}(2%LFxHu})ceG$n4p}#s zj1cJg-cg9}=&0Uys`@VGYz7UoeDx5jCUPq4KhRQJ`DXt-)R6loNpl$4B9$W7gFf`z zJ63%6>yy!Psc{&aAdt8l9{}8>MZNc}Wn6qP&HNhNkkXqWuj~`*25#RaIB&}}EpQ2FL z&xd+S*9z(z8&BE`3kx;D(ddC&{XTH{_hqw=UnFwFsm_s|Elsrc?JISxu)kY6efOaK zOcIL?;L~fXI}V|RuHggzqwxf+>Bg<<5jp1*WpSz6Lb<8iE=&jJKK4I%N2&oyc0zX~ z%{vDB73`W79q=_VDJdF2j0S8%s;@^P#Ig<&gw*^qdcWwU?|)uf*L4#;ku;kjVJ!(~-;<)X5`nb=~ye@LEn;?Zy+cgUvsu4Hr7Hn}V7*Ldt%YJ)s z`At#%#-FmPpes3ZmiKR>WkIQNC8mv7A%aNFq<_&`{W7ism{aJQskaS!Q_Vptm9Ase zxapc;n)JtXnNP#04ALwTQWCXqCwSA!s)jXXVZVrmG+&9y(`H>2cRpoV;FpNks&wt# z#{YQ*ts93qiGO++HXBY=Afl@PTF_d8!-b)v@5}UT@8sFSKF|4_DCfyVIRImAuOmDr zB#$(o>ym0w_kE&1$d>W?|8J#VNz+&F}jR z;j9@cAP~RMKQ91lvB@JPIWBwp*S;G(8|wdGncUwWp~1E1)rR|x8HT!l1Y5sXIuqW7p8hHd z5ht#skH24Ek^w!Kj!m(3+j{kfXR-IQrikpA^%ety1IX{0Sv@x!02 z-9~2We+_%;zL<;8MizdvnqnNk?hMYk*g)lBurp5UaY>r-;6m*W6dSIfvetI6l)E(&7K2fjj>Qo|dI_~u}t+D{!>3Fp6;w=BxEEIMX zaekcjBv~6vZ6(SX!9Cj%YkR1kEMWy7931Q#t#&>3Q0vhF318F>JQwzOFX z0dLk%Dxo_e5$AP|=r$@aYF8IkC{lI7yf`*Ljy+p<93GXzWYs1=d@S+W?c0W_&n6Iq z>Hpy_wQV8E73zhv7D|-hU0{rvkUX ze~;iw2|Ab#XHJo_efu0}zjpntj|khVK{uBS?KB|A9IvhV?5jw_d)#rd_&0O+*zIi8 zcpEOKk{t+N(8*kT7lW_1$#sEG)1|x42Q0txju!qn6gS^hv3X+8B3`KtAIcp)>6I@v zCL)o^540!zq@@ALuiL|o{ejE}tBS+4?m36SA8o{1AUYYh6mb*k$R{uinVP}~&8BKd zB@2;j-RslR(saOpBH9{io05{^Uj2G=rZd$3hXt$Zt|;Z<1Bb{mEo=QI z89T;QvVrN{ox1ojL+zQ0eKz$S7yBrMi}H4$gWC7|mhUj+O6UGmc~{G7cbIlE7d-Z> zH4`>jF%T5>GvE>K)8i797^9An4OB%gO8Vk%|Ce#8!q%DrA`e_f7p#_|E81iN`?NJ) zD5(wKi^9GQwJ8ZsBaiiNvV&b8n-3j}{?2;oqy@DCWp*JZ@_lPuy#9NWF72fxMnvk& zNF6-=t&^K;P{IBs=v;qvcpFuK$y%^%;zuFCnf7q;+&Qt9>w$6Zve4jby47_bH|%As z-maG{wf-qt`T|HMi~()K>gw#NoIwpYR}Y?3W^K$DtZ}-u?Hur8^$RTEJ6Eh7 zS{-QEKzq>hPa{u_&}WG1wS|fftHDEKTOA*P#}y}B^!=a59Q2sMV+i-Db|biRIl&%ITP*^Vw@MkKq(v-Y>+fj*DG5W zxGWbS-7B(C2I_DOnfFcf_*`^N;jEE2YzBlL67il`r9(0{UUQC?Lnu{@=iZeiCGkN5 zbo#6agM>pAC2mJa4b z>@^+iI-C}5`oX8P2MlF&_Djd%#Yfm|ghjg6tTn2hsW$S+&oJ#lzE@y??B~EsW$H!A z-u0Z*z$h6d!e)A}Z)b)iFzV==I^Hh$n}MkR%f6XGFUfCkx#g|I?Sr!aejn53u;8Sc zZc|MbO5S;lDYKuu8`}cqV-VoPOm9sHtrQ&NzCW?sWBVVR`=8iju@y^z~{+I2S4f)4dpqE{rs>WEI2dx>p}wX zW3zGYwhXVlb$U|~M2KL>`S(kaMejb310X-f?!qt{N%T&vmj-}GW;9? z*BgEIT`m^;4nwg^01z@2<=nf1zsI&4Y0L?+tzFdk>q|b z0!h|^Nn*_kW$X~0&%F)A^R3WKK_*HK0YWIgGhXT-*ijTB+1==5^p%-Ls=N4DR8FxAlN5ubi(3U?zXEEnq!(SudeHv? zi5Copesoxk(ETC`#Z}7uW<3}u2FjOs;p%v3g11b4Jhww(gxqs;;da4rFI;{QXh#OW zKg0ID3NSM)WQg0t_x#6YYF9R7ki(AX>NxL!%FTCPfM@TYXUIXV6|1Ed+MukR&N`pa?2Cg-#vReO*VA?y}2Bh8|6dmCqp}uz?2YSOirnI2lVF=M_{e|vK zq4LvOa)Wzyuf$a%YCuBrcnuxPl(=F82)=YnxEjIY4#<4gOm`tPq-)PoR4(7^(?hc* zdMir{j2QGiqjdgtX4g?J-fIHyChdH{mWl+4I5%QhbG>eWPw)4sp?S2@ZaW7+YoKXT zizd)9&EYfczP#S;g+~i_U0as!-mD9iC`iY4iKvMzGC_HvmQriAGgoh2Thv6yfMPzd z)kH%?7p%`x$xkUJcHw^w4eV%Iv#9;3V?hBl4|BI4hMa$yy1}>H%C?| zr&~m0qHkOZSH8*bUOAAY+nW6Baq6PtC^1GJzX|u{pYH$6XmygL3cW2QC2VYC^S1wI z{0)WUrJ~YluJhgL9V6uqMMD-&nNu~+{qv8Z2%V*?1&CyaT8lnsa^qJo z99f9iQhn*hCV_n5;B? z!QSP?j)3b-4HcOd-~cVvsi+qUo#Ox*4En)#@)65Kq*66d1s$B9t_Q#1wRwFlQ>Apt z*<~0f*cQ&dFyS{)NWrA-xw;6qYBH{}`3gyx%yLYUqik{DZ0Elo% z?db1kU@*Cizvw!jcW9h>elNDb?Vb#~X!m+b6^!zWWZU#Nc zeJThjcmB-lhZ+<;iVswnLo{-N;iML`e;g{^iVTXCJNki!OHKPhmmW8 z04W`#y7luHqO@tx4=p5vSE#u3#Y?hA^x}}w*En~jZ_}NVx~goB zszN`yYSEL44E08FjRo^cQBHb$zkN}OeiKgpm zu;$UA-1U@Ha%<&!3Wj`Qxo_nHFZHi*OG86PdbdzIs9>nFb)P@F`s$t4QJz}x>eeCL z7mo>IZq&P+ZlQJg>!kEldCk3H09gJm3l#(f0*W4qm>dYN7YLM^VSrN5h%m{SQ- z>Q*OFl%xJ943hY)HKyJRM~{ms+%C>9iu@W;k1w=)3#+Fx31w&6y@j9ze#Vf|03G2Z zS@#D$akRf~d-06Nu>+{vpA#4?8gjhu@14c-phlULcIiZr><=s0#4qq@iW`c7P4h51fe*vr*b0(Dm z1xPcQA_<-Iq)Wkc278iviM4xI@2K34{pgvPQAw-DaXBvQlL}B(8N*;OQy8q9O=X4f z>HfRt(SzLCXuXl$gN(8@sX4Ppuo?KCwEdQ4uQc9IWc6UgA$H=`dei=zIUti2=jZ>d zY}5j|BIZUJ_jFUx!v`-RiCRn5|J!M?2aFlj#m8L3OaQSt3+L;y$}Jm^0v_#MfVOpSTKWSFPnM>qB9`azDCVNhrrgGcp}tgan9{ zqUuZwVjMG{%0kDuD#b7T^P1OH@aIrZw=hC+20N#bp|`CgnIg(Qh2?;KuCpYWh;c@^ z>gAnWWReQp+0-jk_!qG znP6Kf|3=Rb4rjT79`Wi?TO6$tfAQi);N}lrRiL7--zKRX1NDJZ10c%vgIQ(%spMH} zU((&Q1-#?j`&TZ61J>_LnWzRPeD^ivowEN-@WC)~G3T*I*EfNYwMYR1w9NDGA0C+u zrg>duU>72aq*$YC_eK#)%f)zqDL?`!#0GUEwOQ;{%q}$scgUq-)aZ1tAtxB@Rkr{I zUAFqu#|$hR+83R;*@nkbq3i#s;O65h?_ZC9?Xg-lymkUe9!te|(skns{Q^MNPc+uI z2h_!g-C^4n2MY`GDO$eE;U|fk8!)sNylpGQ{Dm;_7u3!dfkcfF{e0$1w431HqEq+f z`lm!(m4RB@f8U|B#2{OJ94J*IfGOxBGLg}+ksD9au0VpN)zN^0| z-`+VpSKVJ&nvqzc7A?SIv$b6EW8O4pGO22t<7O?_o>1;V1&tX1>(7l`ujuPQXA~nH zkM~xmaD|0wfR?cvhh6pJaHo^D=|eepyaiweN%;JrV^FF%p91uj(@?PmHu<56nc4Pd z;nSDV=~=#`&Xw*{>P!Neds)6TLZG2v-;Q6#JKpUC2xR^JwTVSQ1lwNdaRQRd%;MK= z%gm9g>yxdLhG>28%It*b(U}IJlsV^TC`JOk6G?6L(U>SC#sU$b9TyPfe>9>y-`qZl zt*F?tbsep|@(P$_X2{0P_HmzK*gF99G^dEHK8H&=Da~tB*q{%)k4p#PC zYaD$H95WrGvS!zEy65mYTK<1h?RMDeSj~cy#<9iolY`A;Xd&$pH9Hl;NolWGd8MrF zV46LcF;wV1aPD+qfqWN85`PRd_a3_X#Oa2jphYwJ=W1+-jkB zlgH;_va6J#8kT^8V)~!;@CU{zs}msLIsp!;E1XRbh{uI8bsy4ApvNB^@7DE7HmsmM z#c)|_0j~fR$+MM7Y-7mEeK4R6=1YDL==5xtbuWUKM@@^(QD!P$b--}0)Zc$9?~>qt zZ+@!#CbY$EUhUKmHgd*vV$>%}2&CMzd(q-48*{8>&qgT3nBJ8(58Po~ff9+`H zihWyH(iGsPI0ZLp3#K>AK73J9>P|KC@^kc3U@H)c54zpowgGhVPe_ld@q&-@er@I; z|D_tOU&DbBdwO*TfZpoVv^z9=x4A{`^zaisD0QrxVzSK_Vrrb!e27p$=GJur!|mc_*I^5 zV<+*%$d3KfjcMWRJT;e)GtZ9s*o?DDcB(7}A*!&if-P4RVMi@s{SMMj%TA2t!tF6^;(beYj4op@6SH=7im<<^B8Qul<#5-#|4=*MI_Cevp`q z)#xQQn*%eij9IeQZZX-)tVPz|7~1A71;v2I zNGbyYm<5o*KR=0f*$87-+ldq5jEKFHcx!_FW?JwzuzThkgYcj74xvbuOJ=!%z4|63 z-3`vbsT~|6jc1sR>6}Y`FS%w5Y5|@JasH})wdAA-d#=5dJblOoOx*Haz^~imztt&L zYSZ|7^CBRr9+qRed+yg3IG(=P89mRGad`5)4+|}V$vU*NJc$+R26TlkU^1FojmiOQ zcMun}TvC&I_r--y$E~%$S&=t$u}x%ny63N3Up;_o2k-6tdH#a(Fjzfo)tc&m*umxt zukToXZIa0m-LFS8KJiZ1Om<`bvoRdi+;lfWCnG>ohgYHqLcjj258W&e`Z^xGGDFp z%1HUn(xAAK=gcEuJn)LPdU~v}s#}aItChyD2J(%7LKMEo3@Pdi267+6I;S7$g`TYE zngTj22tZwDfq;JEvj~)vuK*8AM7R7{)T8U){;jTl1C7iKwE*9LrYJfRL;EGmo^L?P zA_3SKs5^aT*nTL1p4pviT1%jlX^zv$Hvre1wXv3q=1p3!dqBF41ppg;2vDKb04jPZ zN3z6Zmb0#^es9=~9#F)i>-PajQ(Rwf2`C3U>r)!yOo0F_D{eVE0xhIlv@K6$v~l@< z1ANEYk1J^~A9Kd6`bO_**u`O+x{DR!;vB79tGEMJ%ERed`M%o(to{Ii-q@exfL7n` zX{H7O@I;E_Te3a{Ks;W6tKS1aTjHt`djD%XQ15?ltD}c#_CSRqtg`r3#)J`MxS(&@ z+tVWvT*I33KZ=F`FlPUJ(3?6s6dJCG?^*Eb5)2c7C71v&wijtG71K>G`Xu%l^*TE- z0wQT<-S}Sc29ygW$XAPmQ26bjG{d{mXEzlAD(L=XYs@eU-wazd#cpw?Q-CK8=UvYol*axWvSqDgd2B@}29tbkSxSw` zI>RW8eHr`kKArzL|M#5NIhc9Q^W67!-`8_ppU?HV?i5qwdn}B6j1UNfMgKnB3<9Bw z0Y8^tqyzsF8qk-)e+&Wl9|c1o%=iEOQk{nkd;%}>hUi&`nEShhggXa4frN*LOMCix z2fH{2JdySfaxdCY=Yv45K=k1{77@kkvyssj*rUVkvEXKQrAL>clD6+{L|QL;Ao4Cy zk3R_1!PrO*z^wBvKWhDO#5T5C*7TU9S*BgIkeW&yS}^0h=$&T6DMg*wl~sORn$N)x5o0ZFpNz{k2}vDYk$o z(|ofC4Q5};x?}Dn@O~h!gzl8RfYE37ygp5!ocBB1@5RP%kXUNCg6oFEU@@x8@I#>?>%Z_MFpy*v*M5qLi6jFs7fQ7Nh4j8!wQC(SsMLjA<$% z!Bp@H+AZcS!SZIHJpt~S{^3=uBAQnH&-sa&;(>LEi+Y9d;$hflm4?nZOrTVp(z%DnZ>+?WfL1qFGSPK&@4i_nXjX@ zYe?TBj8x+|Q5*>}ThfWE@!?77BRnqp-C|-?!h{z0Dk}T}*;19iF_JicC5h>Gy*9%Z z64?09;Pkdxby|a5OWrjKP{``T;yB-C+pWDg> z+SxwQZ3cS?!JYaFcJ$fb`lJq=Q@dD@|9e)ZZVX@4BuF4Aci>N{IS}L*I{mrK@I{=q zIimCCvUPgR>f#Yf9bjYke6|B}o`L2mt-`V?cJP$!iw z_JB+v7Mg}JLm^#h1mjIeJ4@{Pa2sk*z=j9(*{@K=2gk5+PXC$0pIcMm9gmt6`U(~~ z5#!t_I!v&(3_&z5l;Qo{xw23xBRQo}I_}o6;|cyjcIs+-ErL8#J}LuihPyg7#VC;? zA0Zl9)wo=|a;m5H5ae>CI&Cq-t*w0jZJ~~HwXpfz?J_=rq8i}Q*JKtE4UNdsdr|8x zxZj;o;a}swR$Fz)Ql47bha$|bQ3@5@Nw0NZkZ1*8$h8<56@`y)B@1*>`5(?V=X{8~ z2S0<%oQ){szrT0>uDV~1Myuz^ICeFB{CI2WFslCra@ZRSVB>pwdOnsvzHXAnCH0{D zbm!Y=KuzV}?iqRgGI2y0AVOhtvV$_z@8nX3EDDhoM)HZ+61jGB(; zqWbTW$!8sU)07-N*E^T{?afEq=`cTGJ7J1LgI85$&RD-o42{tdqAIrXm74TN!epS=T6+-vN5DQW2LO>OW-wQ_-D$1rHvLo5W0S z-<7KNeVfPUvT()E<}&XW{G|#Hw5;)uGEsmVNI7lFC87SB63J8>hWrnm}R8ROy5+CF2{VEH8WxNd(Q!;HwleLCsmak;_1S#chYuDTHg zK@Ox~vJzGTH5=vn3W9nS!7-`Oy~2Frf88I(Jp3;$t44_fCDH9US@j8LNb!0TdIa_QhR4T5YB zolI7~yydAKktImAVTBEcSFmgeB1-<6Fg8^dFQkKLp#A3fj!AzlR?BMit4-O-xe#5~ zPMq`uC!anZb9Yg%ye;@AhX3K5BB+ubw#9?GMy!vgwvDr60gEALEBPR_TS?bc2B8NQI5F*}b0tm(?(flH&?s zEoUb2=JB;dllux+Zr-Xbl55EYpRi%}p=w}ulz_QYX+cVvu2fb(!yN|)2P&j(sN1Kh?-X# zzK*3FyPp_|a==B2hxh0gJPGu$afy#T-OGO=3N&d$1s6V4ltuiEAALP16Pq)Dtq71( zuvm3$cFXwL5x#lL35`VOr?W41aNd@dOXwZY|T) zd?Y(c43;ehb4PsNca(+>BHgYo_?LhD_%b^?`$7Kr_;`?u2fj;#iCg-mgn8xna-Ae& z=a#eK>zY8sD>^$7SSGT9*s>)2c{%ld`}t8DljI$jh2HE~*-9!cZs-?(gZ(9TNCaC1 z?I)>cD3z@JC)&J>_8K=ZoRt;RpHOWV+Ek+C9_9CwnV7bm`#&OEFN@DnQtn zdYHZ`UMPZlRu^*#0v)8&gltfka-a&&HU|rAbbNX$dUw6^r?)Ph30%2{XgiwLr1i7H zs=~&(BDhr)*WR!{vNR{G@jctsuC=U}zAhR%M|4G|1|=%oIYh2Z$Vx`ye-I7aTI2P7I2I#TVskJ z1k}XmN3vpn?o@j=3a^MY!g?g7ledIxlNfwI3)v)jnRGY(@g zZgFX?hR_w_K|FPV2u!*E`V8Z{sw#!p(+JJ8LzmB6JxpJ#Ua4!$Eh$yDgC_AJ);R)Q zK1}e-yI%ChHH1okUd-OIiEAU}5yJyZn2P^4dNCVvp;CnUL=MDl-4zP0wKimRc$0POAw2eS zvs28UYrEeqa*mFUMv+hv`Ft5iO&z#2CkhI*Yfi}VnA=Kcy|DxoJnv_YeI&;;v7}-QonzZ{dc4OlJkgykWJ}e)bt#IZ%}FCBj6GMgY>+FEVd+4_<$czWger@7JBYJtL>E z^{M{|Uy^v$Lau{hHVXieXEf&YZ=}o-cvGwrrUqodG1tSO_hcR?9+|S0aVmX5>)!&C zxLK`Lqucsai<}IuaW=mTBzv!?V#E>lT(vuh7E?q{!>ae;gc4({ftbefj=c_vm=FQ44|HrA$%26qJY#KKG)4V;c`L{5A3dVdRq7 zuP`n5(PA?KTf=IYB{n=M^X7FBYHHL#OPs+a%&gCR&H=akx!1uef_w+jsf$QU%#`w& z_oaYoFV}KadHb=wl6f)m7M<75r?{VAUt}r8`2T*NKsm``C4F`u6G}}>i#(?sWC?x$ zC?R+|tj=t{*?r7y?e~X`xy~rgg5~y&bL%E8%l{8n{#RpOB@dFQ2Jq{#DO~76Y$I?@ zr{CQRRZhRx_Rftd06O>V>O&ba2^);Xfh!?yXax|oHA=yem!IU@S4MDD)zOZ!95<(u zVK6 zU7-I6j(AZ^iu%!uz&)QjmT6yxx&NwuXl80E>j00Hgy8@ZP)<~+`R2Fys-Qq4N=a2! zb>sACS63sr-MXjo^ZxpDbHMzaLis0*EPS_?MPZv_lNAbL|ACZRu?d_=x^vGgnN@HI zq@oiwxXrnze|t@qUP{E+H#=(d$4mRW-<3IiY9>ck19*e!&Lw8=NDl0d}#==?SL9n8#(TQi*COE}MMK7$k3 z0~`{Gg)GC;?lEHT`FSos`ONyB(?1?F;9EsBYWUSqxovBNw;(O%m{jVeaXp#fSC zoh}GQ)ycu8V-=uf(HQ0^U|JA*H=A|0--v4Huzn+hmxOvmtA&HKq z3-ri*2TUGF9Q;#7WtDb+QDdNtivQL&+o2InEDUBOGQox^I+@S)U_>Ce519f&Rf`&F zxvEJM9z(3|`gn^%U}vp)EITKM*tI|9J@0$4DSY6>VGhQs%a=sj*9?DyAJ0z6z`FnA zuMgpUZx7!{(fjQDr)*PYl%8^O&>gX}I9Tuh`A=SOb5qkUU1sRIF`!>#Kh{chF zYdoI^)9cXbtkk0>xLz-9&``>XC*kvZoI4esdgN_%R+7kh^0wGQBwYbwG{~*D>wwyz z$Kl1RSEK1-rN}1y1)+;kvuuYlrj2}0iXnAhiyRmBwtOd^{Iqz0*gi??pl1^bNziXt zoqId+GUwJEj$xA`r3`+*PgnaxXXDZaSe@>@)N8GGqBO&HB40j94hBQv%RhtahDS!; zA!;r4on=Q0hN;$8e1UV1(W<SQy*ODcmIyOt*C&*UE6@J;U9ypK&{sIj$(3c0_{Wk z$d@Xa!TY5eQA3Mmt!LECzOIw+6595s~i^tPwoQ|!t5`qa5Gq1NoEQ32s@g|Ssd+8P5!FceTTq|7Sd2iz$13U zWd}`WMB<+~ug0-Pr-VN|lis>MM{9hlqPdH|Cqo$78ESpiCE@ZdPb!^H@c|PfBOI=2 ziS@>M9q4;5jh5KOQOI&})HVp^L&YJj1*|NdHns-f_qGYU9~ii8R2H*8Ik`UFrd1DO zZS?U<)kdrD^xoF|S6nqIpHpC8V9OTWdg@7X*Fp26;~rvVAn?V9PLi^XubesOuTAZ| z#MLRXHCD!O%bFv)$+#uzV2jXB;W6Ea1ICSpyOQP!f3%h6DCq&;5TPF&_y0s32>2be zscOH|_$;}?Pw<$gd4=0DtrE2rfb$bn0FK|Cm;d;# zjE`<+axnk(%|<^TeqMk}(7}v27x6u89qHt0d_+5BZ0C5cB9}`be`zE|UFSkA#oJ00 zdGHhM3wns2rr01#lNJ=kqHRUUMvgc>r_5a3v^B2Q_fdD?co?Sl#5UjP>z5?@Ycet> zV3GN)4Ig{b1)OPj#vbHtI{6~=R=Q|a%HaMJVtc8xDTIiQ*H`qMnr z$x;q9C&WRz)f`@f{A_Ku1NZ2|V?DWmEWp?TyW=Nc7@uq2(HPuWZ9!&tzzqa6$7^D4 zKvm;$e>EHsY^eh-n0pZ#g=Z=GYhPBmjy@up&9T+~#wTTQfxYdVupRlW*T}U#Z~t!j z)TUbb=gTq)-0;OEZ6>F7z>gc%8gby932lcyHhYP*yMS&09@=B{TpN(ZZvc@!mDv%) zN14RS8A2LryERoeyy!ABK&~Jgwr1@R`G-@W{}Hi$Bftnl69~)Bz@dL$ofx^jO$dKc z*9b(xCfup7y&|}Z!+0?az%e#3yy56G9}ONL%@fzX6=QahK*Rf~n4ni6GJ{N`q{AQI zrDogF2rzchvj1B8qny>JF@Lf&6?fXHp0~HEg0SZcVg74v+vfLVZ}~@iziTklh<7-$r6{Dl06R|#bsVha*;5d+g#~kq;>Mbh84g50LPOei7 zoyn83U7l`h%oMzxmqgDNTL&!Fj2JmB3}nZi8q!EhyOWxsm|7@Xeu0{pMaSl^nw*Y^ z?FEn;0#hSi2V!%QEB#|;PE2# z0WJguZ1mP*9i?C+Ta~Y)`tUOxjSLKJyBN^K&&(>;!*T^DGlNsGsq( z+OGLy|9i2W?9C#~5zV=!HPL@tPM|-KU)j&jZT6pOszDXf_U#t%Mfx}%SF z9urs*>&^^#QU2N$dUxeHfr6_h1fvi8^ym1S7NKv?18{XajHcKYm^{=!ap^Wu@Vx{c ztekoO&2QT}40>&4QuKyILuF2N!Ln+m`OA`$lFuV2ki?*A=`A1P1;3f#LLzERg1b&!X1 zj4J_)ID_{nz8tjVN|h|s^M%!0PNxPWvl&*mUsdwSq5fHPc?VI2d*0{@oV4W<<`%VJPaGx#D(y&f@Lh zk76cSLK+qKvV`{5r>$NodUN@_@2j|Oa4d0May}gSdr!pd`gYpDU_?%bZML$Q4rmS! zR23RQ=igdqq^(eq==U;v+~Gd21iFL^>f7j)$nXur|>x~O!6F?xm4bI!Y*5;4bbO*~4^}X-9 zF-25<2{E~&D+Xg$kad;QNtH432mTQASWENhYJ3aYh~upL$5ObjN{X0 z*Ga@uNqDiji3a&Xf1m>gYBVL|H&0HTmoL~^YSUXBeb72vvRv@yDvTRB@HH|rQZ0PL zP8EC<2$?zPK9Av&aag4^bE4b*==dj2d1%kvi6 zSX#r2H#TN-SfD3MiOP59OFetc> zE+#TbJ57D`)Oqp5aJ?L83HwsJuEn)KMb%1`k9eEj0M^JS!9hW+vk}CMr)Nh?-0$AK zJ)pTBk%t@{llepuY1@e41`+cH^);V5$ z=Kz(L*SZAMHmLcbL6DnB;Sd*!)QC9_(byeU$cickyukMb8(2(EiFRxWrD~#d=j`kB zKQ>s)o3i^!UqELk0ec;W>e3e5vOzEn3=KVv9r)i>vQ?vl{pNPRu=}5(+`{m=NOw2T zC(W>NSys8*7-lFhQ^?5ZXxMbCZ`81{cv-aknAW-a0X|O4AYZ!vBj)t<^n2D4l|sDp z2Nu;Xa2JAymBEg9h*xltCPph>S38b?a8i-gMHoQfq8$FiuiP-y2ny`f3F^sG9D6Vu zPE@(qm<3KN&ZfSl&EZsuTthme@eCz=hs{UNcjM1Wq+bKWiM6v#_ z)M4KR#GJ2z0(LlG#+SXaiv#$3ukQbOd!f;$B^6}i1>cE$v`>Ol)X^E{nLZEoXqc64z_I!OVw4E zP19>QNq3Bd2@Xz>EwkV4chFOs5Ll3EznN1Or0!?7qV*h_Chwg&tqecf0hM-dZTq*jEh&bv1km!o~jOMO`+Q= zN1k3f6xjUo?%Pe3GX+BOC%To-x(GaT6h#JXdPQ%2q3_muy5~^^X8C(cdz<(&5ZVOH zwHLRT{kx9Dz}Vp=1R|tpO|g%)w!^dfi>hnDR0o}NsR4=hOx!ueXX@i1c$`F06wp_u z_U}1#`I!ZFCgNloBgH*nUd&+3pGh|3AV_s zsH)lo#aWM^OJ#AIeoM=^Mqyf7T7K|7CXyi~auW3uZ7WuH5#46k6yRyNyjU~@Aq9!O z9d{NDb^!Zc>mrKSy+NgLacQXo>@rD*+J~EdXTbC12#`X?O|BkLWepdr<^+#v;9^$m z+iuK!`1GmZo^Z^|2K$b7ekC83#r6$$1jT*fj$)r^v)Yf!GSV;aqz(jJ)4I)XRs__G zv}#=XGdDo_zhrIA2gK|?P-1)3;>Fq6bqs(LI^2V6nqnUU$7SV2ws5dX4H|w^fNzS2 z!!fkoNQ*P&z6jwdlo#arm-c-}0*iGUR=cQ%FF1^gp8QeE+|31){AWIWC@LyiUHtnu zJ3qf)b*Y%6IW4|rh2I=3AtVgpd=TIGyBKLFD-UFft0+uW+y4qKuy_tszb}A?6~2z6 z(dduhafP7Z;Fa-r2EVweK+a?_n%%{aj&vomB;rJ*q@=n(e*CDYO*tmdg4W-j?Fg^> zM?y&0G_c!sBiBkMuC0pL0RM+AJ9v z%{D+>)=0>MfSPAO6q)n~G=8V8o05U8wXz*ouA~NK>!F>h`r)q~7xx(KF9`I1sPH$W z1tlMwuiY0HsRb>_qvb?7FzKAy>%?K9DXKI&e<^N2(-KWrV?CEC%|hTQC?^5Okb* zh7S6qlJnVxz8HM;ZTw*{X8nI}s?&h(&rlEJAWurXGb`{N35#7---;^Hl7q;^RUjAt7DT0%86*T}L{x zv=BhprRzjp-tpxAW@UG5+u`xv&Kxya&BckL<>J0p{@#|0d)&|gCiaEaMpWB*_2Afd z4eu(?U~_lQV$twfSX=b@n6{Xi=ra5h zq@Rb+YuZYx!7~fb_ND@8OsG$2xiI>TFqbQx&)>AODs&kptf03e<3AVxt$Xz>AcdE7 zh4iuz&Xfg`GtH;JN5$ks8x@A(YxvP??<8wR8IXR0jyo+#lG)G?c0BD*Iw6eQj)U$X zRZ&9;%9WQWg1@nW6IulbnNL`xe6o=r2BRin%FOo}lB@^=Fx2clyi-gv80si{`z%_^ z=1$d^wDXm97m}`XE~qK=K;Qkg$od7MND~on8uJCD1dXV;MDxa|p+)lLc5=ja6E2w% zOsP<=+_HwKt7YV+la})_r(YTeDRi>NOI@;Wt3jUq)B(A>Rwi!dL}k54N7p)o*RMWqDig~8#BGWVlseo_r!^v_r`RM7KK_da3n z%c691gb|MD)~J7LoNVQV+(4?q?}22gYqUI~z!bfG!l;;kGU!G9306=>o;&AqrC9Sr zyYMt?ljiKo4>cfAK$dY#8hI)DH(rv&Q)q^wyi*;7RnQBkPL+Y4(N1t4es3zI$OTaK4SM|XgfDD^*ZS6Va0BqpU!MZbx3;fZ6M!3HQ z?WBCk^&vO@w17Q_?>K=e2_#Fj70UJEIuq$tbenu<78zKMyxz$0*%nyZxiouXY~vrl5ZC?KLvRfY>Yj@)EIU{Uk{C;6ZeJW(y>L%F8UDCa#1J>A@&{{9^d9r!YE zwew|(WW;jLhHiop^(&I`C@yUX{aJj1a<=K}6)7pHt;bB4^~>8eT{@XBUA)-CEdR{x z!bt6nIM(dr!#y_nq+3BN6CHioSH9%D!SkWvgvEp&YVn!m>3$2sq$`aj8Yy4p(v|Y$ z>Vq+M)&23Zw6wGtuPIz$2I$CT!OYLkFF?m~cEp$1^ypfwneOe||E>J0r{B*5ClqKp zN3_$s1j;>XKu35kowP}~{+u(aC4`kKTa9|(d&z*a(+W}*g zuIw-6Lu4n`(>Tb00*qH}=^KnX@S+_WF zb7igj?Owo?(7ZLz^BJqWi#7i9CfS-1p&+sH*6y-F>QbnHl9y;+OU}bz%ib^L;$JLu zRGhMg!|gfd#~wIeB5wL!ta0n*ncwUk_L@q>M~O95E?u_!l%#Ovl_baTe4$-aDC8EZ z(t;p@R6FK=Nr7{69z}9DoZX-1YHB5hbzPAZSfG@HRix zqY^14f1qh~YOvTzv}m?^I8$ZnkyQ4UW8zXFD28V~7nHPnfmDNP$&~BN+JEt5T8(94 zEx7d@aw?`@JCcLg%jaU0^i(1UaA1fZ}araP$w)li~0P;?3UR)_{i6eUrg6TvTm z9F5l>Ms>L)$?X__(7mrtXTK~HdTiK~2$CGCb7&`7k6`Lgta{dHH(_?pU-(=+F8ts% zJ--=q7+n*>%0E7+wWJXa)l3X!S|7Hg?WX!!7ty{Zq7K4Cf z<~=E@mK~8b6HE0@K6HU-`5pSt^!r@iFO6z_IHrgBT*%EuVnM($8!2+L@WvfsCQX=OwDN7D&NENWrSpsrgaA@Hvq}F#WF%K?H?%@0k;f=cH9gZYG#-lOKo}1tV(t6m zl<$1#h>S6odwGF8<(BUm4+w`@W*1xujdFEaVnJ}hQleAjf!oBVhrfi&iOFSk(lt4^ zpipin6Utr6_ad)#xh0jU8!i_oEiW&Rk&hMsb<%)3`E^*XopkdSeg2$s-iOLZCbtI2N=v>Z4vl4)G~x!pp<>(f6$Hn92iF%zYB#HKg~nhfl#(;|L2y zPKV}1{fDK*5_s!&rbm)8{TOu8n^n@dRat^*P2;mtx%gf zq9tdYtUjpI<*qof@zFND0dw#ILU3t%;V*|LQ9|}vog?=0qw0$9G*~Ov)4FemOu-Qi zcpH#XgUO{oVyG%FR+k~YR|YPn%ox#5pz=+#qM>lc~ns<#{M<91qyh+DJA{JK?3 z#T1hoL;q&ijY{Bcemz}_;a$PkX7}3$U*Ay7c6{`g`{8uUv8HxR_&JH}0*3wUQ^)U8 z%J-5^kE3W^_YcpK0@GB?=P$wNIvz!Y6^6-c#XbBacIRVd)<`J3H)f_b>p0syHHYdK zn#cSFv!Jr9->4)~{;O{;!F_N~lWC0Q$OhOuIQ)7N_4e>*&cS?#2g{Ki>STcO$5{1a zd;WD$)e49z276st>k!x<|9Aim7Y)1PS4D54I&Q65k)A@dqeG;EURH!OV24;5ahcdm z!>J=Gio8dy2Rpr7lFdwt66!iILxqZJdANZ69ATkjRDw)ROIj@|V?G>GCOa)RQP1dv ztX|56j0zIl8U+HQL7vRu&FBB!xc{?aTn@SEjcCx2PaY1Kcna+30vhH(R5=*- znDxSsH|2Y~P$H#rWd0DES`1E?lhaT3wCn*Z%YYNegf<`VCSQ3lR?RNk7w zMwE~3UexJ%dBz2r5m_q!#dh}glU&N&3udw6te5Vc-WKHhmUY2cN>v$}2xWV5CP_pq zf4(5LQ7#26{gypeLrp*_v;{dN86!>+&5ySI(L+T2mP2v}IOum5i2SMd}5_35EqIoNCw zc-(FIE%6 zeKHT!^I}3mg7V?=$N#;7dT%GRNcr|=yTw{uVt0;Za}Mgm@07ad7zMgl7JECd&AJ)l z*qAqnAKaKK!<-ife3bjxw2tug(~*r)PL`HDHa6+KYLF?D_^)(MeR`Nfz4y7&^TzQX zKDj$Ij~+ehha9cPe?UX2(>M3zO=hdEW*?_yU#1Wd9*c0 zuW_1T=k?>sMQQ1g+ilM-7N*Qp&_wcV2d9(Pngt4ni;dM5g3W=0gM*0=ZoSo*&v=V- zQVRo~b}LgAcdD8#;k*{}u_-f66YmT&C%d#K6oH@R_q-uN`+34#tQVm$puJ@W^ov?(c?@VX1 z%j#r_?tK+jX7McJ0~;jd3_yUXKTTwJnVlb_pKPEQV%bX?E+G=r7;V9z-vy6G&%2fNB4>yL8heuqWDPH5=?%fA z!21Ij{|dHsg$-T-(+rMtB7FQ|+NemHCjA|McL9(+wtp1_0o z`y?jkSe9S~Uv4fgpAK%T&%f%ugJZNBE5j0P>kTI`Nnx#Iuslm6Y?lwwFzCd%-so|a zze=m|lB^S|1&k~@tYeL9`(D|+J8?@b>hRaJDipvzdI**4fL7|V6<~$R?_4^`T3i!m zQ7?||`jn#*1!Hpe6~aS$UqT%%iEq32Vu{*iN;`=j*gDQ0&2S$ za({hOeeSWE$Kc)CXRsO-6%R?K%n!o!UR>CqkiE&=XPN6Tc5Gs_{}JDs6#>eFt|X{* z9@LumAi)cHpU2m)A5`lLfH-D8yPkn{C6X6Hg>|1<2AeO)J>aa>5`nkS@bMpihd=K- zahL#)vM7ds)R_$}R3qvTc#nhh;9C0yJ5eDcKakSM!=qCx#TC~5sFy1V2OReu z(}Lbk40w!H|K3XzH=a1<|N6T+MOY)$>F(XR67D9i|9n_vsX{J$oLZ9#@a7?{S6jZ? z%?HuQo+mf)hrG3UMG6eAO>sXh330H&u9!C=WCt=h2Zr%^Ap}aJ`C%ND)KS!ViJ8Fo zfW1^V>T&vmNE&N;X1Ze7o~}@s;2QOk4*oa(4$1Z$@8>BkBG+e;efV=X?#A24lpXuX zgTEBYQk7kL{u~7Td`ez|GA;Xe-mGosJs#chJMW03@a@-uUZ&c=N3GMo!n2g%g+ znC_?;tuiu0{C%Z4jk$#G4e!?DUGBBk)5y`O#^5L#BXa@^chdt_M==~z$R&>`fhML* zgk5w-NW4a9t&=#n86hylfj@nRt*J5teL>F*btN2~e)?)R zT`xkEdCoyPU2nu8rE5mfIyySrYtv4=muy8-W~8^ITL1p@?wcFo>X$bT8#%87R#dHJ zUk}1iMM~^Hhh`eAV9gtmHqpT|L+3+!D&f%>h4v+n?3IF#yfX8^Bl{2wbx9n(z=Q&#)hdk^Z{$;o??e8#K%;u5wAaU$1h>Ha)-i z4!BK-O!rcXJ+Rn8Yn6%6FPjk*PhJZlH#wU&77J!}()A>_BSS_J9Gd82FmlG~SJ&@j zoOK3%&ig!=qX(2wM!9e#U=jeaBYzx|%0Us(~2$ka)-hl-m@@pt??_|oVe z{4`3_x2zH1Ed2WQdS{AIZ_}eK=q!T^ci3Z$zFw3hK^~o-p#Kch$BtdS)_!*=?fWbD z7abg7f5PK~J^h;+7wG;>Nj8c1%b^FjczEPpyV->xf(KDo>-V5U+4qOu9FUUvxKyd^ zhU-oST1!PwE7f?=AttJf zT&3XgP!HiAtK>F+N_wBMnT^TKC+!&&sg@J2{hD%3%r zQyuvGLr>)Im`iFP6bh~Y&Ok+=E8iK!4VV&n|4g+AHoplyPoilWpSM4{XaLmVMZ^y- zJJv<|pI1A_Ob#7&+Dzbf%4fq=4 zoQ&fbSN~r*0e9jx7%T#lG2t);OF~qKU`k~L_gX6ca+ABBH{DgUP~WNhZ1BB~|Aa{&Xb1Jsi4JmZNRck#GBJog<{3k0gWK{EsO3gSV7yi8wfW;fg6P zigV=nJC70Tgq zog;D?W65&GDNtt*4TOp=DwJL*PHyq*GqqQ?dMMnVOUgr|zPZCOSO3X^;>IpyF^Ei~ znWD;hk5EM3?!=q33hqOqll9)ygI{Br>WbQnHI&4^LOSVrq)<$awjrXy8Yo~;Ek3;nbEdRLF+Z0Vz0kO3Cbv@c z4`;pNC$mN%IZiE{$)4qiT3L>Z@tCjM&mlM&tfb-Mi$`OJ6a;@DGK>Fb@M=CNhro1wa(okumvEV7#_50H=ubGy^)f<2*{3Q2C zKUr?Ev_GJul+23ojp>cvyTe+*xJ`9g|B*BOf5?WDZ|d0jlo@5V+6Uve3c^-ZN^ZBo zWA`Kq!mld}ULNjbkjSk*-B290Z+jtT2vz-a>B!Ae@N%k$UGygqr(#o3g0rl&H!v_@ zNSPTdLreJi`8{TZwEgma(V*!7XB@`5zXao ztyCBrwKse~{I~x|cf)+Xp|W7H?@T9@1BTw)DdmU!VNeYua|PV*98nD{mg-dE9ZOb{ zW``l%nR62}x21GYDFc0d_G#(qic1S`Q%_u;(6R79ez4$xaL?O+uEHqO5WHSckdvLg z2(dw2UwoQ`X)#pmN?TV)|Lx8E7=ExRZy-b(yus!M6{?W++EAjyreroME3yAho@UFt zF&2bxG6-CKQ0Ug1F&TNdaxKo)=)FY=MCu+ie1Bf5H$+TFM4z&(pFf&~DkKV|L5;c(6&Ylw>81$wh-KP; zeKeof1+_{&@EI(;_PH{LHa9wRq{>K_)HC?m*TqC_Md4?alSsgY&XJpe@1^LR&sH0u zY|F^OAycxcWuUGJJJuw+)T62IN5mSjIZkVL%;iOTI<0mbt5C@L7kYu+wdvCbhz2hG z*(CIz(>*;redW@p#mNT$1_{$F*%)Y{l6qxYbMF;I@Ko{1NP)>^+V!P3nsr2hCp~sT zM=w9LoKQUH1`1589GfK%IjBMlFg*5E|MmK~K~y-1#Y{uOp{EOaY=< zFvfL`elNs0RgxKDLFz>VlwpXYZ*&rG?R^Sf9z%=<3X!}Dm%01MiT!;I;LRov4O_@m zHr38lVq#*DF*`L%+=q*?aa|pPI2t~i@7Cqu%_wc%#xc)S*wanm_Dre?$yepRt zg-G}C%>c__I}osrHc~D3kDpQ!lF7bDC8Lw9+Q5flrbnTK+Z&ZBHY1)|6Ak|NAn~>7 z%VJ4EOVoU>ivN9Rbuu7_tTk{gL~_h&XO1~QlIqdi_ne%};1jKr4Yp#_f_?}{dyUDk z*w|QXoE5yD>eBJN3!o^*YkKUYo4#uLXcnv2-P=2Jv^5}ckb$TpqTZ@V3l#qu2 zyuPSt7AM*no(Y=xI0Uaif%_+=hK}AJn67r~{ovJ9ek~~Ek7z{4)?^%Gq-_rljgC(S(U6*SpVd_ZgCZ53iYL47& z(U@(jpIy6kac#dngCVu$_Mi6n(N$t|qr+R>!)}GIJ2{}pSInG(gxrG>75Q`{_}m=Z6HuC zI2tID8hRXuKUX;9a)4eeN;84n5MF+)LmADKw&AeQ?;LRiZL6+2(>VUcvGef-sQ+!> zFT^ZBX}=C+WMZm|INZJ6<$IMnnfgR957vCR+E{+10{#=t4x>w+994W8&oHseTTUp$ zfIOt+B!hctsG{jo^S3GAdU|{#PqqrI$U06@$BH+eG5>%FvK1h6i!f!7GR&9ujQqgL z=wUJV%VAWg>E1wo6&*8QVeq6cePhrHxULB8_!R1;3a<{mGjsr&jezN!=AS#W6wqsu zyAzOrz>O6~ZY~!Yq?tr5ypEeplxZ2`mvx2-sRb25q4Qw!Gj@*{mU?s)9D_6PLHa415HR_=f=(&{dd3E3pksonyzcz?e*oXB)D8du diff --git a/artefacts/resources/patch-comp-5-Rs-pred.png b/artefacts/resources/patch-comp-5-Rs-pred.png new file mode 100644 index 0000000000000000000000000000000000000000..2d9e04a95d020b875fcbbe3bad5672ba7a042e4d GIT binary patch literal 10998 zcmaKyXFQw#7xxp28b!=1N>igYwYRoWn<}waDQYM79_>#XwHjK~R{OGwB6hSY)M~XT zVpFsBp7+)Nqx*hxKe!~4SFZ6r=X}mN@AHj$q_1&}>IM}A0=cH8iFgcwK%K$&z*Tba zFS;?o2z;SLX`1^$AT*l)4(O$CHxB%e%~##b*T~DsH{gl4BP1XoK-9(4&Bx&h%2CwI z+c{%H=>`PC4bei}GY-uBI~mfV=XY_mJsRS%#Fv`v_5l&Vgnh3GE9$9BUw)XRogOP? zy`0+Z`=I}qRO)NjqIA!NGF{h~RA_pEhfff3-l=k;6ie&p7w6R@PC2Jvr=0(`4xSzd zmTNdyt%Z0MABN`)o|F%27&AM>No;@JR)m1B(dOPVU1@Spd&aIi%)Q}V;^BrvVPib- z=G!Tp@S6LGja@|*)tK%T_)b{Vpi>4$m>C-tPH@&m1IL_^G3iE;P`v%7pWt`4qgsr+ z@o;II`kyMMTnUuK$9~IH=pKa)42uL#qHR0aj5u@#dK}AuaQ5xsc#IW=6_xSu0!O_T zvOO{IybeR8vLZ3_mO&HJrIXSyQjh_Pwr$0fz}s+<$mFQHaDu(AVdsfFzY2^J5`-_t z!@T@NW~}UFQ2D^k0|6!5m~MHz>x?bOsZO;hN@nW=Ftzx1ls=HN`_XXhIc7Lb(?p&> zbr78)rt(#^x#852 z=I|PQqFL7w!#3G1HTXk`|p_FP4Zz z4rul23`Ia}7_dey!x2#LNax6#_(wUPci#bRS3|G1@WS~amQh|&et0TX2T8uZBp+{f zQg>+fp^YL=_UhVv{R7n{Q#a=2K2nC3XtblrLHu4gUTyjid?yfQ9v^QG78@N4dgKX_BiR+S4o_x_ugd!df7XL=A# z_M(Fq7$2=#i|w9>Qp>Hi9TiIeT<3f<}9UmvS2ZX0~^tGB!R8R~d3l`zaY8nW41tj5_sFWytC&F73f zC%T1jUWp@5hkBh({#lo!{aK{9EBW93@j5pGtL>5Mvxy%5oO1BP*s=wy{D;?+Q8SU# zPttghj;HcvYs|UQ8_7OBQ$}A*;g5N#p$>w;H@+otq;d%mP`VltPDpa^^h?FKlY1k0 zbP(f5oT(Bqx!?Gm8I2yG3EOgP4U`qPH|%tgMFDXV%gfjc>k6S?&3}4d z8849k)XhgfP|~SyOYy(ZgN@0xfU6J=Ih5Mm-&#uY7u$i!Lp+o^185#5D)izz(-Y2z zR^u%}wVkuh4n1R?alA`M+Zx<$EdZ`j38qdRXS z_ahc~9R%M`(o6kcn&#{f7xN$`GhTv^_Wu>T|9$Z;J5&8>Hs-7{&Et{#QWRnDhaHXS%L+Ot%Rtc1)if;{f*trX{W$jh$%@R6+M6b*RhRDb31(GC@@y#w9S; zSrG*8m+WmBZi;;B{}OtXCmQ4!<)Fc7-n0BEI@EeP+Sf1 zIBHF9q`;6akn4yYb?amI#34WKC+DQ?*mnBSoA>W~sKr|FrKWUkS zo8*a+rjm}5SR(ygI_qEJ{Qw23fal+j0R@)p6jsJ7*mT@bnW>mbmCauoP6a6<%XuC0 z2jAFHN+xnY#O~%6k9Z^g&tKq1HrERGmUZW#40&C(;5d(R`-E2x#0gTxYL>J1K$s6| z&g_x&uByizxm32w2{cU%%IVq*JyMtL0-hybIjhW!t>Fq(ncJ7Lt2^9PnAv>C`9_Pz zhMDstc&-;XodKZ907=kj2{%HH2B}^efO0L?w7?HT13gE;#@5P)I47q0tAL`%4X>T!ne}GtxHpO(m z=lPwQFRwzGm8lLU;;Oj>Uy6_Vh>aak!?zi}TO#g4TQvSqB;iSoqRW=wOF9pIeDZ}4 zzaCie0qF<($g<2;9ZbKGI?odE;qE(;yktpnnt+lYAB|_|;6o$Dfl1GSaPl+O<)w-y zn#0<2d_(Ss0_VZ(a*MieT9JY$jxFsr2*gK2_A-u`)IUNn^OqAN<~REzE!4}Wsn+i- zHMTt(zO|^t=MvL>bw(T6+IPD4v=&gA@#rOKyD~s=H_Fo3&zy4Uglq%zLP+TPz>0Hr zkkfvh+o%GBl$>T}t*TvgJ2G22Wanr;F%>sR!4-=4XqaRfZ}KafQ7H_r0yfI*;uh!P zfd(#I_vu|>T&w7o@^^-3R4vfV4?qyy8!k>M$9@ykp9R|+MF|Aoqg+xT zT@K-xrBPffuhqZYjJRBbv}|{=yHC_tbdnAzA6f0-F|z?rV9nJNo_-}# zZOPEoZ;oUoRgU_K_n*m8W33}}08=V3I-tXCiG@~8);Ywh-k zA}%M$td6AW%M~*?oGw;Vd;POi^_%ZKY-&j7QdbJ3vKI0ba1BaV*QGSxcR*I;170k@ zncD6}A5N2N(TZjF>Z=G6W4)smT03wa2v>H*{9mfE4>g*vIC<<`w3D?f`3q=|yTjTkF4 zt=V*{ngtF=_}zPmi@5*}J_UP2^;k_KVt60KAV#x!p7s+HxVCexhf@yU-U|EzkZT+; zb7A<`_&oc$NZzGoMOevN0KJ62%tyi|x1f$x*|Vy(2@>MU7(@YT4j&SHeT%g$;_~8O z;QYHT1Eetn+Iw1E^Zym&$!OA?%yTquN zmQLk}J16|4H2iQfpcoQBGO^XflF||LwcKm48rmYLetB`e@~bpi(*3u%Q(v0I5IR!@ z?p+In+q_4oeE<_*QQZAJda<@6c)+Be>2f#rb|a2XOt}!~sL6hSXqxel7J60yJlwa5 zmbF91RGTw%w!il9Ik+h*-UV43tttlBIhep1fI8Zm9lW3S$PJnGD7%v;7x0PN#u-q& z)XQI{2osF2Qzzuy?=eT5yzaiwt%2}HEHJ_ZT+d$r$6M(M-$8MM75SmWSaQoYPn^6U z6P1L^5RXNjEAzK+-xNsV9uMmn@3%867dMe=)^&2e+%E>k4Ul0GpNE2dJ5xi!(}u?@ z%Ix&wUQ}eppK@Fh;NG5#uUNz2-uEml##hz2#lalvpI_N_vdUiBvhyuxq|CG`h@1X5 z%hfAguQh`-2tsRhoG|$xr#?RsTH@Dvm;~LDy~erp!&TY>I-=&e-CZA4HRHK?cai-_=}+vQ2r??n;1ZG`J1}oJ|MgZo4ub z;|18Aot-_d%cEB#<>tGZuCA+>(4`q#{|m|7aQR9J%D}QTkABo;rpL{)j>k#pyth$_ z!TYO)0n=dt=!{;G>olui9?o4^S-D3*(?V}U37dm?E!3Xdgl#0vKvjPl`6sSld5HW`t*r2U@FM@4il9NIz`O3 zvb3}`C~~CI`V^(Dtu0P}bwMFP5~eBerA}C0Wmc&Y;=3S(jKd_ z3UgO~YNxIJEyvr(M{;eXBI9r&*&rpbs@899L^nr8`B8g#*m#3iPI_u80jxMSY1r;A zRIabDkK;KP8{4#K)b~3d4f8wfT|T&9eUnp#(%EI^-c0Y#Q-5ydxg{p<;Z3iM?yu-f z{>P6W?{p<{Rb|L}jU);F8S2ZB6|-v0G5qFi|IX(vtG$&xzfqmlj8ClgB1aFftbKN< zc=AGVeFpmanbGGgoek5eLH=2la0O1YXvLJBN&J1{y^gA_Q@tQ`_HB4a#KmsT4Uuc? zGJSe_de$VFCZGL8Qgf}uVhnJ?G^nD>eK0(jo6AsJDZu^g6BZ_OIaE1SW%)vOH2mtrZLq1CLLWE6ax>eTkWfFb7eh0Sd)j6{W>_1XZy{D?voVakDj_i5DtNqx7`1=lSC5nuwAg4;vSc9k`@~2!7;f^e&Pdpmm z0{aia`#dF3GV&EDAI-dQR4VXgm-T$Qzd9^{Pc>95jS*OjfrZ5U&VPUip40!t?xOnf zkq%psA>uJQW2LBUb-=9C6dRSe)1C9IebMZ&-rdf+gVcCGZ%AJdE=$5_?B`ErFNi<} zyzZ`W=!y$+INn+G{;4NRc0<-PRB6d!7(m9|R6fDX%TY%q3Zl{{@pm@c`LthNV}I!6 z^g~(Nw8G-+Ovekqm7&6sdMiqXa*>lkoOOWgJl~?LnpL%@n!0EBAgwXz3?D;K zdVJ50w$oEmShNr77a3Fa=vE3n+KOpxY`kq;XP40&a~pL1 z4-a0^D!Bf-lfWTg^Lsqy9OfCjG11_K6FKSKsaFq~|3T!3uc<$6z?^-_K)2w|-3ki} zMJf#QyLy#F4$d4wpVUxHsJ)N)CPi5d{2`g1xlr9KD*&SfxM4iZ6>EVaF!cy|Ha8ge zjHxn!QvLMvf0Um*CQMRAELm>et4y|#eCc&V+O@NJvyJ`c%EmfXobREf9ehL0oqujB zBi)8L^F%;EfKgxNc)@41!ZP))&!4`xi@mAEs;BFgl-wE9ZYmbS2^>T7&oP!Q66#hMXN@I-gK%lr#qn3*8|WrrL?888-X>y|3u(3xkMdJxza>qAX^@`rva7kJDh-LXHnw2xV;;!!`qY{}<=}bmn!i z58qhmNoJGvlPaUi7CDgTSIuHF z-gNvuu0|poAeR(Y38xGD*Wf!O8)hfbXB;z<9o zzr=P!-b7U0`hKU}6`BUncItT+qJb)8{tyan?LOFPl`0tMb>Ow!y=U-I{=kX5#3AmJ;Ze|d7ln>};E4>HxLh)^K zG9yWN6+jn6=f_h+s#YplQMSrDbq)VB(t5T%9l0+tBT*&$iZMJ=57|yOa0slEs-Nrg z`+6TV}*KS=T`2d)wZyhcvFq(bY?CJ|1#2(Ubf>SCKjQ5a)W6l=>!ZBrwIC?LwmGe7U zORZEKM)tDo4t)|mRw9JhKL+m>)+7!f}t0ZXqPW9uMvaSDojL7 zlm6RSDhH-#Rj{l#@2%d-jph9_6eN2moQ!CQ%D_lavD8R}#q-&u}L{0~je3**>)tLi#`EfB~$W%L} zcwPAt3@ZgQkD3VEZu#J!cP1MWZ%}TLK$nu)uY>o4F>m(c*?IjE#P0hLk7q4PS8KY# zh=X`W+vp%nFq)?&2#*f~z~Yg8&sgYxis>WFHqYBwst2TH`~LEV@1>Y}32_Ke}T~B`KyBb?fc86>aCUJm41W znBDW|Luor5#9`wJuGZv`RAyHHrxELNq@~Cu^^pLIQCtg2eIUJ_VrO>9gr zVTkO{h|Qt=b|OL$(-|NqY6mfIESr;gT@ghNN04H=kvIeN#SKjYXGZ<)t#0TeesNI&TWu_-z7+y+sDBD`xY#Q))fhgU`^ zG7qY>1P!5_L+^NIHw2)TjAK7hESCX={hZDT$+8dpwtg8I^fycai=B2oWB*xGV`QZb z&?z&tUPm>ub>}q11*^=Z$Rga&05Vj@Lvl;|gG6L+%ooqAH}ITIAK!M;c%JUo!FMP( zgz0R7)f?QJ7XrbbmuN~?&v71ur;$I6{q#G|b=TDoI*;~#8u&z^r}R{H`q1A5osE*{ zq7uJ|8T&D!u$W&^+Lz9rTTXA6Z9WGjKyG<8-7@Nt@g!<44P^OUoE^jAy)CXm@21i3 zlfV}C>&`egb=?DC)syS91hbSw7YzrYhpek))ksFiz#gP?x=D=K!n{Y_z~U9g!=5qr zx5f7anzNSA8}cv^dQA9mMBAiv%zOJOu3@;m3se5{B&4Y&PvfW%48-$>qT(R#pt z#QCZ;4WT(8k6*r%<>vf$034D@Fdu?!l8!X-nDdoHmvIr!V1O*h;qEUn!mUf=;SXJb z;$3ODcc>n=hD{H2A~ma{`AYM32i;ID9N0XyZ!4061iJ1&odGQ3DFf;rEW^fdSk=^D ziO{^ACv?uq!*S&F+Vj`*D!q|EO_q#ba8y;j*v0 zd%`Ikt>6sw*n0SmCG7pI+<<3!S$Yiize*6f>bE;!qWk*#Bx`DG4F-FA*{L2?Sk&!z z*lju3-4vIF3b}Qh&uQJUaU|Xd`2ABfVcwTE`hFc`^;an)u{pr&b1Q$c97C(OzBb5! z?Kh`d??zmNf(@2Is;G5(aZ%9}ZA*fsxfeawRQ+iQMrvPiDk)G;x`9+0QP42G{T6}X zfVr%MRT^;+#-c+W93T3v{sJ+G^Pt5%A#rJUP}LbUYE)cY9O9h1pC=N$<79KNI0vBx zBiM7=gN)64Yvx|t(HwP6O--6|i2u*y-6dIN?}ctXNR*nL!yTq{I$_8~1@N_B_i<@U z=b7ou(i9MYD98cYHXY0~g5GV*5ibe zm=*3#qtDV^=Yh;3kb-!mXJmvha2H;C0W(SmU*8@a5 z(&(|l_+D^@DWmU8cj$G2VB(tZ2JwBbXmcEkh{YA+CcVR4GB|HVwg&q(1#Iery$f`Q zcTM$t#h|~owpNVd12~xAA-xW^+$E3h7_;X(^{3~hOS>t672c}fLN^Th@^$xP7#mx@ zOWEBh6|g;b29q}UAopw9=K&0=CVcOQhWz4NBm2A7Z!wu5p`x0Ji4|=s8F8y>?b?`Z z?im>Hm+_kFoBi_2aq-(brL(OsPo13VNmXE2)mTm4*Z+aQHrw{{Wb~8!7+62?{Q#%4 zhPU7%oCNcv?CkIpORrXS6O$Ag4!Jjs21*js;h?M8um7$FHL8P6>KQ%03h}gQRK-1R zn@1fS{DCmaNMb^i0edQ>SOg#tt8xju;b1%IDq@BE-nh}UrmbA$|92e3HbP(;=iNPy7_MK-ZRkOg`~-0S_1a_ zW=Q3~e;cO#EgC$nD|gQ~0^0L!+ru&4%J9d_Gxn7M#3KEy;JsS+={Dt2+X!W97GccS z!no2mPqhY&(1f4MjE#>GH)d2I+YL3@nur^*nu;*3L{ALCt2af2=B85Gs7*-x=|*$n zKaU9Z{lpg|P&=x=@XRtABL%}MRyF>)QHh&-9jbV+{Nsg@fpXpVn&5p`c*4?q=j>Y| zB6?A1EFreLP)+ydkHMavJkY2uC#z*=`&C8if4)K>R003?0z?$5$Ff-Y^h>*sB|S6f z%6R&Y3Dh5-NZBYTnani^$l;j_vmPMQot{1w?#z0%wX4ScMg)GSCBMNF(`^TPF97EX zPK0Qji~a(Kn7SD<9?L)SDZtF{@Z*E}k2ZwMI7#hohP`1R-Fvh3kY#)uD653uzWoAZ znUY>pE&09X&ROrg+PmV}B~deK8i?T6njUiLX{r#{&eiyWq9X4OGS=^HVIg3P^T5f8 zuQz3EYno35wDLjAmA$PK+{;Ot7PIjvcoNu%9z2<-_W-GkH!sN1XA4tpw6*l~!Z>hs z!mO-V4V2JcHl7(zjW=2hXI=d0|JMR+ra5|bn2I?G410s@GVa$;dJMuJKPy(;z`dAi z4cYzvfbItl?EnVC!&;~Qt5>L|PuC_I#DH`XD;8{;0cQJD&J_d*>%_)nBYpY88c`SB zn53edT0oQ$TNQbM_kj;l$#DiY)4aN*k@EqcV0d+;f*Rj1JKguEKch_fWI2Bxv0z)s zVas_P*?9tk?@)bgj`Pa@6_MC56&wpHQY~2E_-_zz-h@ zAAWQj6$O9S1rli;&|Kew1OU@5AE`y7iA{*0rFw#kKR&q_jn{kheo&9a?T=Wx z3U*ASU<}?0LAeNq*>nm(xc*F zjHOEnC?pP-1?ERLX*4PZ?yZ0#-HOF{7)Aa7PO>p^kcT7zK@)9bplQD) zs$Qg3*={89>S!7mOs6C#|7?7_Rz#A6E+3~>r16E&zLmIud;|)>K71K}O_r#Z|1kc# zQlPl0ZJ2aCyR6gs$^Jj{M_isxyN`d0(a%z-1_|vPLota?6ug-$#a;#l9PYZpdX8pa zap?FKf zMFrXez)3#ljBDfayK2cQ9O5N|4F;dSVOo-mHmeX|uWbC2?v%`LP&rbd#W)pqXboB> zBVhS^-gN2e_)w(?{7aM;ha16kCLDQQQ@)+Lq}7;ndA4Nb-NPHlE}Nj0%m+m+Wd$Uq zr+;H}&b$XE3Nsw)R%-~W{C_nh@{U2a>YZt8=Qxh#n^e&@)}Ysv0v07M50GqNn1LYE z0U!DN&~?|c)=BS(Ab=Ob`*L&sMo!787g)^;D<*saRsLRk`1$f^6&Lv14_?!48tuA& z26JqO7+;PtA9KVD=-r*9rwL(e(6L^KSL?`X`xbU9Nf53v!HeAA0@F;0u*IimU;o`2 z=q<8SfeusH+Zs3X;*c3Y+Z8LSP_lBeCSbMJFi=&au(+5}-h2FZqSEJobHd`Ba4NNj zUDcjbQEHKP;@A6oH7sa-O^3N6%`F=|{a&vwilgTc^9|8K|N)_4JgRJf_>i)VthubxU1`#Be>w zmbn#&!4UDfv@in-1!$dMV1*XWW0G0E{zmTYhjHI`n!s71A2T6-NW`I!4<>3HV?q7CqW;t= z%FP;jR!<_44PE|eplZD_Xo!>+64C*mtU@D!!w@+PI^GJqSBv4Zb}(OFLGv>noCgq7 z7A%th^L#k~fZx4(l!5tgBEsvA)AT<6M4MXlwaVq0k7(lpga7*Pj?NfHm+!gvgheIQ zkaVwXOIG<{@1-2Sy|tb4pYWJ9+)C&&VgZAf4pa^XWY`_=R^UPhVK3oo9i!mCu9>3} z_UvcTHE=3d{4Xno{H=S(`Lc8lKzNfs8YWY7gK5R;iuNpQG;bD}R@oSrnZ&<+o2Im{ zE0Hs423z^r9&y=aZf>rRXuv&IKKSj>a{MO)G6<})I=0yKDerXOj$@O2NhDeUrec9P zqiMf&x@49Zc-`!2!Oc4ub9>PQV1p|9ei)eH>1=#|sON!`l7?Rn2bz&O#4F3~=Q__6zNFb{QKYi_CA2NrTbd`4>c=!sa#q1?~Q+ z29LBjr7EBdu@E{l1^zdw2$qG69E3cZ*5Ln@XCMWo`#aq}4MlM*x!Dx};n!o$ARvr; z_;=+jVc5PaMnQ>rA+}jeg+x_j^&2n6*ziBGtG*N?xZKAX6c!dEPN2h>Y(sMm_<8 z=>rWABQq*Ve`i$g$r~blbQEN$MyH^@hc{PUuK8YGoNg+f&vRWa@2r+pjX<9L{`h2h zNJs2n@jCF8)m9g*j~v*NBo>`|Zx*;TBaUDPl2Wc`RkM)E&2WoNk4P7Qcu&p7vvq_ ze2zlcoW0%5JHaR>CGK{N=4~Qj*$0)=rvxpbbKmoKAys-9_b@}KEGcO}fm0Ezq(Rz5 z(d@fo-!pD}BL@A`;oWU`GK%ZGt&N0WLh8*f^$ZU#h7>c=k*?@uPHLYTY@LPad3kyaMai zI$kEKu(;H?-JKl-PB-iuTO7NGqnH?t>wq&C%~;puY~2<9dtQr7Tn_`(A`P3 z5?!6QbO!#vU#wGh{9YD?$DYx`6Mp^M=E)Eb4z)9A&jvTS=f2-+Cl%+z{(h79?;Pd* f|Mq=;zobA=-)CJ^Oeg~PtU|QZ^%0f#?VkT18DWVs literal 0 HcmV?d00001 diff --git a/artefacts/resources/patch-comp-5-full.png b/artefacts/resources/patch-comp-5-full.png deleted file mode 100644 index b1293e3abd366cf11c4293272c9826932c21fad2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16347 zcmaL8XEa>z7dEU%Cj>#XL`n4MohV_55>cZEqxUj86GGH5qKqCH5^adyO$dU~dml;k z-aGH{|E>2~>-qA0m@~tabDwkXa_#HdJ6cCem5i8y7z+!F45X&4i-m=Q2fUsmBmj;S zdkxpX6Op@`u@@E=soLEG`_}t+J@8L@Zxti&H*R2Wh?S=;76by}cW`y`vbJ)!<#+S6 z%iNJ+z`|n10x7@H^UKgl;^M|RIo< zI>N&4^HG|AmAD6Z+w?2vIx&XdQpjDxouGnFP)WDsp^_Ie?_UXNmREcVLKZ3>W)41i zH?uE>x5!Z0-Z1V0r8XHEjYc^cJIKlb#T(Lj}T)Bm2H;;sA|eay_p5 zK*PgrQgr`O7`#lY=~5bFikVi#TQ+^v9EFmcOy?+Cy3IpShw(wf!!$|mfA;d&V+Ph^ zeZnj#IEo9?!lT96#c_ffhLw}hWq5s9mc<)r=-R^0i$YwFQmAhb&XI0eg3GZ>pmzOe zY8=B#nY$&u1)tEmlL%^#+=6DbN1mg+Et%c5OR=R46%V_YyU+S@a>Wth>8N0lmA)|2xe) zSZzwRZRFo=j%_N{R|B1$cX!fSEV*LT$~FyWSA~Z%%jv=0CnZ<2xbW_dhY={?=;e2} z6$6t0z4hq7T_V3b?||ezk!WeGRnxy54sS3~&m)H#BfCE(KQIhFUG3i*P0yJXRlNK7 zpK7u_h$T^C+|v2%#NHVne)K!*ZRpQ1E*%BL6?j|38cB_|HC;h@gGXL7E)#G1BC~8mIRoP|I%vExqSn07lf8z=g+e$L@n4 zf?J*Borx&)=>7-X8{cMfXkUQqp{P7rM1*sX7!p*G58)&QB(R0dJsF z<>qpylFh+R$Pi*;4fbMpp^&i#&(aC;PYQxI2%5>f2lTD-zdt(AM4f;q1n697-|D5W zu?uHDg76~u0ediXf>EJD!^)ut>J*{GTkxfNFMKu->L~`t(gbtt_rw!v1*~?wlT|pd zF{Zl}NAI^~R`M8iJ;hYUF(d6Z|GEV}u@wT||7^%hGK~({TGLAcBPIwXa@e2FkKy6( ziwKMcW*A5Iwh~A7lb8QrB4|nM0L-`4d&^1qvK*3wy?1-h3!Tznu{g*4I9l(7oiES$gzM-)a{n?Mw#{!=?(oC9>96c#j zIHR1RG5XvlXl88JUIZq`hMf?EsHa;>OrRH%y_tsyB*ZR^ z$gk$m{M^bYq{s6rE-06il=jF9J$a}DxMxmv+0^5(U77zII}@o4p$x?pV>+7y7e>7)kn9M=0MZm>ZSu;er+dE5{?@Efansl(*{EkhU#d{T@k z3mXTE@l2>?lLWx0Nw8oUSixaYM4+vyvvyBp1>mpao!c<;KKD&RXQlKfi3da#wvS$@ zJMyeEf#iSh83}_})TJILOqqHJRe1_$YJIob%dY?HflLIxY1={Qg;jhYx+k~9vi^7Y z$1cuozn3XJd^!!bg3}S!%R^5;*{L>p-b3cm5YTg9p-POIj%hSYSRaJFfD|4prQRh5)U~n zSk=_O)lN!520Kdrp1(v``P7i7C?R55~TfUN*2;6s0^ zFMcgSLeaLTGN>D??Fp$8FWSQIoTG@xDxs4Z1y%@Of08yvZ2vlMwfDq-B48e8G7*Tn z2QNpgN<$~Fg6ySNU_i+k0g& zWZ%;0oHe)&Z56m4LJ`;dX7*T=dW{Z3IWV3IY)Eb)j^@|FQ-nh2$I5C-Kj};ov@;y> zhN$G3FM$|#Ds~&|=a&AIQ_m>_eIy$?b4~SWKgpgrX8Mtws`+W98atI~z^!D;FAnQ4yp&Gf}%w! z3-b(meDt>k_k~fj`*5*KEVAi&WKp`=)Nu76>;J^$GpwXbc)VMn!clY^6X0;6EBmZ= z>Vz2%lKsKsLKZ;v*=rg$_CmMHwA%LPyaGjgY|*$o$XspXsl%u5k&4;r(Px1o=8~Olic~^8Q{8 zB6IT)Cu0EJDq2M%+-%ZUV*jDTuf3w%1oaJ;@Lf9-EcO!(USUTus5Ro88G1u<5MIRl z&7Hg%-y5;)$>w<02YTDS4TG&Hs83ea#2@(0q2>71Dv#M%%TB1BuhlFB-LiS-7j*r&a2AL7x!3uWyD}K?GN+i^A?m8k?8m# zhEfK7NKZ6k2^M-py{8HiupJ&aeKueV!)XNd7G8!>D8AF1ejd?)y`J;fc{7;ZU4Dqp zUd2dp(=^+U;zdWbxVzC^HvMA-CP364M+%w>ip{)z1X@f!Dn*EMXr@+cn*L~v>#&6pN1FAH33Wk)#U*jd}rV0m7GNq-@I(2z+Omt$ngRYGIy#zfh$h zDe|+xf)0W#2k>Z>{i>KHT}*izDy(7pD*h#YKDf$5;ODizsi(NR)zLfohimjTqcMWY zV!zSmsoqjn`ClfL-Nz4rRC|ElGO?uG1ABkZs_5K4{(+yuXo&T9bVmRuf$ziytNovf z;#E;}dI_|u3PhkD4{y=%>u%%q`B)LaVV(5d{s1G4azSl^QyDln$gd{R<_SBC*gjnvW2)+XyDQ-MqeSDMW~-BzQkgrNJC>cs?Q9g26^bi}fR91V=Im z*?iDj-|?My0+aw2qes>(-h1q|>Uco; zsl#aD>%JcSZmL?fK^%cCO1#R^Kn`_m3xAg98Ijwv0=>76W)v6~mBf zAgM&16)9V3Or65lMg1Yky5~R==8wO3Lk*#H%^oFU#IO@(G6!QFB~?emgPELc1{(5# zo$aF+zONb8lu@GS7XxCD!s{K5Pck?7gTivl4(v0N*IQW1XQZW zy`?O#g08^-;oemus26ex=IyQ*JuGRceFt(GD*v*#JX;T|$MrydIgH4|Vi%2rq~f!L|UYH>MhSGvL^GK)Yjfmr7MY&hiUp-F3++6P3^?rU(ZX?cg&|yElFqrgB;j1r7 zQRK5Y!Yy^EhME0#<#VqJ#AN=U39dAj8+La$ovuS{tq;=S%8$pg9?>eA1&M~cR0t>? z<=^7$;R{mwQA{z_Q>SA+(od^5+fix3x1}@pV}s0jbUQ>V|Iq<)Wljrwbg!8HIEY{k zUBOPn=?}9~kQAIk%f$$Pr>f%`YK!!Gi)BVc^wtO2=f3r*o%6+IL1cJX8v8Y#->Z>Y z@QH^QcGDH;nD&wXza&x?xuDq(ByI?-5??vdxu7l9{`ktV{zPH$ol&HMHqghMY!V9>F{0nsY{9MT8h zpt$#h+!mTEs~hmWf?T0qjCUK3<|QKK@;1CBSJ0Kms7BZ)&YZpqO+yNUl-No6`r#Xh!W>e{_B2)`PqA~f6E-Rs=y_DDenGo=t2EGzi|th zn1xxCc?t!0y{7J`wHsR)Czddw{Ny5ygqC4EX$!t^a~(=DS1+9oELM}zeM8E+?rs?< z-1M;CXvEZ#t35WM1Q)b;ueNNd+65{AEq9b~D}?hEd2hL)_+ZHARobHoEJ_clE;PN{ zI4UHE()PqpA`wyOt?{=(^hgEW7JLVu`{c0(k7bx3&I6r4so$SnG1eRF3ba@L`V`i% zA327}#=T-!b=juS(<1DmHovlfc~e{EubmRM$hC=7X^#wCtBOEu;t}xIfq-$sk5UMq zyo{Uj{rMhWIEdrDQ>hHwN5GRg9R%8`>IH%&-)WhWnp$*7Qs9K%WB$I>4B=)GtU@4u zqI57(sA1G?*b|}Vl22+-hrg-@xJoQADNu;d)PdsL3X$_^@)=kCfvM z81O{{D2&XbW{beJ$Rw+d$RE?-O#Q&k$#RPt=p&C`_u(hXdnts-f8*yLOmy#AzNVpQ zBib^XtOc9~G{ZSO mR%ESeO`~Jq3+O$}L#k-i4ardw*v}KWPIwPOnK#&b94E*(& z_l^SgqlGJ-cnc;NhOeg0$@1^Xx=jpm7FY7j(o8g=5NJ(=>lPBF)=t%9Z5`Jq`VL%6 z{C#wfEDRwqvb$T+LB3U?q^V!zBrtBG6XQj~9*?slQWaBg?a|3br$msOK7G%jZm_?! zki!g#LOXM~%UP)?30uBtSFWr28&~oGKCQAKts@1P#b}}CSL^PCS)Io|B<$Cx4J>>DsRxBF3QNhIRFLKB15ETjDs2XDTNAYSg6<;n-g zw4e1i_#`^f;4UT;Gj^(IWfhgqRv>n0g3_?X{oG#sO^uDf_=9L76nUQk=ER7_e$DKM zLYt#&tw7~ezJ#U65xk&m!ls1+_AvInR(No_3n_=a#Gb?#!9kk+$UntT9H>EcaARXW zfrdibRp$?<#N);V4fwOXMirI;N;xJ&-?UlKrR!CLa)I#(77Ye4*qovusaHkO9fy%_ z3?#5tNj+4X;Nqr!(!p>K_Y}JdYCHTRK>-2^6|C~wc&NeK70+l#A+r~zFIBqsm!SLW zvcY&r8+wZjG>Dzdy*p!wW@pk&zxRW>0*AmLcchy2C<~$H7kGLT$Gf$;kSxv5R2gUSS(jD z?Z(m&dc^Xevq{6OZAEc0%mZ~z@9IWv;Aa!C6=K=VFylgfI%yEK+bOG{awPm}9z5|S z8xl79_a?xRezOMV3^;8n$!azv=YBxnO!sxo@?PN4D&Q*YUI%2wUxBRjt9H(_?_e!Z zx==3EB0^l`Ha?`y5H}6C*eP&)zPGnGHP`P?^k!=Uh5XeJx#Yh@c1su-SN34PIfQ4y zWL^L*#K!8aAM&u}%nkn)7ut0(LZ=oY-fmMTf4{3zm+0pXYP9B>%Un}&NHV6*aBlG^ z;C4tcDbwyx!dH~VVPQmZSdk5eI!}XX#zBw>iZJwD3_d09OM3RE5YY+=|9dmz>BAEl z0T}jlr7e&;ztLL)rWT4~OTC_~SG%!=ZNClOMoxme|@T+T0_(wjC&w-!cb;xxhGh7WmJg)h}d`Xg+< z<6D{c-o7K}amzo)U6lQosN`uNvxNz#;7n385`u4$$$T#HoxfcDTq;+N=-6@7iY*&v;91ST^f6ECd1`lLOz8%2=DfMsl zn|5PjG=guYORE@_mkJiK5CPc;$7Y|`T(eU1f7*EB3R?Uz)Bn%R5p1Hy9XoR? zEjU|jY)h$sQ1X4wn(`XEx|-XOM{VYTkqepqdvhgQFbyn(+}ZXEQt{a)UvxNyoW!^I zlkHi<^0u1`X4p*T^q-7!_nIF;=brgQkw7H+L^OY8tSK00pw@5u*S0V%V|wb*heoYr zPR)?^$Xh3#?l<~wKLv#YpG@x8pDo)8gmb@QbEdo02K^08A z2$P2O*qk~iV`B-MsHI=RS2Xu@uIb zku+W3*YBNy3OYE`3=63UZ)Q0DLPt)DVhIO|Z1~qJjgWv-prBDgb#CXP`&A*##9Hht;fX^*lMHgnq;lqC9P1^~Z(R2H06~&Y)aXj`$ z)VeEf81T`k;W?aLzNh#7ci9B1@WHi@w{N<^Vq7Vt%H0N;)6(GDk@rE)wO(>oo`btQ zOuHn`_vXm2uz~9L_L_L_JHkRE08b+E*o$21er;R!I#B?sI`YGZMRpu?+mvJ-0%3{kkGa5+IM9zcd&y(J}db|=!p39ua~OR-}CD* zgdi?|w->l{s~M__^z+}sR-Vh83Msmwj3e}M`-vED(T~S8>zU7jy?0zA#);**#)Q*Z zm{>@g_1DfEB76uP&x7H=yPWDb14@1n8nn8?%(o}XOlpqr!FmubEyojL9)EsqX!Y4| zj1_Ub`4q;mL7C+Ci-K1_Oz#yD|Lq#?6|AnOPAz_%DPi&=!achv| z6V(J80B-|Pa?Ls~7am_tP!D;5EC?W32)W$;#TNBQmmp4yovMm`8vwZcfdvvaIy~Ui z8q`l5 z3Qb*XN3#{ugTx7@rhDY_UiD>C4+a|`R2AkRPHE&IB2sKY5hsIw(GF%v}Jev?Ao}|+aciD zpL2ypi8k%?`sH`OS(DEXS54YNu3^KcuH+SvIg64-M@V07Nh_{9!xwagSEn{8V%4;h;_Zbpk z4}}t|IjkrmB=|(C&YyQ<9@82S2H{ZBe4csM;o#KId+Ic@@w8Z8=kFEtGhiI)6mn-_ z;p9>uamgjZG{xsXpDu>x#_~6Q@7jj(j6d-cq}!hyodl=)C~`W4@2sWrnYdQ`$v3V7 zi@3~uu61!*fCdAI!PIZ*!}>S@<2>2Q8vhv+RuW}3ZpT{8wK^gRKD}s z#hb2D~8YW(s|@IZ{BPEq9Ip@MgEMUH15P zNqK9)^eXLx@|h#bE!DMHHIZ|kG}qR1@JyYX#bLCh?+A#?SP0I0 zc37BPO;eEz*Bsb0^1?i|Y=rai__^-~=2S=LImEc!MoVp53{}}_1FQ`EI9cVGuo1Lj z500&L&BN2%pgH@f<1!S8@2N(`mF-Oh#7^zFN0PcgDd6PYf)69WF)3hqthXFIP&{qT zwSD0grrN*NBJ+Xp^Y6qLy?HOfrvR5DxoHL{2fi~e@X#Yaosk>bV%|bV!nv0PvX2>J z*C>!(@{RQc{VY#^3(YOG1bTIli6d6-Kj^4l|=Ndpq11v_T`KP?@ijjShIM)3T8{W6WNcdve_7&7rtwc4kNj5e1 z)#b_d`dq^yK{sF^UB8o_NFMxta{eb)K#qX?c{z`cVD}?0(mPt_uHq|d#4p#la7E^- z>T-eZfi@C1YrmeHIu7S|eg@!9@LZ$MW+>>wm@G}k9<20rvE~hW>oOwSuWDLUqP+NG z^-x$5|7V8XpZfRFVlw^-6;@*qD1>7>&9~PKr9DdBu$IvQZ6cvB>3b_6tTE<$qrfjx z8%)M}L~Jt^j)B+zb##`3?4ZYr-uT=ynIZPEuvkp*dI7Fat9m#yw{kX92w_M(ISx{~ z5YF{Krq!7fH!q@<-%S89N)D51;yS&879N~y13Vy$6>wBWfgFi^UwaUYL z?VK9V%)%~5Z$2{P^-fjfjqIa%z+!cRY>iUIqgT+2joG?#0EpB*_24xgKO+7Y#GhQ! z0;F{m6xVCQgff28;^mnIp_ToWIP79lc+LlaupZqX??0hR=F)Z*diRSE*|a0YshwjA z27l$)HtMv^<~WXXz5eQt{Wa`|!w#D;@lyQ>CtC4M=%b53#18iq0h$Q1I3;jPy z#k6PDhzoS@rs?4`e-4Z)tTlOcby}d~2Y-aRn_K!cH|X?!BpGu&GYj!t#%jH(EJzAr zQs;`FDW5pOwXZjIi~NLyQ&ul)JHWN`@zOBB{jjZ>X(4~*jym&K-jr#oWaN1ToH zQtlq9h9+K`-peVC)z_FdRJY_uQ63*n!W%N1suF!+Odx&2H^(@+7gJn$NOHW|^6uk? zz5AOM5r+lROKC-wN5``e9D$dj`%RQ5vFUh}+n9PPiHwTP#bwkNloiSv?5lL62+>cf zE<&_O`);MDi#X`M$h`z&g?jKz6qN>{P${d#bkx0=(R`IyKLGX^HTjmY2j6c|3(@Od zAxQK$M?bO*$PPCx=S@=EI$ut+NG)LD6%eok@SH8mP~Y@aRk%rH#^v-CrVjPKx?7rR zLB>L7-cb<52VJCu#ipRj`+1LNs*(}(5zAw@f>k^mHP~I)vy=gvNYScjdd5M$*2JOt zcM){)xi52fDURagSTZyvmD?YJ0?voZzW$YbX7(!mrvppK*_X~QrKP+?bEz(K(+eXZ z{OfgG*!oht`t34_jA73my4`SAr~<$M%RrFuL@(L|YwPoF`A;|cP^7BOSp)5b7*}Dr ztbU_kpOks7M_mU{0~!I?c?81g%yc@~Fy(sAZI)Gbx!bk~?33aBW2#TsZ;h`*zWIJK ze>xi4*4DFpTes4msl7d2wYss~mzHTfb-bS+%U@ZjnaO`oY>;yF6=p-ddx!n#y?5M> z{Qb^ry~xcp>TNCJIkj|Z1A;AuHP96OLxP}}M*TxLe$hJN%XpSS-rEMK+~SKyb;oI| zCwnG&I1;&uHu1Ovb!%MNE3TM_mgQ^KjnQC$f^&mJ=P%jrRYl66~fI?!9w^?yAXP3qm*?6N!vC}fW)0jF+- zXFG!j$Lq|5Gr86U6}K~)BV5m_(7blHvj*knvIk{#3jwZ|+jWbz04$FNz;4Q5uKZ$e zU!QjM%w&LM+K^Hp$x{5O4n{?|#PLnb=T;O5Y=9l~)Pccj5~Cks4#mfUapFW##;nY< zuD`bAcDL0hvwKB|-r{a2kcwC!GbBZqW(i6bN`5JxFVfEnU~y*xh#LKcuG82xYbv88 z-{veqx^|j$I3FJE#Bl(qiMm&Cuz3I9*35in1*8YycJ&LoXYMvWv6Ss&7R6HRcqCnwR&t|0GLVz zC3C(uFgRgbnjdZZlhEhFt5l8xYDKx7`i;lxFsG)hOFSXHm!ehO>@Heo^rrp)OE7&F@|qq)z5GC!a&b;6MSSc{=X zpQSW!&g7o_Ksy)k?k}crrzxNl3PeG91J~CgjKm4;5j53z@3GMZ7%By-`iA`cKZfvy z^ZK*9RGIWd)qvNc)f9EyV{;JGE2~}5i!L^OZUydRb9OZtl}sXmwrNPk2>S172?t8P z-8r+s(C2YjonfNCuT3wq_j9sy)V*whF&1em3Z_)NnX`*ncJcY~o~-Vbxz2dBPqk2z zT9Bk|@8?J2Ceko_R&Y?fu3?U4kkr@y~$TWY(Wp{Q=I0omkBS6v~!jWGeHi zW?|p@dF?Vo)dB<iido035Wli}%%TL~j&8 z0IWQ;1Y2N_yM;0oJ!h-X892;b138X@3&rAwt^sb`m(faQRR75_h3o~e_Q`2#>XHjLO-l@XRxs6!#{Y!(u0BcF>l_MW1-06iws#!z_p*z znHBmzAt_4jMK-J^=0!u2hRJPI+e!Dg3p`}LtXeYZ2h=6D4!%Ti0o@Jpy|$L%lPoUW zPP?V{4_9a1@+(u;pO*Us+O9sqnJmF{0YW-+mDx&rEQ-c+b%&H1S|BM(BS-MzpM=f^ z0#{xu&k=GIf5iAxZEUs12p#$zJdhn_OxqlGX*BG8QbfDWDo#IpnP8O#&5efp2dZOY z_A~(tSHL0r`o#^LC@a+o^M8Ca)eGol1exH5vgrvt!U2>)Q`z+CKlZ){9w=9onS&Y3 zgfb@Io0HczTji(){!T^LpGb2!g^GB{Qd^DoQ5e|gU7}^yhnQ$YOQ;KwV-*FRCe*sU_ zUBCT48mG0&5M%!_&xGt-FzvjrNEHZ1&PKYp4ck34UGgtiSdVUsV*QpMs`!Z#_Wld1 z4t!!umL|En;Yu{@nh6HOBC*6ljfq3Tg1^w*?0hyImBmQtfjM+UUzZ5G)Fjit7Qwh@ zn=-JR0>3UCxrPvB^6AU%y6KAy18q5U4FX0ho_CjP($i|0G2K1wEV7iv^9V!)N)FS@ z--|%Z{*CL=cLDfRQf3(meM5|y@T`0>52i&#mP&eB`#DvxD)dm2=8}w zkmtd_9vW0lkBz4r%j2h-9O5=Q8~N%UOktYrqNk+uDpH_gpJz*tb;e)vQ?vWiZ?{i* zFEke$Y6uurgpF#;XZIv?U7A8)wo|_+P}Wt&uqh66gh4o%Haq1RT2`agNyJ&ZvBQDB zB1%65>W7FrK)WEzYG;b|3%i4m3V;6*z34c&H^GHV>6_4GF@glI2-h4T+R;JNR-^#uk!1re?_^5jkpWcG-44^1%LL8`=Em!LZ&2s2Yq}b% z0m{cKehi>d6ep2p$rhp4HP~$$oXLild)9xK?=H+l5&myUfzguqSd9Q^I!%M$kw2=B zlhWw`lVgUw;y2Hs6k!D$kY8~&O0Jq_b0qhOMl|Bc8KSW7)M8WX@$Nbo$a=Hx7q0=J zOOmv{7wB1%eB#iIsQR1G0es^G_`9K+tU~3#j>eoppi#1cieuftvTw~*vf^PnS)2x+ zz~?c#H8*gY^64#w#B-@#DGXRqHYEux)>L5u{jcM-VMH`+ugi^Akk0<)ciV$F#6*gA zYBjw($(QsQCX@h?MwS4+9Ip;NSHCXk=g}zBIVBSF1jax5e3_UvhZvr}FXy#Lb<$rKu$ zsir#Ycz7-YuKl>TbIUdSg_@8?8^W#2h!7Ky_*t)-%`p!ws3(8XWUlQ|kx*pidgbjZ zT=9?D607}>`p$kJYG87S-X5Pj#kgTqu#l(g#2^T+ER>18ChkrsPp-zphy%820ZYZz z26$U9lD#7@s98Q_71e0_QU2r3jw4w5KGg?C^wvjGmn@2AiYjQ z8_I%Xhiw6$u0^$?h+ezBP#-}zQd1>sLSr{>Z5y3GUnRZ};XEPUV-}34(A-);Wh>ZW zR`*p5jbInG_QUU-{r%4;F1M-%XR>`~iq7BTlWY~FnZN7L5RGNeb^$|H*Bdga8Ywp$ ziIRCVGhecpbTX)5D_fu3!*1a?PO2`4i(*gtuV(XH9B&$)0M+Edgo#_xMXG0hoFKan z%PQ3hyEA{$y$ZSt&{18E(6fv5j5rDYi!<5^+O=O74MdYTcO?ykk}4r~lm2U;VhuJ?)YgxQOQG4}lo$6;%noUl=z(;F^XveYe&^!2 zJk(4KkR9R&9HuKd0NIM!tjU*8dgJ?7ems8X(Zc>28Xg0YX8;FvpF^|m-xIk>36&mH zas*!sTXVe(_j(kW=(F!GID$YeS3B=JI~D2l6|yIf&5X2r6N+7kO_yn`s~d`W#o7|Z zni)S?i+jTu+)CYA`~{;f#r82|zYcq$U+M4`C!uU9%U8im3>_rw&&74WAbLgBbOwlz zhkbp0CXn5QmaD77lH3vzcZ@>UCl>8b%(8dEepJ82;JNSC)P!Ab@Cg}=3hes^`PVyM z`>jxgTR{~0 zerMa|L-dw4=C3J!hLdKd7}I2>Z6BNXVvyG;HZDO0pl^#l<0 zu}T^i;_{&ccOwV%LxgRFIDDFJSkeMFS0%Tc@dy3F7x$v0O!}C^)X8 z_cQ{rmRQD?QLOC;@H#>-N`_zJ8oIa2kN1Dw(d%o|RfX#$qL&*b<@S@v?39!gO%s4& z2Z3vwV^)I+{07kcKuhEj>hqZNQ@~EDW|_3uQsS2;5NFZ})eSF=Q>u#^vf zq~e%lPTPrQ=c+VG?*f+PxF$T~ zvHH{R+1?wmo&QNiAW7}&3=sHBfGe@nS}1UCTrWhYKUV^}EhM)7ev;22KI&CzLV6@s zYXeP5xxiviTo#)B4~CQ|G`A}I_`TPLpg?oY0U$Pe=SX_36gf?l(&wnL=RB_xj&V?x z14Rd)Oh*;H`8EPP-mPQ1^VBBy2}T7ZPTh5QNtMc&AXFtVIuOi#aYnS40Oun} zJdzx;jIja2GC3e#nUzI>9KQl4FLQJDx8HZce--!ZvTpfGWw7B)zCORT__R$jXWPYi zxy1F+D0SIem*$Z@fVmjy7hgozOqvJo73-InCwXVVWvP^Y)7Lmn^4qmwyJqW0M2|0y zdFS_)!v;OSKHrr?`C%m5bx>j`3beCx!RTlx+h}*A7z1~rcQv9wbgng}x|nv=ET^x$ zp}G00%+TE5)~DYNY}d^MK>(J%Jm%&T>14xBmM9YPN%qA4U?tOy6SylfLL9i10blu> zwuBad2C84cixKq^8&C_$qe>oDVG{>1-GuFVU0+$(kdlIkp1X^8U&UQvni2$=#zSX!pTnhqNmy@hF4 zrDXw`QAPhk0GTCvH7Ah&uCHW#qtVQF`op)}5UF8+sRgS2C0tlz#`K6x)TZgziKkTW z-(I{wmc?7FT+;1?gpq+KGpGAdedD4}*BLNP;M69=<+p-W5I~I&kpwoKF*k5WGLn=& zpRaZ*e_%T$E$wi|sobN7Bdye7rh2^vFa!TK^8RKLQ{7cohR92(7ss|GcymHWm3mzZ zKZMT22A|czO*ruD$Wlp|0l+yVjwD0xWWPcAML*G<*2|r~36m(t+H7eExIKc%wk7b? zRr{&+W#3yFi!JluV|}^nqc_~#-1fuy__6^c5X?`hI4P@Y9KuK}c;!GAFTfSJsKe)K~rL%?Jq5<6! zgb-heZGQpWTJvaO&NrT{%vztlvvfZd35eV<0c@{qf)XdC%)#k}5#`e!9v<^z}QdjGfrG z6X(70yb>LoWrEE8+$?X?0$?VfqdaqKJ?AvE2o?pL@T0WIYB7K{iG%@xtNW|t2gdDS z=5w#3{W!-AZi@y_+hMwxXH=p1FDtDS1s|LRy2Ng)IgU5`AFgJKI!y$l!mw>MQhAHg z0oU{gth6O)d#2`?d*ZPJ4@Mhh1#89b!ZI{K{xhZ!*LbW>oPa~s5lJ??h5)L~tk9do z+@r1Os#gKMpJWn{=}yP3dNWl zF1&bPZVG$CCg9ab#@eGr@2BEj*prCHs;_43p`^|t<$2rykI+yF5c=~Lf!j6U;kc7Z zpvn;T+r#j1$b!LD8&pPmEe9+SLO-zpKHjwt%Ck8FF8s!(P8_z=pDC`*?1zCeYMQ%F zBAEb&0xOEi_aP7`n8FFjiYtHKTwi{Zn-`c-=K7ZGe(3To+xH!y!T=JjPUauW{|25s zf1^{?GhR(u-;lM#Cbjb=xvK$P&iy*|Cu1L^_wPZEF`l^_DKXa6Sk z+a1Nj$IE~=Rj&pJox9sujqK+NBGL-it;=qdO?&#~2e25&^*dpw;mYN%^1(8bI@$_8 z#?-+6m$Tdnby%$?-C`w9p#Y>QzNM>jUsB8vchk~LHvj4?R_5(T;za_D$>G z`nO#0&DA+6gUClf@8io{bx^lzL+YM9Na2iVDh6ioHaG(8{MnXNY*q6d^k@TG;kL&X z6%lAG1FUc&YGUm$pQfdF0^fuHszU50{nq;C=AadT7`#aaTG~XNW<(znA{S`T9mGo+&y$Cpsv#u48l>$-xHIk=eZ?u<-OOStn)Y&IS zj|DIyQDCM#uVcM~57DL}Dj#ymvG`e=17)!!Vg=>qpQ;3!$KktjY%Nwu1lTiLf*fUA z;McQgvA6yezS}b-D`@!P_yVx;D~+2->!Y>dpxetu3lo5y`_#yZJA7Ei>3lDz)!CaN zCG~I_HIE|1EG~vzy8P)E7w0$G2d-Yoc}no*C+2>jQP;x7dQkpWW?1ZT6*Lz+bkL*O zU)b}X8o(fT?}px9)+>1+Ujk*9r`5wf;-z`U2(y0IXuga;dxnbdz3pax03#EyUUaD< zFpEMceCc)Z0bHw~TL&<%W&z77hRpB48U{$ya|kBkg1{DB1wE1T zW8M@HmErl2(S8Lk~aU9>ZeJGlc5^L!WDxduM(4E~CC4iZ_+vw=@D zf_-lQ>ZI%rKLN$U*hV8D1$Z3|tIlS+wZhdnlb@iSLqeYT0Q0RwVQLr5g7?m3zr4KC zfW7Qw91j4CO`~tC>7hQNV-$1TJ2hdv?WbNGBG)f-4T@$R>||)4o@aLU++T z1_&!@pqsgk6IT58HoHu|a_Q}s5QY=>*7J7k8t8Q)ACmvoa=ymh+2Ou}BQ-JB1&F3gn=|DRJ zeX!m;bCT2L7H!IRSa!ZiylJP=Y3_?;8a4Mm8`=mo!!RWjrKyGITkOpXnmQ_w19ele ze04Epzb5e;LvPd=>&jZM;dx_=-Al!~jWsZQP+&hWKoPb8#85*axHJ9`fGuNyxSzKC z_{Ck72TqRdgm>DJW*nm_s(`=kPmlHyRJ3Zu#~t6sj0 zV3OLS)K{G1`1XK#sQ`~Ya)9_Ims(?Oy`0~rayij^0@xTZr2v?~w(3-+A0uWJEcR?A z(G(Zpw_NqLDA}1@z?y7Lct5Fe=(uu~hEVC#JTPW_@)H|W!yihTKN>%7u9+;^bMSvs!iZi(+EI3RKaMqn`ppTDP z#$g2E<3)K_?oTv9+-L>Nvl^^ciGg`v23C;a{Q$y?Ure!1=|R6)Pk|=&Ui^-ndm(q| zKYNy4s+__K_UC62CBf?K zy53Ekd@t6rUvW0kJat|b!vINWV0@7|BAf3`lSu+jt4&8)1_a-H(A%Q`?`MM%koU8^ zj%~mKSOSP04JlG1OYreRUL++QJ;8i=BnpXzXD5HH1x}tKxXbobt_oV^H8#O0>DUdhYXARW z^m%2+JIA2muYrb3{Rhh;vBzGMLvK<-uhImhccdhWk^sUVP(-QH`O$kQLIk9U zf+7(FgwPd1K&42%!?WIX-*wmX;eH?_S;=H(&Y4s8-h;nwq|L-|mH`HXG3n}{OkpsJ zZt(mw9SwM7TVfvp|LFa7tb<^%Gdib#6eqz01n?$ru%=b8nV)-bm~)^TEG#VSs;94a zkc+dw+f~0nkE~xRXJN2&FkRFQ^YHBTZxP|r|I=>yp5*zdE1mw&}>t|Y3HwvN}PX;c~EfASCi^#F)qLC9FMD*>+<1oxSvy| zL7J}23*{Gzc`L0fbJZS?r95Wr%{g6?q(#iA51ENPE=gPI!Z*Qd-VbcAr28_H-0>fI z(&dnWWjGaKWUBj$sKn!i#lSNLq&tF3ga8WR@- zG~o9_QIoLx(Kn{gLniCl=^|f2W>_^1Wha?U zj$g9h70~wc9`{5Vtp8_gASJ%c^)UWERDA&Wsi)_W@swF;lG0FSQ61_o*w;d9zW;Y4 z&6ZF_ujHd`{vN+X{^moJFKOHLVZe491SZi~^iAX?+G6K>7azO47d+kDK36#~dma~= zm;cKmE(Tf+|7UchTpv&Rr+cG5E;4brMT(~A$fzNiWFax+t0I)?bPLorQR}9dPYk>^pYEq+mbL zGrh|UtOZLbmqI8qSvh=(fFf%kK~9&GD72~#dc|B9)7SgPJwv+hX%?=LIu|wxw~c1* z&(u5JRD2e0jZuXvn~tBnUM+?+OXKKvMMq!P9g*QZb%zp_W{S($Uj*HHIDx)l{Nj&) zUo!5p4WBxSFVx0J&_oH+2-398S=5R7-1KoVeHrpUKLZ_?L8b66+RiOyK^O5JJzz}+ zGmsES^_TIBOpEV_I zU~`CMo_N~%&S$Yt$MTc#NMsWx9V2;^{MPlle%z0r0c3sz{uk>r7K6>UH+<;^9{7P^ zM1&>k7=B3S3b*9WIfo3x4=^BpY}h^(S+GJHII?3WiS(MvDA(A0d0#IHm$daDC;sXx zsWa~>jBby&R9_D+!A7&@?P?6AT zXl%i?7h32Q+&~xRKy%T@NxIey?NViX*Wg(lD)U$b=0WL5F#@eN<6NA{u4ptOg2wVr zl-$4bFVAe!)Ro?8r?>=DqCC;{LiL49AWFJCFt(UsqEJEdhlH{RHn3owJ9Z)u%T{Og zCmb>Qk8wH+MKo?n{cO%J%}7kh-^TgnPz+ZH!MAsW36nQ;KNj(I#Crq8L$5(LXPVn2 zK7EDY$2b)%UsN8;8QgB}%wDfI#+%|m#E;FH-S--!OWHd&XZHh`yl=&MDFgJv%eh;q zNH`0ui#i6X|8AgVLb^$2>{^g|0e;c_zyU3Z-*rWJQg%@BKd#WDR?t9ki6~S@q3zSi z_h0I=4wbjS!eq?#06_;6N7x4BCO zoveA~$#j5SA(0C4f@=Dqw^?N|6eg;qmj1H(^^Q&C?yvPQo_71#qis!mt@s$F14rsf z*{Y>U|1kWj`^Jj^gKKUL_{=~vk`ELq=C9)0Iq>uXa{Dm()kS0)yNk@<`wvY4^8%8C%n`Lybd2CLLU{wnYx6OQ8C%IAo-bZr{LQhk+^|# zh<{v{SW`ogfkrR5h4DE4i^vAd6`~~aHx9RWajsPxH_)#kO9m1Ab15oY1~@z7#e<5O z_uQ%6nnlh@WFs|H%&GznEXskMn$g@Lx1v%d)Ki`5f58w z_{h+9VU7fnwrq93KmgiL#C1HdeY<8@|cRkdhK`64ye+>=pPrZ-cwh+1r znIZ$P)=l|nm9M%CCX1%Yrz|r#<(1v~jBfJdRND@!&LE~I@Wpl$Z&MQUU1(6?slX#Ja*MHe9BS+3L`^X=!qyQmhp zy)PKJK>Mn`(lY}A5$PD|W0d()E?f{lxvQ`l$5OZU*4y|UEmHj)H=NsIE2xW73zn)o ze_?tooL3heHV4UH@P7OS=Fz`Oy}Ev%%~CZ|##i$>@(7b<-lTyprAWm5LUXj0BQxke zXG5Kj50={z1U>YK&N#2a7%$fLh(A9MI@U#3K$CTL<{=CpX{=~eX!whviuBFtet(~* zd>CcS0y@M6tuFtpd{vteI$}*2My;D~e>T1P0+vnl-i$P`RJ7W#`#kFkv6G(Zi@BnH z9IpmC_N`%Mj@avI3$3FUG96TnyjD5yB`-Rx6GNTcP)!b6W~3_w)44FW56la%V|^~E zm23vt9XOdtuK$`*GkfBC2c+bZ2Q$&T_A!TB+Fd;pK0ii_b?;|DF?=q&oWwuKKrY{a zx!A8Nt)!IGYGb-DNq2mCDZzwfj4FcrW{yUpuk60e?TgKzGt^>BErIrPW&@szyuFhR z58hVBneKi0RRt+iT&A{g@qA9F>FRig1xYJkp~Z+$lj+Gb z>5Y`HF6;H(J=|9Ct;e=wE=MO9^Z4zg#m>|8I8sml^h5O(wFDc)!R%uGEj9Yhs#OOG zMSee@Az^K5!7_P-iO28~9AZn(vZa0wFw1eHC`}xgEokd0eJ~Vo%fSo&96!MRWyjTk zq&tP0sBu&`QuI_rnAbcyF0LXo(tow)SGRf_F2_ zsn+iXZ~F2*{#Sz+Zv~iTbB1VGQ}c@tkUziC+16xW?bImt00)2;WSX zHs3~|zvZbuESQ443T50mbj8sERS+#1(w49A;zRpXLMPkG*Ih=R33q$lL{+n;4uK+r z$7R%TvKdkG>H;Qw+*Y=ahh6mY|1GH8fMI5=Y+Oc@Pfe(jOxekrn(LP9X>jedE@rQ> zK+2e*1ZziM>57Uy14e4YRVGbjBo6Q+S{+cm8+%EE!2-&m9OT~`=QbbV_&35-`Yzto z0|pWB75;wmr#T3_g0;mm9x)E_!2LH~@5+R%n!l9u9A(QCMy4d_e3Oxs6M0l@gQRK+ z+!dXM@JZRpO?`x!Q?IX2Xz-1iZf_(v)x`U= zYHX?bIJ@snh%20_yvV@h*#O?yu^PubZK3GKvfv5+OwZz5X7ns-ID8saPXl}Fuy&w}j>IthQI*o$v*nEAk&IBg!L zS=?h%s3q+?O_;-KYe+x*Npae}xdGFY3b%nsN6mS};dlR+GKgVnG#E=VE zZ}V--t_9f=iSkUk+1n%!7|NAlQ*D#Jn%(e|snW{Am#4N=Dp?Gd!w=Rd?S3dCzVuCm zU9B!6h8qBbmGl%XrhIdxavGH5KM-LW;)b5Vn_o{gG}qK)}W}&KYbw ztLhtuq0mz2#Ob_~N2#pq{BYXk7L=;NncM-99beWUu0+Ks%z^@}uk~7s? zb5Q{CLKImla!oJYw9=!z{HiJBWsV+^a6Ty|Q0(9asEHRKB^#6x>=?@zoL7fhgt1L% zl|jmdJFh9TFC$&hA(p*C##DloB@yj0wEXaFm>g_5aexwS|2%)f;F_yXya=h-1}`N@ zOO6zGi2I4&ZywnydLZh5>nvNH0RO`AE=3bYUbCnj*T|L{6tLdNQnm`=g8Iu>Ezn0? z;Vc9CYx>tnCaynf0~Y$gMu-U)>&0!|CMjz!!tH)6+;B=BIbZxB7H0NNVMTEIjOw2u zg^l*_VEN~e-vV;dMCSGGU&eM(o+K$nd-sk!GVhs{HVfI`-541y)~^b_YpU0D_@60> z#eW?SYP^6JCkA zpceZW>{`a__038Q=JtQqNd75!#K>L0(Rt9Pa@~mtyDaeXsT2O=#mR6o^|>Nw>WH~- zZHXq|fx2cL=bcWQZ{Hqj7rjk#b1<*4s4`8l{qeDgQa{dZb6Hg&g|CIKx<}`_|1+p_ z&C#`dNR4P}rdjcp0_A!Rfv@$MYHsx53qlz_I>RG{k?h<<`VR^}-yGGKWMZMBjP4kn ztBg~zU`-4jUE&0d}GmiszG5koT>9_#nyC+ly>4^dow9}{~Z5LcdiR^Io0 zk#2gXoG07%&v8GBj;sf&`nIq{lq=y1SFTzm%8CZm=)5!r)I0r6Q+5kXf8`M!=h@ME zs2Ex~$%V$gnLK;VA~)WZYBN&tvy?L^S9Nlkg3&kby9-ZxBn+LQXSg)ykNGzimzIhH zfA|Z5l8yHw@)kPe$t=Z-cXcQpTD1Koq!3&bo>#G&71RIpjUeSay>pr(PeUHyqNsu^ z3^Wil6bthzySJwow~E2=A6eeQ|;%s}++TY07*D|xYkBc46j;$Hc5nj=jwu+jyq|0qf)tU?vy(Ot2}fATu^S1Ys=b&?@peGOcMqN3uQ zm{|#xxUF|{_||;Ec&0whNVd7T62J5vs&r9fqZgxdwaz_z{p!c(AJVc6|66MqsSLLI zR_)R5PD7~_z5h3^ zqcZ{6H0}Dm2`Q>LtTt9@t5?vw=gMI;V=eDEtrN$8oc$s8u;^c{E9U5s@oIY|_xC&> zhKHGHDX~`wlJ8AF5$^r*&~G1>fs`)uGq+rokT~@D%f;}>;_=N_pX!p!y&U*=9dhHI zvtAJzcWL6f%_ncGKdM(OCca?#|*sYSiyR z(ZIdA_!aUb^DH8ji!*6x@0?GC*5^l1j2LobVKNWqUbe~|)3;bSU1FH^c&gDe{Xa>6 zTEXyhK9h~~H8xG+h#~mWjrlY=jgef{rNR_7B^^{uA@76{kAAv{Vfc^Y=coREK`ZI* z)}ImeuFFU>EHCluv|a1L^MEXg4&B2-J2B)5wO&?0Sx9A$fKss2n+Md`gJ10_S-x%M zmX|o{n|r)^*_^li>HYbu&u+-%xokZeSDUD^tZ|^PdaE!O$4&`Ox{?-Z_3o0A^WyU2 zVqA*SYFXUd=UG`pjwkz;1Dm1UR;K|i%de9+e6_rkX!tYiV)c)FM78Q`xp|*Y_1K>Q z=o;a2>rrgM=-i-ap2WX@|GtD;Jt?v$-BmIrJt55CSSqq2FS84s=oZ%;Bf&Nb^LE-I`U_gwBO78&~BAWin`n!9Gf6KH-xU+ ztd7?h12^{^uNElH4rCa5F6ps8*>pxg=_wXY%hi6pAg9)oT7C0#_jGH};K&Gzq+_QF ztOKk6fHOwje48DiNG1PR?o3n}p7&M<%6mNo8uNxXL9bC1fzkM8#gFgW@D6Z?=aA{wzeh-sdtv;_`63uyj&jbf4WP& zGI2kv+mpSkTT3QFn9j;M9qw&aTGc&Bdzq3jNguX8`7F_vbV1lKPb~-RW_{4gaJ_M| zS%E$_=I6&(a7ON{jib)uzwe)Ok&&N%FZFy+K%29py2W2rkG-yG_h7p>JUW&p_-z8G zjqCB@znAIhh2CE(KfT-k)xMG7aNxsbP+08XkqQ#!kAc(+*I4P|;8>!&6Ctlgbv1gzTO(TYicO=A zGH`iHbJPrl&ECl=?>kq%Z4(%8?8Y?roP<{UpI7@r%Oh<$) z@CETuBM__L#5&Hqq7rq{thmd4Im%)6F4}{$#*n_Uvm2_Jy4tD^`N{`d{tldycwq05 zD&o>oD}eIKytdZ@rTO01G*X3SLy)vq7pubPD2@2UZ}^$;Kv<619u4g`fzU{CF+}3JV7Zkr!m1Uk_j1#sMR79<*Fq6|;S5AV!_h zs~3OVfXa+_K2e#lIhKDIWd(?D@ImgTu4e)iGpM z{A|G5lZHhw`wy6%x;QeHBURm#?aROS!2pm*f;t&HeunYWKLv^D^X3g&fksQxT_YoA z7L`pH2;x!OBuoCV>41Av%};=>{%rQJ!2SPz(%!iGYOKOC#U)vh1_RPseaO!l0hg@n zK6*dDRP~%3ZNv_JHp-+GP7zxBR7h*-5FuQe8!3J(o_UGhGV7YRR)hP8P@$3GVG&k3 zDJLR^@ay@HVqzM{6XlMRDj?llbC`TZP`HVOOL2S5?1ggJ)he5&J0N3L+B7BHVpeqB-TLm;<|JhmJexW4 zC)X@DwA*xwmI6e*oBp!M!KFVr z$(vE4W^L`BRxHyODM_mb>#MUU|XyPhT9<=d$cnpxg0@%PqdOPULcg` zWPiNtj$on};~^*Es@W9fPz7jZ073$iS52}{{0K!nTr-i{mEs_A;H(n{%0Me$`9G$p?U!|r zoK*@gQv*jQATM^|i98q6p`VRKlyL7MlYb0#P1Q$+Z4mKE%|26)I|z<7ch}16Q}Zdd zIqQN}j09xeliWQ#;z11N+6cSNw$=8oVJ@S!?acVrst7sXbT~St3@?s3!YC4-D&%f6 z`g@_fN|O98{8gd(%awZM9M+F%!1hN!5r4?p#F866kJmjCxT3A>>PSXebtu5p;=Vgn-gbJGV8VgPv=Tx6~P^*>sPXSx8rj}~DHsB5c(UPcx|0$tm zKULuV;iW!6N@RADWQPg);ON8-zk9cDiZa6Q{#%6#*vEI4a#Rrm&>Y05AHv7!-qw8Yn=NiD=#n4x{-fuC3t^LdRTd*gD@iL zC3^8K`&>uFABDrso}RGTX!$w+y$}8hQDrvhyWPQS6RDtgI#>L=DF z{X6Wbf%4;c9Y;iKeaEGdyNKhRDL;Ka<4nenIhFUw4;5F-3KzjTP@wXM>@j)ztwlvPm1hYf9ix$j?&V(R zBGclWq;x*Kyw(Fkgrw(ATtB93ZjwtI{sA);G9oU|gfY^%uzi+BABjA|&Mr7Cs9%Wb z4@508+nGk`p*`-eIMV#3=%fXZftxh-v+v(vF#b=cS^&T7wZ|6f^6#voDZ?qpamvbG zCoLM@8!IM60mPHepGPtFKbk4NBI}>^R+X9jGDIEIEjrmCfm9I+rpC2B_{@sa-;K{hZuS+>7=-p7{P^k? z8JjOh*2I51 zfNbW*zn5D1VCVcNCMna&Ibk!--#@;Z0|he;=DGPc5`CpgypacOe-XLvU))rKRWIND zAero~&XzhX>;9hL21+dLiq+Nb?(SG<=OkA1d5hpyE8q$Ku6KyHkBb@bIqD<{R<`;7+wu}J)lai9q9 zL*pNKf9~KOk1xE{d#!(-Z5Ec_3?*+W{fvSi(u|KaaqGtg+$K%q@V8~mAQICl2yn<}ixZqTmA;o75plifnPu1v*8A_yLl|?Py)gVMpn1jLoUNI4R z{@v6mq!bF$EaLmfX<2oE9bf);w%Y7{3_-B$&lpqm!8`qz0s+y~omYR%@TSsfE^$fLy7 zF;_rbWF`1BabfmXxUn8vgffNA{Blp?7@fTP=9=Ejfn^QO&%nlv#B!K?p1t=HwF3`Y z^)95LCvualPT2njjiso{7FdpH4}~4ffm?}5aTWz4?Fykh5wcod!T>wr1zo}#th)03k!I0^6^EG;qWlS; zj+!3Y5vPrO*Kijekf`AIB;b7};q(B`J>nj1 z@pJ415C#*$v-fx;EnnTV6_qJ9gZV^1<99pd+Q=*Lk+N0hym?9uG@xQs$ozJ; zAw^M03t{OJWF<#tq)ajDu4$Yl+ewlEJ9e_?Ywph${2ysWMGG|{vw%@;cKk@o* zU#GzfhRJ3hzCx`h1%9)gUd6<*JNS znKpNNIfVRN=;DKiE0jS)vODG|;8w!O-yw=zhE;kLYbPC&YI|h3Qt)@y)0LQz2Iu?< zIUzV*ft|eWSSHLf^FZf8uVv5tLfql-!GV*V*ctyEQ&dqGF8eC-Kx@r!p{iF^2Nl>c zEZ<7_@k~irTp{FV(d&Vk!N?k(1vyx_F?uQ^OsyZqmU;o@itrU6Xro+{a1$p-7cYrZ zFE1~z%FjkMATCpvTs#sfp&y+0N8ekJOV1H10LoeynQLS>=~t*nO1OywAhaTJC^rS zn+5V%MItL%7X6w=0~I>=Mejbh{HtG4XaP}VKUw5kw2;D0w7QZIOZSiT3@43&C5&i?TMrWstfJG~NMIJ}m(ws=Ig3u}Mh+>X*E$KEtzd8nn0?1zQ7ea zOxp~zM$k77$W0fN0X)yvaQePkM%r@~#XVEGWl1Ses29ZNuj|f%!SN>?Y_(*j4 zSpwXO%jNf@f>%OJ0p93b+~vAs7Q!Rbv?ORI?YoBld{f<3I5SS^FE{$NymdekBjN|; zUjXoIIUHQj2cj5+ymHd4%N@h)cLs3|@o_!=Cw0$DexCU*@~>mH;JbKXDK@2pR1`}@ z=Q&`rxOWs0t%8sM>{#p)W86vioMDJ170{Fj6QpFxJJ=nb;N(OQg3mv6UVDUXfxfy7Q zsc8j*Z#R^imD1QWP2P7av-NEiJ^(=WQvJ}?`#PwX^OF^4=PYjK7C^HX&m}PiY=re) z>^3ijyzi_{(Y=2%=@FDxQVs~yr%qA?ie27+FK4$h--(r@CYZ)AS5BzcLdg}2vLn<+ zi3=U-k+z=h^`)P0c89xs-A@=$JSAnWgKquo_A2Md5waV=9;izk3=WY$iseJrCbnWh zkHB!%rm@T)RIa;J58wQ}_39%WpFF~|(Cz`Aa(?kZsy=;6#{#=<`AL2fLAd@5W%WG& zU`+%W?KM`m^#Hf_8K4UvL4Ct3ORv?on5O^zYlA32{VM45A!}pxBROt6|2Id-dKW2a)`UsF@01Z*`yM+2>IA2#w+;y1g$T&)G#GUBuSwO&KXrtxjWx~P~o zN{RO2n{n+Vp49E}u48myVBoxLJpGjzg@`y~x!TSsKR}o)vu;?n7tM>RKV0{V zP0!ALH!>0OPOP*RKm=EtyhxE#e2?z{CFk2-gE**-Szdk4K2?Z}9EB0+tq`A7qlCyJ z=+|`e+zS?H0p>HbzagoexXTg}Yl^|&KgJo#d@MB<>fD=W^aSwSh?A7Ub(7s|K(eV& z9Q{3o_ylhwfvlMm`KcqRMBw2;0= zRDc;N7T8w$Xn&iJ)E>5R8>rSAhR!OPq;+@SyzV#iz8B9P25`N%G^k22g^m8vXnWSl^3VziQYs5l4Irhg_s#!7QEhu{?W}?uGALy_n84RpaICM zfI?hyN+Cla;dIijTtL>Fp{{RC)GZwOE2xwd$db#vN~#Z) zbNL{F{-)!4u%iCY%URF`3WMT#-g9_#;9a-(mCSs!G@4SS4=cMku!63knL4D z*D3N3z7NlfJyr}_em~d4qJB>fa8Ea=si`&b0WOy~UM3z;{(02(sYDR*gL#d`P6Rm; zzJBufThL{pc-!HMb{u~V^c8l+w)xEN_fHo-zP=x}zx}fxj7!-s5SHCVkrf9rDD=ch zY9LixaPahx)6(w7C5{AxB&JfstkA$4H*TC11c3uzh?HT{W;Za_Z6pU zM7TBTIJ11PRs~-Z@n`w|v*RyS`g@hhBGlm2kt}%esQ?sR;^N@=`*--;CFI6@M!?Hk zk1uLT(s$CMnnO+m!yQn==A=f)46&Ksuu)ynPX(Hc6+7=WGU%d_$X0^jE6tNF2i8=3 zq5!1ywQB&XQP4|@mAG_?XE7&h2QQ7J?_1rEIx8hDkp4n>eZWw_6WH>$)2_MI`)RA0l76hcDBbQNh70u!Dp*@vpQ64=XRjp`CW|+J)N8tk zyn$%t&U_7I1cK3jKFBxR{e%o%n>Y`ETQ`8#V}W-_0qp+w@84c<`BMT8RrGMSPV^m^ zw!)wHvZv_XA@f^vcCfo)6tzr*OW!8T3<4wc3sq_Df|g%+)O(R2;+2-^oAJ!|R|vqj zWbS@E2f%T$n%6rZy^y>G>8O_RI+0O)QYG0kNJN58GtN#Fxq%z_gB&GoWG0lYis#or z!-hKzuXmS>5I?NCw=SbxHR=~+I74Oq`$(3K9{_!74C2912SAT00Ni{IQ2!5Y-Z=R~ zI4kLQuGvXCal}NTs)ip~f*g%d{51RD&Zc|))^R zj8s(nw*4si)+GrJ4?m!F$yt8)&Q2LTf0yGniPzbnnl8Qe_IWe|Wh{GY#pQt6;D2me z3Q`WJtBE#KTXtl1Xx~~z9+7%)I?#R!vjm+riYj|s<=B;*t9l=xVa9+hV^P~X*AvgO z0yG6WeG5l2C=`Qv$erHKPr#}Gy^i`%!)c^u8IV=|O!CvW*JwO)Lbw3(xBIIL9Pfv3 z9?}kdHda?fp?;Z?IAO@NhX(?R6_A60%n<Q^B6FEUBAK0pf}XqQbEfGUAJV_ z^hhYHvGs!ls_BZNn!b3Gf3FyRd@PZt4yThJPzpSpcbZPK268jm`i6%7QxHy20~7_8 z_ZL1di>gfWfJ8x@ix*-hFiRaB>}sQj(BhDLJ;p<7T7^#3T$>%4!WcCco)Rx<8z|BA8dwmGZ?w#x(JLo(lF`t?(CwbUpFR%TYp z3;=TvK(tnZ@@s&Ni0Mz+DXHn)PXK^zaS&iRdxd*svDI__ z3La!4_N|fEXc4{S>%VLZeNSA#f*ZX^34r_f1mqWYRs=&QoWUF7XZ}9Xd7xUc7qSO^ z-+i0rWd(>chR8{jy9_CFgb zKLPSIdV=2+C|2l(v*c@*6F4tdv!%vg=ChOHk=Wi11_YagV`sKu*7dr;B|X8&@2?ot z^-wkzf9EfHk&k$Lqe0pEvD$v^!PG{~uCidnB4SwmB&x(HNAHw@=m-Fha8ML`@-aMe zC%b!KSO0W!jQo=B0JwjpeS5~~xKaNC1;feM1eFStYZ1nJW&_gpU^pTtGOw zyl!FW@0bRqpL6i+1cnmM1#Fch0A}9+kk!r$d2g%?0ork~k8*+Xq>X4X6F?*m4h=0l zvvfEwFaJEq{~qC8^g~{)R|(){<2j{4Co{zS4Q$?6L4NTw=eYq7XPFa zIypBS+9%VISTUdcqqc|HW#GT_r>6@PpMib69v?y22e^Ts$NjW&#ku_mo!zaHa;x zFW;wHBmu0L9FkRm9cMeDjJoY!Wh^p~iMsplFS2@n&y>7{dvo*}YiYjiv9Zyw?a&D5 zmO9Cf&6_8n(8y+#?D+4r>F!~Yj{|y>*X#sGbXUziClHDrZDo&x*a*P$KEQ>)xaQ3T z16rh+Z_=*d+`P|}E^I{cn3A47d(r~o2WU3>S$_=;MUvmU4P|_44O+Rg()DjSO9gOv z;G+*;Uh~d~+yH9PI8bDaYsA{|ISZ0jqW_hvteot$bS(ib*7BrxjoWaxV#oFu+cSLE zL2C2**bxx~PLSE;lDc{PZf~!su<}sm;vc=ibgUXt)+GLxraDf?1yRly@Qoj>x+@v` zZ>Z)}C;`0PZN3*j`wK)dV`Q3>H3(^g?GvZs+pAV6sWb#zt z{{qb93Ap{g0NKVz{PHZ#DS6|xsoXWY5q{lC!9R4Ya|JB3=Ts5%Geq_Gz=g?rH+`R( zj(-leE5kX7uW4^RK4XHQr=ZoIZeiXmn5|J9-gV>2Pvp8HzB4%=@UyCA)$O@`2?TW= z?^l2V+-|(av1?orcnf;Ew*2)>>=d-?jxH=Qhm>ql4zy};2J8#S=3Zl4n=_A)sB&lh zy~#%WDG`7Bwnj{hnl^tx-0XSbZZn&xkbky}jEpB6K%p=ax!yD$$^vzLFGwVOFR14p z%arwC27O{9v`U4xcW87(wL#U!$@UM%EmIecYV}j-fpZ9spZ&dAdlK{aa_WGT-%k zbdWe!!->{E_7X>%Hk$D;S=HpU&>>>sgC9N=1D}kEcY!GM<3wCgBAuX4mA$`n?_0fF zA`CSDdEjgU5oP8jNQqHF3pGj6HCyM>{|clPMc5u^OXC+>fZ_{;^jsW$JC6K42=s(g z|6X|H(&Omj`2%$bAben_r|tBUN>JcN!6d_@n-aFoNgz!9$1Cf;3HZ#o*ndmUVQWTF zLUZmPUmzGBseQh9Mc|nM)JA1xx{UYKKzqQoBNpu1%U1Cq-v6w-_qE>TG!;rlVaubqO>)HY&S;t3p)xvL&hm={GNj{7uw3gq za_!cjP00{eV`l+VlkiLj&_7Rp1aBz`u7n>D8dC7fXj{S=FyM`%0PfG?H`CF2Y0C%9 zUg!>fyQ#1H}FxL?q|^MhY*;$oLCd%FSJ*cK2192^`WzF#>Q z9?ej@!#5}#z1j|C_#E*AF&ZrAj8VTaM$lPS#LMeGXF*F~4^z-<x#pQbZ{!?Owk6SjPA|>1LZqw=MS5Qb#2o%J5c|1vh$0j+4O|cp1)18#dw1 zUa!IR()>mV1i0@3t7GqkR;yk6Pp<(txpo&#c~G1r)>&UG0~g*JtIj@Y;8v=Er_3v_F>qm6EcO5Ydg}k@Vrb(Dtq{$1&6>=Q65uv8OjpwgRejUx G!T$qGky~y6 diff --git a/artefacts/resources/patch-comp-6-full.png b/artefacts/resources/patch-comp-6-full.png new file mode 100644 index 0000000000000000000000000000000000000000..6b1f150994f33a69ff7a98f9421acffa6b5d1c04 GIT binary patch literal 18967 zcmagGWl&q+8!e0!YYQzrDBwO z)%5WF>8#{H8rB5XFSRTs2u_3di?6z|l6&C*ztilYQuQvt2dMf-w*}x&^=SwH+Qe7i zg9<*OxLNxWs+5LQAz!-~li<~ZJUcjP@R9<=2>IEgJ+paLbMn0h^PUj;WUQKN*DAE; zUy*zooRm3@Qvcji!*G4YpPk$}0?E8+6N5wup&_B(@ePG z{{OCj56gs1l8OzDNSkb(m(niI}OmP7vRef{(FnioCObFV2GIFMo8Ao zVVgXL9wjaR8_zVj`10N8^ou8HXWTvQA*h(W;43QeY5y^z%7VdFJn6yzzL)xfcZ=_- zB1^k1F8EB0FY0?*FM$Sd&;N5FFu-~L*Ee?egr3j;d$)h$9;^aaEXO-TSJLb&K->2n zn1K%;7q8t=Y1EBJ(GvgGudH>Z-Yz@$d$z9(c?kv zG`#kAZAxkAfr+rpJ>pt_HUCSQx4s9{->)yliBP~_UAc5MSz3huzuUJQj|xF)FGjTk zk>&@qzkZBbjBke-Xk}-2+=C=ALIl4A*GRd+P)oCk90<$sPr%cYT8L!uc`j;sW?j<4 z6@{cG3d4F^@G|fc<0Uih<>Ky+$vgBff1(+56J{x3|0~m8pao84T_-e0A+H4nvc&cI zlr9KdB>5@4Te3GXTk_@u54(GV7CflG!#~dVd@d^Zh_?HVn%?hx<^280yOvLGyiSM) zJW3MZFK02|?T3I?V#P)TYXgSmrr1-|}+I^1<* zq7flZqcq7RmQsdtLLmF%+zJ2Uiai#K=V$Zh+PjqM*o4j|V^7hxx+XNE!M27wdv@T< zEOk6MZ?xZHdM_uW5jPr-58oMQ804r%8_0{Fi}MJdJ2-HmnjbpsA(GW4f3fWg*;S#V zu>a6MiU2w}9)(vTQu!6L6)?{>e-G^Yncwd~Vo&7VdziDFNl(A9?yUg$hZC9*9gda- zeH^H;FS~2!St@EAJl%k6609*?avdSudw8I%r2>JoZf7n)gHgB25}>GwwB8O(;$t=` zFFc7ZhA6n`D>;{qp!$oj`f#sesp~8O`rX=hj(Kz ziw`fsLm1(7<7UpsrJLZd08u~=_WfRQh$ta|$?+jx23fpvWqMQeyBHmZ5D}{EwCz^J zx#b6!_AD%pC=T6bmp%yY53y*+@8cn1UjH!9GVcjKWc+IK35cg&PVgmEOO{#q`Sz); z^L#6FJgFJkRzhA(#)~I{7gQGYJcvoWOMLvpC!gR{=Rsu^sE9q$hDZE=Ft9um@O?3{ z$?%SP!e6UED1j@N2SfV54*3#dwj3Y)`P1w@X2qkB-|MO~x$ria0CobCdGAs}(Tmx7 z3ij>Cs1s$}V@enyc{OBU{$Tz;o6uS~DUg>+IP35ySc?nSh}%%&pz6306D?=RCFD=l zQW5;zO~k?yotm@je2<0#1mX+*Cpl=Kkr>V{uY-m_v^#{#J z;SEuJurKmmkH~Pnvc8qL{v0q{QtpAd6Wqxxl>U8o%M(?-Y~bFLpT)B`2YKMk;x&}5 z9OrOnxqI-E5@z(druNrM0f}DtDa=#U#p{c&91PR;b>1f+uf=zm>FB_|nnMG` zD!!@HAJid^LC%X&ITXH>D|F&XUcgliM>u~)E_`U_t7$C@e!p)6sV9BTuU$N;TlzMP z4#s~fh`QN|X)*tWce5WII0BhMh=6n+Hn(G1FfKPN^UuMly6m`hgza$;#!>Rdzgj80 z7)loC#9WwZ%=}!ZEw29UcRwqw{P*8qZSa>e)f2vTcF;Cqng%TwTrCaAv}$1}(rmR+ zI#!4y>o#+N?sU11+|Y$)MEMO;%>Tla9g%|nRc>|6W!UBrc+U($5A4-Xr@{}9>j z!@APYB!SldVwh3xcx);gh=5q6zk-2<$A`&OwFk6{^yYaFyD#w` zDP5GLY(LTShy3i1LiBG)fZEGF(HZFU<*2#)Q112rY_xWX=f`sE7_e3=(ln&QWaz!R zPcHq!hPP}W{nnTf@`+FUG%tj&JVH^1h}lGUSXyv{xA%a2`>`xI+^VU81tIhE-;o^f znbS^)N05HaWM^a!vvn#E5)Vg^5$yE3YzTpU1?ToZu&le!J1>(Z;dL)px)=%_?Mqm2 zfMzz)zicltI-~0JV>5ZVGWC;~LX*`uLt1Y>PrCO8dE5kG9I8=}kgQm*pmo@Zt@{PY z+^g;2kQxm}%!*caS9p_^1RrEP7+*tTx#n@kMz9<&wx)dy9bPXdBh}b2&p9K>it+k? z@`cL(Bb+xHizm4y6O);v(#-j{z|VZ`YQ{eKR5Y`E1iVVQl8y4iz50XUnzUaPX}c|I zCuv;DeRz*88OsGWCYye}@?2p2+sA>=_7s>c6LT6!Wl&%Wmddp|-X7+OL=}XH{wePJ zS&@Ni3h!D9d|@lGM_X2oyr$LWc@WfsV0t(=`OCFKGcy_c2yKBd#SOrB(8Kmu!9+BM z@XX=nc9R7x%*|VMxP6<W;RMPs!(Z?I@!#umQ=KI7v%WZiyQYxj#j1Qvx*mLxn-35YK(D{u?>|p!^ zKaORmIZj4NVt)FwCaIRppW)2^P@a?~Jws!1_1q)v{j!}lih@rPbZ=f?E8GYDrjR@!` z3s6z%YyAHTstV=;rJ?V2OD-ic|0@V8w23h>?&+xGMY68?i;lQ(sc$ump8diycJfwaUTlk%Z#*;sBzFW&(2vIyj~j$#Sqdv{3Z2P7&=B6bu-4n zT@U|d??{nW34w75UTMs$0D+a`*1tRwgp2RJ+k8r4*%d+VV#gBe4d9qgpq_I*0M3t3 z#wz20RZ_5u=wo!EjLcLSgeyh|2%(Z2o4FQgN;cK`@@^)}rAX18`>IJo&nMYC>)E=2 z)`ohbq#k+?U;@5LGU>w+Cy7TCSv<$lh?}J%0%$|?>1^u9!xbvIW?IYadfM6Wg%k$9 zTNAGf6(8vfA8B)VTL8(|P8zKxw)GF@E>ua5WDGBClekJ6F_;xpwRBjS+Bp^-91`ls zjz0_{6{;Kui!P<4=&cTR50l)RkkV9M4*jFT&!`I|=aRA7uoE#C5dSl89j&Pm0)%?f zQ3&c1w9RCUiVQ)fJHZ$W>#F%H$42XR>Z@|UQrwhIr!ELQ60HnBI02b_8Y(f7WK&H> zj}&OH1J9wEhhzf7f~UcIfW|zeRhyt$;=+O82frW=?H)zXfjDcJO{_!+WCSWlU5OUy za&`C=?06NbvQdSmBCB*fz-1j6YJ6+FoE#F_?>P^XUihZn*;gVs1#%@Epuxhs1~Y6zF1fYKvU;tPjzIj@IP?JBX2a zeR^$E1OZd=q4nm!XwYx@3HX;3=`d{PiJ+=7p`?GEII7QtOwzRx>RTlQ(mYLgYq+%R(EwzkH~@s#N{A0fu=A$aOHw{N`G6?`#ixU);`KlUdHex42%(Sp7la^x%E8CQOgQKj;!a%gfuWZMudrXdfoR8NDD1$$)lrU|Qm< z@XJ{D(vkiQUaFDkALvM3C|q>!-n-H8-ph3$5{~jkx*&s&!h6gvCiNOA>u)3F(P4HS z+bLyk&9o*>G%s?}gI@++X75T;+7YHRjv|qn3o`on;9#Pl=HO;x%FXMyP$q9T@)uxk z+?6gyMN4v4n*=sngdR>N5=(DbB}*e#9M&rmTNRCzCQKuff(~~Vs0_xKXimH8d_^wX z$F;tJY**CH8O3?_MJ)Fw>3_^S9y5-H^^N(jNBRid?}}pV?FgmpR-)szjYp~tu+((f zlpsxz7i?U=WE0-Sv5gLUzaOz5%!mn+B55KxB3i-a!&d#L|Jm>x)1oFh%LLFV zIPUjmg)2X}H$C8=!zkz2Pf^IctBNl1AHe)^JAGjzw(;SOwa&(5eXh+@ml#wn2|;7P zGq_ZVo#NwJ7dxLzp5|B$+3Wa|?J50_zGn+zhkZv>tmzUS8+cOB*LfkEt2efy4uh#D zROrVLdWx{6m$4&w-ehYy({daxUTn{Qd8fNUvQR6C8xlXcHSCqn(7&o7oI?_L-fZaP zwT6(YL=yiqdrzb5Y?>hBpmE|FMCb9p zKiY%2t9iZQ>-0hA4f^{~cs2z@^XDx+8?2tfn^JHzQ8OT&ko`JP|N6K5qjo+LUl{6& z_^bE0HN{=5E8&dK7l9ETm_78!X9i|78ACw;GVUKi3Veg8zZ zc(xQhXS2}u`8M&0@6Gsat**$sM@kYD$uOA8#@chOT?<~4 zNRCB7L(9ZJ2+MLIx+$Fs^0>$J;;6$+KL2+ri07roIm`AY$UZQLxA@h_GtL@Y76deuq+sj?Z8o{|#?*!dXD2Qf2h0Agqn6PG9j{i)#g5RgN*A9|gU26v6~2-& zA`}^1ouwpVmA0rlQ~S6I`!%=kRG^{x_ zhG>wpdEGv(8^EMY>jVS6P^^UU$g)aqsG+xnf9G&38xsSrf&pNpjCY z3MX6OfGd4>o5ydicLS3KQnXE6>>16PeS~%P4+Sf|K+>eDqLt6!)DDc{GSxKX8~?c@ z+?Cun<_gLOx_FdRY9$Ua;PdupH}5u20xqZK+%C6kcX@1^8Cv!nQ&rhS>#h!Z#n(}B zb~6*M-HhEi(K1FnoE9+MV;7;Pj#D+oo2C)45RC)z!JmfU#+!>x@x^a1#CGbIL=4Kz zf4$Xg%9Hcu)$JZf^97L%o|=)f!B0dhv4iClZE~2A&Bg8z@u0_gr#ywMr6#BO>yW{WHR`_Eg*Z_w=4 zb$z(h`Yuj_WmZ(%B{Zk--bHIyd<%~5A*a$0gZ=a&i*n_%d30U=9Ol}2>ebM?)eK)P z+4d~)h<$hTtoKr6T8H;`^?2_4X-<8Cl>>$3+7C2Pm)GhU&LW8~jbQ*x6M!Ttfv9mW zb=gl;Mc-)c>$jy=;Z_FAU~6cq#p^A*qka^4>;E*c07%{_h%)t)Cw`<1I;kg{Q;}s_ z2fyo<@*mYsUOl#thd#ca;&*RL{WozQ{#VK|hQNmoq)#Yd~n>t-@|Jq-xJTRH%>tYN;YtKYsjJe#Xn~+6VWP;v32#8xdp?1kf&T!jue;0KDSqQ57jL%AkT+=z_zgAV|me3}4P&m^$7SH;o zT(~hQ7=FWI_tyn%PH#L+wR(VVOWBvDP`;=kWCB;77S$Q+Tl9Boe})i_S+`MV0= z<$cp;A66P31AZ}@ZTZ8OgrrPTVw&$_Qkjk!Jtu<67$rbSJcfeAbt3GMB3i!N)im(c zezi3J6YR9-l;c+rMd)qZ5p{wFh=)0TRJ})tyq2Eda4664OQNge4uHUurF}gY5h}bE z({-+`2qA+Z2<+rlVzjo|v^s5x?SWn8lVinsWpmu;PnBj`n2*HbpS{?AENvRSc0y`l zyipTU2&17?&h3Y7TSs}gqs_;HAS;3hKsA!|8$oY57a}x8UgJZgiDicBEw9DV`uf=V+VmiLR z{zMTfmu;Yxu0!ec)9wse@MpyhYoOel__BU6j5}Ezp6he8Hi%m%TNqJqVIpNJlZ>r~ ztw_AJ&cY3gToVlI?5%Z4nH9oiJ8}TLo%Y{IYYQ?Yn3Ml3u|Ks z1i|*tnwhWh>XP0iwX}`0XhzEo{ZV6x(;==Btc);rSLDO6!fGn$T zA}eo^Tm9U(Mj+`|NGX zF!X&S)Yf@FY0AXS` zPuEtVN_PtPp7oE$zURX|ui$MH=HPi-$N=sfxo5W|TiCv7Tf;`d z;3*MaEB;B<249|ANf$+EM@+!=#bB=5%3ye2Wd#!48I2zpP5)S z0&CBp(Jrswmlw08 zVytb=2)?{46)D2kCb+}>bU1UfCr@cVsGU5WC z5!|FC4Kdiczvnd{ZbQ6`So6fU=$#pPa1|Y5VwRb76okBHKh}08g86l{ zHi441s6t%gqY&-8T+yAoSF^v=4YurhcyYX$q`UNqkaTe+)tQ%hYw}DkQGohw=i$q) zNc!$<`Ec)>^HtmNl9d<`cPoycu<2M_l4gxBR<513paX*wKSnk8 zjMnF`3@nR`ndD_|J4V-KY8<6G@o;b`@tUa{0d z!oLFsWi6d#l3V7Yv)-1U1$YcAI!c;Pj8cVcwYm-~VSR;3$GrL3{hfajxJk>9U~9dz z=_32}p&#p=6)iX?k(cNCht~1s{qkzfXN%!uMf#;j4Yc%~*V9*e=P!4hx-vo<_Qbh` zjq#sRCic$0$*CYkQV&P=hPEc1gOk(ZWJ|dEIJ-IEj&9=M;<{M(2FfVgxfcI~NIv75h;qwr zLDxk&(93&(1K^0q(s54L?N zf6e(y>J+&mgXVe$XsJ6|qtl?MnOCqQ(3(1s-G1g|%2^f+z66S{B9H6q(>b$+L)DK! zFchmK@%{*z9VK~{3YFRQoB2Ihru4Bu8lWHK1Y?zW2+2Y(>o1C@cUu%_+6S_4nY)+& z{gST#eX_dJBR?ve(~m9@ZW4}`+;JON$i0goBv4M-k5yQyG+v)ffivdpii#aJMhX&D z*|v|z&1xUXoG~wX*dFrUq{&Al^w;u(8&^{7e*%r5Vtds7g|zZ17^dSLSXsSda+RAa zphte29`cfK(#m!7{=~=CbZZ!_=fhAUugS&mb}wIRm5y6-KobguYFkC77~>kS#GZxt z2%hFq%g#wac(qJ@)>$`a8olgzyI#npnqIuTG0vf-j;#`~xQ!9>w}L(EV)zuEjHL=)ymn`M&JS0|ZD!?l4_|8ai!D7|8OR)9 z^4)rUdvm@1Hw*un-ySY|hBr$$16;M5sR-H}-Z}>Ax8o?k&$XwgphdwPtAuJXvlmvi zA(AcuTIZ4#6x#FQpmwZ^YjA7=D98GNH7VUlv*HoI#*hKHk#%Px zrGYE%0Yp0Z7N4pA?Gr)*3hY_g;D*Az|)C9{hK&p6816k@Ike1i0+$Xb)mUz zecj8@h^OE${--lZ0P>Iep^{Xw@P)o&v!oHB$_C5^Y4{3eA@KI{S(1t8Qy@J&7oGEi zcX@t!_!N;W8yL_^*WZ}$-XgEe5<8!p>a-ZIt-eq_ZVFViZW9(?bq!x}o0#-Belxan z>U>!{{RVhn3}UmGj4;WPFD(ANT|lKZ4!gNJrvz=QOh~~kruT()N7w5oko+s6G`~G> zBUaF7RlCpbxtVI6q}Z1fxC|MY(22XD65`|kUAqoLHsmH=EItQUx~-<*65)Y=+EQQp zQ2o}asE}!WO`7%J1@*EA0G8Vy$@--)v8TIJ0E>da8e;eR2L1!?z#DQe24SK6@88iEr@NWQ>iN;qC$!@xUW|Or zM-+`a4cs}3(ItD606Km_0;ZQcjthScEJ12JW$k3uMneI=pMU)eBy+A(6_xj4wm3cV zhbw(Z*+7|@1`p#^X#H&1XK!bD^(RzRuANl+E@)gLn~rbfk<~Oy4sE%arId)U6|@Li z4nmY{sN3jihUcd=@wBP`gP&$hRXs{NoAI@YG=(nB46)72^*mmG@MXlin63PWUi7%C z6+Ts%A>ER~bL!E-W?!$8h7B&DG$vCQUw(4`0r$;rT8fwEj1SFdy0a!DfI6h4eU9=E z8mfF~>Zit=$sl)7%>L!u&wq`}0b(#|#;x}y;WQ9`wZ|PSwLryE3oMG4avlN|vzc7! zfMY6vx4?c>U%#<0JuEDY$#%4GG_TmG+DB6>cYNRdG!;wyfXYZQ1zQrb_+A)W(4?+= z6uLy)MxU^IVi+wac~1sE4cCFF=~;r1Mm?37j#hZp{iDiXfl%?VjxK&AgBc(pM9utjRscbFcoD!#@8QDwH)}%PBT${_&?ro?Tsozl9(7~I~A5!tS5|gwR8>5;a z_wK~#sier!(jh6*pS@libRktNUN!!Z^W~5?Y^v7TZ1bF2c=Ydz36DubJYWO+3IL4H z|NZyhXS5R7x8aXuLz?pO`>od78;PsE_9?%E9(GEQ3Bao!vwJ$LuAE|A5$YJhD!lKf zzhhsFOVka>T>b?Llp!E}?NE0b%>D|2%sCRb{~N&XPQkYC4TEk(gA_^N+%kQFEkCVN zp_p9QZTSNd`LI8iY!|1HwiZV0WV-hzly)IWe^f4Ia+2=1j;NS_570xK)4 z)P4sgz*@Wum3t5HbABoOoD~g}2VSg4)JdnZZ67Wio&W_rBf!4Y=pjtCwA!L&Z<28m z^<7#4+gP@Z(u&Z0w48@O3%%lPhGoK53U>i(R5_`ah)~&@p^)hQC16@;u9UhS*rpS~n~1Z)ChFo>$zOw>pO( z;d{mjtxNq+1G>*!65c`TZQEkxrUe2XJoz`j>d)?Cop{<;$GuTI7m#Xdb!a(%yLi(W zw!d4i&h4%@zJ;xH%uDy=!dD%ld?i|R4#yIo6;97tJl#7?sYwXn=Hl}4TTS;et#-f) zf*&N94v4QZ*v~edYkfEQB9=kG%jvbH*OnF@nZ27wiTKP8o(>dud3AJbmUBsslS(NA zI=!cWmx-TFygOHl(@xU7=%skNjR!RwJhanM(M%00A`bk!`q*^h2KQ<#d(J(@IQXsM zp(0`@0POpA=>7Z~aN~nlLkw-tdYdF7=5)6uzndlK>pH;O^71WSIohSf>$&Y{+tDly z5I2(VzL}7GVN9}NSd7T8WjXJpG4oF7^Z8y?6<<`^lJbDB>F=LEfAnyyQ?VTnOOd*Q zm8GoL&$7&y9-HI3BqIBMszq??mq-D)6+7U32g)BZi17 zCbh`vvnL@z6PxEEb*s){f6V7O9>}lD#2?XGuMs&P|}= zUHwU)8MoYCOlA!!>?|_$Eqp`40j?xK)Zm=3Jb^X6)6xK6mix8O!Uu_w z*i(cj>t$GBf4!X#Aig5ST;Cr6qfFsqDTtIBcWZ18E(%5~vhd2=$-S|B_AHC0*_EBfI@4bSC}K)3+8DSwISafp&-%8S>nvSLIK5A>)|?z1XYu?r z+t|7#+dr^@jJ|K=FWe@LHRlXi6BPO!ID=64nAmaRUaSxgJdrwtHIG)31A4TW+9%#zi9SGk^}*OSXZ769_T|6� zrX3=$-W{`+H--sYj+i~}n$n75zA6`6EgT4<2ZF6%xbn*$-Cqvjj@dAYnlS$IRxQnJ zL5__q14r18!F}H#UuFlX6uK6n%H@#J&$@+z_1_v!TcVjz5{@vt_WX8<6_`jc|6qr1 z8zvFuZ=yUoX}#O!QPQOx^sAoM%L~grZoH2|Mmjk(Qwdwa<{oo7>YrZRul@4d(=;Af z=lbh;06|}aXHgr-VhQ@Dkk)`}2Ozvn>@o<;&>Qa6*YgWK3o!&zfZjnVwoHcSh+GLI|u9fc^wB-`+(#$#Bg1YjAzH{{C= z#NQ#l%X>j2!%C?9^{|azxRM=N8WF=I_ZJagot_ssGcnyLf{RX@#rws_-&w5{i~YjL zgiG=!8BX_fz70_`w5wKrURW9+TK4EKm&7!~nX%(!ad3TzwA7t*`CV=TGl0z#fxoy^vNE2-+sQqM>~^b3;VQUUN!ex7`xNsJ{f_l{({Z=(e#XfsZWbp~ zAM5#L+&(rj+54Aman5Z|@gPVos0UHA$MkUgT{3nY-R#=MY)e0X<@U8ys4}pFT*H!e zo!NZ#$PySUA;5d`6%DrHRs>HXFc(VWNWUJSDL)I!gIQm-WbEZ9B6eJ@kQ? zC@5a%o;xn!iWqvhT8rNj@kIxUe*lytAWxt=`UjL%b0H{2%$6M3_=y*^#7THkf+liA z7hRA3iWWtuqf0B)c%X65=dH{~B-l}7X#0-VdzA$IL=&x7BoLFJT9UUxCBf$lU!MsD z-druC2La|hP=hChP=lB5F_;@%$=&z!E7H*h){Vq20>OEliYz8%!&S5r^Cz}Ya#S$= z#Kq1ve9Mfqlp^$=!OZqM>+mY(vc>gm`&Bp$F9QC2wRSmkFG%wrMp^2*QOw3$$FIi0 z{-A`?*RJmF{lZc-NUV&EZ6z=;ZS`zu%=3^>$V)Il38E(?qDYP3Z9GAsdJrFv0?M%4IEm^LD^{NY(IY zLTrOHXpik?*yR)89_Nie%FF+)vvJ19I>WK<21!-1BVxFBlvJe=W!c0yA?%a3g0?82 zW!>f|Fc^|Yd{O8=NjegRw8mAL>U5sCe`j^6)A01n^yXZExT~jfanD9yQBr^QJ`@jQJrkOC3(<{BII?)MRLF5Mh=!ph(Kyd`y?ABr z+8dIL7)Bx|^7Mmx}ps~BE1 zXGjVkj>fnv6j(O_<5zv|s=@an7u@Wnrt6zt9Y1?~PUv?5wP zgz<4B1zU(P2_nE$N5@^?*4Fkk^Lopgwb|#`ZhutYjMXgQ+^QH_f4~i~MjEC&uz?Dm z{_VoF6o;RfY$s#2AlE_CLB~PqeOuf?YuKR^VFUM9kjv z#5Kjsh*ocwOD_&fe+hy5tp5lFSXe5#y!(13(4G(y$}xIL&#lPMpFbNXB_^ta zw&ELTlXcta@Ts1@@_x&OsS-#CH>*ndxA3sL6)--g`E?|mcyEp@@mEH3>i4p6v9|D- zxr(ojjXptyo8d0?wq_x*!yym{EFIpoS)aXG>Ee}HaHSo&%I9oGfy*b<)UzLd5zq)V zu4RXh)#v8te*^c6eJZY{bHj|fZUwfs2Wyp^aBc|zTjRsV;*q#t~^b4nvkd4R}^w(hh)GOPSk253}w z%w2YNb{qK%1t`n<1p{epogJczioZ3(foBDpkUcxp*N0hc7P5$Jcw;>hd243gc(9t? z7g-WF0=@vq$4!#Aw3#Xr@wv`$I)oV2|YXz#@Fnc3Gw zjB-HPFXTLXB?Dn}qb)4@5A_sqSkOZrK_s+?)JfN!2ZaL$?QH`gt13RgnLIC4lfBdo zw7p{+h-hCHIMy$9lNVmT3~g~Ha2Kd-L6d~MfAfNblD;-)qbK#a2OxqT@nRioryY#2 z00j%fB*(5{D_3KH{@ET>2YifE0#PtZxZBKSz5VJ>0*B9X0RU8Qs9m#Susbf&$d+*i zDlFgA5yXM?)*8~9m!H=Fgt3cO0EAoJLb`?Z;7q`d&KTAcJ#a~2`O#1bFe$yqHbnV(a%K!xy19*+uwUh>?@|Do3^0< zzVxRW*D*FU#7dU7Tx@W)eHcnxA1kH@#*f3W$=mf5TC-W0rx?w2$CnCNcHyAd>5DT0 zF4mu`B=TVK0rECRm2Eft%dzP_Q-Mmqv7G$V?2h+@_A)}47@-Nuh!j@JDW^uq*FPfb z*@_0)X#jOonxD5}`43AQ6LA8TeB#?)XoXnQz>DOXs zxHju|6AYKK2&_M?=V*PHh{vePhWY1k<>0@#NRD!E>ItcB7q1kX|6-pbIn>$WihsqC zBsH_eLUo4U&o{1ZQiX(m=e+#^-Tj1YCS))%{Dk1e4{(Adm?qi7YQBH}J}=6i=F&C? z+`ZuKBWWFar#g$V?SzDceL$3R1Jc1yULKybCZFStkA^~rxGuc*yQB+l`G^lWqj!zr z16YC4%E1qDk@qRWx|;YKIon4;Pow-F-I^J^!dQ&sSBWMM;w#VV3rX22PQ%z7+qDe_s=Mq)B~rE1nbp1HuVo zhX09ShVPE}UA$ib&7;5p>>hJKTW9QHmTna8(f|Z$qqi5Zs5s#rEYK%;#**s&ieM^aCW!Pm59IC6GzeAu3pn=&Pw3$2gM)cXD*w=LcV3nzi^j1BSL} zh(tGLHdJ`NLEytzMo*4d&9N&B2BztCrws<*+*&iE4ML$8NhF1-I5OzpI3E(RY9oCRde-SN(knz7jwU%!HIeZbItyjL#JJGfP zL!O0D7p@E~CooY2bnfKgdV_weMZ+R^iDsO;jLWc7nPy(~O?gFwr{YnvWRj%mLkHq%vvdVeb$nGL zN*Yu>U>o9L10>%xw?CYi6yfkY4?zOAzT3rmUN@s2RD|fpl=qj|bEK*#qo@-!+i^-b zFzNv{kNNfSxOJSGNS5#Ej_k|{um)DsIgFPuE_Fq!06gvvO3gb8F;4%xDXsc7Hzx@< z!n!Y|6Idv_*Yh&_4Xx8V!}(fh;U7w}fZH0N{y^075?paB|t+;SIn{V*|LMO5&=#sLQ8` zub!?wI0|oR;SKk!H~{j%5Rm=-q@n%^%KT&agj)ci7^-@?WfFLO%wyJ)rovl~8tMX- z1KxSIpkRd2&^?N9_i!4%6+mdU1p4(&^2s>*OiL$;I|)}2=Rx1rpp?wcixA!oX_DoM zM}#tfv45Bk5zk+FF#0-r14t9U&i0oQltQF@NAfkB4*qbb2-yxj1C4&@SCDLTYFJL^ zuoWz8B6Pe)0oirvHpgrBBfEz5@sm3N79jmdDA_(7^5e)OE?~Z^KFt2#-h;{tkgPS+ zZoLsSqRz8vfZh`hOrX1{ce~oBO8STebP<6{JoEcy`jZ8Ytkr*BZ=LHbM|A;xfMxoh zWc~aZ0la~rC18_H^J$4|$xLqWt+Z~JY`i|OKbbTFRf5h6am>yXs?>i^MnVkd1Eu#& zS`8oW*Zn}Sk$+LhrT8KjP^iWXY@F6WD??O*-VWLM9BmEfX;^TTD`9Q((t-Y=OoFQ^ z;(18C*@(732my=w$y8H0mkRI?1tRYLFMzXbSM;+1;8pYkDexXT^Y+Rba5nu0=!G-j zOIm3kA?u8fFe^0=cF}>_7FxW&E&iR_HWHp&zvq8_`Up@~Txz@$?rSj@Zl!K{H@dyF zn?F3aC-N#F>xn{DeTTRBZX`!ij9in%tHAe6$xBR z*V6&JMQ}r9NTdi*rUHD4NA%!J;|Pmu(10}cy3^gJQ2GhdGpZoead2v|N#E8B2o0r= z$PLqs^DIEazN@esEu>!OCdv4?f)oVz3MJ(Tz6?4KVTy29At|yEq!uXpa!QgqXEg%k zBEm;Zs^cXl>vOHLK)$AJ+^(Sq;D7}{OGzD%X#X^-4rBD1js@UXMW4u&&dA6ual1I_ zXyPlMY=3;0jd8O;lLbbmfHR9+_4GeLrsQ%w>*WjFKsOMIac_^5pnb9T=yRM4vQM+i z%3^+fYL6lqkUnL&(_!P-)D{6obMarC#tOilSq!U*E|0g~2m`v#LVnKJx$}Fp3R=cv z|K3I{*Q&d)6|ldl84t2N=ThOp2v94;Gw>ylG#*b+Mc+zw6c-G3l7SKpHV)#nm8QH7 zs)wCuy3cej@AzB-P4wRhCSt0)Sxz#W{Rvnor~MB5M6CWiVU6nv?{hFzTZ|DROzud@ zT<0>6K3;?ijjsJ+=4`Vs7*Lq{rBA0bXL*42Nhw9tSsf5So&u0+K=#(psz=^X zEz{Ybg|k`eS{_XWfWV{adN}yTpU@*gIb~xQJtiOdlj-db@{MBt4OcdX4bFIceQ>sqc`UH+hiaTar#n<&v#9X zj2gyHBPW2}@q)yu?$QUpU$m>Js8FsC_yd`Y!FQ|tPTs0=z`7Mvi5NRpQvrI;)%qns zN;3iT-~%B12&SNruKS4P^KOeQV zwK#F&1Zrw(5WhG!6bfN%Yz+DN`A(EdNiHM)+BoPGfS+v~??(UyGp-o`Wr!Xbzf?<| z^++13+5n(o#^qiB8%5-GRegYH@c0uzUEJ&L11J)amjUFAjg2j<3ze$g7m;Ax*ehmc zbE7qD)=X^~^mshDc<~|*9z2L}IE?1zW_Z2cl`JP2Oa+UWbD>bE{LGm%ICSXQ29N&! zevFQe!teLP@App|;OyD6Q|nd$;PmO!2#3QKfOC`ARQ2mbJ5bU=ad9!ax~Au9kH-U# z$Ah7vAyijaV{mW~d3ky8dc7zpD41_84`nEZixs4*g8&BY8ZWkBvI)~aw{KMSie2xK zPE>V7L=FIGh;3E9gXk%+iS7*n2(4y)D@|3gW5*6WefktWpAQ>0q+QRac>Obi1pu74 zEbFtQM~}W)US4jkU%wszP*_-qyLa!-JK&>7k8teRF=JU)&=@mqb}tq%T((u80@kft zhm$8yqO7b8s*25!ui0y^UyZhldOnL~~QsPGii$zP>&!Dk?HJZrqsnHP^3S z$CfQyBEeu#jWGw7vi^oB({bj4C=DGyejGFVcVsG6{Ygar8WSeEr4fK$epuT(YV7dj`> z*x0DKxw%qOQi9^*V&vrHI9(E@A%+X03=j&1(9zL>Kp=qD)>aJ$gJO((In;+~$Kz1`j2h4uCI3>&m$3>QQxXpS&p0Q|-;~{Ip7lQ26nnlGdv=S+?o8h$vYfC%1OTF%_6x<+j)-^x zRH*9qd2gmwCn7%pfT|u??pRq_S&y@`v)7J~k0WjYX0I{k8h`+R4jvG-basALnM6ch z0Z;;<#Imei#+U*CaJgLSa=B!De7sFnOC2qe9L1Rnq9hiHM8=IV-KyFOAfT!p#u$bP za+In*M)bhL3&69m5s5@fRCSl5#ZgjVxFAYy1;&_voi2iCE(yZMi_TU=PGbu{q9mrO z3>K7f_`cMxqw zyburO`T2JMs+U&|5fRbiFkB>`djM)|8mrHyafpbB7L4H{$*Afd0P3BtgNTS|skops ziHXP?BBG0YeC%}HL`0O?ICGKQ!T`Qq=^|)exrnu_CL;UrR8%6HiO4np z8=S6#7VLLb?R2^bqIAM=K}1AEw&GS)A|fKP6~hG)5fRyn;ev>Wh-}4hK}1AEw)!84 Wv~y3cAMU{b0000l1ec$4FahWQg?uSZY(ANV-I{QdnUT|C`<9Uh>a zB)xo`b2pVaVX(_EE#xhefR`IHfdMAV`?#I0Y^}?r_n)%BsjoKOV(23y)rAoi+2cQq z+L**zdRK8(uy|KKbF-85DO zW-DdiELdrye{VAyoN>P7gFZ5Ly|5!u2TF*@G(BAc`L(NcLaIHv>SZIm-C6vIu>AaG0v z-)BSF%sab-3HUc2X-JVTYs;T|0NLmrHIP+$1<_5?3_*SwGF1P@>!54-`o{m6$?^{| zns>a8-xQy?#SXngq%7aF9>DyYl85o6<9B})#92iw^!2@P_*5qjZX2)V_HXn9rE>q* zk_=?MdGx=pj(i+1BUJOFbZVfK3jUtN_2|zbs}uK@0HP=gX*8V)wNJlt&K0otP2fxw zLOPP585sV~@7%gv0T~IDtK!59aj#lsF|Sbj2CB+a0#x8}Q*B>BYkf#H6C^Kjt@v`9 zF={^@*>9|gEEhP=7CJKLDw6rXZvQV9*i*;z^yFUMUT~No#I19tZ^$K)gIo7Y|V?cTsF6T9|=8+@lPcfwWxg8=`#TN#dzcy1^{% z2JkmA+1TIo%G56@xqofciXgr+G55ql$JWpCoyMa6&1T?l*f{YFI@OT^&Gg7i^YdPf z#FxIjf1i9Z@uQd4M)FedQnW9aHi&rK_Hana0gDB01BKN=uSmKny0(>g9YlMz!D><) z%70YW#sSZ;(-H_bq$9@5Fy{@pyPqDJE0M}2f9w#Ey4UnK^8ta$dpHGa zs|W1nusS< zM>~s|UU)N>x!K8JP>-OZkHWVQbSE2#_C<72Y%xQY1V^|9*&EpTGLI^KEBp8O-0p@l z?4SGiymO@6SOO%;kQ@%9#}2LIeC%7GyH+iqvqZsj?dy?_kgAg98{>K4gFWiuC=@)9 z)$CyiV&_WEb?MY!#tk!+OC%c+7lPLj4$5Ha=3e8j7V>XLBL;tJnB0;eniQ0lOucKe zza{v4bWrdPvef%_r0f8=a)0HT5Im6PF$7&m__XTxP0(WaJ_d)wD_5Ehz;14GjFG0T zSkn{aR=k7E@1gDDqoIl4&!0W)bBkDqod|d>JhhaD2JT+ zJIEjsdZKQku!_cCx|W7`VuC~WqV(z@_}a-`RCIq(BlbE-J&8C}88P=&gw7)Oggwdz zz7m|H&5#_1*nKFQxOFMI_d#J1biUv(ULMql%<9^W&|B{KNii+(UY^9y`>VqS%r@TZ zv3tzkneMVvh3nI;+0L843L{Swo$BaS4>8LhGfDbXZpCSG6-Gk(aX!_m(v(@h^=uzN zGCEN%qLY07G!s{)4Uy$U2ZTxH*Cu|t9gElAuePt(miTYYhDA3_(8^EW&!Vil&AQ!z zJvBh7N%;I$CXD`8x|Ud3?tTMq>S_I`nmh*qk4~Q zjdEY)+TTWB@Lf>o#`+vP7E*l{d^ksjdxC1>{VIZ}QDuo8aw?t3YPr$6WcDo&;bUGL zsfCGPzCg3#hMjKCLOV-6LQ}Orl!c05{0$#ksa=0)Jx?w|n7wT|&Zh^d#P2x!x&9yR9=EIK?^ccNJ<@c3E}FWm#^vI|ov@4&B^FXu>;Wg!xWxZk-j<>fo#C)<;$tt&i!JK9)lYA}3Iz?eP2`HxydW~^XZxN-QF@ftu ziRh#3210jdXihayqndaQg>P*%Eyp+2)lg@tkSVIkp{-)=NugXlbpWG+C2B&L&}OhO zc~zdspIEqJ?I#rGDQk>BKyT#lq4Q#VO!j+?B(o`Bl8YPRhn7p%UT;O`df?8zX~#`& zf{mw+3Lns`&Xeq%9%nt0cL|_+ELN|Y%%|Bg8IgyZ#JYCADTk)eWj%Etb9e?$j+)93 zvwD)y86|c>&6}ixx5mTAK(PG|Ul*^Cm)f z%-u@sX?f~}nxQopspr&bLtaIkFoiPQY_*WfiPL;_bui2jFPhsqAwUmzx%7!8*%WI3 zx)o3iPgb^nhJB;;;)V)phFJWM5}gBd`-9-^LNQO#s+4Ku;M@qBD)WDO?k|fm+ zu`FUA)^1tR2QX*Ft?xTSSKv2!Q9G{`;gu0$Wa9@3uNLiuue{*;TH3+Q{ zohn{!Pk?TSI^0c;GQuZAL6>WTo>W22ruYHqxNWY1BNY;dn=&DG+2CzsTM7Wi;Y;1Q z9#AV%WBoei0Q#0`1fb)8V=ZGLxXW5XnVitFIlx+d3y%p7@KwW~*#jom{(-~>R}q94 zcIb|&;`K^_O2#7WCwOube99GWaTy_x#UAn$$gI|ep8O@Y=Aa}6D1_-WaWE@D6GBoh z5lo+*t9dE0Wf4!4TQAs|53plSHs2W;$@Rhu^;&^!HXm(bpq8O%LBqRSO^29T}v@JgyDF!x8ad@-8$ zk&-);Sku@cYxvECIe+db46v+fL`tB@^kI{D;2cO19nHWs7>P!y1;>iseY~E=W&u%0Y%c7W*Iwc?T|l3e)Yf>ch9~s4XKWq| z=VzDqobHcMFRa5_S3q7zJh?Ir+5^Z#m2DZ%A0=ueqr_&FuHPprQl_4(ce9Tu^-gK; zQP)=vb%|k`aqwB<8rT+gXaZ*f4>UoAUp2r9`7vkEQP6P@eJ;8~fAn|CYmOm#2iF80 zzn{DaSa!$QM$iEO*tf0Ay891Q zboBgE++((R2)RQ%kR^v2xm=>?5vYwOI}Ah zHe=pg9?K<)5O%!3*c0p+CpF<41(w_Wxv(M?^wi@3X8e#*kb@b2Fn5QLrO@rvZ<9fSfA8W*p!V@COziHg``m$2;#h#gwW^1YKRkxF zRT58Zy#77*XZ35_{0puR9gkPX%6@lWoEs+5aw~k+jusLD+kNK-@1Zb*5k4=B!r`ws z|NWL{6U~E7?@9hkP3@JNur~gwVPRoW#@jMhq(z=V`H?UjtjH_x3Ac_;)+IOaGI{GX%tP~8%sWF;S9+Pj1^l~fsT}2%UxQLL`oxz9)y)SlpE=gH)Q<5GBl=TLB z2WxU;HH|MDqq?`1SeexD4HJ)xhsnc8EraJ;di*GllDy!8b-|eM6P~Ebd`@}KPj6hl zX5H}M++UyYqU*?xQqjpJ`G{P4XeF-fXC6~e13?H`<}4y^j9W|FIM**PM8F*(C=Gkc zs!$sLl{5H)R|+BVLE%6QWn*zPq>fx8x;KbkJ6Ct};(p{p4T2_(GLoDYAtfc1trLAh zWPlyI4Cg3@xK8PYOcDiq*elkI;DLO<=tD}Ox?>(=&S2)NViC+a=Hpa|UEa@4vP2r} zr15b(({uAeNmA+9>pFu}RAAMlI<|?!&-V&)y=FU(^y)UYIZ-pVKWQOzTU*{stm3~| z=%Ur&$!-xlv(slxGHeIo7ru09G0Z=m;K^sG&~WW?2ej&M>Fg-zJA^N^_2m= zN%Oe-(eCo|I+@Jt`8O-;p9K7H%G_CqlM>QfMT4+X-W}riIX_x)zBuT4N z-F+`C$D1F#d9zdC3Nkxh|V)*RJ*An0Bx=ja+EiLf@aCVm_`{ zVO_qKyY#-Gy`#<^KwQD2^ZUz<>7Ka~OiimQj2{!vUb{~7Yw3L|3gtJNuc8?A=kf5T zPc#(75gx7dpNzh=y6-vbw2jC@ic;KkEuvSi9_oFOV5Y92eSVw2gvS`)!M@q4NF-HM z@vfD(%0gXY+FgQ5EL|vD8I3pE`TW{>v`5NUELaNe!bBHM|6#c1PYrBnWaMX-L*f+% z%0J>KzyC--{(RZA+8nNM_U9|V*_)v%!Uiw7XMfYgIK?LUrs$TQtgI}%YKQ_~$aVyG z;8OCB*5=+Hh_Q}m-W+_T?)b;heY^p3$;0}D31^Ku`#zc#k~Rf`8NEJUv$#vNSr|!I z?6*EX4}SU`0t=O~b}8h)zLZ3F9Lxqs10E;m#YWVZ>n>waY_q%E?{q4D!t} zCv)Je?dd&hr%67v>XFY1oNHK1APPvzh84bvPL4d?m~3!-pMpC(m>ZR7nI02krnZ=R zAonn)K8T(C$vf1d&AhL8*Y+gE+rMjgvDzkfmEgZL)mAN==J3jz^!2FZ+`K#<)#G0! zi>!8@o|54wQ*)Pxr>IIer0rpKUB|A3Z0CF;`5HT6>#w)?t%HMH`lez(kb2@!of)N% zv?xIIpPd|JzKf)=0(VXEL6uZ$qU0BY_*H8?*J_?TaaZ83WvPP(Z@0CzRk#XmM&5l+ zMjXLA^VXl<@zJ9J5!qjpO=8*E+1lKYr}6uq=a*JNef+NTtPWOV(v%G~8{)|!%aV8r zCUchMaUE1s8PqK4LZUAv)&;6Gn85c#L%&!68foy3em~6kU|)v3h1;n8L*(}=-rjlX zQMC)@i}QnSk*ik&hn$rssl+B#+3BJ=Zn(4O%6lbpmNYSKx~+`l#k0#i^PFj4oosSz zA+Q}<))$((3Ycl6r}A`}PoQ3*Elj5Id)E;*t~@KKYn^NNkgd34r~*UqmW_EXDV zyXG?0ETIzg$9`!j`BQ-!AABAF%Z27C&$ytk3QbHuZ@t%2R8uQ3vkiQnz$I_g9Tu9X zb{^p4XSlHe2o&0H9J$n8&IXy48ZD)`lEGtIm z8OwQK#To^|N5PZEg+0J*@mka)K8;B_gE#+%CU`sb4^rTo??S7P6Ni|TN&vC0Q@?ISHR%MnXR(rM6qRDwQpNL=%e_v~leZCRdPuFpNyuP%w zBpr4dFkWXjI^E{mwv?iCALZG;Dg*{xVb`q+iWD|%(M~5@%SMHh`uX9}%AtV_*NPEA z)nwlpl~)G&LI^6>SaF_auen+6XssdbC=qp}B0-TVty~C=r1=^oQSJCD8DeM(-3H02 zTqBNE8SJ`m5X!B<;ppgS+Ix^k{fF4)rJp}#!%h!-p7zLp;Ih`*hy=5=^z4!(;ds4n zWzwxq;;9YD=Im-=%5LM;MWsJWz&Am3FD;nvYifhEGryE-@aSN3#;Jh2Bp{RcY`oS+ zDMQl6wXD~1=|Ft|>Dik7;KdQoJ(iBz3ABp#VnfsZ$v!eLRGy^$(J-gPn}D5%!y_Z( zv|KkHJB6O@Pdu+X`%B$7PX77rW_#85(5&iLN+wQ=uT)V>MEQ*X1GMt@!ZD5 z4!IY$&DBZd0lK*S#gz9okF;``dfM-(fx!3Qhu@l?5O$Y8y5J#}Xl|qN(etAf8_oqp@4e}Dfl z{{50yC5RNWDEe97{Nc%E2IKg*{#%bGYE#q-OfsMLe89S2vVQ$Y&w7eDHNA;Ph#ovb z{uD;+qi+QE+kO1Pk1IEk9(?X!ipka|>YPvhZhWh?dHY>Ms_PK(wOr2ulm++6H!&R@ z$|mWc>uh|`OFkRCrII6mv418cFP|w~5Yjlk5mlD(KbMGOlLAe^zaEY+>@Z@h`y$_~ z>*xi@K-WSJw;!(bSLNMDnl6lgt-@Z$mFwlgvSgj8??lth1WPkhH-K<|c)|8u#Yrbs zvJ97EzWO;FFngon-~B^O#IecLv4A0^rOXRLFDjl+Q57{Q!;Lp^4ZBKXg*cQ*br{Z?L` z8cDqUZZ`hEzu&sdKuD0EwFfhBy6NA&_~)y^D^L+mUS{Uy4Fe=FywD#frj#$u#cn{R zNJ=zF96saKeFjsQk7CMF^jn*a1W$a$AM6j+6WH1}k-(Hpo3~G8T)$o2>^!jTM@`KY zLJg7*=|%|WvYQvDd#}|%UKd6#B_Vc&^>B&>t0RmLVh!=~5%On={E0rf0BEWH`F!V~ zk`u)F&!TAFhuegAA;+t)o~({N2QgJ2b{51Ne(DWNy!kV$Q!owW6`$V?gT$ZTxEO=I z-QwU5Ru6TqvVP09eoW14bUeel7ib?83+WzbqB4To%0FP&2SR@X^lb#-;8VE0#j zC0Yzfv%UZ>z6N#cVGM({(mcrfi(m8))lhkcvvJhU8Y0ze9^=&(|Ocxr(qf@Z<`TVdN4$!pET4Ar^GR zNaRzEAFBIG&E{#}RLy^VGd6Gn_j=?0BSlarquz5ykxuP&yK^wk^eb89yPlJ~q4@_d zci%8&OsY~!0|=5IejaF&?mrtCEn(FRfb#2u0EN@_HyLlAZXlGDj!TTo^3W5tHZBva z=C)v~)9|UjJeUhtYuwoOgI9)(N;9RWQ_edRuD}B=QE`csymTfj_+M%y`EiZm#>FI+ zg|aJb9-wf58#r6@n$AA$F<)WZm}-#%J$6En`$AvLOP>!S)fTT`l<8#3dN1@C2V^(2ZZ5)alW4wav zj<|xCc>2Y0Ihj7UXl{jL$* z>RXLf%XhhD7h&-jHp1+ys>C@G4yHE4 z9!wBn3sf>Q zDs+(VJ;1@%$QkgdbOH)hWUgr4JomTkFPID1KnEE&-cOwOC5V7aYv^;Pj9Cv!zC@> zVEL1jWtAU&V(*#Xu9`<5`n#fvyuWsZ27?M|**g1Ri@MrhflPt^JKy7HR`CkuFaClJ zES#jcRzWgeV`b*M_N_7k)GmC-YI&|JfO7hb$>dHpQiyDXk3emqOx;jJ5W;Blry_h+ z3}Kde(5^Xt_ppiz$3S>M2(d2?iu|Op#82=jdxHfHAT@#Ue31~*~ zViFnmj0xMaqoU6Rd+hYcco~xUF<&u5synn1PEb%8R+;AIbDh|mxF?jk3@6)I6xti!qT^x;R#nPmyWpU6O;MG_NFOK4q86yr7s_zwz8{T!WHteN!L z%$v!{O71a>((n3S1(2DS0U*1Dq%*~j;F8L$jf^BrX{Up2T{;b~naJILk`iSvtqC$< zuaf_!xXa%t;Rp(Ek2wB_M>wA2by0a*44pdvJ}0>j0zrbATeMwtfOk9mK==qtil~-A zd!2iAuw&R9?AVI+3Jk{c`kxnozG4lkkk@~5r>cOOwv!V_ zFnmUQ*!#OG9w`g@mvxP_8J;m4rcafWy&L`tMsO(^-PR;NLjjP*%A_Q^}ITor+P zKY2=^^-I*$yvBI84?Cx$$R#?0Df~#DVcq^H^1DCpa$N)oW*FB3KanSiu3S?>_}s7^ zy*tr)|mUqyYs4guv7RDOY)|r zk(s4~uAvpi*95N(mdkmBTeAIEv8x5OxRhTn#PD7mT6GKqfo{55A|20IXV{GGZ{4wG+4mHz zl^bBkX-B-joXs>(jyjX3J2<)zgy>=9{l{!*Kc`J8ME^i>)>w?D#I*V@96BCF49a2> zaCWi0Is$N)7hI8lOw$}JT7QWCe9R)n>^c^iPMmtDy;^lgc>21sF*?=N-w3a9{vs{R z#80@^f8xlHt{{rb*re$aI-`be`^Cgmbw#RooPt-9&!?=c<&_oR_kx+9TfFSLz)sX_ zb?G}FO(|4MX+yHh&tksB%sx7mBa&Aci_mB%(39t*@HVRbWEiNHF z9!%u<*Z2ec1c3*L6TDpzc8ann)jLFw_#^vHlDqE>q z_}RFX+04!J8(txNDzMcI$)g_{9=oEb?ZfxF0zmP33?N~^r!1L@wYYh-!k}WG0R2hH zwjGJRM7p(kV@@{d8@A-Rpt*u1vFAj!#<5r$hUBjrju+Uon$GlAiWtr2i6bF4`A(zg z0J2w}d2d#J$XjeNImD9_dsVvT?vAXm8vxx1E8bf}B5#Vk8;3pH5LSO6A}ud()_u4T zH*78C3zm zB_1If`8=!L=1cpsacF{e_d{(q4V?(Ed;CqQb@g4oSPTf1QMK}BJs<>n5&L2;VJ@Jx zCK#fTqQ!JFx0T9|t!hmHev?&xb#n@52p!uhM;hZb5W9lXF!`2wW|V|=Zavp$o>ne- zrt|9rT6;=qW_Ai|;*Mulf1Vhn-FqpDkkJ!EJ$r=ndZ0698_8w%xC1TS_|Ao$9Oklxv@HF2aBeIwh30>1EuR}hp?K3&mYaR zY79e2cR6ph+{Uy&@{%&Pc4M!_n1cOGNiK6Is>UDY`OnS+&VsVesznmk@k{T%C%#Z? zgt2JnxxCvcUi}17)~44gaE(|U85SM9=R)?$6#uqUL&NSJs!nuMuTxZ)c$3srBRixB z{p;SHY0Grs1?}o?Sjknv_Z4du0Th;ubqRhfX#3$O@V z^HoHy_v_w66`}FIiciR2ewqrz2hp9fC}(x~m=zQoOO0#}X~#;9&>2td@gLFYj`~!M zC35Q+0a!?472>xLLb!5my)PN^WK3SX8cMdYKii#Du?9R8(zPF+I4e6;UPYa0Aw5PxzQT)MamR!0kxA(gat5v=2kF7g%jKM);!~> z!)wP5?0IX&1qKbakW7$x#SS^Niq83;{T8%LgCq^{z1|sobu5HKrZa8&MT8$7X61Sdi!(Gb9fw-hdMw>mfPEt1b5C$jHcSF1Dl99%oA0 zJOi|k<4n7sZocx-A0S6u7$R$p@Zlz3_LPXSSFa1cgldWI-u-bQsUf6vF#B0pNT{)M za{v{|I_+b?Ljh;hD9P&#D#RE}#g zwF1-*SCVkD7k8WID%Kv>xk8>Ad{+^#7G|ZjU#r#jB70>=g3CqCKCmWF%DIhO`}``3 zzL6{AaJSIuW2o5+PB~YTpFjeA$6{u^Q-QtS-()7&Qxl~O#4hX|_Dn+9EGToSd5|Zz z(d$}${g7&I>U9m3ojxYg$ai|J2Sb@>iGwEkT7o^bt+o&P&m&QO)`A6rl4whsm9sBE z)FkhIYKi6Y61TF0-+To+x?xsHYx5G%nT0kxWb2MF?BK4==6~Q zeyDG_e@7cgvv*u!rdDCzI*ga;3YKK1ws8s-GPZY(INt3O=+QyD4du~_vnC6Bmvz1Mj*PEL$dPlKo#_q_58Qr7A*l3r_uA7u z(7*qlY^w4#H634{m1YKdyq1tF!USK3-ea2OIU<)t&|<7Ga`2hn0}>#?>UjIgc$(qk zBH|)CMB`O9si~=h0EZ&rnMwoHy=VY9&A}{v<7cOt<*GXY?#`HkvDrUTy$Lavk_f3q zp>-9WLuUEsCZ)@>-BXwZxE))rO>dR%i#0*EE(k_S^2nU*Y>uR< z4SchQ)j&Ll@gh*}I$*8Jwes=?ma$uN>sy5fV;kho#@2Q16bMibc1QjYvx6`kSy5ON9zjpwX zLnQF5-RTTI7!h~-h13F*_`Tgv%=nf5OsCKC2Rrb)WTm}1{eqC6z7=8&p`XeG9v5N6 zR`I~NvMfNOVVfw0$e_B$PG|}Dq9;Zb0a9c;+GL)d^uv5zg}$8 z8~#HAVdcLux&Kswo7d(G2iio=W+HS-2l3d!^}coMT_IrMUK0J6oZKM7 zOx+nFIIvrBi$zaAUprPv6~0;u-NKO2DO^4U!^&tikEqNShv&E!0pv*k79c0E++VB62u*M#wk+%RTiF~D>*SZE(Q9i$& z9Mdol=&IwDl@?n)_mSu3*>B{#Nr#wb**??#t;^)`}(aXsd49ZXhqOFy;=y`a+1#_|rE)O~`4o^ne$)vGgWEB*s z4JpYlGdUm`zoamIqDpbdEgv@4ck4@^`ThG00T*Wn z+45ezdn=<}hrqFM;SCVu^Ozk9_)(@j${wO5G9${1xP!@WooiTbefo_abBsF(BLnzs z%m%s1l3a30sf9b}S5XnrZ^(RoecyllxQHX6f79n2+kpJVgrbcZN^hmQ1oBfUR}G|g z!ez1cVQ8oj1lp6$>1;Uv-TPn==Iu{JzyO?oe{V?W1}l&nk)|1i@Bt>>5@?XgC?&x;wwo6E)lH|(v6+2f~G>~Zt~KZ;TK0#Pu$7rxXrT;t23)bQbQGY zlcACMi9ky=ih&b${YfCB73yS4{)59MNs`TsML$7+^it)&pJH5_BH+*|&-&rSSzKAWM~O#6YI@vhg6_{W35X>qA) zp>2Szh1l8JcUVq0TlV?!{(5HMJ3t;=G&*V(-ijo*cxR7(fi;);P@4BfGYYqdQ&IOK zh!Z^EH^0pN7q&d?XpG7s;g8#7PS&-q!xuo?KTx$`W}f{1o=nH1h+c>h-X%oRr>v2= z!DE^`EV$rpZQ&Q^(|~C@ZTEcoWAcqlZ}<6row@?ID(uioe6%Xjv+L+*XSbM5`;!OX z>mP&wo+vEZDMek@KjS?-dDgqtKrB}3gqf6=IoVCrdv0y_%0uFMb0nQ1eyIC+j^x2K z@h2Ik#!WPaUAVTg|li z5|Rpc@35qF{VIaC;)Z0Wxqhg?qem!&`W0X3B3&IF9phP(t57IdV`HQ3$#SM0dDR-d z%xnn@S`IB;Pa2@Wdr7Rts%ud?yx zYw7=pCEtPY0t!}d;7Z;gP^r57FTzeX%zl^u(>q6%_jF+`u!F}^R9(+b2&s&~AZ7sc zHQ3OH55++IiMoB|*{yZ!oRO49lM*Pp(p8$5tJYO|GWYC_!t_Nt>BVEwH4*Ct5_Kde z>@4DyLnn}ITAKh^?X?X%a{AE~s-%-4UId2G={jD06ZNnndM`-uoW7M*>_s;(YTwmLFExnxU^Uv9A?WbG4-M`mIWJp*6#H7P{ z!`=M%G=HMPGw{ZXh%UHR{XLd$y19Q+%yqZ%Pbr03lZ+3hE7tD9+jv_G?3`!q=%)!* z_hSykrSBpqtJY)*?m*-dyMfh|=I39W1dCNYfFUA*DFBB9pxlBIZg@=oAw9+pL9(8{ zd?)&QWN2}88}_v7&85h9_LbeQfTl$8yMDt~)pfPU-`5UH!@jBb)lc;e zcOp-zOrc9Q^ghNGeX`*d{_%T*L)&)GrED1o@_!P>&H#9&1&>suca=FOE;o-18XYYQ zcq+AkH?wmBbm{5gVp6ru+l=muvn`fT(-PO+Ya)6Xb3_7hX$Da+==eWu2id20da5BB zu{4(xV<1nK|w>&B=c*H1pFZUEp51wfA$_qTZgU zuKQfkxghk$$4n`y)fcD0fod-L>l?wh*RW&jaz^_4bU;xi0=|t`pgz6y8@G6}KAzCvFbJ$b z1>5t{e7gXHjMZ4lAOBgsV`>`nyxa|wxp+n#Qjgz)r96Ymhsmo+f!H2xP-lZ_YPBtP z;Qw0?;U*=qf2@8PZPppIAC`U7w|sN5Nk{FFKmwk9LkcuIAx!_V=g-j{a!kCdKwA+z zX83tXvs+IAG?Gvbs_H-YR3)?qx{qQW#V4PETx*9y`TF?$0ea+I@a8QFo>EY+;)4o+ z?5GEj|3uh@TK{2qVD`bD7!KuoK>FlEnuwv@)TiugO{glvH!fL6?5xj6iQsO&n?9wW9-{6P{r7i$!oc}cmf_D)XP_=V z?|$oiau(zfHR+i<>-e^H0&7KTkmflrUvlS3 zKX?W~KycEeSNbhY8{KO-TJuP-Cr-o&K_uk-=+O(nmc1Qa=Tv%H-Te5=ZTf$Ruo;vA z))NkE^gA+9#1%q4_GFwq|KLP!@X%MJ30xLP>ZpI-Bou0<*&~-XWc%GQus^XWjcP|# zww38|6~*YICSr#2a0vJhy6Sg=y(uGeu~xlxmjA2(Ov3t(ApCW6u2))Ls}*Q)esj3C z+rq-kd^(qIW}CeOoHAQHCgH1PnFH6$ecnAI1K-p_`4vO8lGAn2l*ZEOSgjt}gl({d zbAF&uo|3;1m?>bH_yV~2?p|4{hcTZEp~Z+DgwY+8{|S$Q@)?ju3xTBzRB16(%4Nfp z%g}K)c3KWNRFZ)!WCi%>VvhD}!Hx$}Dj|+ggUJu>c9<9gfb?kO<@=F5rT3pc3GnyM za(<0zg6DJ^Nl>wV7#Y#e7BGJ?g<;zQYdfNHFkP{M(HMStGu0tULDSLR0Gvy zB1d|vfjr*>V#&-u)X4kqB_%zb#dEAQW^=kNRWJ7j87dtF=%s+m%+xa_&{5bRuM{xB zNdZ+)7MR4wN1PvmDJ)GG>XQ#Y5BWJ-PzRDb69yzlhpWs%_$~HD4b%$JAUKJ$eFF5< z<$pzoqMq}RJwTY7Zq!s>7l8^9LhvaA#*e2U^zRQ07JWD$9UZ*C#xgWK+|>72+Ywy8 z8nl@Zswx2ZY_pa8C4obb?gF?mh`#3@&XTageD4%}4)28e(~2RnjzIeYVoSn{?&+7Y z_(E`0Q^69s2FpY3_kon*X9u!HLz3$8V{)8V*j_FgG_k~BSY>8)w%i~v&&fSfo&jf; ztoRO#FoMXMk^A??Bwv;UBGgERgScXC6ld*KA}vEK90rW|lxS>_3eKz`gh;eq`W24jxp)Y`Ls3TMfPT0j1JU%***LBcOMg< z-Y09w(l)|3g$>&4UXhZ?VNQW!(B#{CH|w+pQR@jZLK|g{fQmVYIC$X2w)?owxG5#B z2XHEt=VK4XHeO@wirr0VUBQV8aCBCqnv^%bG2FSB1RQTp4KY%MLu=8v=&->D;Pabh zckmV9edFRZDR@q)$sMFS_1nIx6@S=(KuKEm!(kKPNV)8JEV`IfwtAzbLdNOe0aS3{ g*5dzj4ECIFEs1}smodx=ocD%lY3L(sZ`(inU(uDiod5s; literal 14087 zcmZX*2UHVZ^ezg7UInCsC_V?`*|I|Q>f|QvQ2M31&qOJZ62M4zcc>kN2 z5co~ALOTTfA@R|+@W;U+*S>q<-Uj??0zPC4&@c}$_J#()9Q>ScU@(}VtCzd~O9vk( zL2p0j>|J?g9Gr(Z5Oo!k;GCT~SdPi~_3goA*y~q;xCGs=nKkayRzIYF`m~R#^)Yi& zb>YX4<}Y4MdT&?b>n6IW7yUIW`mt_C>tRIxI4H>;H1g&T-#68%S99lAH_|XC^9IcB z;9%}yh~k6OZ@cZV-Eb%jIg}GNc+QGs8kAYHF4g(L7VSSM!@y$*2maT|;!$18@#h+# zE%4{k8;wEay*n-~ZsfAzEOnptmC4XO{3be>#tq2;_24q#3E{YdGH{&GIAUdQMda7~ ze%_s>J&Hx^Do2ThNq9z?**_5O!#qgjQ(W`w^-hvC%Zemv%gn>a#dtibiu9!U5KvXT zfk;l`)L!!|u$je`UVBl^v>y~fgee!@nJJ5*C2lmx<`u_N5Z7oj?EJGg1>#Otab2O1ma(A=&-Nj_g zMu8*$=d8PH{9pDMY(}ic4+A!X9K8z3O^7KTkHHmrJzS9b5>W>mU!P?!HjpfQ-oB;z>L9<&4Y7ws=2RdfSdB*iVV_XRz%v& z54?lZhF6|iL2`oVi|LQnAK3orVJ$XUNOHo7!SMArl;vPWO81Av*c3zaC27!&Kdst;9K=3^0UWUL+oZF zK5I319!P+}D1s>8)rsx%d?ebBLFO`hI}XxO0_#bKD;B0Q8iY2t?`c~0MZF6K{7T!L z5iioePG(K?Uy)`mp9sP<(OikVK;SlKc7}XB;2S_B7n@Rx~L7@hJc|6)EuQKFUz>`9o&F{`PRCv36MT=-9*>u(UtzCVPmXfGuh`=~Uw z*Z?t+T9*sHwR-FT#oqT(@X0Qwh^~xgAijQOD2_E>BhBqRrxOtiqYh^Oqx4m_Mr1!~ zWT_}R3Kxdwj60-jDUhyg({U!SPvPTVCqAZy&agF^p_4O&cYD+9>n;i}dWl&fg7^ux zUqa(>_l#~I8=HlvQ(q8v8Z0nfd$JO=3AkQMDg69Z)WC5TVj3cw?W0@& z5i(71Mzw$YH<@cl9>dJz-2H-sJA|4-|DHsGH&)d-QZr(+pOuakL4$l|eWf#=7{Whog>^kW zUp-@P0!g#4lYhX~jlQg9VJ52$Z%@laLRzT)fYz1GHLLezwOEmMh*~a(xTb0;BC(UOB`(gId zPraa9KUtq8Kx7%u*p?*sg#1r5d0mMX>Fkrz$%j+LOJDXRYYAA^J+8bsmzr{XpGBo~ zwVw}d@Ya1GR7%R|08JV9-+s2Z25oRs=qUk-VYV9uLTt^Z4>y%k`N*LSKQIQ|#}x`J zW~>N=>vMn0ADCUDhDYS2=a2Yenj8G&sx;q+*b5IPN+F~twioamuKo80I!a1v_mcqaNg z7uRnr8}$whrJ>_im00YHp7!lYRcj~itwi+~YM7Iam!53T;52DGlO$@bdu2CKTdCml zzIe4xyOArL_?Hxky+4FOa#;jq-rS^C@zO%#n}Tv?ix_?;uj@mHSBY_!_saa0;+X5) ztzF?-UdSnpWsEUNqsE?Stq!pbF1%DEn?|SG#siv=MV-K>@+qAd7bRB9vP{XrP}tS$ zZ*fAg;wIKA{rX)EM<{3cOwCt(Y~n@gVS4fJn6fPy@t>FnUOxl5sYxBztWGsag9~Je zG>iHahicqj*g5@k`6p@ry4VgBhtsM#`GlwhxARc|i8Z;N7SPX6f6& zE}}N~Xc%UDV12j&-2)AZ8Y8_D@QJRh-^ltK^-yCFbEDF9QipR^k7RR$J{O4_=Y?Xq zs>p;NoRR+-!|cA5`ijZ401lFlQJQbML)U&V87m6cmJv6`m61fC!zL|6%TY7t^;Fe- z4q)F39aS^!%WciAxB5@!nFVB^4ceokq)mb@Hc(Rm*9TS7I*G4J8q7l;)xGfV!YCp> z;N84$kT+NJ;?Yw%2YW79DYHY$v@}QwL3@)}cG<~$cNy$URu=R1icnO%Tw)r(ZJRU? zC>Z0xBwRVzVFv7*q-&jiuxf_r3!I>dJFc8_FLpZ zG*qscry<+NgHoFrbF`WiB;|LNYXo?CR=K)!`u{7`g~NI2QpEkP%3ZADi>Zj;`)twC z!4wJ4?qX^mqYcaZI&L4|81aDA49mRmRsyIpc2 z*>cZRET1%(0~19{GHyl#zxWy<${4?%!>6K=O?)~Z=8c_puS^`w6?G!5b#9#cZ(Xnl z?5WWt_VjY8gs31e*xf@#X6-QD@C&Sao%!SIVLv;a$J{<%Cja%zteHNm6Hqm4!FU)( zy9{)BV)$#VKmfi0avR>GjY-6&Rpc)KV(|qhRQVh`trY$MKyeM)>i zbZ@acGc(X^&k3d`xm4{do6%6;o`2Sl`pVRaJxKKMBB?#K@J?|UKrffc`kSwQcSM9` zOSqf<-L4(d9Nkyv5HTCgY+Ck14ga&^z|ZuC-X=F>V79pzaqWkiK>mUYA2KrhSJKQ5 zua1V?j|Qan@b&b-G%2AA1^&qX9`z;~2wSuwn#i~3Ss$2-D=F>xL$M5^By_>#W__}f z-L>L-J5Br#4{tWv3}krD)2Q-dg&3?dL6yKhm(TNZqZJWI-0&}&XQ>8g9|()2@4P2~ zICHleK@YgfFRsJ7WP`0hQreqNP=*G6zYP8QitivQ`KO#($=l+v-P!nf^%rm?n>RH7 zCtJS%IWIfyk{LQ1?v!GHzl#^?1T8f$>htcw3v>3FFBqA~jEnK!+?FF)r|@us)|aIW zy*p+SwitzT*bsC)9B-f$YFX#_nZn+gQ9Okh6tA`Vn>^4Q{vjoPpIWSyOnFodEr#wS ziFJ85mbd?Nfh-u*G=p*bOCsT&$WfP9yYxO3%LZ|IB3NE~yNA9w*@lUfd9o!lim3Lm z`X@G0=DMrfo>FH`>B6atq7cWwT}}z$E!kAKmpE%Z_ig8eYlSc#w&@K{C-NFXs(kIc zM_D}It|mI8qW0thP$VI_#GIW(P*9_;4vtNXM6?syrEA(B<;jI(H`lHV%soGBSBBkU z(^}zBfO-%|(Qv^5I*vYoTLsPFI)nCTOj)9+q#yQ(q!)(3O_zAVx#pLzc{dy(&f1}> zqX`H~jOz!K(Y$N`Dl%kT%LUr$VSnomC&6kn6I}IT1mB6@Z;BD#=y24a)2NxyG9TI* zxdkPE5TD1Se^%HNw#VBaa+#z@8=#-s>o0Oagu?PoZnXF0SY`YiKf@LxU@tgQrO}w5 zXM4SAU&Gz0p)VA=w7Y4Ei*(piWb(p&1fBK9HqJ;+oO=eHE z)%kmi)OJI$BEl4mIdd7CH3d<(H*Gf;TYx9Dw+F84SlHGRi#b3ubhA`kBCe=|C0E}a zexrbYQ%gEmC{P{J)z3M#m}7%t+lyn+TxdsC_q~Wk7&vxlZg1SS_JL`NZ~>@3e%^KpBed^Ypo^kF>qQmTiE{p>O0 zFT!vBdpaYVxXQN6_MGxCBo5_x-;pCAqyjefVvo?;9lF;;_XasG#Ur}V#$C$Q%`u_> z$yDBBw#ip7SGInH=y+=~rFFNZZ2sj*Jtfx;RpP-!0bfuau}#Aq=`7h;=G16YZ0}2U znu{i@O&7?uTc^xoH0TfTs53_>pYG0Ox4Gm_EGb4eQre6hssDk0+1;psha}u1O#Fb` z31F(f3H-q13!7g4f*COuT>8{awV9QFgKQ#6P1KK=vJYP)BgQX&>FA0yP}0V$i>B%1 z=IC+9=`y=Q4SU$$CuHz+k!McvA#AzEBy*jhDL>haMc$7YjRuJIAMYLYk`KyIH5Jl1 zq}9FHlA8r?{tSpjQWqCnm7gIk)Y3f|dZ}||vkz}2sqU zj*_n)@)b^h%~oFs!gB+UqvWJJ-(-|9+e3E*JI-DNO*v(l+HHR+O4f-u=w^sLQ2)c* zJtjNr5XaWEn&nR8oF5_CIP2Hn3j~@dNKe*OClD06(U`YxAVS@&3_b3($yxzj?)PMy za)f$Ai__UX`=Pd6#qoCW^8DR!KTuxSZ!`1>P%yYu#j6*lY3a%UZ5t~nh>#Iq*YajbrTz zMRKcvJt;8wn+;=vBDH+AjDk45j(#FZ{8pwjvaE{X(mm`^SDJR=2i?Z=vix3(1sy)t z8s?OxUm(n*WoDHo+$-p;m%|@bil3E|+Q*gKHo*t7rBWWLz5es3`ekW(c{o>^j;A}+ zOoE35PrdQNkZ4rtqaH450NOTCzYuvT0sx;MnIbRo6Cq$4ZwNDuvmVMbn1lZw)O2oE zDpQrS6z`AX@0)LvwqZ%xUM=xksMchlJd5s5V96s)pD@g94?}VFVVYLbt^T-`RXh`e zV$2OPW2MlTdDm`iwQ7;NKnhBt%FD4SMM-@oc5ddM!>t@2vx{SU?QBb&S^ zZGaFtcQg~@bN?Du_4Vspqaw{}U~&fl_&D5c-SZoem=ty$Ehc0)o-x#p2X}q_%FD~g zR~tEZY`R$E)NkwQ%2%Zb#a1H~T%eUsoD&|06Ar#did`HJOQ{^Dihc&*H1vIBog$;R zdzF-UK~&lFm((_NgDVe6hoQ&osR!LfkzI2-1^N3Qj1879_d1;q|Mgll+eSphNJvNw zY?AQ@)K{qO$?+@Z5hr}7L)$XtzzpgnpnQuvrdnfoh}Rw+=c}c=bFEUrpE=TWeAjYe zej^$T5_}}8>pX31d11WKJ24DaOkTa2Qr-`WG?QvR=SN!hIv$Rj@JiH3G^&uF;d+HNKYbui*PMwmy6lzyfQPDBpG%b~)TE5373!(6kiz3$t`*VDKC+_Gpk zdCqOMEg{`o)#1XMRued3(eohWU#jMi`!65}rZWU;#q1DoSvCY%<>_%ph1+zVbqkdj z7XIz2uxSlS*W)&uZUj6?;`|8m>6s+p0cBsld;uKpIhz;;&83xUXYJLdYM;X976A>TPY-Oj_NZ&CA0x! zvif60JR0YMk`yJWE=ky>YWK1)A3}(@-t&=GkL`%vRR;@Ew+g@+-iMaZd4^1Z`iQv8 zoksTmd6Xm1Kb^ACMrM=S@9$l~(eHRg7n4L!h+BA7KOegC9xs{O0?W&)nH` zHYq>Q67F-6%n6fWwwKA-7vidj&l^1%xD?F^U8uq}a_cXm2V&gpi<*`Nu>vz&RFW&J z+1@BN@R*WWW%aJ+mk>Afra*Xj(H8Y!rpWia(9P1&6d;5R5mG(K!Q)5jb&y~2qw>{Q zt*j{0T`^{M5l(Tee%d>saqKv;Gk@z>W(f5_H)L(>p6oOwKJ8>4so$16L6ja_(z-Vj zUtWd2KE0Qo43R<%N4+26VVD#hs1VAc2)rOk7)8f`m}CRibuKZcW5f}wNau9W+Su6G zwuj=wl7WhL?DQ4uudV5hHnf;>=Q>aF zaxIr|>v8M|wB#SH!@nW)E@Fb<9eB}avBA8EmttU(9rOCxHqUVf`pW0mqc~w8jr{mV z#6HU%5r@EjIDTEs3%O1IFn)poUl*&zQPxgcIOiV3JqTU@0;KPYtDv3fb^%xQlF2Hc z>?4S3>Pg#T{5>G0Mo$u}O+Di0wZxUriOegeM9_>(#($Amw*0I=!S2!b?vWY|kg22~ z1KCkV_HA^TQ4_Xdo;TRWPN(+3$F5e3?C1%&V15VsB|_3WVoW6@I)*tO2Y0lPZnZS?+Il9h@<|<`+hKT1^4V<;1uY&GY!e#j5 z4+u~0kL%L~CCyPGumWEAXqPS}7Z@Ethu%Ttxj(N`5d{j?&VIpG{*mhCw>c5t2pEJT zug=EPh3q=W0-32&#kg|GiP!PMDf9>jU$O6@^$8>i4ZwBu*+p{uzgIgl1M-N?GRdv^ zT=}}PTsFv>Py}rb(9b#-oIZ??o_LYS;|Fti;6m8Ac7{8nZhv&WBJ;nPjkq{2z4jB< zNGBdJO(X`8hAZirjlTZCr$`p@+kmZ!BpcXV8epMcU(3qOh=TAYJeO7`yzOr_;Z)%j zqwrr#aqMtVNnz?{`L8 zjsE*`d78^{{M~uQ+052fG3KJgg1%en;z$c6e)@Ey~1x!8}@` zcjemmQ%Je>9eeD9w@*_*ElQe6RgTN5`&dpIk5QQsInBaIDX(%C?Sms+R4}>_D)fx5U7M_N=MjsMROB;3VYrgib)7qoVGujgvp+ zXQTqh2Hv7-S5CVVS_Ue~N#+20YGDyF3*!Ts1#)#9o#<|&M|K^R7Y&T+oHY&g= zEk{St{EK?3NlV|O*DxNRxgSK{-)9jEqYO43eSp3hsV2f~Kf-}DQvVE-hcr2bG75KN zf;`u|@`!(%n|D9*))b|a6ANPsZvK>#5r9{fhI9&KY$(n{OG2^s3O%NrS3KD-pky`Y zZ2~92V=Ekb?2;|%nFVflf^rUcn9lk(KmT+(qjLc}Ztb(|tU%iEZsfz$jq^Qhxtz}JN^YD*SrrwUU0<}c|g-5fjbra3H)63Ko?0H5M3ju5#ayp|pkq(JRWq;uG(icEKfkBhH?c|7 z_Q+Lwcnn_?$uP%1KMDDKC$>FRaEloM1)n;B2~BugMVUf-fw7tJ#pm;`6X)SEfV)6#9F7VV$Mqq_=Yb%SsbAGploRHZU#Cw5Ic?? zudJagd&M=F=egMuf+Xe&y0Bw+N8TZ5IqghIY z-+WtTG+;D8D&y~gsYzC@)qJ;#dG$ny_R+rV(v6qZG>gj)|L%26=w3`~p%2Txx(Qv8 zlmo|pEDj?1A$hti{AtoUMVI4}XN*~lE(zNi=l6|^G^9=bk=df(Ppv(ARJgw9M@JJJ z9QrqRy#Vlg^V$#G4$DhU9&Uc9Smv^~=0jyCAv6S2kW;z{MSLu$-rx3qOuA+qjNk&4 zLCuYwH#`e#&lEm5HSI!Yb<*nS&Sm{xv>6QMtJ+`j=eYWXvF+~)w0$2SS3sjup|e;w zVQn|c>u+Rj)RH^A$%3akt&daSc>M z-QTQYx<7$w3SOxOzAqeTPDD=OLF9(d{{0Jqf3rEwoa#YHa)XCHCCUG!ySqMnCI7ND zEykk(DbXZu5HNEzi8eOM{T_v9sLqbIPW@6rN&Eb;6c;60%O+1k-+^$UUafU0SkR9) z#CTX>mYOivR8OB@CL!nO^tb2kOMl0>X@R9o?pb@X=J#^|*O&69{^_m17-895RopKi zcFE0Kozbx;Czg#&#}}#95i8SEG8{EG#pvhIR#dMZzH7wOjRl1L{sNbcOr`2VjX!K> z*~N-bNvV!s);9B`{A>URRA42wnZb9zwPw?E#Bb3H(t&_bYP;R`@=yewU%VNn`4>M- zS92O2M-pHBRNO?iitW{jEUBg1a^~t#{?PhJ(T?5iMFud?8y;1&D$Mp1)8l$Nd`K8A z0GiAQSm!m}e>ckl-13_w$!V8QrybL#i{6n(*<%PU-8MS&7g?UyU*3d$>q1daAD zHIf1@bMdXWnh7eMAP*O48Ncb4>G;zG9~=k#8Kun!!nIbG=Q&zNy@c=P=7!+O4?py7oPuV5eV`BuUI<=J+DuWr zSU&|y)^b1xvWp#TmVW_F*9JpNo|P80)2)A8Qg3>&Ux5_xid|zR%0Yf|ce?(aT&ytN z=p9vAJPwcy7XIfe8I#%gzjFgdA>>S=aDm3z8c;N{{&L~=+DDY&}!Vhj*8(24byuo3&zN|LSWh!@Z!@Coh&Udebw8!`g@8Oo?BlwyGpvuUyv$us@}I} z@laQ-NYf5?8YDNS@NmNgVhkQP?FnWIz9-EZ3>nQQsp#N~ra$5Q`3Z0!Gk}dyY}swu zGBGk5+1yHE6#1{OukU7MWhDjZCm?$S^w9Lzgwi^UeUl|<;<%=e&(b=xT2yk?#gtQC zO<)Xgc8Tb-rx@+Yg_|f|4z+AQ&V$R zTC6ULtgET1@vz?20`#rbuVpB=sO{>W2cVps@dUZGO)givkJttSihT;<8B7O6?laFm ztyngF!~)A7qBF)brNze|`FtuFzc9~$wXV$v3OB{e?OH?A$+uSi0}?=?zXRqPC@!|CK{{Ftcyh;=b3tqS0 zwSEDGjYV>9tf3tt{+R8hFT|Rjj}nuj2hqf0R)QNqi2w}>+5H~WoSt-3HDlpRcEt5D z$Y|6%=6QOLNG(rR%g5r{n9l>-Ll$p@UIG|U6xrst*M6$#d^!iKoB|MNe^!?4$h*x= zw~lM96}Mqto5x^|%=7W_@t0PN6M@lGM?o5fqufx*&I*(_luEmWNSYv6+VbA{Ej41P zc)d=m$TvD7Iv+AUjQKepU(4o0SfDq50pBgu9oP;#kc7p0ISPHhF3te;YiG^Reb)*@)~fIeZ?7 zNF7Fq;$vWFf(cxJ5Yh&skzjT3!C!7&8tAviaz=F^J_w|X%UxV77?m&J=1cCY9BV9+ zh=qyCo>szdeps?a*A8qeK-h8C@Bo+d*xd8&qhLXr3-sH$Nus zHS28YM;EYwa-+IOkZjGPWS$21$z57|%KguWhlh3mc@mIICe-#02z`j#o72wtxu6*V zGlvQ_M!IQcZ(Jfs7Vm~`7dpprde-e__t~qj>kC6mJ-!SQ{m|)pXHa&%sN$p^ z&@%|A!Zv+x@q?3!KY7-NLIgFgUxH$A0b19tOIyFMzuy={M5#y4C>$LFBB}uxRc?TW zxM!9bM*TLr?xzjhD&LI8GHwP(%zUJ(Ds)2B!-Y4KSYT|gaIf{AWrbiI(5{!EW<+z9 ze{6%+M?9``G=CUhDLG*P4`~YnU0a4Uql1-bGA-;FP#{q)@cP)++Q@7^uy3Pgy(UE|99nje4UOaI{W;s@V@?^ z$n>g19lMV_RkZs;s`=x5=&*D10F}|Cc=f+)=oXx^iUvzJfJ4(IXBL0+EtaTuIa!W- zy1u`)5piv9G}TAERTgRMOdU-`yp@O7W_T7cc0pGlJHdY(q@PT(5DOB!2o>v%IT|6h($pX5JNS^?+_5*>SPS z*KvDu`6G@!@)rQJXpYu~)>^huMPaAA3dG-6_cF#rR)@_-8@=rY6;7=IZHnPgfrS1Y zbJvcgH||fs8dsV?#}(~iDcz!_;V2=n;Aw!8^gT&x{#Ut0Ee*hYzSyaswB2X}KFI{c zh$Mj06!OJRIdg7&bQC=g2#_16dm?sOi9AVso6^JxoczIrdqWRmsNJG9D(Kr|(vMli z4a=G$^X(aZhDc63?k7y9NHu}gBMNy+f|xWRw5799IXmgvwZZ`(fAi{^i~mbVxWyq>ZyjV zrBk!W;y)AK@zauQFCE}9t+SYv+ihBwzCQkqOBtU|+xPE0$6w&XkoQw7ooNNK$*B*| zGa_{GCLtI4d?+hkb`DWrsioMT!lRn)I-9u1M3mlx-QYBk6=)%IipV0dwqh2%0jsap z`Kk@3Sp&#kZ0SgmR^>6w56GE^W5#942~F47874`OZs%HnF3)V;>ySGEoWah(ob=85 zG!VpT>3pSi@3zD{6{AE(RnCOL~GT0`A~v-;@)eaTVAqBHy45G z3YTjWg1z4$%(fhaie@56_ZIm;_e<}YQOP7CKt~kGYG-Wx8~0;d+tta}uV2*$JiOeO zKZxhND`&uiK}CAK$o1ZfgIiXsfJIrshz&Vvj8`l!#!>+cX5JFO4S&)~d7!krH{X7# zvlh7hC-uF7>=R#rGV|bcB@@eL;rdnzmtzfa?nQ7VGE2O@bAV}FWuClX4nz5ofB%UM zcJm5ZNkAK#kzBRa)Nf}d1Yr0+cJ!!QpX4a<^KHpR^z@@8qq%O*FV0U;ueD|C0ET~Z zF8pYK4e;8q)xq5Uk1DaDu=U}k_=)7g4*_)hREs8Eg=OB_(;X6%f$4jQ!$^kM03qYKxO< z@4++?y^b{-wWcS4{QSGtdFZ)icalp+|K#%@Em9G0bx{ z^OEIHjNT|4+;q_{x+n%>R38DfFJHyHi&n(doM4me2tPl}yS}KfCaf|3Y~aznlHSVz zh=QSA%)V3N@94_#9s3EzNP7c}eJ6FTI&(4oL42Y{) zr{6v8EB7)(AHm^smM|Jp5l}c`_!*#U9G9(~%I5fL&b8L_g#q=X+vQ{lbxDC9!32Iszve8`Z4zY@ShD5?=z@trouC+T z0Wix^luB1Vgp{nA8{fEd}1nd%6@xRje7-04uBJbuf6GCdWmIw z)R5d+B2jGcV~gyv{H8U(JatRCXl)!u4=VY@^Yl`g0b-@TkV3fWNtZQs0zht?nB15F zKyqx9sD;(spIIOQh2AhdKkiLG1{3c)a-^PNH_Iw*Ke^2V>-__8wVuUqu~R`ao>8-d za^5R{BtFo+nClnnl)u5wcsm2(Ln%)h5Zx06fwGq2 za3#ZzJNoE1zzpg^YHRPqOy_*QQ}9JBlp6EchF8CfOhTE2Bn+>>kqWL*D^P3J)IG6F z-rdX^E(MYj8X=4(1Rz&?oSH^_)*#>Ta3 zylGh>T_ilijou4m#gO;rRrV{-VTw0rFTI+U2=AIPTK>M}6RwQ|P`g(J+rxi3{fVd4 zvXie1pK!I}yj%bxFIh5Rb1xhq5LZ~dOp>Ux|ArTNwiJi2%#oT&l|yzVurN^qL@n;+ zo)l-G)#7+(CWD?n+gDHs*iL~;(rAqJeitC-&~;Yzij2Xl$!DpnK1PV7?1NIe&L>-u z+Mj#*KR=d~tX2c;@$pB%@5@+}dy?7Q?*uwlahG>Inr8R!ASoxbAxHR0q}yz@cpNf` z;HS>?eZ~d=&BvrD-JDfvJqU4(h#oh!5|FS*NB^jD_|7P7k0ZBJN4qm~iHJw}04)H6 zPT;Jt5ZyYXY(@Zv$@)y!xqdG($Zb(n21F@O04=@;#(I3WTg9?C=EvC;ApNHsW=jfK zWHW9xFkYT)X<1lUB&NzW))YeEh#)*kx4(6RKr^e7_usaSKr>CBL5Yor_BLpM;z$PH z*D(LoZEj?gI_;EUW2%!l*bNDhl&SnOGK!=<*ECo}vLvyR-#{z-QMLlpX zZldALb2W3%e|hUo(ev=af3dXjKNbPI)5E_>IXOA?vI7hM9VqSf{aBj)yVHmp!QspU zucNZ3PAyu}`#JN7Yb*1>=I);y&DTWAz?x#0ia>kDbjdeavlL*?8{i61`EN|zfJkjt ziJ|{10L%qJ0O@TqZI=8Cn7@PkDMgMwv^J|LIa)u0iJKv=aD%ga8NB!(R1wP7MWZm- zOpcu5M03_J=!W74_h1aXR|6BPbmIMG%*x|^NFADUSNET9<@%%W>6|zc>8&H^l%M@~ zVfR^sHs@~pP}Q@?EfI`QpAW4zssR7fIVV&l%P2>HIMY{U?*Y5B&OfgM6=yqP8$FB_ zASe!vpUg4`0&kd2js;ZLHhq!BxAAgsIL4WRd3GP>xC1+1P-8i`0e7ECN$uj~xF;8u x^DhH^4o-cS|Fd5S=w1E)?)?HfVzIZl*WVbE8&^fyfK6;T5Df!$l&bxI{|orq(}Ms2 From 81f3a9a4dd09133ba28eb9cd45c513d8916d88e5 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 19 Jan 2024 17:18:40 +0000 Subject: [PATCH 31/77] Finished 2nd notebook. --- .../appendix-F-uncompensated-models.ipynb | 377 ++++---- artefacts/appendix-L-compensated-models.ipynb | 865 +++++------------- .../artefacts-1-modelling-patch-clamp.ipynb | 2 +- artefacts/artefacts-2-compensation.ipynb | 186 ++-- artefacts/artefacts-3-simulations.ipynb | 298 ++++++ ...ied.ipynb => artefacts-4-simplified.ipynb} | 0 6 files changed, 860 insertions(+), 868 deletions(-) create mode 100644 artefacts/artefacts-3-simulations.ipynb rename artefacts/{artefacts-3-simplified.ipynb => artefacts-4-simplified.ipynb} (100%) diff --git a/artefacts/appendix-F-uncompensated-models.ipynb b/artefacts/appendix-F-uncompensated-models.ipynb index 1485f59..4e107d2 100644 --- a/artefacts/appendix-F-uncompensated-models.ipynb +++ b/artefacts/appendix-F-uncompensated-models.ipynb @@ -93,7 +93,7 @@ "id": "24d3bbca", "metadata": {}, "source": [ - "This gives us two models: (1, 2a, 3a, 4) and (1, 2b, 3b, 4)." + "This gives us two models: **(1, 2a, 3a, 4)** and **(1, 2b, 3b, 4)**." ] }, { @@ -106,21 +106,21 @@ "The model used in Lei et al. (2020) also starts from\n", "\n", "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I && \\text{(Equation S2.10)}\n", "\\end{align}\n", "\n", - "(equation S2.10) and \n", + "and \n", "\n", "\\begin{align}\n", - "3b. && \\tau_c\\dot{V}_p = V_c - V_p \\\\\n", + "3b. && \\tau_c\\dot{V}_p = V_c - V_p && \\text{(Equation S2.12)}\n", "\\end{align}\n", "\n", - "(equation S2.12) but then adds the relationship \n", + "but then adds the relationship \n", "\\begin{align}\n", - "5. && R_fC_f \\dot{I}_\\text{obs} = I + C_m\\dot{V}_m + C_p\\dot{V}_p - I_\\text{obs}\n", + "5. && R_fC_f \\dot{I}_\\text{obs} = I + C_m\\dot{V}_m + C_p\\dot{V}_p - I_\\text{obs} && \\text{(Equation S2.8, S2.5)}\n", "\\end{align}\n", "\n", - "(equations S2.8 and S2.5), resulting in a model (1, 3b, 5)." + "resulting in a model (1, 3b, 5)." ] }, { @@ -172,7 +172,7 @@ "C_f \\dot{V}_o &= \\frac{V_p - V_m}{R_s} + \\frac{V_p - V_o}{R_f} + (C_p + C_f) \\dot{V}_p\n", "\\end{align}\n", "\n", - "so that we can write the same model as (1, 2b, 3b, 4b)." + "so that we can write the same model as **(1, 2b, 3b, 4b)**." ] }, { @@ -205,9 +205,9 @@ "\n", "From the above, we can distill three models:\n", "\n", - "- **Model A** - A \"Sigworth-style\" model (1, 2a, 3a, 4a).\n", - "- **Model B** - A hybrid model (1, 2b, 3b, 4a)\n", - "- **Model C** - A Weerakoon or \"Lei-style\" model (1, 2b, 3b, 4b) or (1, 3b, 5)" + "- **Model A** - A \"Sigworth-style\" model **(1, 2a, 3a, 4a)**.\n", + "- **Model B** - A hybrid model **(1, 2b, 3b, 4a)**\n", + "- **Model C** - A \"Lei-style\" model **(1, 2b, 3b, 4b)**" ] }, { @@ -233,7 +233,7 @@ "id": "c459d6e5", "metadata": {}, "source": [ - "### Model A: The \"Sigworth-style\" model" + "### Model A (1, 2a, 3a, 4a)" ] }, { @@ -258,55 +258,31 @@ "source": [ "mA = myokit.parse_model('''\n", "[[model]]\n", - "cell.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", + "amp.Vm = -80 [mV]\n", + "amp.Vp = -80 [mV]\n", + "amp.Vo = -80 [mV]\n", "\n", "[engine]\n", - "time = 0 [ms]\n", - " in [ms]\n", - " bind time\n", - "\n", - "[cell]\n", - "use amp.Rs, amp.Vp\n", - "Cm = 100 [pF]\n", - " in [pF]\n", - "I = 0 [pA]\n", - " in [pA]\n", - "\n", - "# Equation 1\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", - " in [mV]\n", + "time = 0 [ms] in [ms] bind time\n", "\n", "[amp]\n", - "use cell.Vm\n", - "Vc = -20 [mV]\n", + "Vc = -20 [mV] in [mV]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Cm = 100 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", + "Ct = Cf + Cp in [pF]\n", + "tau_amp = 0.05e-3 [ms] in [ms]\n", + "I = 0 [pA] in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Equation 1\n", " in [mV]\n", - "Rs = 0.01 [GOhm]\n", - " in [GOhm]\n", - "Rf = 0.025 [GOhm]\n", - " in [GOhm]\n", - "Cf = 0.3 [pF]\n", - " in [pF]\n", - "Cp = 4.5 [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", - " in [pF]\n", - "Ct = Cf + Cp\n", - " in [pF]\n", - "tau_amp = 0.05e-3 [ms]\n", - " in [ms]\n", - "\n", - "# Equation 2a\n", - "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct)\n", + "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct) : Equation 2a\n", " in [mV]\n", - "\n", - "# Equation 3a\n", - "dot(Vo) = (Vc - Vp) / tau_amp\n", + "dot(Vo) = (Vc - Vp) / tau_amp : Equation 3a\n", " in [mV]\n", - "\n", - "# Equation 4a\n", - "I_obs = (Vo - Vc) / Rf\n", + "I_obs = (Vo - Vc) / Rf : Equation 4a\n", " in [pA]\n", - "\n", "''')\n", "mA.check_units(myokit.UNIT_STRICT)" ] @@ -323,7 +299,7 @@ "\n", "sA = myokit.Simulation(mA)\n", "sA.set_tolerance(tol, tol)\n", - "dA = sA.run(10, log_interval=dt)" + "dA = sA.run(10, log_interval=dt).npview()" ] }, { @@ -353,9 +329,9 @@ "\n", "ax = fig.add_subplot(1, 3, 1)\n", "ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(mA.get('amp.Vm').initial_value().eval(), **kw)\n", "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", - "ax.plot(dA.time(), dA['cell.Vm'])\n", + "ax.plot(dA.time(), dA['amp.Vm'])\n", "\n", "ax = fig.add_subplot(1, 3, 2)\n", "ax.set_ylabel('Vp (mV)')\n", @@ -376,7 +352,7 @@ "id": "58c4e632", "metadata": {}, "source": [ - "### Model B: The hybrid model" + "### Model B (1, 2b, 3b, 4a)" ] }, { @@ -388,55 +364,33 @@ "source": [ "mB = myokit.parse_model('''\n", "[[model]]\n", - "cell.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", + "amp.Vm = -80 [mV]\n", + "amp.Vp = -80 [mV]\n", + "amp.Vo = -80 [mV]\n", "\n", "[engine]\n", - "time = 0 [ms]\n", - " in [ms]\n", - " bind time\n", - "\n", - "[cell]\n", - "use amp.Rs, amp.Vp\n", - "Cm = 100 [pF]\n", - " in [pF]\n", - "I = 0 [pA]\n", - " in [pA]\n", - "\n", - "# Equation 1\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", - " in [mV]\n", + "time = 0 [ms] in [ms] bind time\n", "\n", "[amp]\n", - "use cell.Vm\n", - "Vc = -20 [mV]\n", - " in [mV]\n", - "Rs = 0.01 [GOhm]\n", - " in [GOhm]\n", - "Rf = 0.025 [GOhm]\n", - " in [GOhm]\n", - "Cf = 0.3 [pF]\n", - " in [pF]\n", - "Cp = 4.5 [pF]\n", - " in [pF]\n", - "tau_amp = 0.05e-3 [ms]\n", - " in [ms]\n", + "Vc = -20 [mV] in [mV]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Cm = 100 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Ct = Cf + Cp in [pF]\n", + "tau_amp = 0.05e-3 [ms] in [ms]\n", "tau_c = tau_amp * (Cf + Cp) / Cf\n", " in [ms]\n", - "\n", - "# Equation 2b\n", - "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf)\n", + "I = 0 [pA] in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Equation 1\n", " in [mV]\n", - "\n", - "# Equation 3b\n", - "dot(Vp) = (Vc - Vp) / tau_c\n", + "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf) : Equation 2b\n", " in [mV]\n", - "\n", - "# Equation 4a\n", - "I_obs = (Vo - Vc) / Rf\n", + "dot(Vp) = (Vc - Vp) / tau_c : Equation 3b\n", + " in [mV]\n", + "I_obs = (Vo - Vc) / Rf : Equation 4a\n", " in [pA]\n", - "\n", "''')\n", "mB.check_units(myokit.UNIT_STRICT)" ] @@ -469,7 +423,7 @@ "source": [ "sB = myokit.Simulation(mB)\n", "sB.set_tolerance(tol, tol)\n", - "dB = sB.run(10, log_interval=dt)" + "dB = sB.run(10, log_interval=dt).npview()" ] }, { @@ -497,10 +451,10 @@ "\n", "ax = fig.add_subplot(1, 3, 1)\n", "ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(mA.get('amp.Vm').initial_value().eval(), **kw)\n", "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", - "ax.plot(dA.time(), dA['cell.Vm'], label='Model A')\n", - "ax.plot(dB.time(), dB['cell.Vm'], label='Model B')\n", + "ax.plot(dA.time(), dA['amp.Vm'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.Vm'], label='Model B')\n", "ax.legend()\n", "\n", "ax = fig.add_subplot(1, 3, 2)\n", @@ -532,62 +486,46 @@ "id": "45a1d294", "metadata": {}, "source": [ - "### Model C: The Weerakoon / Lei model" + "### Model C (1, 2b, 3b, 4b)" ] }, { "cell_type": "code", "execution_count": 9, - "id": "2cd4366c", + "id": "1ec11fa6", "metadata": {}, "outputs": [], "source": [ "mC = myokit.parse_model('''\n", "[[model]]\n", - "cell.Vm = -80\n", - "amp.Vp = -80\n", - "amp.I_obs = 0\n", + "amp.Vm = -80 [mV]\n", + "amp.Vp = -80 [mV]\n", + "amp.Vo = -80 [mV]\n", "\n", "[engine]\n", - "time = 0 [ms]\n", - " in [ms]\n", - " bind time\n", - "\n", - "[cell]\n", - "use amp.Rs, amp.Vp\n", - "Cm = 100 [pF]\n", - " in [pF]\n", - "I = 0 [pA]\n", - " in [pA]\n", - "\n", - "# Equation 1 (S2.10)\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", - " in [mV]\n", + "time = 0 [ms] in [ms] bind time\n", "\n", "[amp]\n", - "use cell.Vm, cell.Cm, cell.I\n", - "Vc = -20 [mV]\n", - " in [mV]\n", - "Rs = 0.01 [GOhm]\n", - " in [GOhm]\n", - "Rf = 0.025 [GOhm]\n", - " in [GOhm]\n", - "Cf = 0.3 [pF]\n", - " in [pF]\n", - "Cp = 4.5 [pF]\n", - " in [pF]\n", - "tau_amp = 0.05e-3 [ms]\n", - " in [ms]\n", + "Vc = -20 [mV] in [mV]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Cm = 100 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Ct = Cf + Cp in [pF]\n", + "tau_amp = 0.05e-3 [ms] in [ms]\n", "tau_c = tau_amp * (Cf + Cp) / Cf\n", " in [ms]\n", - "\n", - "# Equation 3b (S2.12)\n", - "dot(Vp) = (Vc - Vp) / tau_c\n", + "I = 0 [pA] in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Equation 1\n", " in [mV]\n", - "\n", - "# Equation 5 (S2.5 and S2.8)\n", - "dot(I_obs) = (I + Cm * dot(Vm) + Cp * dot(Vp) - I_obs) / (Rf * Cf)\n", + "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf) : Equation 2b\n", + " in [mV]\n", + "dot(Vp) = (Vc - Vp) / tau_c : Equation 3b\n", + " in [mV]\n", + "I_obs = (Vo - Vp) / Rf : Equation 4b\n", " in [pA]\n", + "\n", "''')\n", "mC.check_units(myokit.UNIT_STRICT)" ] @@ -601,7 +539,7 @@ "source": [ "sC = myokit.Simulation(mC)\n", "sC.set_tolerance(tol, tol)\n", - "dC = sC.run(10, log_interval=dt)" + "dC = sC.run(10, log_interval=dt).npview()" ] }, { @@ -629,11 +567,11 @@ "\n", "ax = fig.add_subplot(1, 3, 1)\n", "ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(mA.get('amp.Vm').initial_value().eval(), **kw)\n", "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", - "ax.plot(dA.time(), dA['cell.Vm'], label='Model A')\n", - "ax.plot(dB.time(), dB['cell.Vm'], label='Model B')\n", - "ax.plot(dC.time(), dC['cell.Vm'], label='Model C')\n", + "ax.plot(dA.time(), dA['amp.Vm'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.Vm'], label='Model B')\n", + "ax.plot(dC.time(), dC['amp.Vm'], label='Model C')\n", "ax.legend()\n", "\n", "ax = fig.add_subplot(1, 3, 2)\n", @@ -662,7 +600,117 @@ "source": [ "Like in model B, $V_p$ does not overshoot in model C.\n", "\n", - "Because of how we chose the initial conditions, $I_\\text{obs}$ is non-zero at the first simulation point in models A and B, but zero in model C." + "Because of how we chose the initial conditions, $I_\\text{obs} = (V_o - V_c) / R_f$ is non-zero at the first simulation point in models A and B.\n", + "But since model C uses $V_o - V_p$ we get an initial $I_\\text{obs}$ of 0." + ] + }, + { + "cell_type": "markdown", + "id": "3c33ed65", + "metadata": {}, + "source": [ + "### Model C: (1, 3b, 5)\n", + "\n", + "Just to check our maths, we can implement a model C using the Lei et al. formulation of (1, 3b, 5)." + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "id": "618d13d0", + "metadata": {}, + "outputs": [], + "source": [ + "mD = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vm = -80 [mV]\n", + "amp.Vp = -80 [mV]\n", + "amp.I_obs = 0 [pA]\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "\n", + "[amp]\n", + "Vc = -20 [mV] in [mV]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "Cm = 100 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Ct = Cf + Cp in [pF]\n", + "tau_amp = 0.05e-3 [ms] in [ms]\n", + "tau_c = tau_amp * (Cf + Cp) / Cf\n", + " in [ms]\n", + "I = 0 [pA] in [pA]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Equation 1 (S2.10)\n", + " in [mV]\n", + "dot(Vp) = (Vc - Vp) / tau_c : Equation 3b (S2.12)\n", + " in [mV]\n", + "dot(I_obs) = (I + Cm * dot(Vm) + Cp * dot(Vp) - I_obs) / (Rf * Cf) : Equation 5 (S2.5 and S2.8)\n", + " in [pA]\n", + "''')\n", + "mD.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "d6729c21", + "metadata": {}, + "outputs": [], + "source": [ + "sD = myokit.Simulation(mD)\n", + "sD.set_tolerance(tol, tol)\n", + "dD = sD.run(10, log_interval=dt).npview()" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "d0c536f7", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 4))\n", + "fig.subplots_adjust(wspace=0.4)\n", + "\n", + "ax = fig.add_subplot(1, 3, 1)\n", + "ax.set_ylabel('Vm (mV)')\n", + "ax.plot(dC.time(), dC['amp.Vm'] - dD['amp.Vm'], 'k', label='Model C - Model D')\n", + "ax.legend()\n", + "\n", + "ax = fig.add_subplot(1, 3, 2)\n", + "ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dA.time(), dC['amp.Vp'] - dD['amp.Vp'], 'k')\n", + "ax = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", + "ax.plot(dA.time(), dC['amp.Vp'] - dD['amp.Vp'], 'k')\n", + "ax.set_xlim(-0.005, 0.05)\n", + "\n", + "ax = fig.add_subplot(1, 3, 3)\n", + "ax.set_ylabel('I obs (pA)')\n", + "ax.plot(dA.time(), dC['amp.I_obs'] - dD['amp.I_obs'], 'k')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "2065123f", + "metadata": {}, + "source": [ + "The output is the same to within the simulation tolerance, suggesting that both formulations are equivalent." ] }, { @@ -678,13 +726,13 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 15, "id": "584780c1", "metadata": {}, "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA5AAAAKrCAYAAACHhmL+AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOzdeXxU9bn48c8z2fcdAgQIhH0HAUGtVamKe622xd6Kt+29aqu3y6/trfbeuvTW233R1qvV1qqtRa1ai4oVi1p3BZFV9j1sCYGE7MnMPL8/5kwYwiSZJLMled6v17wyc+acOU8g+eZ8z/f5Pl9RVYwxxhhjjDHGmK64Yh2AMcYYY4wxxpi+wTqQxhhjjDHGGGNCYh1IY4wxxhhjjDEhsQ6kMcYYY4wxxpiQWAfSGGOMMcYYY0xIEmMdQDQUFhZqaWlprMMwxgTxwQcfHFHVoljHEW+s3TImvlnbdSprt4yJb+FqtwZEB7K0tJRVq1bFOgxjTBAisifWMcQja7eMiW/Wdp3K2i1j4lu42i1LYTXGGGOMMcYYExLrQBpjjDHGGGOMCYl1II0xxhhjjDHGhGRAzIE08am1tZXy8nKamppiHYqJgtTUVEpKSkhKSop1KMb0mLVbA4+1Xaavs3Zr4Il0u2UdSBMz5eXlZGVlUVpaiojEOhwTQapKVVUV5eXljBo1KtbhGNNj1m4NLNZ2mf7A2q2BJRrtlqWwmphpamqioKDAGrMBQEQoKCiwu5+mz7N2a2Cxtsv0B9ZuDSzRaLesAxngYE0jj76zG1WNdSgDhjVmA4f9X/c9x5tauf+fO3j6g3K8XmsX/exneWCx/+++we3x8sd397B07QG7jgvCfo4Hlkj/f1sKa4C7/7GNx1fuY8bwXKaV5MY6HGOMiRmvV/nSwytZufsYAJsPHee/LpkU46iMMV0RkeHAo0Ax4AUeUNW7RSQfeAIoBXYDn1HVY84xtwJfAjzAV1X1JWf7acDDQBqwDPiaxmnv7DevbudX/9gGQGOLm8/OGRHjiIzpv2wEMsDOI/UAbDp4PMaRmGgREa699tq21263m6KiIi699NJufU5paSlHjhzp8T4ffvghIsJLL73UrfMC7N69GxHhe9/7Xtu2I0eOkJSUxM0339ytz8rMzOzxPgkJCcyYMYPp06cza9Ys3n777W6d28SX5R8dYuXuY/zoU1P5l9NH8OAbu/hgz7FYh2Wwdqs9a7dO4Qa+qaoTgXnATSIyCbgFWKGqY4EVzmuc9xYBk4GFwP+JSILzWfcB1wNjncfCaH4joWpq9fDI27tZMGEQs0fm8at/bKPV4411WCaAtVsn6+vtlnUgAxRlpQCwvaIuxpGYaMnIyGDDhg00NjYC8PLLLzNs2LCox7FkyRLOOusslixZ0qPjR48ezfPPP9/2+i9/+QuTJ08OV3ghSUtLY82aNaxdu5Yf/vCH3HrrrVE9vwmvP7+/j5K8ND49ezjfvXgihZkp3LNiW6zDMli7FU79sd1S1YOqutp5XgtsAoYBVwCPOLs9AnzSeX4F8LiqNqvqLmA7MFdEhgDZqvqOM+r4aMAxceXtHUc41tDK4jNK+cq5ZRysaeKVzRWxDssEsHYrfOKh3bIOZAD/HB/rQA4sF110ES+88ALga1iuueaatveOHj3KJz/5SaZNm8a8efNYt24dAFVVVVxwwQXMnDmTG2644aT5Fn/605+YO3cuM2bM4IYbbsDj8XR6flXlqaee4uGHH2b58uU9mvSclpbGxIkTWbVqFQBPPPEEn/nMZ9re37NnDwsWLGDatGksWLCAvXv3ArBr1y7mz5/PnDlzTrqjBvDTn/6UOXPmMG3aNG6//fZuxXP8+HHy8vK6/X2Y+FDT0Mrb249wybQhJLiEjJREFs8fyT+3VrK9ojbW4Rms3bJ2KzQiUgrMBN4DBqvqQfB1MoFBzm7DgH0Bh5U724Y5z9tvb3+O60VklYisqqysDPv3EIp3dx4lOcHF6aPy+djYInLTk/j7hkMxicV0zNqt/tNuRXQOpIgsBO4GEoDfqeqP2r0vzvsXAw3Av6rqahFJBV4HUpwYn1LV251j7gD+HfC3Ut9V1WXhiLfV4/uh3FFZH46PM91w53Mb+ehAeFOHJw3N5vbLur4rtGjRIr7//e9z6aWXsm7dOr74xS/yxhtvAHD77bczc+ZMnn32WV555RUWL17MmjVruPPOOznrrLO47bbbeOGFF3jggQcA2LRpE0888QRvvfUWSUlJfOUrX+Gxxx5j8eLFHZ7/rbfeYtSoUZSVlXHOOeewbNkyPvWpT3X7+120aBGPP/44xcXFJCQkMHToUA4cOADAzTffzOLFi7nuuut46KGH+OpXv8qzzz7L1772Nb785S+zePFi7r333rbPWr58Odu2beP9999HVbn88st5/fXXOfvsszs8f2NjIzNmzKCpqYmDBw/yyiuvdPt7MPHhvV1VuL3KJyYObtv2L6eP4J4V2/jLB+XcetHEGEYXP6zdsnYrnolIJvA08HVVPd5JUY1gb2gn20/eoPoA8ADA7NmzYzI/8r2dVcwYnktqki/z9hMTB/PyR4fxehWXy4rHBLJ2y9qtcIjYCKSTP38vcBEwCbjGybMPdBEn8uqvx5drD9AMnKeq04EZwEIRmRdw3C9VdYbzCEvnEcDt9eXL7zvWQFNr53cxTP8xbdo0du/ezZIlS7j44otPeu/NN99sy9k/77zzqKqqoqamhtdff53Pf/7zAFxyySVtd39WrFjBBx98wJw5c5gxYwYrVqxg586dnZ5/yZIlLFq0CPA1Sj1Nq1i4cCEvv/wyS5Ys4bOf/exJ773zzjt87nOfA+Daa6/lzTffBHyNqf8OYODchOXLl7N8+XJmzpzJrFmz2Lx5M9u2dZ6+6E+p2Lx5M3//+99ZvHixVcLroz7cV01SgjB1WE7btoLMFD42tpDn1x60iqxxwNota7c6IyJJ+DqPj6nqM87mw05aKs5Xf45nOTA84PAS4ICzvSTI9rji8SqbDtUyffiJ9urMMQXUNLbykdW0iCvWbvWfdiuSI5Bzge2quhNARB7Hl2f/UcA+VwCPOrn174pIrogMcVIr/HmkSc4j4v8ybmcEUhV2VtYzaWh2pE9pHKHcuYqkyy+/nG9961u89tprVFVVtW0P9gvpv4sb7G6uqnLdddfxwx/+MKTzejwenn76aZYuXcpdd93VtvhrbW0tWVlZbfv99a9/5c477wTgd7/7HbNnzz7ls5KTkznttNP4+c9/zsaNG3nuuec6PG9g7B19H7feeis33HBDSN9He/Pnz+fIkSNUVlYyaNCgrg8wceXDvceYNCS77W6+3+UzhvKNJ9ayeu8xZpfmxyi6+GHtlrVb8cjJ7vo9sElVfxHw1lLgOuBHzte/BWz/s4j8AhiK76b++6rqEZFa5wb+e8Bi4NdR+jZCtqeqnha3l/HFJ67Z5o8uBOCdHVVMCbgRZqzdsnYrPCI5B7KjnPqQ9hGRBBFZg+8O2cuq+l7AfjeLyDoReUhEgib+9iQnv9XjJTc9CYBtNs9nQPniF7/IbbfdxtSpU0/afvbZZ/PYY48B8Nprr1FYWEh2dvZJ21988UWOHfNVp1ywYAFPPfUUFRW+G7tHjx5lz549HZ73H//4B9OnT2ffvn3s3r2bPXv2cNVVV/Hss8+etN+VV17JmjVrWLNmTdDGzO+b3/wmP/7xjykoKDhp+xlnnMHjjz8OwGOPPcZZZ50FwJlnnnnSdr8LL7yQhx56iLo6332c/fv3t31Podi8eTMej+eUOEz883iVdeU1zBxxatN6/qRikhNcvLTR5hbFA2u3rN3qwJnAtcB5IrLGeVyMr+N4vohsA853XqOqG4En8d3g/ztwk6r607C+DPwOX2GdHcCLUf1OQrD1sO96bdzgExUri3NSGVWYwXu7qjo6zMSItVv9o92K5AhkKLnzHe7jNF4zRCQX+KuITFHVDfjSXP/H2e9/gJ8DXzzlQ3qQk+/2KuMGZfHB3mNWSGeAKSkp4Wtf+9op2++44w6+8IUvMG3aNNLT03nkEV8Bu9tvv51rrrmGWbNm8fGPf5wRI3zrTU2aNIkf/OAHXHDBBXi9XpKSkrj33nsZOXJk0PMuWbKEK6+88qRtV111Fffdd99JKQ6hmjx5ctBqYPfccw9f/OIX+elPf0pRURF/+MMfALj77rv53Oc+x913381VV13Vtv8FF1zApk2bmD9/PuArJf2nP/2p07tb/px88N1Re+SRR0hISOhwfxOf9h1toKHFEzQDIzMlkdNH5/PK5gpbEzIOWLtl7VYwqvomwa+vABZ0cMxdwF1Btq8CpoQvuvDbdth3vTZm0MlLHswckcvrW4+gqhFfVN2Eztqt/tFuSaRyZkVkPnCHql7ovL4VQFV/GLDPb4HXVHWJ83oLcI6/SljAfrcD9ar6s3bbS4HnVbXTxm327Nnqr5bUmct/8yZ56cnsO9rAuMFZ3H/taSF8p6anNm3axMSJVoxjIAn2fy4iH6hqx7f5BqhQ261wW7HpMF96ZBVPf/kMTht56ijkQ2/u4vvPf8Tr3z6XEQXpUY8v1qzdGpis7QpNLNqt/3xqLa9tqeT9//rESdsfeXs3ty/dyFu3nMew3LSoxhRvrN0amCLZbkUyhXUlMFZERolIMr5Fape222cpsFh85gE1qnpQRIqckUdEJA34BLDZeT0k4PgrgQ3hCrjVoyQluBgzKNNSWI0xA9JOpwp1WVFG0PfPneC7K/rqFltjzRgTe/urGxmWd2oHcVqJb+7jun3VUY7ImP4vYh1IVXUDNwMv4VvE9klV3SgiN4rIjc5uy4Cd+HLrHwS+4mwfArwqIuvwdURfVlX/qp0/EZH1znvnAt8IV8xuj5ekBGHs4Ez2VDXQ4vaG66ONMf2UiKSKyPsislZENorInUH2OUdEagLmI90Wi1hDsaOyjoKMZHLTk4O+P6owg1GFGbxmHUhjTBwoP9YYdIRx4pBsEl3C2vKaGERlTP8W0XUgnSU2lrXbdn/AcwVuCnLcOnwL3wb7zO4nKofI41USXMLYQVm4vcqeqnrGDs7q+kDTYzY3YeDoD6XxO+BfdqjOKZ3/poi8qKrvttvvDVW9NAbxdcvOynpGdzD66HdGWQHPfrifVo+XpIRIJrLEJ2u3BpZ+3Hb1eV6vcrC6iYVTik95LzUpgQlDslhXXh39wOKQtVsDS6TbrYH3l78TCrhE2iZib7NCOhGVmppKVVWV/XEeAPzlslNTU2MdStipT9SXHYqUnUfqGV2Y2ek+88sKqG/xsH7/wLuzb+3WwNKf267+oLKumRaPl5K84POxJw3JZvOh2gH/+2rt1sASjXYroiOQfY3/F6usKBMRp7LX1C4OMj1WUlJCeXk5oS6zYvq21NRUSkpKut6xDxKRBOADYAxwb7tlh/zmi8hafAtxf8spnd/+c64HrgfaKs1FU1OrhyN1zUHnEwWaN9pXLvydHVXMCrLcR39m7dbA05/brr6u/FgDACUdFMkZX5zNk6vKqaxrZlDWwL0JYO3WwBPpdss6kAEUEIG05ARK8tLYXmkjkJGUlJTEqFGjYh2GMb3WybJDfquBkU6a68XAs/gW627/Od1efiicDtU0ATC0i4qFhZkpjB+cxbs7q7jp3DHRCC1uWLtlTPzYX915mzWx2DcNacuh2gHdgbR2y4SbpbC2488OHzsoi22HrRKrMSZ0qloNvAYsbLf9uD/N1ZkbniQihVEPsAsHahoBGJrT9YXW/LICVu4+SrPb0+W+xhgTCZW1zQAMykoJ+v74gA6kMSZ8rAMZIDA1fMygTHYeqcftsUqsxpiOdbbsUMA+xeJULxCRufja3qooh9qlA13czQ80v6yAplYva/cNvHmQxpj4cKSumUSXkJOWFPT9gswUCjNT2GwdSGPCyjqQ7fgrVI0ZlEmL28u+Y40xjsgYE+eCLjvUbsmiq4ENzhzIe4BFGofVDA5W+9q74hBGIOeNKkDENw/SGGNi4UhtMwWZybhcHVcXnVCcZSOQxoSZzYEMoAGFE8f6K7EermVUYecl7Y0xA1dHyw61W7LoN8BvohlXTxyoaaQwM5nUpIQu981JT2JicTYrdx+NQmTGGHOqI3XNFGYGT1/1m1CcxR/f3dO2VJsxpvdsBLIdf9PiX8rDCukYYwaKA9VNDMnpOn3Vb05pHqv3HrNUf2NMTBypa6Gog/mPfuOLs2h2e9ldVR+lqIzp/6wDGSAwoSwrNYkhOam+pTyMMWYAqKxt7rAYRTCzS/NpaPGw6aClhxljoi+UEUh/IR27njMmfKwDGUCVE0OQ+Bodm3htjBkoQrkYCzS71LcGpKWxGmOiTVWpqmvpss0aXeTLKNthGWXGhI11INuRgB7khOJstlfU0mrpWcaYfs7rVY7Wt1CQmRzyMUNy0ijJS2PVHutAGmOi63ijmxaPl8Iu2qzMlESKs1PZUWEdSGPCxTqQnZhQnEWrR9lZaXnzxpj+raaxFbdXuzUCCTCnNJ+Vu48Rh0VljTH9WGWdbw3IruZAgq+uhY1AGhM+1oFsRwJSWCcM8eXNbz50PEbRGGNMdFTV+y7GCrsxBxJ8aayVtc3sqWqIRFjGGBPUsYYWAPLSu86aKCvKYEdlvd3oMiZMrAMZoH3DMrowk6QEsQIRxph+r7LWdzFWmBF6CivA3NJ8wOZBGmOiq6ahFYDc9KQu9y0blElds5vDx5sjHZYxA4J1IAO0q6FDcqKLsqJMttgIpDGmn+vpCGRZUSa56Ums2n0sEmEZY0xQ1Y2+DmROWtcdyDFWSMeYsIpoB1JEForIFhHZLiK3BHlfROQe5/11IjLL2Z4qIu+LyFoR2SgidwYcky8iL4vINudrXnhjPvn1BKvEaowZAKrqfCOQBd0cgXS5hNkj81hphXSMMVFU43Qgc9NCSGEdZB1IY8IpYh1IEUkA7gUuAiYB14jIpHa7XQSMdR7XA/c525uB81R1OjADWCgi85z3bgFWqOpYYIXzOiyCpcZPGJLNwZomqp1ce2OM6Y+O1DXjktDmE7U3uzSfnZX1VNVZepgxJjpqGloQgazUxC73HZSVQmZKItutEqsxYRHJEci5wHZV3amqLcDjwBXt9rkCeFR93gVyRWSI89r/W57kPDTgmEec548Anwxn0MLJQ5ATiv2FdGwU0hjTfx2payE/IwWXS7reuZ05znqQq/ZYGqsxJjpqGlvJTk0Kqc0SEcqsEqsxYRPJDuQwYF/A63JnW0j7iEiCiKwBKoCXVfU9Z5/BqnoQwPk6KNjJReR6EVklIqsqKytDClg5dQhy4pBsADYftHmQxpj+60hdc5frqXVkyrAckhNdrLJCOsaYKKlubA1p/qNfWVEGOypsWTZjwiGSHchgt4Ta99A63EdVPao6AygB5orIlO6cXFUfUNXZqjq7qKgoxGNOnQM5KCuFvPQkthy2EUhjTP9VVdfc7TUg/VISE5hRkstKK6RjjImSmsbWkCqw+pUVZXLoeBO1Ta0RjMqYgSGSHchyYHjA6xLgQHf3UdVq4DVgobPpsIgMAXC+VoQtYk7tQIoI44uzbCkPY0y/Vt3QSl43C+gEml2ax4b9NTS2eMIYlTHGBFfd0N0RSF8hnZ2VNgppTG9FsgO5EhgrIqNEJBlYBCxtt89SYLFTjXUeUKOqB0WkSERyAUQkDfgEsDngmOuc59cBfwtXwB0tLzuhOJsth2rxem0BWmPMyTqrGh2wT9CK0/HElw7WdTGKjswpzcftVT7cZ6OQxpjIO97NFNYxVonVmLCJWAdSVd3AzcBLwCbgSVXdKCI3isiNzm7LgJ3AduBB4CvO9iHAqyKyDl9H9GVVfd5570fA+SKyDTjfeR1Gp2bVThySRWOrhz1HG8J7KmNMf9BZ1Wi/jipOxwVV9aWDhVAOvyOzRuYhAit3WQfSGBN51d1MYR1ZkE6iS6wSqzFh0PPbzSFQ1WX4OomB2+4PeK7ATUGOWwfM7OAzq4AF4Y3U/9nBt08emgPAxgM1jCrMiMSpjTF9lNOOdVQ12q+t4jTwroj4K04fjGKoHaprduPxarfu5reXk5bEhOJsVtl6kMaYCPPf9OpOm5WU4GJEQbqlsBoTBpFMYe2D9JQ5kABjB2eSlCBs2G+VWI0xp+qkarRfKFWpe1Q9Ohz8C3L3pgMJvuU8Vu85htvjDUdYxpgeEJGHRKRCRDYEbLtDRPaLyBrncXHAe7c66fVbROTCgO2nich65717RIJdIcWG/6ZXd7MmyopsKQ9jwsE6kCFISUxg3OAsNh6oiXUoxpg4FELV6FCqUveoenQ4VDc4HchupIMFM6c0n/oWDx/ZskfGxNLDnCg8GOiXqjrDeSwDEJFJ+GpUTHaO+T8RSXD2vw9fyr0//T7YZ8ZET296jS7KYHdVvd3kMqaXrAMZQDX4VR7AlKE5bNhfg3aU52qMGfCCVI32C6UqdcwcD9sIZD6ALedhTAyp6utAqLnkVwCPq2qzqu7CV5NirlPlPltV33FS7x8FPhmRgHugpze9yooyafUo5ccaIxGWMQOGdSDb6ShBY0pJDscaWjlQ0xTdgIwxca2LqtF+QStORzfSjoUrhbU4J5Xh+Wms3GXzII2JQzc7VaAfEpE8Z1tH6fXDnOftt8eF485ajtmp3e1A+upYWBqrMb1jHcgAnY0tThmaDcD6cktjNcacJGjV6BArTseFaqcD2Z2Khh2ZU5rPyt1HLVvDmPhyH1CGr1L0QeDnzvaO0utDSruH2MzdrmtyA5CV2r1akKMLbSkPY8IholVY+yLpIIl14pBsElzCxgM1LJxSHOWojDHxqqOq0aFUnI4X4RqBBF8H8pnV+9l1pJ7RzsLdxpjYUtXD/uci8iDgXxqto/T6cud5++3BPvsB4AGA2bNnR+XOUV1zzzqQeRnJFGQkWyVWY3rJRiADdHbHPDUpgTFFmWzYbyOQxpj+pbqhleQEF2lJCV3v3IUT8yAtjdWYeOHMafS7EvBXaF0KLBKRFBEZha9YzvtOin2tiMxzqq8uBv4W1aA74e9AZqZ0fxxkdFGGjUAa00vWgQygdDwHEmDysGw2HLDqgsaY/qWmsZXstCTCUaW/rCiD/Ixk3t9lhXSMiQURWQK8A4wXkXIR+RLwE2dJjnXAucA3AFR1I/Ak8BHwd+AmVfU4H/Vl4Hf4Uu93AC9G9zvpWK2TwprZzRFI8BXSsRFIY3rHUljb6ezyaeqwHJ5ZvZ+K400Myk6NWkzGGBNJxxtbyUkLz58DEWH2yDwbgTQmRlT1miCbf9/J/ncBdwXZvgpovyRRXKhrdpOc4CIlsftZE2VFmTxev49j9S3kZXRvHUljjI+NQAboqubDlGE5AGyw9SCNMf1IdWMLuenhu5CaOyqfvUcbOHzcqlYbY8KvrslNRkrPUu5HO5VYdx6xNFZjeso6kO10lsI1cUg2IrC+3NJYjTH9R01ja1gK6PjZPEhjTCTVNbt7lL4KvhFIgB2WxmpMj1kHMkBXZeczUxIZVZjBeiukY4zpR8LdgZw0NJu0pARbD9IYExG1TW4yU3rWZpXkpZGc4LJCOsb0gnUgA4RSe3pGSS5ry6ttjTNjTL9R1+Tudjn8ziQluJg1MpeVu62QjjEm/Oqb3WT1oAIrQGKCi5EF6eyosBFIY3rKOpDtdFWEcMaIXCprm9lf3RidgIwxJoJUlbpmNxk9vBjryJzSfDYdOs7xptawfq4xxvQmhRWcSqw2B9KYHrMOZKAQBhVnDM8FYM2+6oiGYowx0dDs9tLq0R6tp9aZOaX5qMIHe2wU0hgTXnXN7l61WWWDMthb1UCrxxvGqIwZOCLagRSRhSKyRUS2i8gtQd4XEbnHeX+diMxytg8XkVdFZJOIbBSRrwUcc4eI7BeRNc7j4rDG3OlCHjChOJvkRBdr9laH87TGGBMT/gW5w5nCCjBzRC5JCcJ7O20epDEmvGqbejcCObowE7dX2Xu0IYxRGTNwRKwDKSIJwL3ARcAk4BoRmdRut4uAsc7jeuA+Z7sb+KaqTgTmATe1O/aXqjrDeSwLV8yhzGpMTnQxZWi2jUAaY/qFOv+C3GEegUxPTmTG8Fze2XEkrJ9rjDF1za09ngMJUDbIqcRaYWmsxvREJEcg5wLbVXWnqrYAjwNXtNvnCuBR9XkXyBWRIap6UFVXA6hqLbAJGBbBWHHO1eUcSIAZw/NYv7/GUh+MMX2efwQy3B1IgPmjC1i/v8bmQRpjwqbV46Wp1durNsu/FqQt5WFMz0SyAzkM2BfwupxTO4Fd7iMipcBM4L2AzTc7Ka8PiUhesJOLyPUiskpEVlVWVoYcdAj9R2aMyKXZ7WXLodqQP9cYY+JRWwcyzCmsAPPLCvEqvG9prMaYMKkPQ5uVnZpEUVYKO20pD2N6JJIdyGB9sfZZop3uIyKZwNPA11X1uLP5PqAMmAEcBH4e7OSq+oCqzlbV2UVFRSEFHOrCHDOdQjofWhqrMQNeZ3O2A/Y5R0RqAuZu3xaLWIPxp7Bm9XBNtc7MHJFLcqKLd3ZWhf2zjTEDU22Y0u7LijJsLUhjeiiSHchyYHjA6xLgQKj7iEgSvs7jY6r6jH8HVT2sqh5V9QIP4kuVDZtQUlhL8tIoyEi2QjrGGOh6zrbfGwFzt78f3RA75h+BzEhJCPtnpyYlMHtkHm/vsA6kMSY8wpV2P7ookx2V9bautzE9EMkO5EpgrIiMEpFkYBGwtN0+S4HFTjXWeUCNqh4UEQF+D2xS1V8EHiAiQwJeXglsCFfAobYhIsKM4bms2Wfl6Y0Z6GI1ZztcaiOYwgq+eZCbDh7nWH1LRD7fGDOwhCOFFXxrQdY0tnLU2iZjui1iHUhVdQM3Ay/hu6B6UlU3isiNInKjs9syYCewHd9o4lec7WcC1wLnBVmu4ycisl5E1gHnAt8IZ9wSyhAkvvUgd1TWU9NoxSGMMT4dzNn2my8ia0XkRRGZHN3IOhbJFFaAM8YUAPCupbEaY8Kgti1rovcprGCFdIzpicjccnY4S2wsa7ft/oDnCtwU5Lg36aCejapeG+YwT3x2yLMgYeYIX+2eD/ce45zxgyIVkjGmj+hgzrbfamCkqtY5N8Oexbd8UfvPuB7fkkaMGDEisgE76ppbSXAJqUmRuZ84rSSX9OQE3tlZxUVTh3R9gDHGdKI+TCmsZUW+pTx2VtYxd1R+r+MyZiCJZAprn6MaWhVW8FViTXAJH+yxNFZjBrqO5mz7qepxVa1zni8DkkSkMMh+3S7+1Vt1TW4yUxJDzr7orqQEF3NK820epDEmLBpaPACkJfVu3vbQ3DRSEl1WSMeYHrAOZHshXkNlpiQyaUg27++y8vTGDGSdzdkO2KfY2Q8RmYuv7Y2LHlVtszsia0AGOqOsgO0VdVTUNkX0PMaY/q/R6UD2NoU1wSWMKsywFFZjesA6kAG6W4drTmk+a/ZV0+L2RiQeY0yfEHTOdrv53lcDG0RkLXAPsEjjpPRffbObrAgV0PGbX+abB/mOjUIaY3rJPwKZntz7ytFlRZm2FqQxPRDZq4Y+SEJOYoU5pXk89NYu1u+v4bSReRGMyhgTrzqbsx2wz2+A30Qnou6pa3b3+k5+VyYPzSE3PYnXtx7hihl9pkCtMXFBRDKAJlX1xDqWeNDQ4kYEUhJ7PwZSVpTBixsO0uz2kJIY/qWMjOmvbAQyUDfHA2aX+iZdr9ptaazGmL7JPwcykhJcwlljCnljW6WtuWZMF0TEJSKfE5EXRKQC2AwcFJGNIvJTETmlANdA0tDiIT0pISzztssGZeJV2FPVEIbIjBk4rAMZQFG60x4VZaUwqjCDlbutkI4xpm+qbXZHbA3IQGePK6KitpnNh2ojfi5j+rhXgTLgVqBYVYer6iDgY8C7wI9E5POxDDCWGlo8pCWHp80aXXiiEqsxJnTd+g0cCGkU3b2fNac0j+UfHcbrVVyuyFQxNMaYSKlrcpMV4RFIgLPH+qrKvr61kolDsiN+PmP6sE+o6imLTKvqUXzVnp92Kj8PSI0tbjJSwpNuOtrWgjSmRzodgRxoaRQ9yayaXZpPdUOrlYE2xvRJdVGowgpQnJPK+MFZvL6tMuLnMqaP+6tz7ZXR0Q7BOpgDRUOLp9dLePhlpCRSnJ1q13DGdFNXKawDLo2iuyn1c5x5kO/bPEhj+iwRSRWRq0XkbhH5i4g8KiL/KSKTYx1bJHm8SkOLJyoprABnjytk5a5jNLS4o3I+Y/qoB4HLgF0i8oSIfFJEkmMdVLxoaPGEpQKrX9kgW8rDmO7qqgP5CVX9H1Vdp6pta1Wo6lFVfVpVrwKeiGyI0dOT0g6lBekUZqaw0taDNKZPEpE7gLeB+cB7wG+BJwE3vptkL4vItNhFGDl1zb6OXDRGIAE+NraIFo+X93Zae2lMR1T1b6p6DTASeAa4DtgrIg+JyPmxjS72GlrcpIdpDiQ4S3lU1FmBL2O6oavfwL+KyJ+Bv6lq0Nsz/SmNQlW7tYwHgIhw+uh83t151Hd8GKqCGWOiaqWq3tHBe78QkUHAiCjGEzXR7kDOHZVPSqKLf26t5NwJg6JyTmP6KlVtxHeT/gnnJtYj+DqTA3q9iYYWDwWZKWH7vLKiTGqb3VTUNjM4OzVsn2tMf9bVCOSAS6PoSf/vjLICDh1vYtcRS4Ewpg9KE5EOr0ZUtUJVV0UzoGhpcDqQ6VHqQKYmJXD66AKbB2lMCERksIj8h4i8BTwLLAdOi21UsdfY6iEjjCms4wZnAbD1sFWINiZUnXYgB1oaRU+TF84sKwTgrR1V4QvGGBMt/wLsc+Y9XiQiA+bufkOLr6B2epgKUoTi4+OK2FlZz76jtu6aMcGIyL+LyCvAamAc8J+qOlpVv6Oqa2IbXeyFcxkPgHGDfUt5bLElhowJWUjrQKpqo6o+oapXAhcAM4G/RzSyGOlJAurIgnSG5qTyzo4jYY/HGBNZTrs2BlgBfBVfZ/I+ETk7tpFFXlsHMox387tynpO6umLT4aid05g+5gzgR8BwVf0PVX0r1gHFk4Zmd1jbrILMFAozU2wE0phuCKkDOVDSKHo6f1pEmF9WyDs7qvB6bRK2MX2Nqh5X1UdU9SJgKrAG+LWI7IttZJHV2OpLYU2LYgdyVGEGo4syWLG5ImrnNKYvUdUvqOpyVfWKyDQRuVxEPuV/dHW8kyVWISIbArblOwXBtjlf8wLeu1VEtovIFhG5MGD7aSKy3nnvHomDIg+qSkNreKuwAowvzmTLYVvKw5hQdbUOZK/SKERkodMgbReRW4K8L06jtF1E1onILGf7cBF5VUQ2OWtOfi3gmA4bwbDoYft45pgCjjW0sunQ8bCGY4yJHqc9+RTwWSAf36Ld/ZZ/BDIjSnMg/T4xcTDv7qyitqnf1GAzJuxE5CHgIeAqfPUoLgMuDeHQh4GF7bbdAqxQ1bH4si1ucc4xCVgETHaO+b+ANP77gOuBsc6j/WdGXbPbi2r4b3qNG5zFtsO1NghgTIi6GoHscRqF0wDdC1wETAKucRqqQBdxomG6Hl9jBb7y+d9U1YnAPOCmgGODNoKxNr+sAIC3t9s8SGP6EhHJEpFrRWQZsAmYA/wAGKGqX49pcBHm70CGa1HuUH1i4mBaPcrrWy3t35hOzFPV2ap6nTMq+QVV/WJXB6nq60D7tXKuwFfFFefrJwO2P66qzaq6C9gOzBWRIUC2qr6jvvUtHg04JmbabnqFcQ4kwPjBWTS0eCg/1hjWzzWmv+qqiE5v0ijmAttVdaeqtgCP42uoAl0BPKo+7wK5IjJEVQ+q6monhlp8F3XDAo4J1gj2in/9n57mZwzJSWN0YQZv2zxIY/qaXfjurN+H72bZ9ar6ig6ARcEaYzAHEmDWiFxy05NsHqQxnXsnyI33nhqsqgcBnK/+dXSGAYGp+uXOtmHO8/bbTyEi14vIKhFZVVkZ2QrLDS2RSbsfV+yrxLrF5kEaE5JQ50D2JI2io0apW/uISCm+oj3vOZs6agTbx9yjBq03Gf5njCng/V1HafV4e/4hxphoG6Gq/6Kqz/VkXdvOUu4D9gmarh9rJ4roRDeFNTHBxbnjB/Hqlgrc1l4a05FH8HUitzjtxnoRWRfmcwS76tFOtp+6UfUBZ6R0dlFRUViDay9Shb/GDvJVYrVCOsaEJtSrhnmq2t27YKE0Pp3uIyKZ+OYgfV1VuzW5UFUfAB4AmD17dpcjCeEYazijrJA/vbuXtfuqmV2a3/sPNMZEnKo2AIjIpcD/AKX4FuoW39ua3cVH+FPuV4tIFvCBiLysqh8F7BOYrn86vtHO08P6jfRAQ4sbEUhNCuleYlh9YuJg/vrhflbvrWbuKGsvjQniIeBaYD3Q2zsth/0ZXk56qr+KVTkwPGC/EuCAs70kyPaYilQHMis1iWG5abaUhzEhCvWqoSdpFB01SiHtIyJJ+DqPj6nqMwH7HHYaP9o1gmEhPU5ihTPKCnAJ/HOrLZJtTB/0K3xr3eararaqZoXQeaSLlHu/oOn64Q2/+xpaPKQlJRCL4opnjyskKUEsjdWYju1V1aWquktV9/gfPfyspfjaN5yvfwvYvkhEUkRkFL6bXO87GV61IjLPqb66OOCYmGlLYU0Kf9bE+OIsG4E0JkShdiB7kkaxEhgrIqNEJBlfla+l7fZZCix20rvmATXO3TEBfg9sUtVfBDkmWCPYK+GY7JSbnszMEXm8tsU6kMb0QfuADb2Z+xgk5d4vlJT+qGtoCX85/FBlpSYxb3QBL390mF78kxvTn20WkT+LyDXdXMZjCfAOMF5EykXkS/gKIp4vItuA853XqOpG4EngI3zre9+kqh7no74M/A5fYZ0dwIth/v66rbGtcnT4261xg7PYUVln05CMCUGot3C6nUahqm4RuRl4CV862EOqulFEbnTevx9YBlyMr3FqAL7gHH6m/3wissbZ9l1VXYav0XvSaRD3Ap8O8XvoKl6gd3MgAc4ZV8TPX95KZW0zRVkpYYjMGBMl/wksE5F/As3+jUFuYgXVRcp9SPOJROR6fBWpGTFiRIhh91xjizuqa0C2d8HkYr737Aa2Hq5jvFPEwhjTJg1fW3RBwDYFngm+u7OD6jUdvLWgg/3vAu4Ksn0VMCWkSKMkUims4FsLstWj7D5Sz9jB1h4Z05lQO5B7VbX96GGXnA7fsnbb7g94rsBNQY57kw4KoqpqFR00guHQ20Suc8YP4ucvb+X1rZVcdVpJ1wcYY+LFXUAdkAokd+fATlLu/UJJ6e/23O3eamjxkB6BVLBQLZxczG1/28AL6w9aB9KYdlT1C13vNbCcqMIa/nZr3OATlVitA2lM50JNYe1RGkVfEq4rtclDsynMTOY1mwdpTF+Tr6qfUtXbVfVO/6Org7pIufcLmq4fxth7pLHVQ3oEUsFCVZSVwtzSfF5cH/N/CmPihoj8t4h0WFlKRM5zin4NOG0jkBFYu7asKBOXYIV0jAlBqLdwepRG0Rf1NoXV5RLOHlfEK5sr8HiVBFf0i1MYY3rkHyJygaou7+ZxQVPugRHQZbp+TMVyDqTfJdOGcNvfNrLN7vob47ceeE5EmoDVQCW+zIixwAzgH8D/xiy6GPJ3ICORep+alMCowgw2WwfSmC6F1IEcCGkU4azhcM74QTyzej9ry6uZNSIvfB9sjImkm4D/FJFmoJUQl/HoLOU+YJ+g6fqx1tDiIS+9W9m6YbdwcjG3L93IC+sP8nXrQBqDqv4N+JuIjMV3g2oIcBz4E3C9qjbGMr5YamzxkOASUhIjs/TQ5KE5fLDnWEQ+25j+pNPfwIGURqH4i+j0fsTw7LGFuASrxmpMH+Is2+FS1bTuLOPRlzW2uGM+AjkoO5U5I/N5cf2hmMZhTLxR1W2q+rCq/lBVf6WqLw3kziP4521HbumhSUOz2V/dyLH6loh8vjH9RVe3cPxpFCtE5Kci8p8icpuI/FFE1gOXcWq5+gEvNz2ZGcNzeXVzWJeoNMZEgLP0Rmfvi4j0y4pY8ZDCCnDx1GK2HK5le0VdrEMxxsSxhghXjp481HfPcNPB9oW0jTGBOu1AqurfVPVM4EZgI77lOPxpFHNV9Ruq2i+G2cK9DNn5k4pZv7+GA9UD+mahMX3BT0XkaRFZLCKTRWSQiIxwMiz+B3gLmBjrICOhscUT02U8/BZOGQLAMiumY4zpRKRvek0a4utAbjxgHUhjOhNSEvlASqMIV1bEBZMHA/DyR4fD84HGmIhQ1U8D3wPGA/cCbwB/A/4N2AKcp6ovxy7CyFBV6uMghRWgOCeVuaX5/G3N/rY1eY0xpr2GFk9ElvDwK8hMoTg7lY0HaiJ2DmP6g8jMQjaUFWVSVpTB8o9sXo8x8U5VP1LV/1LVc1R1vKrOVNXPqeqfVLUp1vFFQrPbi1chPYIXY91x5axh7KisZ/1+u3AzBkBEfiIi2SKS5EwlOiIin491XLHU2OomI8I3vSYPzeYjS2E1plPWgXT4b3pL58UUu+XCycW8u/MoNQ2tYftMY4wJh0Z/OfwIrKfWExdPHUJygotnVu+PdSjGxIsLVPU4cClQDowDvh3bkGKrIQpp95OGZrOjsp6mVk9Ez2NMX2YdyHbCWdjrgsnFeLzKK1ssjdUYE18anIujeEhhBchJS2LBxEE8t/YArR5vrMMxJh4kOV8vBpao6tFYBhMPGpojX/hr8tBsPF619SCN6URIHUgRGSUivxCRZ0Rkqf8R6eCiyb+MRzhNG5bD4OwUlm+0DqQxJr40trgBSE+JjxRWgCtnDqOqvoU3tvWL2mzG9NZzIrIZmA2sEJEioF+m1IeqodUd8bT7yUNzAPjICukY06FQfwufBX4PPAf061vD4VxZyOUSLphUzFMflNPU6iE1TlLFjDHBicingLMABd5U1b/GOKSIaXBSWNPjqF06Z/wg8tKT+OuHBzhvwuBYh2NMTKnqLSLyY+C4qnpEpB64ItZxxVI0KkeX5KWRlZpohXSM6USoKaxNqnqPqr6qqv/0PyIaWZRFqvDfBZMH09jq4fWtdkfdmHgmIv+Hb8mi9cAG4AYRuTe2UUVOWwcyTlJYAZITXVw6bSjLNx6itsnmjpuBTURSgS8AfxGRp4EbgOqYBhVjDS2eiBfREREmDcm2pTyM6USoHci7ReR2EZkvIrP8j4hGFiPhnAMJMG90AfkZyTy/ztY3MybOfRy4UFX/oKp/wDfv6JzYhhQ5bUV04qgDCb5qrM1ur60JaQw8CkwGfg38Bt96tH+MaUQx5PUqja2RXcbDb/LQHDYfOo7b5mMbE1Sov4VTgWuB8ziRwqrO634hUiuPJSW4uGhKMc+s3k9DS+Rz940xPbYFGAHscV4PB9bFLpzIOjECGV9t0szhuYwdlMmf39/HZ+eMiHU4xsTSeFWdHvD6VRFZG7NoYqzJ7UE1OlkT04fn8NBbXrYermPS0OyIn8+YvibUEcgrgdGq+nFVPdd5dNl5FJGFIrJFRLaLyC1B3hcRucd5f13gqKaIPCQiFSKyod0xd4jIfhFZ4zwuDvF76JR/8epwLuPhd9n0oTS2elixqSLsn22MCZsCYJOIvCYirwEfAUX9sWgYQIO/iE6cjUCKCNfMHcHafdU2B8kMdB+KyDz/CxE5HXgrhvHEVDTT7mcMzwVgbXl1xM9lTF8U6q3ntUAuEHIPSEQSgHuB8/GtX7RSRJaq6kcBu10EjHUepwP3OV8BHsaXsvFokI//par+LNRYuiPcKawAc0vzGZydwnNrD3DZ9KHhP4ExJhxui3UA0dTYGp8prACfmjWMH/19M4+/v4//+WROrMMxJqpEZD2+xKgkYLGI7HXeGoHvxtaAFM21a0fkp5ObnsTafdVcM9cyIYxpL9QO5GBgs4isBJr9G1X18k6OmQtsV9WdACLyOL7qYYGN3xXAo+ob/ntXRHJFZIiqHlTV10WktBvfS69EKoUVfNVYL502lD++s4eaxlZy0pK6PsgYExUi8hvgzz0tDCYiD+Fb6LtCVacEef8c4G/ALmfTM6r6/Z5FGz71zfFXRMcvNz2ZS6YO4dkP93PrxRPiLs3WmAi7NNYBxCP/CGRGFJYeEhGmleSyZl91xM9lTF8Uagrr7fjSWP8X+HnAozPDgH0Br8udbd3dJ5ibnZTXh0QkL9gOInK9iKwSkVWVlbGvgHrZ9KG0eLws33go1qEYY062Dfi5iOwWkR+LyIxuHv8wsLCLfd5Q1RnOI+adRzixDmRqYvx1IAGumTuC2ma3FSAzA46q7vE/8GV/XeY8cp1tA5I/7T5aWRMzSnLYeri27bzGmBM67UCKyG9E5IzApTu6sYxHsGTQ9gN9oezT3n1AGTADOEgHHVlVfUBVZ6vq7KKioi4+MnLLePhNL8lhRH46S9ceiOyJjDHdoqp3q+p8fFVYjwJ/EJFNInKbiIwL4fjXneP6lIYWD+nJCbhcEcjbD4M5pXmUFWWw5P29Xe9sTD8kIl8DHgMGOY8/ich/xDaq2In22rXTh+fiVdiw35bzMKa9rkYge3NnvhxfFUO/EqB97ymUfU6iqodV1aOqXuBBfKmyved0ICUSkyCdz/3kjKG8uf0IB2saI3IOY0zPOXf8f6yqM4HP4cu62BSmj58vImtF5EURmRymz+yVhlZPXKav+vmL6Xy4t5oN+62YjhmQvgScrqq3qeptwDzg32McU8xEu3L0tJJcANZaGqsxp+i0A9nLO/MrgbEiMkpEkoFFQPtKhkvxTRAXp9JYjap2mq8kIkMCXl6Jb8HvsInkvfirTxuOKjyzen8Ez2KM6QkRSRKRy0TkMeBFYCtwVRg+ejUw0inH/2vg2U5iiFrqfWOLJy4L6AT69OzhpCcn8Ie3dsc6FGNiQQBPwGsPkb1MiWvRTmEtykphWG4aa6wSqzGnCGkOZE/uzKuqG7gZeMnZ90lV3SgiN4rIjc5uy4CdwHZ8o4lf8R8vIkuAd4DxIlIuIl9y3vqJiKwXkXXAucA3QvxeO/8eI1pGx2dEQTqnj8rnL6v2tS0bYoyJLRE53ymEUw5cj69dKlPVz6rqs739fFU9rqp1zvNlQJKIFHawb7dS73ujocVNelJ8F6fJSUvi06eV8NzaA1TUNsU6HGOi7Q/Ae87yZXcA7wK/j21IsdPYVkQneje+ZgzPtRFIY4IIqQPZ0zvzqrpMVcepapmq3uVsu19V73eeq6re5Lw/VVVXBRx7jaoOUdUkVS1R1d8726919p2mqpd3NWLZXRHKYG3z6dnD2V3VwKo9xyJ7ImNMqL6L72bVRFW9TFUfU9X6cH24iBSLkxsvInPxtbtV4fr8nmroAyOQAP965ihaPF4ee9fmQpqBRVV/AXwBXwbYMeALqvqrmAYVQyfmQEbvxtf04TmUH2uksra5652NGUC6KqIT0Tvz8SRaA4IXTy0mIzmBv6za1/XOxpiIU9VzVfVBVe1RIZxg2RLtMi2uBjaIyFrgHmCRxkEKQmNLfM+B9BtVmMGCCYN47L09NLV6uj7AmH5EVVer6j3OlKIPYx1PLEU7hRVgdmk+AB/s6XN10oyJqK5GICN6Zz6e+K/mIj25ID05kUumDeH5dQepb7bS0Mb0dcGyJdplWvxGVSer6nRVnaeqb8c6ZjhRhbUv+OJZozhS18JzVsXamAGrocVDoktITgx1BbremzI0h5REFyt3W9aYMYG6KqLTqzvzfVGkqrAG+vTs4TS0eHh+nV0MGWNio7HVQ1qUqhn21hllBYwfnMXv39xl88eNGaBikXafnOhixvBcVu0eMJfBxoQkerdx4lw0L0pmj8xj3OBM/vjuHrsYMsbEhK+ITt8YgRQRrj97NJsP1bJiU0WswzGmz3KWZVsvImtEZJWzLV9EXhaRbc7XvID9bxWR7SKyRUQujF3ksUu7n1Oaz4YDx9tSaI0x1oE8RRQGIBERrp1fyob9x/nQqnsZY2KgodlDehSrGfbW5TOGUpKXxm9e3W433ky/JiK1InI8yKNWRMKxqv25qjpDVWc7r28BVqjqWGCF8xoRmYRvCbbJwELg/0QkZo1GQ6uHjBhkTcwuzcPjVdbsrY76uY2JV9aBdET7cuTKmcPITEnkj+/sifKZjTEDnarS0Np35kACJCW4+PI5ZazZV81b22NexNaYiFHVLFXNDvLIUtXsCJzyCuAR5/kjwCcDtj+uqs2qugvfkmtzI3D+kDQ0u2Ny02vWyDxEsHmQxgSwDmQ70VqhNzMlkatmDeOFdQc5UmfloY0x0dPi8eLxKul9ZA6k39WnlTA4O4XfvLot1qEY01cpsFxEPhCR651tg/1LojlfBznbhwGBJePLnW0xUR+jtWuzU5OYUJzNKqvEakwb60A6YpERde38kbR4vDyx0pb0MMZEj39B7rQ+MgfSLyUxgevPLuPdnUetqIUxPXOmqs4CLgJuEpGzO9k32D31U66WROR6EVklIqsqKyvDFecpGltil3Y/pzSP1XuO4fZ4Y3J+Y+KNdSAd6m8TozEJ0jFmUBZnlBXwp3f30GqNkjEmStoW5O5DKax+18wdTkFGMr/6h41CGtNdqnrA+VoB/BVfSuphERkC4Hz1V6oqB4YHHF4CnFI+XlUfUNXZqjq7qKgoYrHXt8RmDiT41oOsb/Gw8UA4pqAa0/dZB7Kd6HUfff7tY6M4WNNk65sZY6LG34GMdkn8cEhPTuTL55Tx5vYjvLX9SKzDMabPEJEMEcnyPwcuADYAS4HrnN2uA/7mPF8KLBKRFBEZBYwF3o9u1Cc0NLtj1mbNH10AwFs7rM0xBqwDeUKMivqdM24Q4wZn8tt/7rTKgsaYqGhsG4HsW3Mg/T4/byRDc1L5yUtbrN00JnSDgTdFZC2+juALqvp34EfA+SKyDTjfeY2qbgSeBD4C/g7cpKqemESOvwprbDqQRVkpjB+cxdtWwMsYwDqQp4hiBisALpdww9llbDlcy2tbIzd3wBhj/PzrmfXFFFaA1KQEvn7+ONbuq+aljYdjHY4xfYKq7lTV6c5jsqre5WyvUtUFqjrW+Xo04Ji7VLVMVcer6ouxi96/9FDsbnqdMaaAlbuP0tQasz60MXHDOpCOWN7Dvmz6UIbkpPLbf+6IYRTGmIGiobXvprD6fWrmMMqKMvjZ8i14vDYKaUx/1urx0uLxkh7Dwl9nlhXS7Payeq8t52GMdSAd/iwoifosSEhOdPGls0bx7s6jfGgNkzEmwhr7cBEdv8QEF9++cDzbK+p4cpVVsjamP2sr/BXDEcjTR+eT4BKbe20MEe5AishCEdkiIttF5JYg74uI3OO8v05EZgW895CIVIjIhnbH5IvIyyKyzfmaF96Yw/lpoVs0dwS56Un8+pXtsQnAGDNg+C/GYlXRMFwunFzMnNI8fvbSFmoaW2MdjjEmQuIh7T4rNYnpJTm8ZfMgjYlcB1JEEoB78a01NAm4RkQmtdvtInxVvcYC1wP3Bbz3MLAwyEffAqxQ1bHACud1r2lMk1ghMyWRf//YaF7ZXGHpEcaYiPJfjPXlFFYAEeH2yyZztKGFu21ZD2P6rfrm+MiaOHNMIevKqzneZDeszMAWyRHIucB2Z9J2C/A4cEW7fa4AHlWfd4Fc/1pEqvo6EGyl6CuAR5znjwCfDGfQMRqABOBfzyglPyOZX768NYZRGGO6o6NsiYD3O8y0iJW+vA5ke1OG5bBozggefWc32ytqYx2OMSYCGuMka+LMMYV4FavGaga8SHYghwGBE1PKnW3d3ae9wap6EMD5OqiXceL7rHB8Su9kpCRy48dH88a2I6zcHazvbIyJQw8TPFvCr7NMi5jwdyBTE/t+BxLgWxeMIy05gTuf+8iW9TCmH6qPgxRWgNNG5pGVksirmytiGocxsRbJDmSwwbz2f9lD2adnJxe5XkRWiciqysqul8fwnzRWcyD9rp1XSmFmCj9fbuubGdMXdJIt4ddhpkWsNLa4SUtKwOWKcYMXJgWZKfy/88fxxrYjPL/uYKzDMcaEWdscyBgW0QFISnBx9rgiXt1SYddoZkCLZAeyHBge8LoEONCDfdo77L/4cr4GvQ2kqg+o6mxVnV1UVBRy0LGowhooLTmBm88t492dR3lti60LaUw/0JNMi4hqaPHE/E5+uF07byTTSnK4Y+lGjtW3xDocY0wYxVPa/XkTBlFR28zGA8djHYoxMRPJDuRKYKyIjBKRZGARsLTdPkuBxc4coXlAjT89tRNLgeuc59cBfwtHsPF0J+lzp49kVGEGP3jhI1o93liHY4zpnZAzLbqbOdFTjS2ePl9Ap73EBBc/+tQ0qhtbuWvZpliHY4wJo4Y4KaIDcM74IkRgxSZLYzUDV8Q6kKrqBm4GXgI2AU+q6kYRuVFEbnR2WwbsBLYDDwJf8R8vIkuAd4DxIlIuIl9y3voRcL6IbAPOd16HTxxkdCUnurj1ognsqKzn8ff3xjocY0zvhJxp0dPMie7qjyOQAJOGZnPD2aN56oNy3txma7UZ01/450DGuogO+FLmZwzP5ZUt1oE0A1dE14FU1WWqOk5Vy1T1Lmfb/ap6v/NcVfUm5/2pqroq4NhrVHWIqiapaomq/t7ZXqWqC1R1rPM1LNVm4mgAEoDzJw1m3uh8fvmPbVYu2pi+rSeZFhHV0OohLQ4uxCLhqwvGMrowg+88vc7aTmP6CX8Ka7xkTpw3fhBr91VTWdsc61CMiYmIdiD7ojgYgAR865v99yWTONbQwj22vpkxcStYtkSomRax0tjiJiNOLsTCLTUpgZ9+ejoHaxq5Y+nGWIdjjAmDhhY3CS4hJTE+LlvPm+hbAOCVzYdjHIkxsREfv4kmKP/6Zn94ezcbD9TEOhxjTBDBsiVCzbSIlf6awup32sg8bj5vLM+s3s/z67qqy2aMiXf1zR7SkxKQWJfKd0waks3w/DSWrT8U61CMiQnrQDr8Kazx0jj53bJwAnnpSXz3rxvweOMsz9YY0yf5iuj0zxRWv6+eN4YZw3P57jPrOVDdGOtwjDG90NjiIT0lfm56iQgXTx3CW9uPUN1gVZ/NwGMdyHbiq/sIOelJfO/SSazdV81j7+2JdTjGmH6gvsVNelL8XIxFQmKCi199dgZur/LVJR9aRWtj+rD6FndcFNAJdMnUIbi9yvKNlsZqBh7rQDo0eFX9uHD59KGcNaaQn/x9Cwdr7E66MaZ3GuLsbn6klBZm8KOrprFqzzF+uGxzrMMxxvRQfbM77tqsqcNyGJ6fxgvrY1oTzZiYsA5kO3GWwQr4UiXuunIKHq/y7b+sw2uprMaYHlJVGlo8cXc3P1Iunz6UL5xZykNv7eK5tTYf0pi+qLbJTVZKUqzDOImlsZqBzDqQjnhbxqO9kQUZ/NclE3lz+xEefWd3rMMxxvRRzW4vHq/G3d38SPruxROZPTKP7zy9jq2Ha2MdjjGmm2qb3GSnxd9NL38a60sbrZiOGVisA+nw9x/jcQTS719OH8E544v44Yub2V5RF+twjDF9kH89tf4+BzJQUoKLe/9lFhkpiXzpkZUcqbO124zpS443tZKVGl8jkOBLYx1VmMEzq/fHOhRjoso6kO1I3JXROUFE+MlV00hLTuDrT3xIs9sT65CMMX1MQ4sbgPSU+LubH0mDs1P53eLZVNY282+PrKKp1dpPY/qK2iY3Wanx12aJCFefVsJ7u46yt6oh1uEYEzXWgXRovOewOgZlp/Ljq6axYf9x/uf5j2IdjjGmj/GPQA6UOZCBpg/P5e5FM1lbXs3XH19j88mN6QM8XqWu2R2XI5AAV84chgg8tbo81qEYEzXWgWwnnlNY/S6cXMz1Z4/mT+/u5dkPLW3CGBO6+mb/COTASWENdOHkYv77kkn8feMh7nxuY5+5eWjMQFXntFnZcTgCCTA0N42zxhTy9AfldlPKDBjWgXT0tV/5/7xwPHNL87n1mfVWFMIYE7KBOAeyvS+eWcq/f2wUj7yzhx//fYt1Io2JY8cbWwHIjtMRSIBPzx7O/upG3tlZFetQjIkK60A6+tr1Q2KCi998biaZqVYUwhgTOv8IZMYAmwMZSET47sUT+ZfTR3D/P3fw61e2xzokY0wHapt8bVY8zoH0u2DSYLJTE1ny/t5Yh2JMVFgHsh3pCzmsjkHZqTzoFIW4/lErCmGM6Vqj006kJw/cEUjwtfX/c8UUPjVrGL94eSv3vrrdRiKNiUO1Tc4IZFr8jkCmJiXw6dnD+fuGQxw+3hTrcIyJOOtAtumbFw4zhufyy8/MYPXear791DrLvzfGdKq+2SmiM4BHIP1cLl9l60/OGMpPX9rCj/6+2TqRxsSZvjACCbB4/kg8qjz27p5Yh2JMxEW0AykiC0Vki4hsF5FbgrwvInKP8/46EZnV1bEicoeI7BeRNc7j4rDGHM4Pi5KLpg7hOwsn8NzaA3z/+Y/sAsgY0yH/Mh5pA3wE0i8xwcUvPjODfzl9BL/9507+69kNeOxGnDFx42h9CwB56ckxjqRzIwsyWDBhEH9+f68ts2b6vYh1IEUkAbgXuAiYBFwjIpPa7XYRMNZ5XA/cF+Kxv1TVGc5jWTji7et9rhs/PpovnTWKh9/ezc+Wb4l1OMYMKCHcLDtHRGoCbnzdFos44cQI5EAuotOeyyX84JNT+PI5Zfz5vb3c/OfVNLbYBaAx8aDSqfFQlJUS40i6dt0ZpRypa+G5tQdjHYoxERXJEci5wHZV3amqLcDjwBXt9rkCeFR93gVyRWRIiMeGlb//2IemQJ5ERPjvSyZyzdwR3PvqDu591YpCGBMNId4sA3gj4MbX96MaZICGFjcpiS4SE2wGQyAR4TsLJ/Dfl0zk7xsP8dkH3qHC5jIZE3OVtc1kpSSS2gduep01ppDxg7P47T932JQi069F8gpiGLAv4HW5sy2Ufbo69mYn5fUhEckLX8ggfTKJ1UfEdxfdP5/nly9vtXRWYyIv6je8eqOhxWPzHzvxbx8bzQPXzmZ7RR2X/+YtNuyviXVIxsRUVxkWkVZZ19wnRh/Bdx32lXPL2FZRx/KPDsU6HGMiJpIdyGA9sfa9mY726ezY+4AyYAZwEPh50JOLXC8iq0RkVWVlZZfB9pd+VoJL+Nmnp/Pp00q4e8U27nzuI7sLZkxkhXKzDGC+iKwVkRdFZHJ0QjtVfYubtD5wJz+Wzp80mKduPAOXwFX3vc3j7++1m3FmQOpGhkXEHKpp6jMdSIBLpw1lVGEGv37FKjub/iuSHchyYHjA6xLgQIj7dHisqh5WVY+qeoEH8d39P4WqPqCqs1V1dlFRUchB99UU1kCJCS5+fNW0tjmR3/rLWlrc3liHZUx/FcrNstXASFWdDvwaeDboB3XzxldPNDR7yEixDmRXJg3NZul/nMXcUfnc8sx6vvHEmrY1NI0ZQGKaYdHU6mHroVrKBmVG65S9luASvnxOGRsPHOeVzRWxDseYiIhkB3IlMFZERolIMrAIWNpun6XAYqca6zygRlUPdnasM0fS70pgQziC1T66jEdHXC7fnMhvnj+OZz7cz+d/9x5VzkR0Y0xYdXmzTFWPq2qd83wZkCQihe0/qKc3vrqjvsVNerKlsIaiMDOFh78wl2+eP46law9w2a/fZPXeY7EOy5ho6jLDIpI3vnZU1pGSlMBl04aG9XMj7cqZwxhZkM5P/r7FqjqbuODxalhvgkbsKkJV3SJyM/ASkAA8pKobReRG5/37gWXAxcB2oAH4QmfHOh/9ExGZge8O/27ghnDG3Q8GINuICP+xYCwjCtL59lPruOLet/j9dXMYX5wV69CM6U/abngB+/Hd8Ppc4A4iUgwcVlUVkbn4bt5VRT1S/HMgbQQyVAkuXzs6uzSfbz65hqvve5t/P3s03/jEuD5R1MOYXuoyw0JVHwAeAJg9e3ZYe0uTh+bw5nfOJSWxbxX9Skpw8e0Lx3Pznz/k6dXlfGb28K4PMiZAq8dLbZObmsZWahpbqW1qpb7ZQ32zm4YWN/UtHhqa3dQ1e9pe1ze7nfed5y1uGls8NLm9Yc9EjOhtaOdO+7J22+4PeK7ATaEe62y/NsxhOp8biU+ND1fMGMbIggz+/dFVXPl/b/E/V0zhqtNKYh2WMf1CiDfLrga+LCJuoBFYpDGaHNPQ4on79dTi0fyyAl76xtnc9cImfvvPnazYVMEPPzWVOaX5sQ7NmEgKZTpSRPXVGzWXTB3Cg8N38YvlW7ls2lBbe3eAUlWON7o5Ut/M0foWquqaOVLXwtH6lrbOof9x3HnUNLZSH8JSUiKQkZxIenICGSmJZKQkkJ6cSGFmMiMK0slI9r1OTUogLSmB1CQXN/44PN+X5TE5/Jdy/WEOZDAzhufy/H+cxVeXfMg3/7KWt3Yc4X+umGLVGI0JgxBulv0G+E204wqmocVtI5A9lJWaxI+umsbCKcV895n1fPr+d/jUzGHcctEEBmWnxjo8YyKhywwLE5yI8F8XT+Qzv32H/3ttO9+8YHysQzJh1OL2cvh4E4ePN3HoeBOHanyPyrpmqupaqHI6i0frW3B3kMackZxATloS2c5jeH462alJ5KQlOdsT255npSaRkZJARnJiW2cxNTEBl6t7HZcbw/HNYx3IIPppDxIYnJ3Kn/99Hves2MavX9nGh3ur+fFV05g7yu6gGzNQ1Dd7bA5kL50zfhD/+ObHuffV7Tz4+i6Wf3SYry4Yw+L5pX12tMSYYLqYUmS6MHdUPlfOHMb9/9zBFTOGMmaQTSHqC1SVYw2t7DvawN6jDew71sD+Y42+TqLTaTxS13LKcSmJLgZlp1CQkcKw3FSmDcshPzOZgoxkCjNTKMhMJt95npeeTHIfS80OZFcRjv5WRKcjCS7hG+ePY35ZAd9+ai2f+e07XDtvJN+5aAKZNhppTL9X3+wmw1Kpei09OZFvXziBq08bzvef28j/LtvMH97azVcXjOXq00pISui7FwbGBOpoSpEJzX9dMpFXNlfw3Wc28Pj187o9YmQiw+NVDlQ3sqOyjl1H6tl3tJF9xxrYd9T3aJ9CmpeeRHFOGsXZKUwryaE4O43inBQGZ6dSnJNKcXYqOWlJSH9NZWzHegztDJD/d+aNLuClr5/Nz17ayh/e3sWKTYe59eKJXDptyID54TdmoGlxe2ls9ZCdlhTrUPqNUYUZ/OELc3l7+xF+unwLtz6znt/+cwdfXTCWy6YPtY6kMQNcYWYK3714At95ej2PvrObfz1zVKxDGlDqm93sOlLPjso6dlTUsaOyvq3T2BxQWCYtKYER+ekMz09j3ugChuent70enpduU77asX8NR38uotOR9OREbrtsEpdMG8L3nt3Afyz5kEfe3s33Lp3E9OG5sQ7PGBNmtU2tAGSnWtMfbmeMKeSZsgJWbKrgZ8u38P+eXMvPXtrCF88axaK5IyzDw5gB7DOzh/P3DYf43xc3M7+s0KrhR4DXq+w71sCmg7VsOniczYeOs+lgLXuPNrTt4xIYkZ/O6KJMPja2kLKiTMoGZVJakEFhZrINoHSD/UVrZyD+6Jw2Mo/n/uMsnvpgHz99aQtX3PsWl0wdwlcXjLVGzph+pLbJtwaUjUBGhojwiUmDOW/CIF7bWsFv/7mTH7ywibtXbOOzs4ezaO4IxvShBdGNMeEhIvz009NZ+KvX+drjH/LsTWfafOleaPV42XKolnXlNWw8UMOmg8fZcqi2Le1UBEYVZDB1WA5Xn1bC2EG+juLIgnRSEu3fPRysA9nOQL37kOASPjtnBBdPHcIDr+/kD2/t5oX1B7l4ajE3nzuWSUOzYx2iMaaXjjsjkFmp1oGMJJdLOG/CYM6bMJg1+6p58I2dPPz2bn735i7mjsrnc3NHsHBKsV1AGjOAFGam8LNPT+cLD6/k20+t455FMwbsNWd3eL3Krqp61pVXs3ZfDevKq9l44Hhb+mlWaiITh2Tz6dnDmVCcxYQh2YwfnGXLpkSYdSAdAzGFNZis1CS+ecF4vnTWKH7/5i7+8NZulq0/xPzRBfzrmaV8YuJgEmwCuDF90vFGZwTSUlijZsbwXO793Cwqa5t5enU5S97fy9efWEPWs4mcP3kwl00fylljCm2upDEDwDnjB/HtC8fzk79vYUJxFjedOybWIcWdplYPa/ZV8+7OKlbtPsba8uq27Jm0pASmDMvm2nkjmTY8l+klOYzIT7eOeAzYVUQ79iPok5uezDcvGM+/nTWaJSv38sd39nDDHz+gJC+NRXOGc+WsEoblpsU6TGNMN7TNgbQU1qgrykrhxo+Xcf3HRvPuzir++uF+/r7xEM+s3k9uehIXTSlmwYTBnDmm0O6cG9OPffnjZWw5VMtPX9pCUVYKn5k9PNYhxVRTq4fVe4/x7s6jvLezig/3VdPi9iICE4qzuWz6UKaX5DB9eC5jijJJtJttccE6kI6BsoxHd+WkJ3Hjx8v4t7NG8Y9Nh3n47d38bPlWfrZ8K/NHF/CpWcO4cEox2ZYSZ0zcO24dyJhzuYQzxhRyxphCfnDlFN7YeoTn1h1g6ZoDLHl/H8mJLuaPLuC8CYM4Z3yR3V03pp8REX5y9TSO1rdwy9PrSE9O4NJpQ2MdVtQ0tnj4YM8x3ttVxbs7q1i7r4YWjxeXwOShOSyeN5J5owuYU5pPTrr9rYpX1oF0+FNY7e90cIkJLhZOGcLCKUPYd7SBv364n2dWl/Ptp9bx3b+uZ97oAi6YNJjzJxVTnJMa63CNMUH4U1izLIU1LqQkJvCJSYP5xKTBNLs9vL/rKK9sruDVzRXcvtS3VntxdirzRudz+ugCTh+Vz6jCDOtQGtPHpSQm8NtrT+O6h97na4+vob7ZzWfnjIh1WBFR3+wO6DAeZV15Na0exSUwdVgOXzizlNNH5zO7NN8GI/oQu4pw+Mcf7e9y14bnp/PVBWP5j/PG8OG+al7aeIiXNx7me3/byPf+tpFJQ7I5c0wBZ5QVMndUvq2dY0ycqG1qRQQyk+13Mt6kJCbwsbFFfGxsEbdfNpmdlXW8tcN3h/7N7VU8u+YA4CvEMb0kh6klOUwvyWVqSQ6FmSkxjt4Y013pyYk8/IW5fPmx1Xzn6fUcrGniq+eNxdXH60zUNbtZtfso7+06yrs7q1hfXoPbqyS4hKnDcvjSWaN9HcaReVbQrQ+zq4h2xGZBhkxEmDUij1kj8rj1oolsr6hj+UeHeGPrER55ew8PvrGLRJcwrSSH00bmMX14LjOG5zIsN83uoBsTAzWNrWSlJPb5C5SBYHRRJqOLMrl23khUlZ1H6nlv51E+2HOMdeXVvLKloi1zZlhuGhOKsxg7OItxgzMZNziLMYMyrcqrMXEuIyWR3183m1ueXs+v/rGNdeU1/PzT08nLSI51aCGrbWpl1e5jvOuMMG7YX4PHq23Xf9efPZrTRxdw2sg8Ww+3H7H/SYdaGdZeGzMokzGDxvCVc8bQ1OrLcX9r+xHe3VnFI+/soeWNXYDvDvqM4TlMKM5mXHEW4wdnMaowg+REmxhtTCQdqWuhMMtGq/oaEfEteF2UyedO96W51TW72bi/hnXlNazbX8PWQ7W8vq2SVo86xzgLZhdmMCI/neH56YzIT2dkQQbD89NIt1FoY+JCUoKLn316GtNKcrjrhU1cdPcbfP+KyVwwuTjWoQV1vKmVlbtOjDBu2F+DVyEpQZgxPJcvf7yMeaMLmDUy19qZfsz+Zx1t3Ue7MR8WqUkJnDmmkDPHFALQ4vay+dBx1u6r5sN91awrr+HVLZV4vL5/+USXMKowg7Ii30KvJy520hmam2Yl7o0Jg4raJgZZB7JfyExJ9M2LHF3Qtq3V42VPVT1bD9ex9XAt2w7XsbuqnlW7j1Hb7D7p+MLMFIbkpDI4O5XB2SkUZ6cyOCeV4uxUinNSGZSVQnZqko1WGxMFIsJ1Z5Qya0Qe3/rLWq7/4wcsmDCI71w0gXGDs2IaW2VtMyt3H+X9Xb7H5kPH8SokJ7iYMSKXm88dw7zRBcwckWcVpAeQiHYgRWQhcDeQAPxOVX/U7n1x3r8YaAD+VVVXd3asiOQDTwClwG7gM6p6rLexthXR6e0HmaCSE11MK8llWkku1873bWt2e9hZWc/Ww7VsPVzLlkO1bK2o5ZXNFbR4vG3HugSG5KQxODvFudhJZVB2CoOzfBc6RVkp5KYnkZuWbKOYJiZ609ZFU2VtM1NLcqN9WhMlSQkuxgzKYsygLC6eOqRtu6pS09jKnqoG9h71PfYdbeBgTRPlxxpYteco1Q2tp3yeSyAvPZm8jGTy05PJz3CeZySRl55MbnoymSmJZKX6Hr7nSWSlJpKS6LKpCsZ009SSHJ7/6ln84a1d3P2PbVzwy9e5eGox/3rGKOaU5kX8d8rjVXYdqWPNvhpW7jrKyt1H2XmkHoDUJBezRuTxH+eNdTqMuZYmP4BFrAMpIgnAvcD5QDmwUkSWqupHAbtdBIx1HqcD9wGnd3HsLcAKVf2RiNzivP5OGOMO10eZLqQkJjBxSDYTh2SftN3rVQ7XNrVd7Ow72kD5sUYqapvYVlHHm9uPtC0q215mSiK56f6LmyRy05PJS08iI8V3cZORnHDiufPwPU8gIzmRlCQXKYkJJNhddxOi3rR10YxTVamobabICq4MOCJCrtPhmz48N+g+Ta0eKo43c+h4E4eON1FxvInqhlaONrRwrL6Fo/Ut7Kis49ieFo41tLZlj3QkKUHITEkkMzWRzJQk0pJcpCUnkJaUQGpSwNfkE6/Tklxt21ISXSQlnHgkJwpJCS4SXSeet72X4CKp7X2xv+OmT0tKcHH92WVcfdpwHnpzF4+8vZtl6w8xqjCDy6YP5ePjipgxPLfX1ylNrR52HfHdxN+wv4a15TVs3F9DfYsHgOzUROaOyuezc4Yzd1Q+U4blWDaYaRPJEci5wHZV3QkgIo8DVwCBF1VXAI+qbwLiuyKSKyJD8I0udnTsFcA5zvGPAK8Rlg6kzYGMFy6XMCQnjSE5acwLSM8K1NDipuJ4M4ePN1FR20x1QwvVDa0ca2iluqGFYw2+i5x9RxuobmylrsmNu4sLnkBJCUJKou8iJiXRRUpSwPPEBKej6bt4SXAJiS4h0bl4SUwQEl2+5wkJznsu10n7JLiEpATB5RJcIrjEd5En4Hvt8n0V/3uc2Mcl/vdO/ur/HNq9PnEt5XsicmKk3X+hdeL1iUJSgddg/uft3wu2vzjPs1KTGJw9IJZ06XFbp6oHoxVkZW0zDS0ehuenReuUpg9JTUpgREE6IwrSu9zX61Vqm9xUN7ZQ2+SmrtntfPW1tcedbXVNbmqbWqlrdtPU6qWx1cOx+laa3B6aWjw0tnratodTcoILlwsSXS5cAglOm+uSE18TE4QE8bXBbV9dkOBykeAc49+/7RiXnNQGGxNJ+RnJfOvC8Xzl3DKWrT/EX1bt4zevbOOeFdvITElk0pBsJg3NZmRBOsVOZlZqUgLJznWJ73fLTX2zh8pa382hw8ebKD/WyPaKOvYda2jLvktOdDFpSDZXn1bC1JJcppXkMKYo01LYTYci2YEcBuwLeF3OqXfcg+0zrItjB/svulT1oIgMCkew/r6F/a70DenJiZQWJlJamBHS/qpKi8dLfbOH+mbfxY3/a0OLx/e12U2z2+s8PDS3nnje1Opsc3tpbvVS3+ymqs6Lx6u0en1f3R7F7fU6XxW3x4vbq773utF57S8+NWsYv/jMjFiHEQ29aeui1oFcuta3DMRpI/OidUrTT7lcQk56UtgW+VZVmt1eGls8NLk9NLR4aPV4aXX72u3WgEeLW09+7VFa3Se/bnF78aqv7W17qOINeO7ffmI/gh7T4va2Hev2Kqq+/azunomW9ORErj6thKtPK+FYfQtvbj/Ce7uq2HSwlidX7aOhJfQbMNmpiQzNTWNqSQ6fnDnMV/ywKJOxgzNtdNF0SyQ7kMG6Yu2b3I72CeXYzk8ucj1wPcCIEV0vzlpakMHPPz2d8TGerGwiQ8Q/ophAfgzKY6ue6Ei271z6L0j8FyWBr73qO9aroChe74mLF68qiv+1bx+v98S+qrSlmWlAHG2/SOr/4uyjJ+YCB9tf2x2oyinv+c8LMCxvwIx09aatO3mnbrZb3fHJmcNITUpgms2BNHFGREh1Ulr7Evl/sY7ADDR5GclcNn0ol00fCvj+5h9raOHw8WYqaptodntpcXtxe72kJiaQnpJIenIChZm+QllW5MaESyQ7kOXA8IDXJcCBEPdJ7uTYw/7ULyfdtSLYyVX1AeABgNmzZ3fZ+SzKSuGq00q62s2YHhEnZSrR2u7+qDdt3Um62251R2FmCp+fNzKcH2mMMSaGXC6hIDOFgswUJpHd9QHGhEkkx6tXAmNFZJSIJAOLgKXt9lkKLBafeUCNk57a2bFLgeuc59cBf4vg92CMMV3pTVtnjDHGGNOnRGwEUlXdInIz8BK+0vYPqepGEbnRef9+YBm+svbb8ZW2/0Jnxzof/SPgSRH5ErAX+HSkvgdjjOlKb9o6Y4wxxpi+JqLrQKrqMnwXToHb7g94rsBNoR7rbK8CFoQ3UmOM6bnetHXGGGOMMX2JlVwyxhhjjDHGGBMS60AaY4wxxhhjjAmJdSCNMcYYY4wxxoREdACshisilcCeEHcvBI5EMJxwsTjDq6/ECX0n1lDjHKmqRZEOpq/pZrsVqr7yswN9J1aLM7z6SpwA41XVFo8OEKF2C/rOz4XFGV59JU7oO7GGpd2KaBGdeNGdi1MRWaWqsyMZTzhYnOHVV+KEvhNrX4kzXkWiU92X/k/6SqwWZ3j1lTjBF2usY4g3kboZ2Fd+LizO8OorcULfiTVc7ZalsBpjjDHGGGOMCYl1II0xxhhjjDHGhMQ6kKd6INYBhMjiDK++Eif0nVj7SpwDSV/6P+krsVqc4dVX4oS+FWtf11f+rS3O8OorcULfiTUscQ6IIjrGGGOMMcYYY3rPRiCNMcYYY4wxxoTEOpDGGGOMMcYYY0JiHUiHiCwUkS0isl1Ebol1PMGIyHAReVVENonIRhH5Wqxj6oyIJIjIhyLyfKxj6YyI5IrIUyKy2fm3nR/rmIIRkW84/+8bRGSJiKTGOiY/EXlIRCpEZEPAtnwReVlEtjlf82IZY3/XVRsmPvc4768TkVmhHhtHcZ7ycxZvccaine5FrKki8r6IrHVivTMe4wx4Pyp/U3r5M7pbRNaLyJpwlcvvz6zdip9Yo912WbsVP3H2qN1S1QH/ABKAHcBoIBlYC0yKdVxB4hwCzHKeZwFb4zHOgHj/H/Bn4PlYx9JFnI8A/+Y8TwZyYx1TkBiHAbuANOf1k8C/xjqugPjOBmYBGwK2/QS4xXl+C/DjWMfZXx+htGHAxcCLgADzgPdCPTYe4uzo5yze4ox2O93LWAXIdJ4nAe8B8+ItzoD3I/43JQw/o7uBwkj+fPaXh7Vb8RVrNNsua7fiK86etFs2AukzF9iuqjtVtQV4HLgixjGdQlUPqupq53ktsAlfxyLuiEgJcAnwu1jH0hkRycbXuP8eQFVbVLU6pkF1LBFIE5FEIB04EON42qjq68DRdpuvwNc5x/n6yWjGNMCE0oZdATyqPu8CuSIyJMRj4yHOjn7O4irOGLTTvYlVVbXO2SfJeUSqsl6v/u+j+DelV3GabrF2K45ijXLbZe1WHMXZE9aB9BkG7At4XU6cdsz8RKQUmInvzks8+hXwn4A3xnF0ZTRQCfzBSTH4nYhkxDqo9lR1P/AzYC9wEKhR1eWxjapLg1X1IPhufgCDYhxPfxZKG9bRPtFs/3oTZzSFJc4otdO9itVJr1oDVAAvq2qkYu3tv+mviM7flN7GqcByEflARK6PWJT9g7Vb4ddX2i5rt8Ir6u2WdSB9JMi2uF3fREQygaeBr6vq8VjH056IXApUqOoHsY4lBIn4UkvuU9WZQD2+dMu4Ir75g1cAo4ChQIaIfD62UZk4Ekob1tE+0Wz/ehNnNPU6zii2072KVVU9qjoDKAHmisiU8IbXdQxd7RPlvym9/b8/U1VnARcBN4nI2eEMrp+xdiv8+krbZe1WeEW93bIOpE85MDzgdQlxlB4YSESS8P1iP6aqz8Q6ng6cCVwuIrvxDaOfJyJ/im1IHSoHygPuXj2Fr0MZbz4B7FLVSlVtBZ4BzohxTF05HJDGMQTfnUITGaG0YR3tE832rzdxRlOv4oxyOx2Wf1P1pe6/BiwMe4QhxtDJPtH8m9Krf09V9X+tAP6KL7XMBGftVvj1lbbL2q34ibNn7ZZGeEJvX3jgG4XaiW90xz/5dHKs4woSpwCPAr+KdSzdiPkc4r+IzhvAeOf5HcBPYx1TkBhPBzbim/so+OYU/kes42oXYyknF9H5KScX0flJrGPsr49Q2jB88zACJ9C/H+qx8RBnRz9n8RZntNvpXsZahFM0DEhz2sJL4y3OdvtE9G9KL/89M4CsgOdvAwuj8XPQFx/WbsVXrNFsu6zdip84e9puRfQHpC898FUn2oqvitF/xTqeDmI8C99w8zpgjfO4ONZxdRFzRH9pwhTjDGCV8+/6LJAX65g6iPNOYDOwAfgjkBLrmAJiW4JvbmYrvrtcXwIKgBXANudrfqzj7M+PYG0YcCNwo/NcgHud99cDszs7Nk7jPOXnLN7ijEU73YtYpwEfOrFuAG6LxzjbfUbE/6b04t9zNL4Lt7X4bvjF5bVEPD2s3YqfWKPddlm7FR9x9rTdEudgY4wxxhhjjDGmUzYH0hhjjDHGGGNMSKwDaYwxxhhjjDEmJNaBNMYYY4wxxhgTEutAGmOMMcYYY4wJiXUgjTHGGGOMMcaExDqQxhhjjDHGGGNCYh1IY4wxxhhjjDEhsQ6kMcYYY4wxxpiQWAfSGGOMMcYYY0xIrANpjDHGGGOMMSYk1oE0xhhjjDHGGBMS60AaY4wxxhhjjAmJdSCNMcYYY4wxxoTEOpDGGGOMMcYYY0JiHUhjjDHGGGOMMSGxDqQxxhhjjDHGmJBYB9IYY4wxxhhjTEisA2mMMcYYY4wxJiTWgTTGGGOMMcYYExLrQBpjjDHGGGOMCYl1II0xxhhjjDHGhMQ6kMYYY4wxxhhjQpIY6wCiobCwUEtLS2MdhjEmiA8++OCIqhbFOo54Y+2WMfHN2q5TWbtlTHwLV7s1IDqQpaWlrFq1KtZhGGOCEJE9sY4hHlm7ZUx8s7brVNZuGRPfwtVuWQqrMcYYY4wxxpiQWAfSGGOMMcYYY0xIrANpjDHGGNNPiMhwEXlVRDaJyEYR+ZqzPV9EXhaRbc7XvIBjbhWR7SKyRUQuDNh+moisd967R0QkFt+TMSa+DIg5kCY+tba2Ul5eTlNTU6xDMVGQmppKSUkJSUlJsQ7FmB6zdmvg6YNtlxv4pqquFpEs4AMReRn4V2CFqv5IRG4BbgG+IyKTgEXAZGAo8A8RGaeqHuA+4HrgXWAZsBB4MerfkekVa7cGnki3W9aBNDFTXl5OVlYWpaWl2E3N/k1Vqaqqory8nFGjRsU6HGN6zNqtgaUvtl2qehA46DyvFZFNwDDgCuAcZ7dHgNeA7zjbH1fVZmCXiGwH5orIbiBbVd8BEJFHgU9iHcg+x9qtgSUa7ZalsAZobPGwYX9NrMMYMJqamigoKLDGbAAQEQoKCuzuZx+jqqzafZSdlXWxDiVuWLs1sPT1tktESoGZwHvAYKdz6e9kDnJ2GwbsCzis3Nk2zHnefnv7c1wvIqtEZFVlZWXYv4fuaPV4eXPbEfYdbYhpHPHG2q2BJRrtlnUgAzzw+k4u/fWb7D5SH+tQBgxrzAYO+7/ue/772Q1cff87fOIX/+TZD/fHOpy4YT/LA0tf/f8WkUzgaeDrqnq8s12DbNNOtp+8QfUBVZ2tqrOLimK3LGarx8sXH17J53//Huf9/DVe2ngoZrHEo776c2x6JtL/39aBDPDPrRUArC2vjm0gJmpEhGuvvbbttdvtpqioiEsvvbRbn1NaWsqRI0d6vM+HH36IiPDSSy9167wAu3fvRkT43ve+17btyJEjJCUlcfPNN3frszIzM3u8z6FDh1i0aBFlZWVMmjSJiy++mK1bt3br/CZ+rNlXzWPv7eWauSOYU5rPLc+so/yY3dWPB9ZunczarVOJSBK+zuNjqvqMs/mwiAxx3h8CVDjby4HhAYeXAAec7SVBtselJ1ft441tR/j2heOZNDSHbzyxxtqsOGLt1sn6ertlHcgAg7JSAdheYelaA0VGRgYbNmygsbERgJdffplhw07J0Im4JUuWcNZZZ7FkyZIeHT969Gief/75ttd/+ctfmDx5crjC65KqcuWVV3LOOeewY8cOPvroI/73f/+Xw4cPRy0GE14Pv7WLnLQk/uuSifzyszMAuGfFttgGZQBrt8Klv7ZbTqXU3wObVPUXAW8tBa5znl8H/C1g+yIRSRGRUcBY4H0nzbVWROY5n7k44Ji4oqo8+PpOZo7I5SvnlHHv52aiCj97aUusQzMOa7fCI17aLetABnA5/xo7bL7PgHLRRRfxwgsvAL6G5Zprrml77+jRo3zyk59k2rRpzJs3j3Xr1gFQVVXFBRdcwMyZM7nhhhtQPZHV86c//Ym5c+cyY8YMbrjhBjweT6fnV1WeeuopHn74YZYvX96jnPW0tDQmTpzIqlWrAHjiiSf4zGc+0/b+nj17WLBgAdOmTWPBggXs3bsXgF27djF//nzmzJlz0h01gJ/+9KfMmTOHadOmcfvtt3d6/ldffZWkpCRuvPHGtm0zZszgYx/7WLe/FxN7zW4PKzZVsHByMZkpiQzNTeOqWSU8u+YAR+qaYx2ewdota7c6dSZwLXCeiKxxHhcDPwLOF5FtwPnOa1R1I/Ak8BHwd+AmpwIrwJeB3wHbgR3EaQGdjQeOs7uqgc/MHo6IUJKXzufnjWDp2gM2LSmOWLvVf9qtiFZhFZGFwN1AAvA7Vf1Ru/fFef9ioAH4V6fsdCrwOpDixPiUqt7uHHMH8O+Af6b2d1V1WTjibfX4fihtBDL67nxuIx8d6GyKRvdNGprN7Zd1fVdo0aJFfP/73+fSSy9l3bp1fPGLX+SNN94A4Pbbb2fmzJk8++yzvPLKKyxevJg1a9Zw5513ctZZZ3Hbbbfxwgsv8MADDwCwadMmnnjiCd566y2SkpL4yle+wmOPPcbixYs7PP9bb73FqFGjKCsr45xzzmHZsmV86lOf6vb3u2jRIh5//HGKi4tJSEhg6NChHDjgyza6+eabWbx4Mddddx0PPfQQX/3qV3n22Wf52te+xpe//GUWL17Mvffe2/ZZy5cvZ9u2bbz//vuoKpdffjmvv/46Z599dtBzb9iwgdNOO63bMZv49MHuY9Q2u7lg8uC2bV84cxSPvbeXpz8o54aPl8Uwuvhh7Za1W/FIVd8k+PxFgAUdHHMXcFeQ7auAKeGLLjJe2VyBCFww6USb9e8fG80f3trNn97dw39fOimG0cUXa7es3QqHiI1AikgCcC9wETAJuMZZayjQRfhSJcbiW2foPmd7M3Ceqk4HZgALRWRewHG/VNUZziMsnUcAt8cLwK4j9W3PTf83bdo0du/ezZIlS7j44otPeu/NN99sy9k/77zzqKqqoqamhtdff53Pf/7zAFxyySXk5fnWY16xYgUffPABc+bMYcaMGaxYsYKdO3d2ev4lS5awaNEiwNco9TStYuHChbz88sssWbKEz372sye998477/C5z30OgGuvvZY333wT8DWm/juAgXMTli9fzvLly5k5cyazZs1i8+bNbNtm6YsDxYf7qgGYPTK/bduYQZlML8lh6dq4nQI1oFi7Ze2WOWHl7qOMH5xFQWZK27ZB2amcP2kwT68up9nd+ciUiQ5rt/pPuxXJEci5wHZV3QkgIo/jW2voo4B9rgAeVd949LsikisiQ5y8e/8wYJLzOKXyV7i5vb5TtHqUvUcbGF3U9QRXEx6h3LmKpMsvv5xvfetbvPbaa1RVVbVtD0yV8PNXtgpW4UpVue666/jhD38Y0nk9Hg9PP/00S5cu5a677mpbu6e2tpasrKy2/f76179y5513AvC73/2O2bNnn/JZycnJnHbaafz85z9n48aNPPfccx2eNzD2jr6PW2+9lRtuuCGk72Py5Mk89dRTIe1r4t+He49RVpRBTvrJCxBfNn0oP3hhEzsq6yiz9tHaLWu3TBxwe7ys3nOMT80qOeW9a+aO4MUNh3j5o8NcOm1oDKKLP9ZuWbsVDpGcA9nRukIh7SMiCSKyBl+VsJdV9b2A/W4WkXUi8pCI5AU7eU/WJWr1eElJ9P2TbLM01gHli1/8IrfddhtTp049afvZZ5/NY489BsBrr71GYWEh2dnZJ21/8cUXOXbsGAALFizgqaeeoqLCV9zu6NGj7Nmzp8Pz/uMf/2D69Ons27eP3bt3s2fPHq666iqeffbZk/a78sorWbNmDWvWrAnamPl985vf5Mc//jEFBQUnbT/jjDN4/PHHAXjsscc466yzADjzzDNP2u534YUX8tBDD1FX5/s92L9/f9v3FMx5551Hc3MzDz74YNu2lStX8s9//rPDY0x8UlU+3FvNzBGnNq2XTfddgL24/mC0wzJBWLtl7ZaB3VX11Ld4mDki95T3zhpTyKCsFJ5fa21WvLB2q3+0W5HsQIayflCH+6iqR1Vn4CsbPVdE/Dn49wFl+FJbDwI/D3bynqxL5PYoE4p9dyFsHuTAUlJSwte+9rVTtt9xxx2sWrWKadOmccstt/DII48Avlz9119/nVmzZrF8+XJGjBgBwKRJk/jBD37ABRdcwLRp0zj//PM5eLDjP1xLlizhyiuvPGnbVVddxZ///OcefR+TJ0/muuuuO2X7Pffcwx/+8AemTZvGH//4R+6++24A7r77bu69917mzJlDTU1N2/4XXHABn/vc55g/fz5Tp07l6quvpra2tsPzigh//etfefnllykrK2Py5MnccccdDB1qd3z7msPHm6mqb2FaSc4p7w3OTmVaSQ6vbO74j5uJHmu3rN0ysOWQ73ptfHHWKe+5XMLFU4fw6pYK6prd0Q7NBGHtVv9otyTYkHFYPlhkPnCHql7ovL4VQFV/GLDPb4HXVHWJ83oLcI6Twhr4WbcD9ar6s3bbS4HnVbXTCd6zZ89Wf7Wkzlxx71vkpCWx/XAtp48uaCtdbyJj06ZNTJw4MdZhmCgK9n8uIh+oase3+QaoUNutcHtr+xH+5Xfv8ed/O50zxhSe8v4vXt7Kr1/Zxgf/fT75GclRjy/WrN0amKztCk0s2q1fvLyV37yyjY++v5DUpIRT3l+5+yifvv8d7l40gytmRH/ZiHhg7dbAFMl2K5IjkCuBsSIySkSSgUX41hoKtBRYLD7zgBpVPSgiRSKSCyAiacAngM3O6yEBx18JbAhXwG6PlySXMGZwFtsqOu79G2NMf7XTWcaobFDwOY7nTRiEKry+NbSpAcYYE0lbD9VSWpARtPMIcNqIPAZnp/D8OktjNSZcItaBVFU3cDPwErAJeFJVN4rIjSLiX7xkGbAT3/pCDwJfcbYPAV4VkXX4OqIvq6p/1c6fiMh6571zgW+EK+ZWj5fEBGFMUSbbK+rweiNet8cY08eJSKqIvC8ia0Vko4jcGWSfc0SkJmBNtttiEWsodlTWk5GcwKCslKDvTxuWQ2FmMq9usTRWY0zsbT1cy7jBp6av+rlcwkVThvD61koaW6waqzHhENF1IJ0lNpa123Z/wHMFbgpy3DpgZgefeW2w7eHg9iqJCS7GDs6kqdXL/upGhuenR+p0xpj+wb/sUJ2IJAFvisiLqvpuu/3eUNVLYxBft+yorGN0UWbQanHguxg7o6yQt3dUoaod7meMMZHm9njZe7SBhVOKO93vExMH8/Dbu3lr+xE+EbBWpDGmZyKZwtrnqEKCCGOd1C0rpBN5kZqDa+JPf/2/Vp+oLzsUKbuO1DO6KKPTfeaXFVBZ28yOyoHZRvbXn2UTnP1/x6/Dtc24vdrlzf65o/LJTElkxebDUYos/tjP8cAS6f9v60AG8P9jj3E6kDYPMrJSU1OpqqqyRm0A8K+3lJqaGutQIqKLZYf85jtpri+KSNCFuHqy/FA4uT1eDtY0MaKLi7Ezynxly9/ZUdXpfv2RtVsDS39vu/q68qMNAJTkpXW6X3Kii7PHFbJiU8WA/N21dmtgiUa7FdEU1r5IBHLTkynMTGHb4YF5dz1aSkpKKC8vJxYXyib6UlNTKSk5daHn/kBVPcAMp/jXX0VkiqoGFvhaDYx00lwvBp4Fxgb5nAeAB8BXzTDigbdTUduMx6sMyen8YmxEfjpDc1J5Z2cV184vjU5wccLarYGnP7ddfd2+Y40AlOR1Pd1owYTBLFt/iA37jzM1yDJF/Zm1WwNPpNst60AGCLxaGzsok22WwhpRSUlJjBo1KtZhGBM2qlotIq8BCwmoEK2qxwOeLxOR/xORQlU9EoMwO3SwxncxNjS387uWIsK8sgJe3VyB16u4XANnHqS1W8bEj/JjvhHIrtosgHPGFyEC/9h0eMB1IK3dMuFmKawBVMF/GTR2cCY7KupsuN8Y06nOlh0K2KdYnGozIjIXX9sbd/mf+6ubABia2/kIJMAZZYUca2hly2FL9TfGxEb5sUYGZ6eQkhh8CY9ABZkpzBqRxyubrYK0Mb1lHch2/BUFxw7KpLbZzeHjzTGOyBgT54IuO9RuyaKrgQ0isha4B1ikcXh36mC1bwRySE7Xd/PnD+B5kMaY+FB+rCGk9FW/BRMHsX5/DYdqmiIYlTH9n3UgA2hAEmuZFdIxxoRAVdep6kxVnaaqU1T1+872+/3LFqnqb1R1sqpOV9V5qvp2bKMO7kB1I1mpiWSlJnW577DcNIbnp/HuTutAGmNio+J4M8XZoRcKWTDBt4SHrWNrTO9YB7KdthTWQb5Faa2QjjFmoDhQ08TQLgroBJpTms8He45Zqr8xJiYqapspykoJef9xgzMZlpvGik3WgTSmN6wDGSDwGqgwM5nc9CQbgTTGDBgVx5sYHEL6qt+c0nyq6lvYdaQ+glEZY8ypGlrc1DW7GZQdegdSRFgwcRBvbT9CU6sngtEZ079ZBzKAKm1DkCLCuMFZbDlkHUhjzMBwpK6FwszkkPefU5oHwKrdxyIVkjHGBFXh1KgYlNW9te7OmzCIxlaPpd8b0wvWgWxHOFGOfmKxrwPp9Vp6ljGmf1NVjtQ1U5gZ+t38sqJM8tKTWLn7aAQjM8aYU1XW+TqQ3UlhBZg3uoC0pASrxmpML1gHshMThmRT3+Jhv1OZ0Bhj+qv6Fg/Nbm+3RiBFhNml+azaYyOQxpjoOjEC2b0OZGpSAmeNLWTFpgqbv21MD1kHsh0JWA97QrGvkM6mg8c72NsYY/qHI7W+i7GCjO5djM0pzWPXkXoqa23JI2NM9FTU+pbi6G4HEmDBhEHsr25kqxVKNKZHrAMZoP2dqHGDfR3IzTYP0hjTz1XV+zqAhd28GJtdmg/AB3ssjdUYEz0Vtc0kuoS89NCzJvzOnTAIgBWbD4c7LGMGBOtAthMwAElGSiIjC9LZfMhGII0x/VtlbQsABRnduxibMjSHlEQXK62QjjEmio7UNlOQmYzLJV3v3M7g7FSmDsvhFVvOw5geiWgHUkQWisgWEdkuIrcEeV9E5B7n/XUiMsvZnioi74vIWhHZKCJ3BhyTLyIvi8g252teuOINlgk/oTjLRiCNMf2efwSyuwUpkhNdzBieyyorpGOMiaJjDS3kdzPlPtC5Ewaxeu8xjtW3hDEqYwaGiHUgRSQBuBe4CJgEXCMik9rtdhEw1nlcD9znbG8GzlPV6cAMYKGIzHPeuwVYoapjgRXO67BQPXkOJMCE4mx2H6mnscXWCzLG9F9HnBHI/G6OQIJvPcgNB47T0OIOd1jGGBPUsYZW8tKTenz8ggmD8Cr8c2tlGKMyZmCI5AjkXGC7qu5U1RbgceCKdvtcATyqPu8CuSIyxHntn9mc5Dw04JhHnOePAJ8MZ9DCyT3IiUOy8Cpsq7BRSGNM/1VV30xuehJJCd3/szC7NA+PV1mztzr8gRljTBDHGlp6NP/Rb+qwHAozU1hhy3kY022R7EAOA/YFvC53toW0j4gkiMgaoAJ4WVXfc/YZrKoHAZyvg4KdXESuF5FVIrKqsjK0u0saJIl1QnE2AJsPWgfSGNN/Halr7vb8R79ZI/MQweZBGmOiprqhldxejEC6XMJ5E4r455YKWj3eMEZmTP8XyQ5ksFnN7XtoHe6jqh5VnQGUAHNFZEp3Tq6qD6jqbFWdXVRUFPJx7VNYR+Snk5aUYPMgjTH92pG6FgozezafKDs1iQnF2ayySqzGmCjwepXqXo5AApw3YTDHm9x8YGvZGtMtkexAlgPDA16XAAe6u4+qVgOvAQudTYdFZAiA8zVsuQfB1pN1uYRxxVlWidUY068dq2/p0fxHvzmleazecwy33ck3xkRYbZMbr9KrEUiAs8YWkpzg4hVLYzWmWyLZgVwJjBWRUSKSDCwClrbbZymw2KnGOg+oUdWDIlIkIrkAIpIGfALYHHDMdc7z64C/hStg5dQRSICJxVlsOnj8lHUijTGms6rRAfsErTgdT6obe5cONrs0n/oWD5ss3d8YE2FHG3xFv3o7ApmZksjpo/NZscnWgzSmOyLWgVRVN3Az8BKwCXhSVTeKyI0icqOz2zJgJ7AdeBD4irN9CPCqiKzD1xF9WVWfd977EXC+iGwDzndeh9GpPcgJxVkca2ilorY5vKcyxvQHnVWN9uuo4nRcUFVqGlvJSev5xdjc0nwA3rflPIwxEXbM34HM6N0IJPiqse6orGf3kfpef5YxA0VE14FU1WWqOk5Vy1T1Lmfb/ap6v/NcVfUm5/2pqrrK2b5OVWeq6jRVnaKq3w/4zCpVXaCqY52vYbta6WiAcdLQHAA+OmBprMaYk3VRNdovaMXpaMbZmaZWLy1uLzlpPb8YK85JZXh+mq0HaUyMichDIlIhIhsCtnW4hraI3OpkR2wRkQsDtp8mIuud9+4RCZajFRvVTgcyt5cjkOCbBwlYNVZjuiGiHci+KFjzOGmorxLrhv01UY7GGNMXdFI12i+UqtQ9qh4dDjWNrQC96kACzBmZz8rdRy3d35jYepgTdSP8gq6h7azPvQiY7Bzzf8463uDLlLieE9kT7T8zZo7V+9qs3qawAowoSGdCcRYvbTjU688yZqCwDuRJgl/0ZKYkMrowgw0HrANpjDlVCFWjQ6lK3ePq0b1V3ei/m9/LDuSofI7UtbDLUsGMiRlVfR1onwrQ0RraVwCPq2qzqu7CN6VorpMhka2q76jvjtCjhHnd7d5oS2HtZZvld/HUIazcc5SK401h+Txj+jvrQAZQDX6VBzB5WA4b9lsKqzGmY0GqRvuFUpU6ZmoawjQC6cyDXGXrQRoTbzpaQ7uj7IhhzvP2208Ri8yJ6oZWXOJbQigcLp5ajCr8faONQhoTCutAttNRhv/UYdnsr27kaH1LdAMyxsS1LqpG+wWtOB3dSDsWrhTWsqIM8jOSrZCOMX1HR9kRIWVNQGwyJ441tJCbnozLFZ5pmWMGZTF2UCbL1sdNs2xMXLMOZIDOZu1McQrpbLQ0VmPMyYJWjQ6x4nRcqA5TB1JEmD0yj5XWgTQm3nS0hnZH2RHlzvP22+NCdUMrub1sr9q7aOoQ3t91lEqruG9Ml6wD2Y50kMQ62elAWhqrMSZQR1WjQ6k4HS+O+zuQYZhPNKc0nz1VDTaXyJj40tEa2kuBRSKSIiKj8BXLed/JkKgVkXlO9dXFhHHd7d463tRKVpg7kJdMHYJX4SVLYzWmS9aBDNBZ5cCc9CSG56dZJVZjTL9T3dBKgkvISkns9WfNGeWbB7nS5kEaExMisgR4BxgvIuUi8iU6WENbVTcCTwIfAX8HblJVj/NRXwZ+hy9zYgfwYlS/kU7UNrnJTu19exVo3OBMRhdl8OIGS2M1pivh/e3rBzpb5WjK0ByrxGqM6XdqGlvJTk0kHMu8TR6aTVpSAit3H+WSaXGz1KUxA4aqXtPBWws62P8u4K4g21cB7StKx4XaplaG5qaG9TNFhIunDOH/XttOZW0zRVkpYf18Y/oTG4EM0NXKZVOG5bCnqqGt4IQxxvQHNY2tvZ7/6JeU4GLmiFze32XzII0xkVHb5CYrJbwprACfnDkUr8LStXEz3dOYuGQdyACdLeMBvg4kwEcHbB6kMab/qG5sJScMC3L7zSnNZ/Oh4xxvspttxpjwq21ykxXmFFbwVWOdOiyHZ1aXd72zMQOYdSDb6SyFa/LQbACbB2mM6VfCOQIJMHdUPl6F1XtsHqQxJrxaPV4aWz1khWkNyPaunDmMjQeOs/VwbUQ+35j+wDqQATorogNQmJnCkJxU1lsH0hjTj9Q0tIS1AzljeC4JLrHlPIwxYVfX5AaIyAgkwOUzhpLgEp5ZvT8in29Mf2AdyG6aVpLDuvLqWIdhjDFhU9cc3nSwjJREpgzNtkqsxpiwq41wB7IwM4WzxxbytzX78Xq7qo5hzMBkHcgAoTQTM4bnsbuqgWP1LRGPxxhjosFXkCK8F2NzSvNZs6+aZren652NMSZE/rnVkUphBbhyVgkHa5p4Z2dVxM5hTF9mHchA2vkyHuBLzQJYs6864uEYY0yktXq8NLu9ZIa5Azm7NJ8Wt5f15Zbyb4wJH/8IZLjXgQx0waTB5KQl8ef390bsHMb0ZRHtQIrIQhHZIiLbReSWIO+LiNzjvL9ORGY524eLyKsisklENorI1wKOuUNE9ovIGudxcVhj7rQOqy+F1SXwoXUgjTH9QH2z72IsM8wXY3NK8wB4z5bzMMaEUV2zP4U1ciOQqUkJXH1aCS9tOERlbXPEzmNMXxWxDqSIJAD3AhcBk4BrRGRSu90uAsY6j+uB+5ztbuCbqjoRmAfc1O7YX6rqDOexLFwxh5LCmpGSyLjBWTYCaYzpF/x388M9AlmQmcKE4ize2WEpYMaY8KltS2GN3AgkwDVzR+D2Kn/5YF9Ez2NMXxTJEci5wHZV3amqLcDjwBXt9rkCeFR93gVyRWSIqh5U1dUAqloLbAKGRTDWNl2lsIIvjXXtvuouq7YaY0y8O3E3P/wXY/NGF7Bqz1GbB2mMCZtIF9HxGzMok3mj8/nze3utmI4x7USyAzkMCLxtU86pncAu9xGRUmAm8F7A5pudlNeHRCQv2MlF5HoRWSUiqyorK0MKONQO4YzhudQ0trLrSH1I+xtjTLzydyAzwjwCCXBGWQFNrV7W7K0O+2cbYwam2igU0fH7l9NHUn6skde3hXYdacxAEckOZLCxvPY9tE73EZFM4Gng66p63Nl8H1AGzAAOAj8PdnJVfUBVZ6vq7KKiopAC1g4Cam/mCF+f1dJYjTF9XV2EUlgBTh9VgAhWydAYEza1TW5SEl0kJ0a+DuSFk4spzEzm0Xf2RPxcxvQlkfztKweGB7wuAQ6Euo+IJOHrPD6mqs/4d1DVw6rqUVUv8CC+VNmwCSWFdcygTDKSE6wDaYzptOhXwD7niEhNQPGv22IRazC1EUxhzUlPYsrQHN62eZDGmDA53uSOyugjQHKii385fSSvbK5ge0VtVM5pTF8QyQ7kSmCsiIwSkWRgEbC03T5LgcVONdZ5QI2qHhQRAX4PbFLVXwQeICJDAl5eCWwIV8ChTmlMcAnTSnKtA2mMga6Lfvm9EVD86/vRDbFjJ0YgI3NBNr+sgDV7q2lssXmQxpjeq21qjegSHu0tnj+SlEQXD76+K2rnNCbeRawDqapu4GbgJXxFcJ5U1Y0icqOI3OjstgzYCWzHN5r4FWf7mcC1wHlBluv4iYisF5F1wLnAN8IZt4QyBAnMGJHLpoPHaWq1iyJjBrJYFv0Kh7pm33yicC/j4Te/rIAWj5cP9hyLyOcbYwaWumZ3xNqrYAoyU/j07BL++uF+KmqbonZeY+JZRH8DnSU2lrXbdn/AcwVuCnLcm3QwHVFVrw1zmCc+O6SFPHxmDM+l1aNsPFDDaSPzIxWSMaYP6aDol998EVmLL03/W6q6Mcjx1+Nb0ogRI0ZEMNIT6prciEB6UkJEPn9OaT4JLuGdnUc4a2xhRM5hjBk46pvdEZmz3ZkvnTWax97byyNv7+bbF06I6rmNiUeRn4Hch6iGVkQH4LSRvkI6K3fbXXVjTIdFv/xWAyNVdTrwa+DZYJ/Rk+JfvVXX7CEzORGXK9TWr3syUxKZXmLzII0x4VHf7CE9ObodyFGFGSycXMyjb++hpqE1quc2Jh5ZB7K9EK+hCjNTGF2UwardRyMbjzEm7nVU9MtPVY+rap3zfBmQJCJxMRxX19wakSU8Ap1RVsi68pq2JUOMMaanGlrcZKREJmOiM19dMJbaZjcPvrEz6uc2Jt5YBzJAd5eJnTMyn5W7j9kCs8YMYJ0V/QrYp9jZDxGZi6/tjYshuWjMJ5pfVoDHq7y/Ky6+ZWNMH1bf4iE9OfodyIlDsrlk2hD+8NYujta3RP38xsQT60C2IyEnscKcUfnUNLayvbIughEZY+Jc0KJf7QqGXQ1scOZA3gMscuaAx1xtU+TnE502Mo+URBdvbDsS0fMY0xeJSIaIRL9H1Ec1NLujnsLq9/UFY2lo9fDb13fE5PzGxIvY/Ab+f/buO06uulz8+OeZuj1ls+m9QoDQQgKISBcQiagoWEDwGlGw/K5ey9VruxbUq1cQlIuKgihgoQpIUbq0ACEkhBSSkGzqpm6d/vz+OGc2k82W2TJzzuw+79drXjtz5szMs0nm5Dzn+3yfr1/18nTuuKnOPMgX1u9m9pjqAgRkjPG77pp+5exzHXBdcSLqneZ4qiBrQOYqCwdZOL2WJ1c3FPRzjCkFIhLAWdrsw8BxQByIikgDTuPBG1V1jYch+paq0ppMU+nBCCTArDHVLDpyPDf/awOXnjCV8cPLPYnDGK/ZCGQHea7iAcDkkRWMro7aPEhjTMlqLsIIJMDJs0bxZkMLm/e2FfyzjPG5x4AZwFeBsao6SVVHA28HngOuFpGPeBmgX8WSGVShoshdWHN98Z1zUIWrH3zDsxiM8VqvEsjBXmbRm2U8wFkz8ripI60TqzGmZDUXqSX+ybOdrrI2CmkMZ6jqf6vqMlXNZDeq6m5V/auqvg+4w8P4fKsl4TTi8moEEmDiiAoWnzyde1/dwktv2QCCGZq6TSBFJCAiHxKR+0VkB/AGsFVEVojIj0VkVnHCLI7eLOORddzUEWze22ZX1Y0xJak5VpxFuWeNrmJsTZklkMbAXe65VWVXO6iqrRXRidZ4GsCzOZBZV7xjBmNqonz7vtdJWyNFMwT1NAI55MoselPCCjB/6kgAK2M1xpQcVaU5UZwRSBHh5NmjeHrtTlLpTM8vMGbw+hXwbmC9iNwhIu8RkYjXQZWC9hFID5bxyFUZDfGf5x7Ksvp93PLsBk9jMcYLPSWQQ6rMoi/XkA4dV0NVNMQL6y2BNKZUiUiZiLxfRK4RkT+LyC0i8iUROczr2AqpNZFGlaIkkOCUsTbFUrxav68on2eMH6nqPap6MTAFuBO4FNgoIjeJyJneRudvrW4C6fUIJMD5R47nlDl1/Ojvq9i0u9XrcIwpqp4SyCFXZtGbZTwAggHh2CkjeN4SSGNKkoh8C/gXcALwPPB/wJ+AFE6VxSMiMs+7CAunOe6cjBWjhBXgpJmjCIjNgzQGQFXbVPUOVb0AOAs4Gvi7x2H5Wotbwur1CCQ4VRXfv+AIAgJfvfM1fLIykzFF0VMCOaTKLPr65T9xRi1rdzSzozE2wBEZY4rgRVU9RlW/oKp/VNVHVfVvqvpTVX03Tqv9QXncyyaQlUW6mj+8IsK8icN5co0lkMaIyBgR+YyIPAPcDTwMHOttVP6WHYEsD3s/Agkwfng5Xzn3UJ5eu5PfPrPB63CMKZpuE8ihVmah9H4OJMCJM0YB8Oy6XQMbkDGmGMpFJNrVk6q6Q1WXFDOgYmlLZBtSFO9q/smz63h10172tiaK9pnG+ImIfEJE/gm8DMwGvqSq01X1y6q61Nvo/M1PI5BZH1k4mTMOHc0PHlzJa1aeb4aIvJbxGEplFn3IH5k7voZh5WH+tdYSSGNK0IeBTe68x3MG81JFHbUmit/R8NQ5dWQUHl9lo5BmyDoRuBqYpKqfUdVnvA6oVPhpDmSWiPDj9x/JqKooV932Mo2xQTWzy5hO5ZVADpUyi76WrwcDwvHTR/LMmzsHNiBjTMG5F8ZmAv8APouTTP5SRE72NrLCy3Y0LC/iCOSRE4czqirKoyu3F+0zjfETVb1MVR9W1YyIzBOR80Xkvdmb1/H5WUvCfyOQACMqI1x78dFs3tPGZ/74inWaNoNeT+tA9qvMQkTOFpFVIrJWRL7SyfMiIte6zy8TkWPc7ZNE5DERWemuOfm5nNeMdJtarHF/jujtL91D0H162YkzRlG/p806cRlTglS1UVVvVtVzgCOApcDPRWSTt5EVlhclrIGAcPoho3lidQOJlJ1kmaFLRG4CbgLeh9Nv4t3AeZ4G1YmezuWKqTWeQgTKQv5KIAGOmzqS/37P4TyxuoHv3r/S63CMKaieRiD7XGbhloFdD5wDzAUuFpG5HXY7B5jl3hYDv3S3p4AvqOqhwPHAlTmv/QrwD1WdhTNi4OnBLOttM2sB+JeNQhpTstwLUu8FPgiMBP7qbUSF1epBAglw+qGjaYqleNHWzzVD2/GqOl9VL3VHJS9T1cu9DipXnudyRdOaSFMRDhII9O1if6FdvGAy/3bSNH73rw3c+OSbXodjTMH01ESnP2UWC4C1qrpOVRPA7cCiDvssAm5Rx3PAcBEZp6pbVfVlN4YmYCUwIec1N7v3bwbek+8v251sB9a+HpJm1FVRVx3lGZsHaUxJEZFqEfmoiDyAc6w5DvguMFlVP+9pcAXW5kEJK8BJs0YRCQWsjNUMdc96mYzlKZ9zuaJpSaSpKNK6tX311XMP5V3zxvH9B97glmc3eB2OMQWR17fQLbOYB6wAsjVHitOZtSsTgNzyr3pgYR77TAC25nz2VJymPc+7m8ao6lYAVd0qIqO7iHkxzqgmkydP7ibMjq/Le9eOn8eJM2p5Zu0uVBXp6xsZY4ptPfAQTgXE3wfb2rbd8aKJTvbzTpo5ikdXbucb582146UZqm7GSSK3AXGca9iqqn5ad7bHc7m+nm/1RWsiRWWRL3j1VjAg/OyDRxFPZvjGPSsAuOSEqd4GZXxBVWlLpmmOp4glMsRTaWLJDLFUmngyQyyZJp5yfrZv6/Az+5pEKkMynb0piXTO45SSzOTcT2fanx8o+Z41HK+qvb1K1tkZQcc2Nd3uIyJVOCVkn1fVxt58uKreCNwIMH/+/B7b4wzE+q9vmzGKe5ZuYc2OZmaPqe7/GxpjimGyqvZ58rKITAJuAcbiXGC7UVWv6bCPANcA5wKtwMeyVRZeyiaQ5eHin5Cdfuho/vnGDjtemqHsJuCjwGvsvzjvNz2ey/X2fKs/WuJpX3Vg7Uo4GOD6Dx/NlX94mW/cs4LtjTG+eNYcu1hWomLJNI2xJI1tSfa1pWhsS7Y/boqnaImnaImnaU2kaEmkaYmnaI2naUmkaE04CWNrPEVrMt3nfCMSClAWChANBykLBwgHA0SCASIh5344KFRFQ+33s8+HgwHCIXG3B/jaAP2Z5PstfFZE5qrq671473pgUs7jicCWfPcRkTBO8vgHVc0d6dyeLXMVkXHAjl7E1CPpcxErvG2Wsx7kk6sb7ITImBKRTR5F5Dzgv4GpQJD9owE1PbxFds72yyJSDbwkIo90OF7mzvdeiDPa2bEio+jakmnKwgGCHswnOv2QMXyN5Ty6crsdL81QtVFV7/U6iB7kcy5XNK2JVNHnbPdVNBTkho8cy9fvXs71j73J5j1t/OC984o+ZcDsl84oe1sT7G5JsKvF+dnx1hhLsq8t6SaJKfa1JXts+BYMCJWRIJXREBU5P8cNK6MiEqIyGnR+5jxXHgkRDQUocxPCaOjAn2XhYPvzkWBgwOb9FjuB7EuZxYvALBGZBmwGLgI+1GGfe4GrROR2nJOpfW5iKMBvgJWq+tNOXnMpTnOfS4F78vwdujUQl8wmDC9n1ugqHl/VwL+9ffoAvKMxpoh+htNA5zXV/K8RuiX12bL6JhHJztnOTSDb53sDz4lI+3zvAYu+D5yTMW+u5o8dVsYRE4bx6Ovb+fQpMz2JwRiPvSEifwTuwzm3AqDDRXOv5XMuVzQtiTTDysNefXyvhYIBfvDeI5gwvJyfPrqalVubuP7DxzBzdJXXoQ0aqkpjW4odTTG2N8bZ3hhje1OMHY1xdjTF2Nm8Pznc25og08X/7tVlIUZWRhhWHqamLMz4YeXUlIeocR8PKw+790Pt94eVh6mKOongUBtdzvfModdlFqqaEpGrcOYWBYGbVHWFiFzhPn8D8ABOSddanLKuy9yXvy37eSKy1N32n6r6AE7i+CcR+TiwEbgwz98hL/39+z9lTh03/+stWuIpKn0+0dsYc4BNwPLeJI8ddTJnO6vH+d7u64s2lwicElYvylezzpo7hp88sppt+2KMHVbmWRzGeKQcJ3E8K2dbT/0liqqrczmv4mmNpxhfYscKEeEzp8/iyEnD+fwdSzn/uqf5j3fO4ZITpnpS/VFq9rUl2bS7lfo9rWza3cbWfdkEcX/CGO9khLC6LERddZRRlVFm1lUxclqE2soII91bbWXU+VkVYURFhEiop4UpTK58M5w+lVm4Cd8DHbbdkHNfgSs7ed3TdNEQVVV3Aaf3Npae9OOc8QCnzBnNr55az7Nv7uKMuWMG5D2NMUXxJeABEXmCA0cDOlZBdKqHOdv5zAkv6lwicNaB9LIc7JwjxvGTR1bz9+Vb+djbpnkWhzFeUNXLet7Le52dy3mlNVEacyA7c/LsOu7/7El85a+v8e37XueepVv4zqLDmDdxuNeheSqWTLN5bxsbd7dSv7uVTe6a6pv2tLJxVyuNsdQB+1dEgoytKWN0TZSjJw9nTE0Zo6ujjK4pY0x11HlcEy3ZfyelIt8/3VIos+iX7Jlaf68FzZ86gopIkMdX77AE0pjS8j2gGSgDIr15YTdztrN8NY8oq9XjBHLm6CrmjKnmgde2WQJphgwR+TrwC1XtdCFUETkNqFDVvxU3Mv9rSaSojJbuHMJxw8r53WXHce+rW/jOfa9z/nXPcM7hY/nCWbOZOXpwzgVPZ5RtjTEnKcxNEN0kcXtj/ID9I6EAk0aUM2lkBUdPGsGkkeVMGlHBpJHOrZRKmAezfBNI35dZDJT+lrBGQ0FOnDGKx1c12HIexpSWkap6Vs+7HaiHOdtZnc737nuoA6M1kfK8ocM5R4zlmn+sYUdjjNE1pVWaZkwfvQbcJyIx4GWgAefC1SzgKOBR4PueRedjrSXShbU7IsKioyZw2iGj+fVT6/n1U+t4cPk2Tp1Tx6UnTuXkWXUD1jClGFSVPa1OmelGNynctLvNLTltZfPeNpLp/QU1AXES6Ykjynn7rDomj6w4IEmsq4qW1O8/VOX1LSyVMov+GKAKVsCZB/noyu282dBiE6WNKR2PishZqvpwL1/X6ZxtYDL0ON/bU62JNGM8TtredcQ4fvboGv6+YputlWaGBFW9B7hHRGbhHD/GAY3ArcBiVW3zMj6/Srlr2Xk5b3sgVZeF+X9nzuaSE6Zw63MbufX5t/jYb19kbE0Z5x4xjnfNG8uRE4cTCno/N68plmTz3jbqd7e1J4ib3ARx0+5WWtwlobJGVkaYNKKcwycM45wjxrnJoZMkjh9ebvMNB4FuE8ihWGYxECOGp8ypA+DxVTssgTSmdFwJfElE4kCSPJfx6G7Ods4+nc739lpbIu35COSsMdXMGl3F/cu2WgJphhRVXQOs8TqOUhFzG6WUhQdX8lFbFeVzZ8ziU6fM4KEV27j31S3c+txb3PTMeqqjIRZMG8nC6SOZO24Ys8dWUVcVHdDqtkQqw87mOA1NcbY1xti8p436Pc4I4ua9zv19bckDXlMeDraPHB4/vdYpLx1RzuTaCiaOqKDKmkgOej39DQ+ZMgsdkIU8HBNHVDDTlvMwpqSo6uCcgNKN1kSaCh9czT/niHH8/J9r2NEUY3S1lbEaYw4WSzqjXF5f9CqUSCjAu48cz7uPHE9jLMnjqxp4bt0unlu3i3+8sX/J8xEVYSaMKGdsTRljasqorYxQHsmuLRgkIEJGlUxGySjEU2maYima4ymaYkmaYin2tibZ0RSjoSnOntbkQbFURIJMGO6UmR4zeQQTRjj3Jwx35ibWVkZsitYQ120COZTKLAayhBXg9ENH85un1rOvLWkTfo3xMRGZqqobunlegAmqWl+8qIrDL4tyv+uIcVz7jzU8tHwbH7VRSGNMJ7IJZFnI+2NWodWUhTn/yPGcf+R4AHY1x1m1rYlV25tYvb2ZLe7I4Etv7WFvWzKvc9iycIDqsjDVUWdtw6m1lSyYNpK6qjLqqqNuJ9MoE0dUMKIibAmi6Va+cyCHTJnFQH1fzpo7lv97Yh2Pr9rBoqMmDMybGmMK4cciEgDuAV5if6XFTOBUnGWDvonTSXVQaUumqfBBqdHsMVXMHF3Ffa9utQTSGNOpbAIZHWQlrPmorYpy4swoJ84cddBzqkosmaE1kaI1kUYVAgEIiBAMCJFggKqyEGEfzKU0g4f9ayqQoycNZ1RVlIdXbPc6FGNMN1T1QuC/gDnA9cBTOMnkvwGrgNNU9RHvIiyMZDpDMq2+KGEVEd5z1Hhe2LCbTbtbvQ7HmKIQkR+JSI2IhEXkHyKyU0Q+4nVcfhVLZudAen/M8hMRoTwSpLYqyqSRFe3zEMcPL2dMTRkjKiOWPJoBZ/+iOpB+rwTpCASEM+eO4fFVO9qvmhlj/ElVX1fVr6nqKao6R1WPVtUPqeqtqhrzOr5CaE34az5RtlLj7lc2exyJMUVzlqo2AufhVDjMBv7D25D8q30OpCWQxnjOEkjXQM+BBDjrsDG0JNI8++augX9zY4zphzY3gfTLmmqTRlawYNpI7lq6GS3EAdkY/8k2SDgXuK2rjvfGYSOQxvhHXgmkiEwTkZ+KyJ0icm/2VujgiinbhXUg5wyfOKOWqmiIh1/fNnBvaowxA6A1kQLwRROdrPcePYF1DS0sq9/ndSjGFMN9IvIGMB/4h4jUAYOy4mEgtGWb6AzBOZDG+E2+38K7gQ3Az4Gf5NwGnYHsORUNBTllTh2PvL6ddMauqBtj/MNvJazgLOcRCQW4y8pYzRCgql8BTgDmq2oSaAEWeRuVf7V3YbURSGM8l28CGVPVa1X1MVV9InsraGRFVqiKqbMOG8vO5gQvb9xTmA8wxgwYEXmvW23xExG5wOt4Cqm1vYTVPydjw8rDnHHoaO57dQvJdMbrcIwpKBEpAy4D/iwifwU+Cez1NCgfszmQxvhHvgnkNSLyTRE5QUSOyd4KGplHBnrZm1Pn1BENBbh/2daBfWNjzIASkV8AVwCvAcuBT4rI9d5GVTh+LGEFuODoiexqSfDEqgavQzGm0G4BDsOp7roOOBT4vacR+Vgs5VxUGorLeBjjN/l2TzgC+ChwGpC9LKzu40GhUAWm1WVhTjtkNH9btpX/Om8uwYAtzGqMT70DOFzdDi4icjNOMjkoZZvolIf90UQn65Q5dYyqinL7i5s4Y+4Yr8MxppDmqOqROY8fE5FXPYvG52IJK2E1xi/yvYxzATBdVd+hqqe6tx6TRxE5W0RWichaEflKJ8+LiFzrPr8sd1RTRG4SkR0isrzDa74lIptFZKl7OzfP36Fb2a5/A7WMR653Hzmenc1xnltn3ViN8bFVwOScx5OAZR7FUnDZEtbKqL9OxsLBABfOn8g/39jOtn3WT8QMaq+IyPHZByKyEHjGw3h8rX0OZMhfxyxjhqJ8E8hXgeG9eWMRCeIsyn0OMBe4WETmdtjtHGCWe1sM/DLnud8BZ3fx9v+rqke5twd6E5cXTjtkNJWRIPe9usXrUIwxXasFVorI4yLyOPA6UDcYu04DtCb910Qn66LjJpFR+NOSTV6HYsyAE5HXRGQZsBD4l4hsEJENwLPAyZ4G52OxVJpgQAgHrZLLGK/lW7s0BnhDRF4E4tmNqnp+N69ZAKxV1XUAInI7Tnex13P2WQTc4paMPSciw0VknKpuVdUnRWRqL36XfsmWsA70HEhwyi3OOmwsDy7fxncWHU4kZPX7xvjQN7wOoJja2udA+quEFWBKbSUnzRzFHS9u4spTZ1rpvxlszvM6gFIUS2YoCwWQQpyoGWN6Jd8zh2/24b0nALmXj+txrrb1tM8EoKeOM1eJyCXAEuALqnpQi1MRWYwzqsnkyZM7Pl107z5yHHe9spmn1zZw2iE2r8cYvxCR64A/9rWztIjchHNCuENVD+/k+VOAe4D17qY7VfU7fYt24LQv4+HT+UQfWjiZT//hZZ5c3cCph4z2OhxjBoyqvpW9LyJHAm93Hz6lqjYHsgttybTNfzTGJ7odChOR60TkxNylO3qxjEdnl4g69qrJZ5+OfgnMAI7CSTQ7XY9SVW9U1fmqOr+urq6HtyzcMh5ZJ82sY1h5mHuXWhmrMT6zBviJW0b2QxE5qpev/x1dl9tnPZVTdu958ghOE51oKODb0b0zDh3DqKoIf3xho9ehGFMQIvI54A/AaPd2q4h8xtuo/CtmCaQxvtFTLWV/TqzqcZpQZE0EOmZP+exzAFXdrqppVc0Av8IplR0whSqNiIQCnHvEOB5asZ3meKogn2GM6T1VvUZVT8Dpwrob+K2IrBSRb4jI7Dxe/6T7upLSmkj7bgmPXJFQgPcfO4l/vrGDrfvavA7HmEL4OLBQVb+hqt8Ajgc+4XFMvhVPZiizJTyM8YVuv4n9PLF6EZglItNEJAJcBHRsRHEvcInbjfV4YJ+qdlu+KiLjch5egLNeW/8VeAQS4P3HTqQtmeb+ZTYKaYzfqOpbqvpDVT0a+BDO8WXlAL39CSLyqog8KCKHdbWTiCwWkSUisqShobDrIDoJpP/mP+b68MLJqCq3PPtWzzsbU3oESOc8TtN5ZZbBRiCN8ZO8LuX05cRKVVPAVcBD7r5/UtUVInKFiFzh7vYAsA5YizOa+Ons60XkNpyOZHNEpF5EPu4+9aOcDmanAv8vz9+1+9+R7DIehXPM5OHMqKvkz0vqC/gpxpi+EJGwiLxbRP4APAisBt43AG/9MjDFXe/t58DdXe3Y29L7/mhLpnzZgTXXpJEVnDV3LH98fmP7upXGDCK/BZ53lyf7FvAc8BtvQ/KvWMoSSGP8Iq/LzyISxpnjcxFwOvAE8O2eXucusfFAh2035NxX4MouXntxF9s/mk/MfVXI5l4iwoXzJ3H1g2/wZkMzM+qqCvdhxpi8iMiZwMXAu4AXgNuBxaraMhDvr6qNOfcfEJFfiMgoVd05EO/fVy1xf5ewZl1+0jT+vmIbd75Sz4cXTvE6HGMGjKr+1F0y6CSc69eXqeor3kblX22JtO8vehkzVPTUROdMt8NgPU5H0weAGar6QVW9uwjxFU2hm+hkvffoCQQDwl9eslFIY3ziP3GqHQ5V1Xer6h8GKnkEEJGx4k6uFpEFOMfdXQP1/n3V5vM5kFnHTR3B4RNquOnp9WQyRTpQG1Mkqvqyql7rThmy5LEbsWTGt12jjRlqeiphLeiJlR8VevLB6Joy3jG7jjtfrieVzhT404wxPVHVU1X1V6rap0Y4nZXbdyjVfz+wXEReBa4FLnKrLzzVmkz5fg4kOJUbl79tGm82tPDUWk8HbY0xHoql0kQtgTTGF3pqotOvE6tSUsyzuQ/Mn8j2xjhPrilskwxjTOGp6sWqOk5Vw6o6UVV/o6o3ZMv1VfU6VT1MVY9U1eNV9V9exwxOE51SKQd717xx1FVH+c3T63ve2RgzKMWTGcpCpXHMMmaws37IruyAQKGW8ch12iFjqKuO8nvrLGiM8UhbIk1FiVzNj4aCfOzEqTy5uoHlm/d5HY4xxgNtybQt42GMT9g3sYMi5I9EQgEuPm4Sj69uYOOu1sJ/oDHGdOD3dSA7+ugJU6guC3H9Y2u9DsWYfhGRJhFp7OTWJCKNPb/D0BRLpm0OpDE+YQmkq9gTkj60cAoBEW593kYhjTHF53Q09P8cyKyasjCXnjCVv6/YxprtTV6HY0yfqWq1qtZ0cqtW1Rqv4/MjVbV1II3xEUsgOyjWCr5jh5Vx1twx/GnJJmJJW9/MGFM8qXSGRDpTUiOQ4CzpURYK8ovH3/Q6FGNMESXTSkaxElZjfMK+iS4veiJecsJU9rYmuffVLcX/cGPMkNXqXrQqtQRyZGWEDy+czL2vbuGtXYO6IbgxfSIiF4rIChHJiMj8Ds99VUTWisgqEXlnzvZjReQ197lrc5YdiorIHe7250VkapF/nXZt7jHLRiCN8QdLIF2aLWItxiRI1/HTRzJ7TBW3PLsBH3T1N8YMEW0J52SsVLqw5vrEydMJBsTmQhrTueXAe4EnczeKyFzgIuAw4GzgFyKSPQD8Emet71nu7Wx3+8eBPao6E/hf4IcFj74LcUsgjfEVSyA7KF766HR8/diJ01i+uZFn13m+rrgxZohoTZTmCCTAmJoyPrxwMn95qZ43G5q9DscYX1HVlaq6qpOnFgG3q2pcVdcDa4EFIjIOqFHVZ931aW8B3pPzmpvd+38BTs+OThZbLOmsm20JpDH+YAlklkcDgO89ZgKjqiLc8MQ6bwIwxgw5LfEUABUl1EQn15WnzqQ8HOSnD6/2OhRjSsUEYFPO43p32wT3fsftB7xGVVPAPqC24xuLyGIRWSIiSxoaCrO+dSyVHYG001Zj/MC+iR0U+9paWTjIZW+bxpOrG3h9i3XvNsYUXluJzoHMGlUV5eNvn879r23ltXpbF9IMLSLyqIgs7+S2qLuXdbJNu9ne3WsO3KB6o6rOV9X5dXV1Pf8CfZAtuy8LleYxy5jBxhJIl5czED+ycAqVkSA3PmmdBY0xhVfKJaxZn3j7NEZUhPnRQ294HYoxRaWqZ6jq4Z3c7unmZfXApJzHE4Et7vaJnWw/4DUiEgKGAbsH6vfojWy3+lKct23MYGQJZAdS1FmQjmEVYS5eMJn7lm1l0+7Won++MWZoaUs4Jazl4dIsYQWoLgtz5akzeWrNTp5Zu9PrcIzxu3uBi9zOqtNwmuW8oKpbgSYROd6d33gJcE/Oay51778f+Kd61PEvlsrOgbTTVmP8wL6JLq+boF5+0jQCAjc8YaOQxpjCGgwjkAAfOX4Kk0aW8537XieVzngdjjGeE5ELRKQeOAG4X0QeAlDVFcCfgNeBvwNXqmp2EepPAb/GaazzJvCgu/03QK2IrAX+HfhK0X6RDrIjkFErYTXGFwqaQIrI2e56Q2tF5KADjziudZ9fJiLH5Dx3k4jsEJHlHV4zUkQeEZE17s8RAxFrdhkPb/qLwfjh5Xxg/iT+tGQT9XtsFNIYUziDJYEsCwf52rlzWbW9iT88v9HrcIzxnKrepaoTVTWqqmNU9Z05z31PVWeo6hxVfTBn+xK3BHaGql6VHWVU1ZiqXqiqM1V1gap61u0vZst4GOMrBUsg3fWFrgfOAeYCF7vrEOU6h/3rDi3GWYso63fsX4so11eAf6jqLOAfDPAVMY/yR8DpLCgI1/3T1jczxhROKa8D2dE7DxvD22bW8tNHVrO7JeF1OMaYArA5kMb4SyFHIBcAa1V1naomgNtx1hTKtQi4RR3PAcPdNYlQ1SfpfLJ27rpEN7N/vaJ+8bqEFZxRyA8tnMyfX6rnrV0tXodjjMlDV9USOc93WWnhlf0jkKU7BzJLRPjmuw+jOZ7iJw93tvydMabUta8DGbKZV8b4QSG/iV2tOdTbfToa4076xv05urOd+roukVclrFmfPmUGoYDwcxuFNKZU/I7OqyWyuqu08ERrMkUkFCAY8PiAN0Bmj6nmo8dP4bYXNvLqpr1eh2OMGWBWwmqMvxQygcxn/aC81hjqi96uS+SDAUgARteU8dHjp3Dny/Ws2tbkdTjGmB50Uy2R1WWlhVfaEmkqB1kp2L+fNZtRVVG+/NdlJK2hjjGDSpslkMb4SiETyK7WHOrtPh1tz558uT939DNOALKdqb1YxqOjq06bSXVZmO89sNLrUIwx/Zd3pUVfKyd6qzWRHhTlq7lqysL893sO541tTdz4pGe9PowxBRBLZogEB0/VhDGlrpAJ5IvALBGZJiIR4CKcNYVy3Qtc4s4ROh7Yly1P7UbuukSXsn+9ooHhg2PT8IoInz19Fk+ubuDxVQOSHxtjvJN3pUVvKyf6qjWRGpTNKN552FjOPWIs1/xjDW82NHsdjjFmgMSSaaK2BqQxvlGwb6OqpoCrgIeAlcCfVHWFiFwhIle4uz0ArMNZe+hXwKezrxeR24BngTkiUi8iH3efuho4U0TWAGe6jwcg3oF4l4Hz0eOnMLW2gu/dv9LWNzOmtPWl0qKgnBHIwZdAAnzr/MMoCwX4yl+Xkc747MBujOmTeCpt5avG+EhBL+eo6gOqOttdW+h77rYbVPUG976q6pXu80eo6pKc116squNUNeyuafQbd/suVT1dVWe5P7ube9RrPhiABCASCvDVcw9lzY5m/viCrW9mTAnrS6VFQbUm0pQP0pOx0dVlfOPdh/Hihj3835Nveh2OMWYAtCXSlNkIpDG+Yd9GHztrrrO+2Y8fWsWOppjX4RhjOtFZtUS+lRZeaRvEI5AA7ztmAuceMZafPrya5Zv3eR2OMaafYsnMoL3oZUwpsgTSlS1hFa/X8cghIvz3osOJJzN892/WUMcYP+qsWiLfSguvtCZSg66JTi4R4fsXHMGoqiifvf0V2tx1L40xpSlmJazG+IolkB34J310TK+r4tOnzuDeV7fwxOrCdWU0xgwdbYn0oGyik2t4RYSffOBI1jW08O37VngdjjGmH2LJNGWhwX3MMqaUWALpUt+sBHmwT50yg+mjKvn63a/ZlXRjTL+1JgffOpCdedvMUXz6lBnc/uIm/rRkU88vMMb4UlsyY11YjfER+zZ24KMK1nbRUJDvXXAEm3a38cO/v+F1OMaYEtcaT1MRHbwlrLm+cNYcTpo5iq/fvdzmQxpTouLJwdv4y5hSZAmky2/LeHR0woxaPnbiVH73rw08tcZKWY0xfZNIZUikM0NiBBIgGBCuuegoRlVGuOLWl9jbmvA6JGNML8WSNgfSGD+xBLIDP45AZn3lnEOYUVfJf/x5Gftak16HY4wpQdky+MHcRKej2qoov/jIsexojHPlH18mkbK1dY0pJbFkxpbxMMZH7Nvo8vkAJABl4SD/+8Gj2Nkc5+v3LEf9PmxqjPGdlkQKYFAv49GZoyYN5wfvPYJn1u7ia3e9ZsdPY0pIm41AGuMrlkC6sicT4rs+rAeaN3E4nz9jFve9uoU/PL/R63CMMSWmNTsCOUTmQOZ637ET+dzps/jzS/Vc/9har8MxxuQpZnMgjfEVSyA78HMJa9anT5nJKXPq+M59r7Osfq/X4RhjSkirOwI5VOZAdvT5M2bx3qMn8D8Pr+avL9V7HY4xpgeqSjyVIWoJpDG+YQmkq5SKmQIB4X8/cBR11VE+devL1hTCGJO3lvjQmwOZS0S4+n3zeNvMWv7jL6/y4GtbvQ7JGNONuDtn2eZAGuMf9m0sUSMqI/ziw8fQ0OQ0hUimrSmEMaZnrUN0DmSuSCjAjR+dz9GTR/DZ21/hn29s9zokY0wXso2/ykJD95hljN9YAukqxX4KR04azvfdphD/dbc11THG9KzFPRmrjA7tk7HKaIjfXnYch4yt4YpbX+bJ1bY8kjF+FEs5x6zyIXzRyxi/sQSyndtEpxQmQeZ4/7ETuerUmdz+4ib+78l1XodjjPG5tvYRyKFZwpqrpizMLZcvYPqoSv7t5iX8fbmVsxrjN7GklbAa4zf2beygtNJHx7+fOZvz5o3j6gff4O5XNnsdjjHGx7JzICstgQSc6QB3LD6BwybU8Ok/vMyfl2zyOiRjTI5Y0kpYjfGbgiaQInK2iKwSkbUi8pVOnhcRudZ9fpmIHNPTa0XkWyKyWUSWurdzByLWUq7+DASE/7nwSE6YXssX/vwqf1++zeuQjDE+lZ0DaeVg+w2rCHPrxxdywoxa/uMvy/jVk+tsSoAxPtGWTSCtC6sxvlGwBFJEgsD1wDnAXOBiEZnbYbdzgFnubTHwyzxf+7+qepR7e2Bg4x7IdyuesnCQX186nyMnDuMzt73M46t2eB2SMUNGHhfLThGRfTkXvr7hRZzgzIEMB4VIyApQclVGQ9z0seM45/CxfO+BlfznXcutOZkxPhCzBNIY3ynkGcQCYK2qrlPVBHA7sKjDPouAW9TxHDBcRMbl+doBNRiuNTtNIRYwe0w1n/z9S9ZZ0JgiyPNiGcBTORe+vlPUIHO0xlM2/7EL0VCQ6z90DJ8+ZQa3vbCRS296gX2tSa/DMmZIi9scSGN8p5DfxglA7mSSendbPvv09Nqr3JLXm0RkRGcfLiKLRWSJiCxpaOi5u162WklKchbkfsPKw/z+4wuZPaaaxbe8xL2vbvE6JGMGu6Jf8OqP1kSaSitf7VIgIHzp7EP4yYVHsmTDHs6//mmWb97ndVjGDFk2AmmM/xQygewsE+s40NfVPt299pfADOAoYCvwk84+XFVvVNX5qjq/rq4ur4ChdEtYc42sjPDHTyzkmCkj+Nztr3Drc295HZIxg1k+F8sAThCRV0XkQRE5rLM36u2Fr75oTaRt/mMe3nfsRG5bvJB4MsN7f/Evfv/cWzYv0hgP2BxIY/ynkAlkPTAp5/FEoONwWFf7dPlaVd2uqmlVzQC/wrn63286KIpY96t229OfNmc0X797Od/92+ukM4PrdzTGJ/K5WPYyMEVVjwR+Dtzd2Rv19cJXb7QkUlRGrYQ1H8dOGckDn3s7J8yo5b/uXs5Vf3yFPS0Jr8MyZkjJLuNRbgmkMb5RyATyRWCWiEwTkQhwEXBvh33uBS5xu7EeD+xT1a3dvdadI5l1AbB8IIMeBAOQ7crCQf7vo8fysROn8uun1/NvN79IU8zm8xgzwHq8WKaqjara7N5/AAiLyKjihbhfazxNhY1A5m1kZYTffuw4vnT2HB5asY2zfvYkj7xu88uNKZb9Jaw2B9IYvyjYt1FVU8BVwEPASuBPqrpCRK4QkSvc3R4A1gFrcUYTP93da93X/EhEXhORZcCpwP8bmHgH4l38JxQM8K3zD+O77zmcJ9fs5D3XP8Mb2xq9DsuYwaTHi2UiMlbEKZAXkQU4x95dRY8UdwTSmuj0SiAgfPqUmdxz1duorYzwiVuW8O93LLXRSGOKIJayElZj/KagZxHulfYHOmy7Iee+Alfm+1p3+0cHOEz3fZ2fg2EOZGc+cvwUptdV8tnblrLoumf4xrvn8qEFk5HB+gsbUySqmhKR7AWvIHBT9mKZ+/wNwPuBT4lICmgDLlKPJtS12RzIPjts/DDuveokrvvnGq5//E3+uWoH//HOOVx03GSCATuWGu+JyI+BdwMJ4E3gMlXd6z73VeDjQBr4rKo+5G4/FvgdUI5z3vU5VVURiQK3AMfiXPD6oKpuKObvAxBLOAlk1JYeMsY37Nt4kMF7EnDijFE8+Lm3s2DaSL5213Ku/OPL7GqOex2WMSVPVR9Q1dmqOkNVv+duuyF7wUxVr1PVw1T1SFU9XlX/5VWsNgLZP5FQgH8/aw73f/Yk5oyp5mt3LWfR9U/z0lt7vA7NGIBHgMNVdR6wGvgqgLu00EXAYcDZwC/cJYjAaU64mP3rcp/tbv84sEdVZwL/C/ywWL9ErlgqQ1k4YBe8jfERSyBdg62JTlfqqqPcfNkCvnz2ITzy+nbO+OkT3LN0s3UXNGaIaImnqYjaCGR/HTK2htsXH8+1Fx9NQ1Oc9/3yXyy+ZQmrtjV5HZoZwlT1YXcaEMBzOHOywVla6HZVjavqepypQwvcvhI1qvqsWxVxC/CenNfc7N7/C3C6eJDFxZJpK181xmcsgexgKFzgCgSET50yg/s/+3Ym11byuduX8m83L+GtXS1eh2aMKaB0RmmOp6gpC3sdyqAgIpx/5Hj++YVT+MKZs3n2zV2cfc2TfP72V1i/046nxnOXAw+697tbd7u+k+0HvMZNSvcBtR0/pNDLD8WSacpClkAa4yeWQLqG4gDc7DHV3PmpE/n6uw7l2XW7OPOnT/KDB1dap1ZjBqnmmDMwUV1mJawDqTIa4jOnz+KpL5/KJ0+ewd9XbOO0nzzOp259iVc2WmmrGVgi8qiILO/ktihnn68BKeAP2U2dvFVP627ns0RRwZcfaktmrAOrMT5jZxEdDIEByAMEA8K/vX067z5yPD9+aBX/98Q6/rKknitPncmHFk62shFjBpFG9+JQTbmNQBbC8IoIXznnEC4/aSq/e2YDtz73Fg8u38aCaSO5/G1TOf3QMYSDdiJs+kdVz+jueRG5FDgPOD2nWVd3625P7GR77mvqRSQEDAN29/sX6CUrYTXGf+x/MgPAmJoy/ufCI7nvqpOYNaaK7/ztdd7+o8f4zdPr29dgMsaUtvYE0kpYC2p0dRlfOvsQ/vXV0/mv8+ayeU8bV9z6Mif84J/86O9vsHFXq9chmkFKRM4Gvgycr6q5/9DuBS4SkaiITMNplvOCu/Z2k4gc785vvAS4J+c1l7r33w/804vu0ZZAGuM/NgLp2r+Mx1AbgzzQEROHcfviE3hu3S6ueXQN//2317n+sbV8aMFkPnL8FMYOK/M6RGNMHzW2OSWsNeV26C+GqmiIj580jUtPmMITqxu47YWN3PDEm/zi8Tc5YXot7z5yPOccPpYRlRGvQzWDx3VAFHjEPZ95TlWvcJcW+hPwOk5p65Wqmr06/Cn2L+PxIPvnTf4G+L2IrMUZebyoaL9FjriVsBrjO3YW0cHQTh/3O356LccvruX5dbv41VPruf7xtdzwxJucc8Q4PrxwMgumjiRg654ZU1JsBNIboWCA0w8dw+mHjmHrvjb+vKSeu1/ZzH/e9RrfuGc5J80axXnzxnPaIaMZacmk6Qd3yY2unvse8L1Oti8BDu9kewy4cEAD7IO2ZJraKvteGOMnlkC6hsoyHr21cHotC6fXsnFXK7c8u4E7lmzivle3MGF4Oe89ZgIXHD2B6XVVXodpjMlDk9tExxJI74wbVs5nT5/FZ06byYotjfxt2Vbue3ULX/zzq4jA0ZOGc+qc0Zx6yGgOG18z5KtijLEurMb4jyWQrv0lrN7G4VeTayv4+nlz+cJZc3j49W389eXNXP/YWn7+z7UcNr6GM+eO4ay5Yzl0XLWd8BjjU41t2SY6duj3mohw+IRhHD5hGF8+ew6vbd7HP9/YwWNv7OAnj6zmJ4+sZlRVlIXTRzoVIdNGMnN0lR1fzZATS6Upj1gCaYyf2FlEB/Z/c/fKI0EWHTWBRUdNYHtjjHuXbuGhFdu45h9r+Nmja5g4opzTDhnNiTNGcfz0kQyvsLITY/wiW8JaFbVDv5+ICPMmDmfexOF8/ozZNDTFeXzVDp5Zu5Pn1u3m/mVbAaitjDB/6giOnDSceROGc8TEYQyzjrpmkIvZHEhjfMfOIlxWwNp7Y2rK+MTJ0/nEydNpaIrzzze28/CK7fx5ST23PPsWInD4+GGcMKOWYyaP4OjJwxlTY014jPFKY1uKikiQkC0l4Wt11VEunD+JC+dPQlXZuLuV59ft5rn1u3j5rT08tGJ7+75TayuYN3E4h4yrZvboamaPqWbiiHKbo24GjVgiTdRKWI3xFUsgXdnO1GJtdPqkrjrKB4+bzAePm0wileHV+r38a+0unnlzJ799Zj03PrkOgLE1ZRw5aRhHThrOoWNrmD22mvHDyqwsy5gi2NUSZ1RV1OswTC+ICFNqK5lSW8kHjnOW8dvXmmTZ5r0sq9/Hsvq9LNmwm3tf3dL+mvJwkJmjq5g1pooZdVVMGlnBZPc2oiJsx1tTUmIpW8bDGL+xBNLVPgJp/6/2WyQU4LipIzlu6kg+d8YsYsk0r29tZOnGvbxav5dXN+094Ap6dTTErDFVzBlbzYy6KudEp9Y52amI2D9RYwZKQ1OcumpLIEvdsIowb59Vx9tn1bVva4wlWbO9mTXbm1i9vZk1O5p4Zu1O7nx58wGvrY6G2hPKscPKGDusjDE1UcbUlDG2xnlsx13jF+mMkkwr5ZZAGuMr9r9EB5Y/DryycJBjJo/gmMkj2rfta02yekcTq7Y1sXq78/Pvy7expzV5wGtHVUWZPLKcSSMrGFtTxuia/Sc7o6udn3Zl0pj87GiKM9O6Jg9KNWVhjp0ygmOnjDhge1sizaY9rWzc1cpbu1vZtLuVjbtbWdvQzNNrd9IcTx30XtVlIUZXRxlZGWFERcT5WRmhtsPj4eVhqspCVJeFrMTQFEQs6SxVaXMgjfGXgiaQInI2cA0QBH6tqld3eF7c588FWoGPqerL3b1WREYCdwBTgQ3AB1R1T39jVZsEWVTDKsLto5RZqsre1iQb3ROcje7Jzlu7Wnl54x52NMaJpzIHvVd1WYgRFRFGVIQZfsDPCMMrwgyvCFNdFqIyEqIymr0FqYqGKA8HrZzL9Ft/jnXF1NAU58QZtcX+WOOh8kiQ2WOcuZGdaY6n2N4YY/u+GNsandv2fTF2NMXZ05rgrV2tvLJpL3taEqQyXf9HGQkGqC4LtSeUVdEQ1WVhqqPOtvJwkLJwkPJIkLJQwPkZDh6w3bkfoCwcJBoKEgkGCIeEcDBAKCB2rB6C9ieQdoHCGD8pWAIpIkHgeuBMoB54UUTuVdXXc3Y7B5jl3hYCvwQW9vDarwD/UNWrReQr7uMv9z9izcbd/7cyfSIijHCvbB85afhBz6sqjW0ptjfFnBOexjjbG2M0uCc6e1qT7G1NsH5nC3taE+1r3nX/mbiJZZDKSIhoOEg0FKAsHCAacu5ntzm3INHw/vvhoBAKCCH3BCcYcE52nJ9CMBBwn3eeCwUChLKvCTj7Of1MhIBAQISACCIQCDgzcp1tzp9PZz9zXyM5j7P7mMLqz7GumHHua0uyry3JuGHlxfxY43NV0RBVdc5cye6oKo2xFHtaEuxuTbCnJcHe1iTN8RTN8RSNsSTNMed+UyxFcyxF/Z42mmLOPrFkmljy4AuAvREJOsfPcDBAOBggEhTCocCBj937Qfd4HAw4x8NggJz77s29H8i5f9BrJOf54P5ja8COrUXRZiOQxvhSIUcgFwBrVXUdgIjcDiwCck+qFgG3qNPB5jkRGS4i43BGF7t67SLgFPf1NwOPMwAJZPs6kP19I1MwIsKwijDDKsJdXk3PlUxn2NfmJJXN8TQt7olOayLV/ji7rSWeoiWRJp7MEE+liacy7G1LEk+mSaQyxFPu9mSGWCpNMl06Q9bZ8xxpfyztj/c/t38nOeh10u170MlzInDevHF89z1HDOjv4lN9Ptap6tZiBfnk6gYADhtfU6yPNIOIiDCsPMyw8jBTqezTe2QySjyVIZZM0+beYu6tLZFpf9yWSJNIZ0i235REqsPjdIZkqsNj9+Ycs5W0QjqTIZ1xPjut2v4zlVYyqqQz+3869yGVyZDJQNrdbryTvehgI5DG+EshE8gJwKacx/UcfMW9s30m9PDaMdmTLlXdKiKjO/twEVkMLAaYPHlyj8HWVkW5eMFkxg+3q/ODRTgYYFRVtCBdJ9MZ94QmkyGVVlLuz3RGSWWUVDpDyj0hSaYz7Y0AnOezr3Huq0LGvYKRUSWTcX5mt2t2uzqjAJlMdpv72H0u+xrNeZxxT36yp0DZCyWK5tzf/5xy4EZlf4fi7vbPLQHP7t/ZKPIg1Z9j3QEJZG+PW70xblgZ7z16Am+bOWpA39eYfAUC4pSqRoKM6Hl338gmnenM/uNyWpVhP/Q6ssGvKhriQwsnM32Uzd02xk8KmUB2NpjX8VJeV/vk89puqeqNwI0A8+fP7/G100ZV8oP3DonREjMAgtkTIeyqqOnXse7ADb08bvXG/KkjmZ8z59gYk59AQAgg2CBY8Y0dVsb3L7BzM2P8ppBF5fXApJzHE4Etee7T3Wu3u2WuuD93DGDMxhjTW/051hljjDHGlJRCJpAvArNEZJqIRICLgHs77HMvcIk4jgf2ueWp3b32XuBS9/6lwD0F/B2MMaYn/TnWGWOMMcaUlIKVsKpqSkSuAh7CaW1/k6quEJEr3OdvAB7AaWu/Fqe1/WXdvdZ966uBP4nIx4GNwIWF+h2MMaYn/TnWGWOMMcaUmoKuA6mqD+CcOOVuuyHnvgJX5vtad/su4PSBjdQYY/quP8c6Y4wxxphSYgvrGGOMMcYYY4zJiyWQxhhjjDHGGGPyYgmkMcYYY4wxxpi8iOqALjXmSyLSALyV5+6jgJ0FDGegWJwDq1TihNKJNd84p6hqXaGDKTW9PG7lq1T+7UDpxGpxDqxSiRNgjqpWex2EnxTouAWl8+/C4hxYpRInlE6sA3LcKmgTHb/ozcmpiCxR1fmFjGcgWJwDq1TihNKJtVTi9KtCJNWl9HdSKrFanAOrVOIEJ1avY/CbQl0MLJV/FxbnwCqVOKF0Yh2o45aVsBpjjDHGGGOMyYslkMYYY4wxxhhj8mIJ5MFu9DqAPFmcA6tU4oTSibVU4hxKSunvpFRitTgHVqnECaUVa6krlT9ri3NglUqcUDqxDkicQ6KJjjHGGGOMMcaY/rMRSGOMMcYYY4wxebEE0iUiZ4vIKhFZKyJf8TqezojIJBF5TERWisgKEfmc1zF1R0SCIvKKiPzN61i6IyLDReQvIvKG+2d7gtcxdUZE/p/7975cRG4TkTKvY8oSkZtEZIeILM/ZNlJEHhGRNe7PEV7GONj1dAwTx7Xu88tE5Jh8X+ujOA/6d+a3OL04Tvcj1jIReUFEXnVj/bYf48x5vij/p/Tz3+gGEXlNRJYOVLfDwcyOW/6JtdjHLjtu+SfOPh23VHXI34Ag8CYwHYgArwJzvY6rkzjHAce496uB1X6MMyfefwf+CPzN61h6iPNm4N/c+xFguNcxdRLjBGA9UO4+/hPwMa/jyonvZOAYYHnOth8BX3HvfwX4oddxDtZbPscw4FzgQUCA44Hn832tH+Ls6t+Z3+Is9nG6n7EKUOXeDwPPA8f7Lc6c5wv+f8oA/BvdAIwq5L/PwXKz45a/Yi3mscuOW/6Ksy/HLRuBdCwA1qrqOlVNALcDizyO6SCqulVVX3bvNwErcRIL3xGRicC7gF97HUt3RKQG5+D+GwBVTajqXk+D6loIKBeREFABbPE4nnaq+iSwu8PmRTjJOe7P9xQzpiEmn2PYIuAWdTwHDBeRcXm+1g9xdvXvzFdxenCc7k+sqqrN7j5h91aoxgj9+rsv4v8p/YrT9Iodt3wUa5GPXXbc8lGcfWEJpGMCsCnncT0+TcyyRGQqcDTOlRc/+hnwJSDjcRw9mQ40AL91Swx+LSKVXgfVkapuBv4H2AhsBfap6sPeRtWjMaq6FZyLH8Boj+MZzPI5hnW1TzGPf/2Js5gGJM4iHaf7FatbXrUU2AE8oqqFirW/f6Y/ozj/p/Q3TgUeFpGXRGRxwaIcHOy4NfBK5dhlx62BVfTjliWQDulkm2/b04pIFfBX4POq2uh1PB2JyHnADlV9yetY8hDCKS35paoeDbTglFv6ijjzBxcB04DxQKWIfMTbqIyP5HMM62qfYh7/+hNnMfU7ziIep/sVq6qmVfUoYCKwQEQOH9jweo6hp32K/H9Kf//u36aqxwDnAFeKyMkDGdwgY8etgVcqxy47bg2soh+3LIF01AOTch5PxEflgblEJIzzxf6Dqt7pdTxdeBtwvohswBlGP01EbvU2pC7VA/U5V6/+gpNQ+s0ZwHpVbVDVJHAncKLHMfVke04ZxzicK4WmMPI5hnW1TzGPf/2Js5j6FWeRj9MD8meqTun+48DZAx5hnjF0s08x/0/p15+nqmZ/7gDuwiktM52z49bAK5Vjlx23/BNn345bWuAJvaVwwxmFWoczupOdfHqY13F1EqcAtwA/8zqWXsR8Cv5vovMUMMe9/y3gx17H1EmMC4EVOHMfBWdO4We8jqtDjFM5sInOjzmwic6PvI5xsN7yOYbhzMPInUD/Qr6v9UOcXf0781ucxT5O9zPWOtymYUC5eyw8z29xdtinoP+n9PPPsxKozrn/L+DsYvw7KMWbHbf8FWsxj1123PJPnH09bhX0H0gp3XC6E63G6WL0Na/j6SLGk3CGm5cBS93buV7H1UPMBf3SDFCMRwFL3D/Xu4ERXsfURZzfBt4AlgO/B6Jex5QT2204czOTOFe5Pg7UAv8A1rg/R3od52C+dXYMA64ArnDvC3C9+/xrwPzuXuvTOA/6d+a3OL04Tvcj1nnAK26sy4Fv+DHODu9R8P9T+vHnOR3nxO1VnAt+vjyX8NPNjlv+ibXYxy47bvkjzr4et8R9sTHGGGOMMcYY0y2bA2mMMcYYY4wxJi+WQBpjjDHGGGOMyYslkMYYY4wxxhhj8mIJpDHGGGOMMcaYvFgCaYwxxhhjjDEmL5ZAGmOMMcYYY4zJiyWQxhhjjDHGGGPyYgmkMcYYY4wxxpi8WAJpjDHGGGOMMSYvlkAaY4wxxhhjjMmLJZDGGGOMMcYYY/JiCaQxxhhjjDHGmLwMmQRSRG4SkR0isnyA3m+yiDwsIitF5HURmToQ72uMMVl23DLGGGOM3wyZBBL4HXD2AL7fLcCPVfVQYAGwYwDf2xhjwI5bxhhjjPGZIZNAquqTwO7cbSIyQ0T+LiIvichTInJIPu8lInOBkKo+4r53s6q2DnzUxpihzI5bxhhjjPGbIZNAduFG4DOqeizwReAXeb5uNrBXRO4UkVdE5MciEixYlMYYs58dt4wxxhjjmZDXAXhFRKqAE4E/i0h2c9R97r3Adzp52WZVfSfOn9vbgaOBjcAdwMeA3xQ2amPMUGbHLWOMMcZ4bcgmkDijr3tV9aiOT6jqncCd3by2HnhFVdcBiMjdwPHYiZgxprDsuGWMMcYYTw3ZElZVbQTWi8iFAOI4Ms+XvwiMEJE69/FpwOsFCNMYY9rZccsYY4wxXhsyCaSI3AY8C8wRkXoR+TjwYeDjIvIqsAJYlM97qWoaZ+7RP0TkNUCAXxUmcmPMUGXHLWOMMcb4jaiq1zEYY4wxxhhjjCkBQ2YE0hhjjDHGGGNM/wyJJjqjRo3SqVOneh2GMaYTL7300k5Vret5z6HFjlvG+Jsduw5mxy1j/G2gjltDIoGcOnUqS5Ys8ToMY0wnROQtr2PwIztuGeNvduw6mB23jPG3gTpuWQmrMcYYY4wxxpi8WAJpjDHGGGOMMSYvlkAaY4wxxhhjjMnLkJgDafwpmUxSX19PLBbzOhRTBGVlZUycOJFwOOx1KMb0mR23hp6hfuwSkbOBa4Ag8GtVvdrjkIwxHrME0nimvr6e6upqpk6dioh4HY4pIFVl165d1NfXM23aNK/DMabP7Lg1tAz1Y5eIBIHrgTOBeuBFEblXVV/3NjJjjJcsgeynZDpDOGiVwH0Ri8XsJGyIEBFqa2tpaGjwOhRj+sWOW0OLHbtYAKxV1XUAInI7sAgYlAlkOqMkUhnSqqgqCqi6Tyooiiq0b+q4jzFDhCWQ/bCuoZnTfvIEv/3YcZx6yGivwylJdhI2dNjftT88sbqBS296gYc+fzJzxlZ7HU5Jsn/LQ8sQ//ueAGzKeVwPLPQolj5LZ5Slm/awrH4fq7c3s3lvG7tb4uxpSdIUS5JIZ0ikMmQsETQmL5ZA9sPSTXsBuPfVLZZAGmNKwsMrtgHwwvpdlkAaY3rSWfZ8QJolIouBxQCTJ08uRkx527K3jV8/tZ47X6lnb2sSgOEVYaaMrKCuKsrsMdXUlIWJhAJEggHCwQCRUIBQwPm1s9cOshcRxN0mudvcxwztCw2mRFzyw4F5H0sgB8DWfW1eh2D6SET4yEc+wu9//3sAUqkU48aNY+HChfztb3/L+32yiyePGjWq1/tMnTqV6upqgsEg6XSa7373uyxatCjvz96wYQPTpk3j61//Ov/93/8NwM6dOxk3bhyf/OQnue666/J+r6qqKpqbm/u0z7Zt2/j85z/Piy++SDQaZerUqfzsZz9j9uzZeX++KbzsiVHKLrWXLDtuHciOWwVVD0zKeTwR2JK7g6reCNwIMH/+fF8cWFSV3z/3Flc/+AaJVIZzjhjHOw8bw4JpI6mrig71UWUzhF0yQO/jq8l7IjJJRB4TkZUiskJEPtfJPqeIyD4RWerevuFFrADxVAaA59bt9ioE00+VlZUsX76ctjbnIsAjjzzChAkTih7HY489xtKlS/nLX/7CZz/72V6/fvr06QecOP75z3/msMMOG8gQu6WqXHDBBZxyyim8+eabvP7663z/+99n+/btRYvB5CfkztlOpX1xnmf6wI5bA8OOW3l5EZglItNEJAJcBNzrcUzdUlX++28r+cY9K5g/dSSP/8cp/Pziozlv3nhGV5dZ8mjMAPDbCGQK+IKqviwi1cBLIvJIJ92+nlLV8zyI7wDNsZTXIQwa375vBa9vaRzQ95w7voZvvrvnk5FzzjmH+++/n/e///3cdtttXHzxxTz11FMA7N69m8svv5x169ZRUVHBjTfeyLx589i1axcXX3wxDQ0NLFiwAM2ZQX/rrbdy7bXXkkgkWLhwIb/4xS8IBoN5xdzY2MiIESN6/buWl5dz6KGHsmTJEubPn88dd9zBBz7wAbZscS4Uv/XWW1x++eU0NDRQV1fHb3/7WyZPnsz69ev50Ic+RCqV4uyzzz7gPX/84x/zpz/9iXg8zgUXXMC3v/3tLj//scceIxwOc8UVV7RvO+qoo3r9e5jCCwWdk6dkJuNxJKXPjlsOO24NXqqaEpGrgIdwlvG4SVVXeBxWt37z9HpuemY9l71tKv/1rrkEApYwGjPQfDUCqapbVfVl934TsBJnArcvNcWS7fczVg5Wsi666CJuv/12YrEYy5YtY+HC/f0BvvnNb3L00UezbNkyvv/973PJJc7g/7e//W1OOukkXnnlFc4//3w2btwIwMqVK7njjjt45plnWLp0KcFgkD/84Q89xnDqqady+OGH8453vIPvfve7/fo96uvrCQaDjB8/vv25q666iksuuYRly5bx4Q9/uH204HOf+xyf+tSnePHFFxk7dmz7/g8//DBr1qzhhRdeYOnSpbz00ks8+eSTXX728uXLOfbYY/sUtymubAlr2kYgS5odt+y4VSyq+oCqzlbVGar6Pa/j6c6KLfv4wYNvcPZhY/nGeZY8GlMofhuBbCciU4Gjgec7efoEEXkVpw7/i51dDSvGpO7GnBHItmSayqhv/zh9L58r7oUyb948NmzYwG233ca55557wHNPP/00f/3rXwE47bTT2LVrF/v27ePJJ5/kzjvvBOBd73pX+9X3f/zjH7z00kscd9xxALS1tTF6dM8Nlh577DFGjRrFm2++yemnn84pp5xCVVVVr36Ps88+m//6r/9izJgxfPCDHzzguWeffbY93o9+9KN86UtfAuCZZ55p//0++tGP8uUvfxlwTsQefvhhjj76aACam5tZs2YNJ598cq9iMv4TCjjXDZN20avf7Lhlxy3jH6rKt+99nZqyED983zwrVTWmgHyZ8YhIFfBX4POq2rE+6GVgiqo2i8i5wN3ArI7vUYxJ3U05CWRLPGUJZAk7//zz+eIXv8jjjz/Orl272rdrJ4s77e+8dvB/TqrKpZdeyg9+8IM+xTFjxgzGjBnD66+/zoIFC9q333XXXe2lWL/+9a+ZP3/+Qa+NRCIce+yx/OQnP2HFihXcd999XX5Obuxd/R5f/epX+eQnP5lX3Icddhh/+ctf8trXeCvslrCm0lbCWursuHXw72HHraHryTU7eWHDbr77nsMZVhH2OhxjBjVflbACiEgYJ3n8g6re2fF5VW1U1Wb3/gNAWES6biFXQLklrC2JtBchmAFy+eWX841vfIMjjjjigO0nn3xyeynX448/zqhRo6ipqTlg+4MPPsiePXsAOP300/nLX/7Cjh07AGcu0ltvvZV3HDt27GD9+vVMmTLlgO0XXHABS5cuZenSpZ2ehGV94Qtf4Ic//CG1tbUHbD/xxBO5/fbbAfjDH/7ASSedBMDb3va2A7ZnvfOd7+Smm25q71q4efPm9t+pM6eddhrxeJxf/epX7dtefPFFnnjiiXx+bVNEQXcE0rqwlj47btlxy+z3m6fXM7o6ygfmT+p5Z2NMv/hqyEycS4q/AVaq6k+72GcssF1VVUQW4CTBuzrbt9Ca4weOQJrSNXHiRD73uYOa/vKtb32Lyy67jHnz5lFRUcHNN98MOHOMLr74Yo455hje8Y53tJdJz507l+9+97ucddZZZDIZwuEw119//UEnVh2deuqpBINBkskkV199NWPGjOnT73HYYYd12sXw2muv5fLLL+fHP/5xezMKgGuuuYYPfehDXHPNNbzvfe9r3/+ss85i5cqVnHDCCYDTAv/WW2/tsqxNRLjrrrv4/Oc/z9VXX01ZWVl7O3zjL24T1k5HqUxpseOWHbeMo35PK0+ubuDfz5xNJOS7sRFjBh3x00mEiJwEPAW8BmTrq/4TmAygqje43cA+hdOxtQ34d1X9V3fvO3/+fF2yZMmAxzv1K/e337998fEcP722m71NRytXruTQQw/1OgxTRJ39nYvIS6ra9fDEEFWo49avnlzH9x5YycdPmsZ/nTd3wN9/sLPj1tBkx678FOq41ZMbnniTqx98gyf/41Qm11YU/fONKRUDddzy1Qikqj4NdDvrWVWvA/JfYbhIWhM2AmmM8b/s1DEfXTs0xph+uX/ZVo6cNNySR2OKxMb5+yi7bMc7ZtcB0JawhhTGGP8LuBlkxjJIY8wgsKs5zmub93HmoT13DjbGDAxLIPuoLek0zZniXu2yEci+8VMJtSks+7v2h0D7CKT9ffSV/dkNLfb37W/PvOm0wThpVp3HkRgzdFgC2UctbsJYWxkFIJa0Lqy9VVZWxq5du+w/5yFAVdm1axdlZWVehzLkSfsIpMeBlCg7bg0tduzyv2fW7KSmLMQRE4Z5HYoxQ4av5kCWkta4kzCOqo44j20Zj16bOHEi9fX1NDQ0eB2KKYKysjImTpzodRhDXvscSCwB6gs7bg09duzyt5c27uG4qSMJBrptoWGMGUCWQPZRdgmP2kongWyzEcheC4fDTJs2zeswjBlSsgNnNgLZN3bcMsY/mmJJ3mxo5vwjx3sdijFDipWw9lF2xLEqGiYaCtBmI5DGmBKQbZ5jFZjGmFL32uZ9qMK8iVa+akwxWQLZR9k5kBXRIBWRoI1AGmNKQnbk0ebwGWNK3bL6fQDMmzjc20CMGWIsgeyj7BzIqmiI8nDQ5kAaY0qC2gikMWaQeGNrI+OHlTHSnU5kjCkOSyD7qH0EMhKk3EYgjRmyRGSSiDwmIitFZIWIfK6TfURErhWRtSKyTESO8SJW2F/CautAGmNK3ZodzcwcU+11GMYMOZZA9lGr20SnMhKiPBIkZiOQxgxVKeALqnoocDxwpYjM7bDPOcAs97YY+GVxQ9yvvYTVqwCMMWYAZDLKmw3NzKyr8joUY4YcSyD7qMVNGCuiQSthNWYIU9Wtqvqye78JWAlM6LDbIuAWdTwHDBeRcUUOFbARSGPM4LB5bxuxZIZZYyyBNKbYLIHso5Z4ilBAiAQDlEdCVsJqjEFEpgJHA893eGoCsCnncT0HJ5mIyGIRWSIiSwq1zmB73mj5ozGmhK3d0QzAzNGWQBpTbJZA9lFrIk1FJIiIUB62ZTyMGepEpAr4K/B5VW3s+HQnLzkohVPVG1V1vqrOr6urK0SYZDI2AmmMKX3rdrYAMH1UpceRGDP0WALZRy3xFJXREAAVNgJpzJAmImGc5PEPqnpnJ7vUA5NyHk8EthQjto5sDqQxZjCo39NKZSRoHViN8YAlkH2UHYEEKLM5kMYMWSIiwG+Alar60y52uxe4xO3GejywT1W3Fi3IHPvnQHrx6cYYMzA27W5j4ogKnEOwMaaYQl4HkEtEJgG3AGOBDHCjql7TYR8BrgHOBVqBj2UbWBTTxt2t7VfwKyJBYjYCacxQ9Tbgo8BrIrLU3fafwGQAVb0BeADnmLUW57h1WfHDdGTXgcxYBmmMKWH1e1qZOKLc6zCMGZJ8lUCyvx3+yyJSDbwkIo+o6us5++S2w1+I0w5/YbEDfW3zPqrdElanC2sKVbUrYcYMMar6NJ3PcczdR4ErixNR97J5YyqT8TYQY4zpI1Vl8542jp9e63UoxgxJviphLbV2+EdOGg5AeSRIRiGRthMyY4y/ZUtY7XBljClV+9qSNMVTNgJpjEd8lUDm8ns7/IpIkEPHVQPOCCRgnViNMb6XHYFM2wikMaZE1e9pA7AE0hiP+DKB9Hs7/GQ6Q2siTXVZGHBGIAHrxGqM8T11D5dpmwJpjClRW/fFABg3zBJIY7zguwSyFNrhN8VSANSUZZfxsBFIY0xpyC7/aE10jDGlakeTk0COrol6HIkxQ5OvEshSaYffFEsCUFPujECWuSWstpSHMcbvsomjNdExxpSq7Y1xRGBUlSWQxnjBVwkk+9vhnyYiS93buSJyhYhc4e7zALAOpx3+r4BPFzvIxjZnBDJbwpodgbSlPIwxfpdpH4H0Ng5jTPGIyLdEZHPuuVXOc18VkbUiskpE3pmz/VgRec197lrxUZv5hqYYtZURwkG/ncYaMzT4ahmPUmmH35gdgSzbv4wH2AikMcb/sl1YbQTSmCHnf1X1f3I3iMhc4CLgMGA88KiIzFbVNM4yaYuB53Au3p8NPFjckDu3ozFOXXWZ12EYM2TZpZs+6KqE1ZroGGP8TtWa6Bhj2i0CblfVuKqux6nuWuAuj1ajqs+6F+5vAd7jYZwH2NEUZ3S1la8a4xVLIPtgfwmrNdExxpQWW8bDmCHrKhFZJiI3icgId1tXS6NNcO933H6QQi+b1pkdTTFLII3xkCWQfbC90en+VVvpHLxsGQ9jTKnIlrCmLX80ZlARkUdFZHknt0U45agzgKOArcBPsi/r5K20m+0HbyzgsmmdSWeUnc0J68BqjId8NQeyVOxqSVAdDbUnjhVh54/R5kAaY/zORiCNGZxU9Yx89hORXwF/cx92tTRavXu/43bP7W5JkM4oo20OpDGesRHIPmiKpdrLVwHKIs4fo3VhNcb4XXYOZMomQRozZLhzGrMuAJa79+8FLhKRqIhMA2YBL7jLozWJyPFu99VLgHuKGnQX9rQmABhZGfE4EmOGLhuB7IOmWLJ9CQ+ASDCAiCWQxhj/y5awxlM2AmnMEPIjETkKpwx1A/BJAFVdISJ/Al4HUsCVbgdWgE8BvwPKcbqv+qID654WSyCN8ZolkH3QcQRSRCgPB62JjjHG97IlrEmbBGnMkKGqH+3mue8B3+tk+xLg8ELG1RfZEcjhFeEe9jTGFIqVsPZBUzx5QAIJzlIesZQlkMYYf8uOQCYsgTTGlKDdLc5SajYCaYx3LIHsA2cE8sArX2WhAG0JOyEzxvibZkcgrYTVGFOCsiOQIyosgTTGK5ZA9kHHElaAsoiNQBpj/M9GII0xpWxPS4LycJCycNDrUIwZsiyB7CVVPaiJDkBZKEjcmugYY3xu/xxIbe/IaowxpWJPa5IRNv/RGE9ZAtlL8VSGZFoPGoEsjwRpswTSGONzmZyk0UYhjTGlZk9rghE2/9EYT1kC2UuNbc7k7ZryDiOQ4QCxpJ2MGWP8LXfUMWlrQRpjSsye1oQ10DHGY5ZA9tK2xhgAY6qjB2wvCwVtHUhjjO/lVq1aIx1jTKnZ05JguDXQMcZTvkogReQmEdkhIsu7eP4UEdknIkvd2zeKHePeVmcEsmP5RJmVsBpjSoCVsBpjSpnNgTTGe6Gedymq3wHXAbd0s89TqnpeccI5WFMsBXBwF9ZQkLiVsBpjfC6TMwKZsBFIY0wJyTYyHFZuCaQxXvLVCKSqPgns9jqO7jTFnBHIjl1YyyMBG4E0xvie2gikMaZEtSTSZPTgi/jGmOLyVQKZpxNE5FUReVBEDutqJxFZLCJLRGRJQ0PDgH14dyOQNgfSGON3uSOQSUsgjTElpKuL+MaY4iq1BPJlYIqqHgn8HLi7qx1V9UZVna+q8+vq6gYsgKZYEhGoinRIIMNOAmnrqhlj/OyAOZBWwmqMKSGNbZ1fxDfGFFdJJZCq2qiqze79B4CwiIwqZgyNsRRVkRCBgBywvTwSJKNWEmaM8TcbgTTGlCobgTTGH0oqgRSRsSIi7v0FOPHvKmYMTbFUp1e+ysNBANoSVsZqzFBSCt2jc+VWScRtBNIYU0Ky04hqbATSGE/56hsoIrcBpwCjRKQe+CYQBlDVG4D3A58SkRTQBlykRa4ZbYolO73yVR5xEsjWRJrhFcWMyBjjsd/h8+7RuXJLWFNpK7k3xpSORhuBNMYXfJVAqurFPTx/Hc6JmmcaY0lqyg/+Y6vISSCNMUOHqj4pIlO9jiNfmQxEQgESqQypjI1AGmNKR6ONQBrjCyVVwuoHu1sS1FZGD9puJazGmG542j06V0aVaNA59CdtBNIYU0JsDqQx/mAJZC/tak4wsipy0PYKtyurrQVpjOnA8+7RB36GMwIJ1kTHGFNammIpwkGhLGynr8Z4yb6BvdQYSzKsvLs5kKlih2SM8TE/dI/OlVEl6iaQNgfSGFNKGtucPhRuP0VjjEcsgeyFRCpDMq1UusliruwcSCthNcbk8kP36FwZVRuBNMaUpK464Rtjisu+hb3QEndGFyujXS/jYU10jBlaSqF7dK5MTglrKmMjkMaY0uF0wrdTV2O8Zt/CXmhxy1MrI910YbU5kMYMKaXQPTqX5oxApmwE0hjPiUglEFNVO4HoQWMsRXXUGugY4zUrYe2F7OhiRfTgEtby9hJWmwNpjPGvjELEurAa4xkRCYjIh0TkfhHZAbwBbBWRFSLyYxGZ5XWMftUST1FlI5DGeM4SyF5o7qaENduF1UpYjTF+pijhYLaE1UYgjfHAY8AM4KvAWFWdpKqjgbcDzwFXi8hHvAzQr1oT6U77UBhjissu4/RCa9xJDjsrYQ0GhEgoYE10jDG+lsnkLuNhI5DGeOAMVU123Kiqu4G/An8VEavT7ERrIkVFJxfxjTHF1a8RSBGpFJEhcykoOwJZ0cXVr4pI0NaBNMb4Wu4yHtaF1RhP3OWWsFZ2tUNnCaaBlriNQBrjB71KIId63X52jceqLq5+VYSDVsJqjPE1VQgFAgTE1oE0xiO/At4NrBeRO0TkPSIS8Toov8tklLZkun3KkDHGO70dgRzSdfvZZTw6a6IDTiMdK2E1pvSISJmIvF9ErhGRP4vILSLyJRE5zOvYBlpGlUAAQsEASZsDaUzRqeo9bvfmKcCdwKXARhG5SUTO7M97i8iF7kX9jIjM7/DcV0VkrYisEpF35mw/VkRec5+7Nmfd2qib4K4VkedFZGp/YuuvbIVXZRfnYMaY4untZZwhXbff7M6B7GoEsioaai9zNcaUBhH5FnA+zgWy54EdQBkwG+eiWBnwBVVd5lmQAyijiogQDoiNQBrjIVVtA+4A7hCRecDNOMlkfzKk5cB7gf/L3Sgic4GLgMOA8cCjIjLbXTrkl8BinIGAB4CzgQeBjwN7VHWmiFwE/BD4YD9i65fsUmrlNgJpjOd6+y28S0T+CNyjqi2d7TCY6/b3tSWJBAOUhzs/tleVWQJpTAl6UVW/1cVzPxWR0cDkIsZTUKoQECEUDNg6kMZ4SETGAB/ASezGAX8GLuvPe6rqSve9Oz61CLhdVeM4pbNrgQUisgGoUdVn3dfdArwHJ4FcBHzLff1fgOtERFTVkytP+xsZ2gikMV7rbQnrkK7bb4wlqSkPdXZgBpwRyKbYoM2fjRmsykUk2tWTqrpDVZcUM6BCyqgSEAgHhWTGRiCNKTYR+YSI/BN4GafS4UuqOl1Vv6yqSwv0sROATTmP691tE9z7Hbcf8BpVTQH7gNqObywii0VkiYgsaWhoKEDojuwIpM2BNMZ7vUogC1m3D+C+zw4RWd7F8+LW568VkWUickx/P7M32hJpyru58lUZDdEStzmQxpSYDwOb3HmP5wz2ztKZ7AhkwEYgjfHIicDVwCRV/YyqPtObF4vIoyKyvJPbou5e1sk27WZ7d685cIPqjao6X1Xn19XV9fwL9FG2SaHNgTTGe326jFOgun2A3wHXAbd08fw5wCz3thCnbn9hPz8zb7FkusvyVXBGILNXyIwxpUFVLxCRGuAC4LPAb0TkHuA2VX3S2+gGnjMHEkJBmwNpjBdUtb1M1T2HmkrO+Ziq3tnD68/ow8fWA5NyHk8EtrjbJ3ayPfc19SISAoYBu/vw2QOivZGhjUAa47k+rQMpImNE5DMi8gxwN/AwcGx/g3FP1ro7OC0CblHHc8BwERnX38/NV1syTVk3CWRFJNR+gDPGlA5VbVTVm1X1HOAIYCnwcxHZ1P0rS092DmQkGCBhI5DGeEZEbgJuAt6HMz3o3cB5Bfq4e4GL3M6q03AuxL+gqluBJhE53u2+eglwT85rLnXvvx/4p1fzH4H2Lvc2AmmM93p1GUdEPgFcDMzBKWH9Um9LL/qpqxr+rR13FJHFOF3FmDx5YPpfxHpIIKuiQZJpJZ5KEw3ZAc6YUiMiI3A6GH4QGInTXXpQyc6BtBFIYzx3vKrOHcg3FJELgJ8DdcD9IrJUVd+pqitE5E/A60AKuNLtwArwKZwKsHKc5jkPutt/A/zebbizG6fZj2dasgmkjUAa47nefguzdfuPqqoXl67zqscHpyYfuBFg/vz5A3KW1JbMMKy861VKKt3lPVrjlkAaUypEpBqn6+DFwDE4V92/Czzm5dX2QnESSCESshFIYzz2rIjMVdXXB+oNVfUu4K4unvse8L1Oti8BDu9kewy4cKBi66/W9iY6dn5ljNd6lUD2t25/AHRVw18U8WSasuoumzW2J5DN8RQjKodMc1pjSt164CGcOdV/H8xLEYHTREdEKAsFiSWt6ZcxHroZJ4ncBsRxLpKrqs7zNix/yjYprOxiLW5jTPH06Vvo1u3PA1YA2UvYilPWWkj3AleJyO04zXP2ufX7RdGW7KELq1tWYY10jCkpk1W11esgikXdEtZoOEAsaSOQxnjoJuCjwGvsP5cyXWhNpJxjV6hP7TuMMQOor5dxBrxuH0BEbgNOAUaJSD3wTSAMoKo3AA8A5wJrgVb6ueBub8WSacq6KU3NTuy2pTyMKR3Z5FFEzgP+G6eyIsj+0YAa76IbeNllPMpCQfa2DurBVmP8bqOq3ut1EKWiJZ6mMtL1WtzGmOLpawI54HX7AO4ak909r8CVA/mZvdHTOpBVblmFdWI1piT9DKeBzmuDce5jViZnBDKeskEPYzz0hoj8EbgPp4QVKMp0oJLUlkxRYR1YjfGFviaQQ7JuP5bKEA13XTpRaQmkMaVsE7B8MCePAJmMts+BjKesWsIYD5XjnEOdlbOtGNOBSlJrovu1uI0xxdPXBHLI1e1nMkoilem2hDU7AtlkCaQxpehLwAMi8gQHjgb81LuQBl42O7Y5kMZ4K7cxoelZW6L7pdSMMcXT1wRyyNXtx9wr9d2VsA6rcJb4aGyzeUXGlKDvAc1AGTBo2yirQjAgRENB4taF1ZiiE5GvA79Q1d1dPH8aUKGqfytuZP7mVIFZAmmMH/Q1gRxydfvZK/Vl3XT/qo6GCAaEPa2JYoVljBk4I1X1rJ53K23ZOZChcICYzYE0xguvAfeJSAx4GWjAuXA1CzgKeBT4vmfR+VQsmaa8m2lExpji6WsCOeTq9tuSPY9AigjVZSGaYlbCakwJelREzlLVh70OpJCcBNKZA5lIZVBV62poTBGp6j3APSIyC3gbMA5oBG4FFqtqm5fx+VU8mbY1to3xiT4lkEOxbj+74HZP9fdV0RDNlkAaU4quBL4kInEgySBexgO3CytAPJWxeUXGeEBV1wBrvI6jVLQl04zrpg+FMaZ4elULICJfF5GR3Tx/mruW2qDTlnASyGgPB6/qsjCNlkAaU3JUtVpVA6parqo17uNBlTwCkLMOJEDcGukYY0pALJmhzEpYjfGF3o5ADtm6/WxZak15939k1dEQzXFromNMqRCRqaq6oZvnBZigqvXFi6pwcteBBKdB2DDCHkdljDHdiyW7X4vbGFM8vUogh3LdfmvCSSArIz0kkGUhtjXGihGSMWZg/FhEAsA9wEvsvzA2EzgVOB34JjCIEkgbgTTGlJZYMt1jFZgxpjj6OgdyyNXtt7olrBU9XP2qKgvR3GAlrMaUClW9UETmAh8GLse5MNYKrAQeAL6nql1eFRKRm4DzgB2qengnzwtwDXCu+74fU9WXB/wXyVNGnYZfuSOQxpjiE5EfAd8F2oC/A0cCn1fVWz0NzKecElZLII3xg752YR1ysiOQFdGeRyCtiY4xpUVVXwe+1seX/w64Drili+fPwSnznwUsBH7p/iw6VQWc7kA2AmmM585S1S+JyAU4FQ4XAo/hVHWZHOmMkkjbHEhj/MK+iXlqH4HssQtr2JbxMGYIUdUngU4XBHctAm5Rx3PAcBEZV5zoDuTmjwRyRiDjNgJpjFeyk4/PBW5T1e6OI0Na9jhVbiOQxviCJZB5ak8goz11YQ2RSGfspMwYkzUB2JTzuN7dVnQZN4MMyP6O0jEbgTTGK/eJyBvAfOAfIlIHWBOFTmQ74VsJqzH+0KcSVhGZBnwGmJr7Hqp6/sCE5T+tiRTBgBAJdp9zV5c5fxxNsRTRKjvQGWOQTrZppzuKLAYWA0yePHnAA8lkRyAD0l4KZhe7jPGGqn5FRH4INKpqWkRacCoWTAexlHOhy0pYjfGHvs6BvBv4DXAfMKCXr0XkbJyGE0Hg16p6dYfnT8Hplrje3XSnqn5nIGPoTEs8TUU4iNMPo2vDyp2KlL2tCUZVRQsdljFmAInIe4GTcBK8p1X1rgF423pgUs7jicCWznZU1RuBGwHmz5/faZLZH9kRSLARSGO8JiJlwGXASSKiwNM4c6RNB7GkjUAa4yd9TSBjqnrtgEYCiEgQuB44E+ek60URuddtcJHrKVU9b6A/vzttifzWHxpeEQFgX5utBWlMKRGRX+As3XGbu+mTInKGql7Zz7e+F7hKRG7HaZ6zT1W39vM9+yUgNgJpjA/cAjQBP3cfXwz8HqeZjsmRTSBtGQ9j/KGvCeQ1IvJN4GEgnt04AK3pFwBrVXUdgHvCtQjomEAWXWsy3eMSHrB/mY/snEljTMl4B3C4uq1KReRm4LWeXiQitwGnAKNEpB5nzcgwgKregLMUyLnAWpxlPC4rRPD5sDmQxvjKHFU9MufxYyLyqmfR+Fg2gcznQr4xpvD6mkAeAXwUOI39JazqPu6PzppNdNbu/gT3ILsF+KKqrujn5/aoLZGiPNLzH1e2Q5glkMaUnFXAZOAt9/EkYFlPL1LVi3t4XoH+jmIOiExOF1YbgTTGc6+IyPFud2ZEZCHwjMcx+VL2QldZyOZAGuMHfU0gLwCmq2piIIMhv2YTLwNTVLVZRM7FmY8566A3GuBmFG29HIFsswTSmFJTC6wUkRfcx8cBz4rIvTA4moRlRyDFRiCN8YyIvIZzbhMGLhGRje5Tk/FBxZUf2RxIY/ylrwnkq8BwYMfAhQLk0WxCVRtz7j8gIr8QkVGqurPDfgPajKI1kaYq2vMfV4U7SmkjkMaUnG94HUChqZsrigjRkI1AGuORovZwGAzaRyAtgTTGF/qaQI4B3hCRFzlwDmR/r9C/CMxylwnZDFwEfCh3BxEZC2xXVRWRBThrWe7q5+f2qC2Rpi6Prqrl7XMgU4UOyRgzAETkOuCPqvqE17EUmrJ/DmTAXZbIRiCNKS5VzZbJIyJHAm93Hz6lqjYHshNt2TmQlkAa4wt9TSC/OaBRuFQ1JSJXAQ/hLONxk6quEJEr3OdvAN4PfEpEUkAbcFG26UUhtSashNWYQWoN8BMRGQfcAdymqku9DakwcudAAkTDARuBNMYjIvI54BPAne6mW0XkRlX9eTcvG5L2l7DaHEhj/KBXCWQxrtSr6gM4XQtzt92Qc/864LpCfX5XWvNcxiMcDBAOCq1JOykzphSo6jU4naWn4FQ9/NZdn+024HZVXe1pgAMotwsrOPMg4ykbgTTGIx8HFqpqC4CI/BB4lv3LehhX+zIeNgJpjC/09lJO9kr9BhH5oYgcVYCYfKktkaI8nF++XR4O2gikMSVGVd9S1R+q6tE4pfMXACs9DmtAZRNI3BHIsnCg/cTMGFN0AuR+AdN03kww/zcUuVBEVohIRkTm52yfKiJtIrLUvd2Q89yxIvKaiKwVkWtFnAOEiERF5A53+/MiMrU/sfVH9kKXjUAa4w+9+iaq6jWqegLOemm7ca7UrxSRb4jI7IJE6AOqmncXVoDKaMjmQBpTYkQkLCLvFpE/AA8Cq4H3eRzWwGovYXV+RkMBG4E0xju/BZ4XkW+JyLeA54Df9PM9lwPvBZ7s5Lk3VfUo93ZFzvZf4nStn+Xezna3fxzYo6ozgf8FftjP2Pos7l7oigQtgTTGD/r0TRwKV+pzxVMZMpr/ArblkaB1YTWmRIjImSJyE04X6MU4JfQzVPWDqnq3p8ENsI5zIMvCwfYTM2NMcanqT4HLcC7I7wEuU9Wf9fM9V6rqqnz3d+d+16jqs24/iVuA97hPLwJudu//BTg9OzpZbPF0hkgogEcfb4zpoE9NdEQkjHOF6iLgdOAJ4NsDGJevZMtR8x2BrLAE0phS8p/AH4Evqupur4MppIPnQNoIpDFeUtWXcda3LoZpIvIK0Ah8XVWfAibgXDzLqne34f7c5MaZEpF9OOvlHrBsWjEkUhmiNvpojG/0tonOmcDFwLuAF4DbgcXZCeCDVbYhTt4JZNhKWI0pFap6qtcxFEs2gZScEUibA2lMaRGRR4GxnTz1NVW9p4uXbQUmq+ouETkWuFtEDqPzOZfZzvbdPZcbz2Kc6g0mT57cU/h9kkg5I5DGGH/o7QjkkLlSn6vNTQbLI3k20YkE2duaKGRIxhjTa+09dNzH0VCA5rhd7DKmlKjqGX14TRx33W5VfUlE3gRm44w4TszZdSKwxb1fD0wC6kUkBAzDKbft+N43AjcCzJ8/vyDLqlkCaYy/9LaJzqmq+quhlDwC7eWoFXm2j7YSVmOMH2kncyBtBNKYwU9E6kQk6N6fjtMsZ52qbgWaROR4d37jJUB2FPNe4FL3/vuBfxZj3e3OxFMZopZAGuMbfZoDOdS09nIOpDXRMcb4UfscSPc8zOZAGlN8ItJEJ6WgOMUBqqo1/XjvC3DWkawD7heRpar6TuBk4DsiksJZLuSKnMGATwG/A8pxOlA/6G7/DfB7EVmLM/J4UV/j6i8bgTTGXyyBzEObe4U+3y6sFZFg+2uMMcYv9jfRcUYgo6Eg8aQlkMYUk6pWF/C97wLu6mT7X4G/dvGaJcDhnWyPARcOdIx9kUhbAmmMn9i3MQ/ZLqz5J5DWRMcY4z/ZZTz2N9EJEEvZxS5jjL8lUhlbA9IYH7FvYx72z4HMs4lOOEgsmSGT8WSqgDHGdCo7fSnbRKfMyu2NMSUgkcoQDeV3Ed8YU3iWQOZhfxfW/EtYAStjNcb4SvaSVraEtTISIpHKkEpbGasxxr/iVsJqjK/YtzEPvW2ik92vGFf2l2/ex4adg3oZTmPMANk/B9J5XBl1qipaco5VqspPH17FuobmosdnjDGdiSfTlkAa4yP2bcxDNhEsz3MZj+x6kW0FTiB//+wGzvv505zyP4/zwf97lqSNIhhjupFxDxHSPgLpHNNactaC3LS7jWv/uZYrbn2p6PEZY0xnrImOMf5i38Y8tCXTlIUDBLKX7XvQPgKZ7LmRzvqdLWze29brmJZs2M237nudk2aO4tITpvD8+t1892+v27xLY0yXsiOQ0nEEMieBrN/bCsDulmRxgzPGmC4kUhmi1kTHGN/w3TIeInI2cA0QBH6tqld3eF7c588FWoGPqerLhYzpiVUNxHrR6r48zxLWWDLNedc+RSAgvPJfZxLq4eD40lu7+dfaXexsjnP/a1uZOKKcX37kGKrLwiTSys3PvkVTLMX333sEZXmOlhpjhp72OZBRdwQy51i1abeTQI6oCBc/MGOM6UQilSEatgTSGL/wVQIpIkHgeuBMoB54UUTuVdXXc3Y7B5jl3hYCv3R/FkxtVQS2579/hZu89VTCunZHc/uJ28qtTRwxcRgAe1sT/OzRNbz3mAnMmziclniKK259iafW7Gx/7czRVfziw07yCPD9Cw6nLBzgt89s4Ll1u5g7voa3z6rjPUdPYFi5nQgaYzqZAxk5eARyo5tAVpf56r8HY8wQlkjbMh7G+InfzhAWAGtVdR2AiNwOLAJyE8hFwC3q9KN/TkSGi8g4Vd3anw9e19DMudc+xXFTRzJzdBUVkSAjKiJ84LhJ7G1Ncvoho/N+rwr3pKynEcjV25va77+wYTdHTBxGOqN85rZXeGrNTn73rw2ccegYHl3pZK8fO3EqFy2YxKiqKMPLwweMWIoI3zhvLvOnjOTGp9bx6ModPLpyB9+6bwWXHD+Fj71tGlNGVuRdhmuMGXyyFe77RyA7SyCdkvreVF0YY0whxZM2B9IYP/FbAjkB2JTzuJ6DRxc722cCcEACKSKLgcUAkydP7vGDw8EA4UCAl9/aw9KNe2lNpklnlJfe2sO2xhhHTR6e9y+xv4S1+zmQDU1xAGorI7ywfhcfP2kaf1u2hafW7OQr5xzChp0t/PXlegC++57D+cjxU7p9PxHhXfPG8a5540hnlMdX7eDaf67l5mff4uZn32LyyAqOmTycw8YP45gpIzh60nBLKI0ZQrqcA5nIbaLTetA2Y4zxkjXRMcZf/JZAdpbNdOwKk88+qOqNwI0A8+fP77GzzKSRFSz71lnt3QlVlc/fsZQnVzewty1JXVW0x+Cz2teB7GEEcndrgkgowClzRvP4qh2oKg+/vp266iiL3z6dQED4/gVHkFYl3MvSjWBAOP3QMZx+6Bhe39LIE6sbeGbtTv6+Yht3L90CQDgozB0/jKm1FUwZWcHEERXUVkUYXhFmZGWUikiQqmiIsnCQYJ6JpqqSTCttiTRtSefWmkjRmkjTmkjTdsD9NIl0hpZ4iqZYilgyjeLMvXrXvPEcNWl4r35nY0z3tD2B7NiFdf+xqn5PdgTS1rE1xngvnVHSGSUast4OxviF3xLIemBSzuOJwJY+7NMn2ZOq7P3Dxtdwj5tsjarOP4GszLOEdXdzgpEVEY6aPJy/vlzP+p0tPLGqgfPmjWsfGQwEhECnOXP+5o6vYe74Gj51ygxUlTcbWnj5rT28vrWR1dubeOmtPdz76ha0mzS7PBykPOIkkuGAICK0JdNEggFSmQyJVIZE2vnZ20awwYBQXRZqnzu6syXBr55az7vmjePnFx1to6TGDBBtL2F1fnYsYU1nlN0tTmWElbAaY/wgkXKORTYCaYx/+C2BfBGYJSLTgM3ARcCHOuxzL3CVOz9yIbCvv/MfuzJrdHX7/VGVkbxfly1hbevhCv6e1gQjKiMc7Y60ff+BlTTHU5w5d0zvg82TiDBzdBUzR1cdsD2RyrBtX4xdLXH2tSXZ3ZKgLZmmOZaiLZmmJe6MHKYzzgijopSHgyTTGULBAJFggEjI+VkeCVIWDlIeDlLh3q+IOLfySJDKSKj9fjgYIBoKHJC8N8WS3PDEm1z/2JvMGFXJ/ztz9gHPG2/Fkml2NMbZ3hRje2OM7Y1xVJUxNWWMG1bGhBHljBtW7nWYphMd50Bm17bNJpB7WxNk1DlRi6dsBNIY4732BNKa6BjjG75KIFU1JSJXAQ/hLONxk6quEJEr3OdvAB7AWcJjLc4yHpcVKp7cJGt0Tf4jkJFQgFBAepwDubslQW1lhEPH1RAOCo+u3MGs0VWcMif/hj0DJRIKMLm2gsm1FUX/7I6qy8J88aw5bG+Mc+0/16LAF86a43VYvaaq7GlNsr0xRkNTnOqyEBNHVFDXi9FsL6gqe1uTrNvZzJsNLaxraGH9zmbe2tXKlr1tNMZ6nht33NQRfPLkGZxRwIshpvc6zoEMBITKSLC9G/SulgQAE4eXs25nC6pqF2+MMZ7KXsyyEUhj/MNXCSSAqj6AkyTmbrsh574CVxYjlgnD94+iHD5hWK9eWx4J9ljCuqslwYQRFQQDwoXzJ/HH5zfytXcdmvd8w8FMRPjR++aRUeW6x9byjtl1zJ860uuwOhVLplm7o5lV25pYvb2JVdubeLOhmW37YiTTB9fzfvT4KXztXYd6vlanqrJlX4w125tYu6OZNdubWbPDuZ+bJIaDwpTaSqbWVrBg2kjG1JQxujrKmJqy9vsisK0xxrZ9Md7Y1sQfnn+LJ1Y3DJkEMo/1a08B7gHWu5vuVNXvFDNGyEkgc8riK6Kh9hHInc1O+ep4N4FMpDM278gY46m4lbAa4zu+SyD9JBAQ/ufCIxleHu71SVRFJNhjE51dzQlGVTmlsd9ddDhfPGsOI3tRKjvYBQLCdxYdzgvrd/OhXz3PzZcv4IQZtZ7Fk0pneGt3K6u3NfFGTrK4YWdLe2lgJBhgxugqjpo0gglHlDOmxkm0RlVFaY4neWJVAzc/+xZPrWngI8dP4WMnTj1gOZZCxb1xdyvrd7awdkcz6xpaWLOjidXbm2nOWb6htjLCzNFVvPvI8UwbVcn0ukqmj6pi4ojyvGIcXhHhkLE1nDJnNJ94+/QeR+AHizzXrwV4SlXPK3qAuTrMgQSoiobaRyD3tiYBGDusDHDmQVoCaYzxUiLtJJBRSyCN8Q1LIHvw/mMn9ul1FZFQtyOQqkpLIkW128QiEBBLHjtRFQ3xu8sWsPj3S1j8+yV847y5nHvEuPbmH4WQmyiu2dHMqu1NrN3ezHp3RAacEsCptZXMHlPFefPGM2dMNXPGVjO1tqLbZOu0Q8bwzsPG8u37Xue796/kyTU7ue5DR1NTFu533KpKQ1OcFVsaeX1rI29sa2LN9ibWNeyPG2BUVYQZdVW875gJzBpTzewx1cwcXTWg//6cxkj9/51KRD7r1/pC+xzInAyyIhJsH4HMlooNK3f+7uLJNJQPmb9HY4wPZedAWgJpjH9YAlkg5eFgtyMwrYk0qhQ0ERosZo6u4pbLF/Cx377If/xlGf91z3Imjahg3sThTK+r5O2zRjF7THWvSkLTGSfZckbmmtmwq5UNO535fut2Nh9Qejp5ZAWzx1Rx6iGjmTm6ijluwpVtltRbJ84cxUP/72Ruf2EjX797Oef87CnOP2o8nzx5OsMrDkziVJXGthT1e1tpjqWIpTLsbU2g6pTObt3nNLLZsKuF1dub2e3OYQOYOKKc2WOqececOmbWVTG9rpKZddUMq7CEYIDls34twAki8ipO1+gvquqKjjv0dv3a3sqWsOaOQFbmlLBmT9TaE8iUdWI1xnjLurAa4z+WvRRIRQ9zILMnbBWWQOZl4ogKHv78yby0cQ/3Lt3Clr1tPLmmgb++XM+PH1pFNBTgmMkjmDyygnHDyxCEPa0J0hmlOZ4inVH2tSVpjCXZ0RinoTne/p8SOPP8Jo2oYNqoygMSxel1lQVL8i9aMJnJIyv42T/W8H9PvMmtz77F2QznggAAJe1JREFUpJEVVEadzrUbdztNazqbR5klArWVUSaNLOfMQ8dwyLhqDh1Xw6HjatqTAFNw+axN+zIwRVWbReRc4G5g1kEv6uX6tb2V6bAOJDij/Nv2xYD9J2rVZc6/eVsL0hjjtWwFTSRo5fTG+IVlLwVSHgnS1E23yuzcs6qoHRDzFQgIx00dyXE5zXQamuI8t24XL721h6Wb9vLoyu3tnSSry0KEAkJl1PlZUx6mpizMwmmV1FVHmTiygokjypkxqorxw8sKPhexMyfOHMWJM0fxxrZGfv3UerY3xkimM+xtTXL4+GGcffhY6qqijB9ezrDyMNFQgOEVEWc9zqAwurrMrsp6r8e1aVW1Mef+AyLyCxEZpao7ixSj+9nOz9yMd3h5mFXbmoD9I47ZkmobgTTGeC3urkkbDdv/dcb4hSWQBVIRCbKjMd7l8y1x58p+ZcT+CvqjrjrKu48cz7uPHN++LZ1R0hktqcTqkLE1/M+FR3odhumbHtevFZGxwHZVVRFZAASAXcUOVMmWsO5PIYdXRNjX5jTPyV7ptxFIY4xfJNLuMh62DqQxvmHZS4FUREK0JvMZgbS/goEWDIgthWKKJs/1a98PfEpEUkAbcJG7JFFRZdwBxQMTyDDN8RSJVCanhNVGII0x/pA9LoUtgTTGNyx7KZDyHpbxyM6BtCY6xpS+PNavvQ64rthxdbR/DuT+bcPdpkr72pIkUhlCAaHCbRBlI5DGGK9l+wCUUlWRMYOdfRsLpCLcQxOdhCWQxpjial/GIyeDzDZb2teWIJHKEAkF2uca2QikMcZryXR2BNIqi4zxC0sgC6QiEqQtmaarKrXsHEgrYTXGFIt2MgI5wl06Zm9rkkTaSSDLQjYCaYzxh/0JpJ2yGuMX9m0skPJIyF2rr/Mr+PtLWK0LqzGmOLKXszrOgQTY0+qUsEaC+0cguzp++VkyneHljXu6vHhnjCkt2RJWSyCN8Q/7NhZIdg5Ra6LzRjrZJjoV1oXVGFMk2TmQuT2mhpdnRyCdEtZoeP8IZDxVeiOQP3t0Ne/9xb+465XNXodijBkAVsJqjP9YAlkg5e0JZOcnYC3xFOXhoHULNcYUTXYOpOSOQFbub6ITHwQjkE+vcZbWfGxVg8eRGGMGgpWwGuM/9m0skLJw9gp+FyWsiZQ10DHGFFVncyCroyGCAWFPa8JJIEPBkh6B3LCrFYA3tjZ6HIkxxSciPxaRN0RkmYjcJSLDc577qoisFZFVIvLOnO3Hishr7nPXinuFSUSiInKHu/15EZla/N9ofwlryEYgjfEN3ySQIjJSRB4RkTXuzxFd7LfBPdAtFZElxY4zX2Wh7BX8zk/AmuNpqmz+ozGmiLLTAnNPw0SEYeXhA5roBAJCJBgouRHIPS0J9rUlKQ8HWbezpSQTYGP66RHgcFWdB6wGvgogInOBi4DDgLOBX4hI9iTkl8BiYJZ7O9vd/nFgj6rOBP4X+GGxfolc7SOQAd+cshoz5Pnp2/gV4B+qOgv4h/u4K6eq6lGqOr84ofVeNNz9FfyWuI1AGmOKK+3WsHYsnR9REWZ3S4JEKk3ULROLhgIll4A986ZTvnrO4WNJZ5S1O5o9jsiY4lLVh1U123zhOWCie38RcLuqxlV1PbAWWCAi44AaVX1WnRKFW4D35LzmZvf+X4DTs6OTxZRKK6GAELApP8b4hp8SyNwD1c3sP4CVpOwIZLyLK/jNlkAaY4psfxOdA0/E6qqj7GyOt68DCc5FsO5GIJfV72Vfa7JwwfbS7pYEV/3xFQDeefhYAFZstjJWM6RdDjzo3p8AbMp5rt7dNsG933H7Aa9xk9J9QG3HDxGRxSKyRESWNDQM/NzjZDpj5avG+IyfEsgxqroVwP05uov9FHhYRF4SkcVFi66XsnMgY92MQNoakMaYYmpPIAMdE8gyGpri7SWs4I5AdlGCv681yfnXPcNFv3qusAH3wisb97TfP3lWHWNryvjjCxs9jMiYwhCRR0VkeSe3RTn7fA1IAX/IburkrbSb7d295sANqjeq6nxVnV9XV9e7XyYPiXTGGugY4zNFzWBE5FFgbCdPfa0Xb/M2Vd0iIqOBR0TkDVV9spPPWoxT08/kyZP7FG9/tCeQXVzBb02kbQTSGFNU2S6swY4jkFVRGpriREIBIu6JWlk40GUTsJfdZG3l1kZUFQ+q2g6yfmcLAP/vjNmUR4K879gJ3PDEugNGVY0ZDFT1jO6eF5FLgfOA03X/gqj1wKSc3SYCW9ztEzvZnvuaehEJAcOA3f3+BXoplVZLII3xmaJ+I1X1DFU9vJPbPcB2txYf9+eOLt5ji/tzB3AXsKCL/Qp6Rawn0R6b6KSojFgTHWNMcWQyyh+fd0bkOk4lqquO0pJIs7c1mTMCGezy+PXa5n3t97taqqjYdjTFiYYCfPb0mQDMqKsinVE27m7xODJjikdEzga+DJyvqq05T90LXOR2Vp2G0yznBbfiq0lEjnfnN14C3JPzmkvd++8H/pmTkBZNMp2xNSCN8Rk/XdLJPVBdyv4DWDsRqRSR6ux94CxgedEi7IUel/GwOZDGmCK6/7Wt7YlfxxHDuuoo4CRh2QRyWHmYvW2dz3HcuHv/eenulkQhwu217Y0xxtSUtf9uM+qqAHizwRJIM6RcB1TjVGgtFZEbAFR1BfAn4HXg78CVqpq9+vMp4Nc4jXXeZP+8yd8AtSKyFvh3um9uWDBJG4E0xnf8lMFcDfxJRD4ObAQuBBCR8cCvVfVcYAxwl3uCEAL+qKp/9yjebpWFux6BzGTUSliNMUXVEk+13+/YhXW0m0DC/uqJuuooSzft7fS9WhP732tva5JJIwcw0D5yEsj9v8f0ukoA1lkCaYYQd8mNrp77HvC9TrYvAQ7vZHsM91zMS0mbA2mM7/gmg1HVXcDpnWzfApzr3l8HHFnk0PqkuzmQLe7Jl60DaYwpllDOCVjHEtZxw8ra70dyEsiGpnin79US339hbHdr1yOQiVSmaO33dzTFOXRcTfvj6rIwddVR1jXYUh7GlDIrYTXGf+ySToFkG1F0NgKZPfmyEUhjTLGEcpK4jiWsE0dUtN+PhpwLW3XVUdqS6QNGLrNa4inG1jhJ554uSlhb4ikWfP9R/t+flvY39LzsaIwzprrsgG3TR1WybqeNQBpTypJpJRSw01Vj/MS+kQUSCAiRUOddDJvj2RFISyCNMcWRu45axxLW8kiQ2soIABVuc6+6KqcctLNRyJZEmnHDnWStMdb5PMn1O1vY25rknqVbSKW7Xk9yIDTHUzTHU4zOKWEFp4x1gyWQxpS0ZDpD2DopG+Mr9o0soLJQoIsRSEsgjTHFlTsC2VlF6Sg3YWxPIN15kQ3NByeQrYn9I5BNsYNHKAG27G1rv785535frd3RxPHf/wcrtuw76LkdjTGAA+ZAAkytrWRXS6LLJNcY43/JdIZwEcrgjTH5swSygMrCQeKpgxNIG4E0xhRbbglYoJN1G0e6I5DZ0vr2zqyNnYxAxtOMqIwQCQW6TM5yk8bcrq0dvVa/j4/8+vke5yr++qn1bGuM8Z93vnbQczvcUdKOJaxTRzmNdGwU0pjSZetAGuM/9o0soGg40GkTnewV+6oySyCNMcWRO4WoswRyWHkY2D9SWVvlJJS7WzpLIJ11bKujoS5HILe5o4IAu5q7brTzgwdX8vTanfz2mQ3dxr96exMAr9bvY+2OpgOe2+5+1uiaAxPIaW4Cud4SSGNKVsJKWI3xHftGFlBZqPsRyOpouNghGWOGqNxpiJ1Vg73v2IkAzJs4HIARFU4CuatDk5x0RmlLpqmIhKgu6zqB3NOSoNztRr2zkzJYcErTnl+/G4Blmw8uTc1SVVZvb+bsw8YiAn9btvWA57OjpB1LWCePrEDEEkhjSlkqYyWsxviNJZAFVBYOdjoC2eyWfFXbCKQxpkjSGW2/37GJDsCZc8ew6rtnM2dsNQDhYIBh5WF2d0gg25LZLtJBqsvCNHVRwrq7JcmU2goiwUCn8ygBtu2Lkc4o4aCwcVfXSV79njaa4ynePnsUx0wewWOrGg54fntjjPJw8KBpAWXhIJNGVLSPXhpjSk8yZSWsxviNfSMLqDwS7LQFfvaKvS3jYYwplozuTyA7LuORlV3CI6u2MnJQ+WlrfP/xq7sRyL2tCUZWRqitOvg9srJlrgun1bKnNdnlfMqHVmwD4IgJwzhy4nBWbWs8ICHe2hhjTE20099r3sRhvLqp69FNY4y/JdOZA7pIG2O8ZxlMAVVHQ2xvih20/Y1tztXwiNX0G2OKJDfhyldtVeSg8tOWhDsC6Zaw7trZeYOc3a0JDh1bQ1NVqssS1myn1uOnj+TptTvZuKuVwycMO2i/J1Y3MGdMNfMmDmfVtiZiyQwbdrUwo66KtkSap9fs5G0zazv9jCMnDudvy7ayszne3mnWGFM6kplM+9rapm+SyST19fXEYgefk5rBqaysjIkTJxIOF2a6nCWQBVQZDdHccPDV+ftf29rJ3sYYUzi5I5D5GjesnFc27TlgW7aqoiLSfQnrnpYEIyrDtCQOTkKzNrndWU+Y4SR/b3WRQK7f2cKxU0YAcOi4GgBWbm1kRl0Vr23ex762JOcfOb7Tz5g30Xm/ZfV7Oe2QMd3+vsYY/7ES1v6rr6+nurqaqVOndlmBYgYPVWXXrl3U19czbdq0gnyGfSMLqDIaojl+YBOd7LqQ7zmq85MdY4wphL6MQE6prWDL3hjJnA48LXmUsKYzyt62JCMrIoyqinZZwvrGtiYmjSxnzlgnKexsuY94Ks2WvW1MqXU6qs4aU0UoIKzc2gg4iSHAMW6C2dHhE4YREKyM1ZgSZSWs/ReLxaitrbXkcYgQEWpraws64mwJZAFVl4Vojh94dX7JBudq/ru7uFpujDGF0JcEcvLICtIZZfOe/Ws6trolrBWRIDVlYZriKVLpA5uF7WtLogojKvcnkNrJCOjq7U3MGVNNVTREbWWEjbsPbqSzaXcbGYVpoyoAZ57mjLoqVm51pgK8tnkf44aVMbrDGpBZldEQM0dXtSeauZ/9qVtf4p3/+yQPu3MsjTH+k0xnbARyAFjyOLQU+u/bvpEFVBkJEUtmSKUzxFNpPv67F/nIb54nEgpw/PTO5+sYY0qPiJwtIqtEZK2IfKWT50VErnWfXyYixxQ7xr6UsGZH/d7KGRlsSbjr2EZDjB3mJG25az4C7Gl1RhxHVkYYVRUhkc7Q2HbwSOWWvTEmjXQSw8m1Fby16+ARyA3uEhzZWAAOHVfdPgL5Wv0+juik7DXXkROHs6x+X3sS+9y6XZxzzVM8unI7LYkUn/rDy9y/zKYWGONHybTTqdkY4x+WQBZQlbtMR0s8zV9f2sw/3tgBwIcXTrYOrMYMEiISBK4HzgHmAheLyNwOu50DzHJvi4FfFjVIDlwHMl9Tap3kLneJjfY5kNHQ/2/v3qOjKs89jn8fkgm5GEiAECAhBChaQDBAAC+IAh5EoFDqhcuRoNHlQUtFq8tLXSio5yyUuir0aE/RYlUoSFXQgrZQvEAtyEUDAhGBECAECSQQ5GKu7/lj7wyTy4RJMpkbz2etWZnZs2fmN8nkmXlmv/vdpNjN3+Gi89Vud9I+9EdcdATtW1lNZkGNCcV++LGMMyXldLSb0C5t3DSQ9mOnujSQ/VLiOVr8I1/mFJJz4qxzP0d3BnSJp/BsKVmHT1FWUclvVnxDh1aRrPv1jfz9oaH06xzHw+9k8U1e3cNcjxafZ/vhUxwsPFvnllSlVPMpr9QtkKFARJg6darzcnl5OQkJCYwdO7ZB95OamsqJEycatU5qaip9+vQhLS2NPn368MEHHzTosXNzcxERZs2a5Vx24sQJHA4HM2bMaNB9XXbZZY1e5/vvv2fSpEl0796dXr16MXr0aL777rsGPX5TaRfTjGLtJvH0j2V88u0xoiPCmDwohQdu7O7nZEopLxoE7DPG5ACIyDJgPLDbZZ3xwFvG6j42iUiciHQ0xvhss1dFIxqf9rEtiXS0qNbYnS2pmoXVOsYiwMHCs86JcADnsSPbREcQ5bAODXLk1Hl6JMY61zlabDWUHVpHAZDSNoYPt+dTWl5ZbYbq3fmniY92EB99YSa5sX07Mvtvu/jtmj0AXNU5rt7nMaZvR/77o2xe/Pse+iS3Juf4WRbdlU6K3SAvzEhnzIIN/NfbW/nTXQPp2bEVxhi+PFDE6xty+Gd2gfO+4qMdDPtpewZ0iadleBgClFZUknfyHIeKzvPDj2VUGqv+t4oKJyYinOiW4YSJUFJewY9llZRWVGAMtBBBxPrZVDo6TYUiY4y9BVIbyGAXExPDzp07OX/+PFFRUaxdu5akpCSf5/j0009p164de/bsYeTIkYwfP75Bt+/WrRurVq3iueeeA+Cvf/0rvXv3bo6odTLGMGHCBKZNm8ayZcsAyMrK4tixY1x++eU+yxEwDaSI3A7MBnoCg4wxW92sNwqYD4QBrxtj5vosZAPFx0QAUHi2lE05Rfy8XxKzxtbcMKGUCnJJwGGXy3nAYA/WSQKqNZAich/WFkpSUlK8GtLRQmgZ3oJ/PDTU49uICJcnxrIz/8KWuXOlVbOwhhMb6aBj60gWrs/h9vTOhLWwupiqIazxMQ5SWkYj9iQ2N17R3nk/h+ymtJPLFshKA4dPnqN7gvWt66lzpazacZRxaZ2q7c/R9rKW9ElqzZbck4S1EPqn1D2BTpXYSAcP3XQ5z63azcacQu5IT2aYS5Y2MRG8lpFO5p+38ItX/80d6cl8c6SYrw6dok1MBA+O6MFVya05/kMJm3IKWZddwPtfHan2GOEthE5xUc5G98jJcxSfL+dcablzv1FHmNAyPIyI8BYIYLCGFlc2Yv9UV7pNVIWqsgrr1a1DWL1nzt92sTv/tFfvs1enVjzzs4s3UbfccgurV6/mtttuY+nSpUyePJkNGzYAUFRURGZmJjk5OURHR7Nw4UL69u1LYWEhkydP5vjx4wwaNKjaKJDFixezYMECSktLGTx4MK+++iphYWHuHr6a06dPEx9f/3tHXaKioujZsydbt24lPT2dd955hzvuuIP8/HwADh48SGZmJsePHychIYE33niDlJQUDhw4wJQpUygvL2fUqFHV7nPevHksX76ckpISJkyYwJw5c9w+/qefforD4WD69OnOZWlpaQ1+Hk0VMA0ksBP4BfBHdyu4DBX7D6wPYFtE5ENjzG53t/GnNjHWB4ktB4o4U1LOgIt8yFFKBaW6PtnU/EzvyToYYxYCCwHS09O92hdMGpTCpEENb0oHdIln6eZDzi2DZ0sriAhr4dxKeEd6Z+av28vu/NP0sYeSnjxnTR7WJiaC6IhwkuOj+O7YD9Xud0tuEY4woVcnawbW3knWz3XZx9hfcIZ12QWcPFdKaUUl065JrZVrQr8kduQVMzA13qNdAjKvSyUpLoqIcGHYFe1rTTBwZVJrVv1qCL9evp2/bD5ESptonhvfm9vTOxPpuPCBZNKgFCorDUdP/0hFhcFgCA9rQWJsS8LdbCWprDQYcDbYKjSI+894ykvKK62x97oFMjRMmjSJZ599lrFjx7Jjxw4yMzOdDeQzzzxDv379WLlyJZ988gkZGRlkZWUxZ84chgwZwtNPP83q1atZuHAhANnZ2bzzzjt88cUXOBwOHnjgAZYsWUJGRka9GYYNG4YxhpycHJYvX97o57Fs2TI6dOhAWFgYnTp1cjaQM2bMICMjg2nTprFo0SIefPBBVq5cycyZM7n//vvJyMjglVdecd7XmjVr2Lt3L5s3b8YYw7hx41i/fj1Dh9b9Ze/OnTsZMGBAo3J7U8A0kMaYbLjorEGeDBULGPHR1hbIT+x9H9NS4vyYRinVTPKAzi6Xk4H8RqwTkAamtuGNL3LZlV9Mv5R4zpWUE93yQkM1caDVQK7NPuZsIIvOltIyvIVz+OpVyXGs2nGU1U+sZs3DQ7k8MZa1u49xdbe2REdYb0M/7dCKK5Na8T8ffVvt8Tu3ieJKu7l0defVXThbUs7NvTt49DxEhFFX1r9u+1aRLL53MMaYet+LWrQQkuKiPHrcqvWVUg1XVm59j+buyxnVcJ5sKWwuffv2JTc3l6VLlzJ69Ohq1/3rX//ivffeA2D48OEUFhZSXFzM+vXref/99wEYM2aMc6vhunXr2LZtGwMHDgTg/PnztG/fnoupGsK6f/9+RowYwY033ujR/oiuRo0axaxZs0hMTGTixInVrtu4caMz79SpU3nssccA+OKLL5zPb+rUqTz++OOA1UCuWbOGfv36AXDmzBn27t3rtoEMFMH2H+luGFgtInKfiGwVka3Hjx/3SbiaEmJbArAxp5AoRxhdXSaBUEqFjC1ADxHpKiIRwCTgwxrrfAhk2LOxXg0U+3L/x6ZIt4+v+O/9hQCcKakgJuLCd4+d4qJIaRPNhr0X6uz+gjMkx0c5m7BHRl7hvG7k79Yz5bVN5Jw4W6v5G9nLunxt97Zseeom5ozrzZt3D6qzmXOEtWDG8B7V9qv0Fp3uXqnAUGZvgYzQIawhY9y4cTz66KNMnjy52vK6JiirqsV11WRjDNOmTSMrK4usrCz27NnD7NmzPc7RvXt3EhMT2b27+jaoFStWkJaWRlpaGlu31rk3HREREQwYMICXXnqJW2+9td7Hcc3u7nk8+eSTzuexb98+7rnnHrf317t3b7Zt21bvY/qCTxtIEfmniOys4+TpHqweDQMDayiYMSbdGJOekJDQ+NBNEBvpICbC+ga+R+Jl+i20UiHIGFMOzAD+AWQDy40xu0RkuohU7aTwEZAD7ANeAx7wS9hGaN8qkn4pcbz/VR4VlYbi82XERlYfvHJ9j3Z8fegUy7ccxhjD14dPcVVynPP6ru1iyJ07hoxrugBWM3rf0G5MGti52v3ce31XXs9I5+17BpMQ25Jp16bSLaFh3wwrpUJHWYUOYQ01mZmZPP300/Tp06fa8qFDh7JkyRIAPvvsM9q1a0erVq2qLf/44485edI6nvqIESN49913KSiwRvkVFRVx8OBBj3MUFBRw4MABunTpUm35hAkTnM1cenq629s/8sgjvPDCC7RtW/2wfNdee61zcpslS5YwZMgQAK677rpqy6vcfPPNLFq0iDNnzgBw5MgR53Oqy/DhwykpKeG1115zLtuyZQuff/65J0/ba3w6hNUYc1MT7yLohoElto4k5/hZftJePwQpFaqMMR9hNYmuy/7P5bwBfunrXN5y75Bu/PIvX/HWxly+P33eefzHKnde3YUlXx7isfd2MOuDnZSUVzKkR7ta9/Ps+Cu5356FumPr2kNAoyPCualXYvM8CaVU0NEhrKEnOTmZmTNn1lo+e/Zs7r77bvr27Ut0dDRvvvkmYO0bOXnyZPr3788NN9zgnGCuV69ePP/884wcOZLKykocDgevvPJKrYawpmHDhhEWFkZZWRlz584lMbFx7zm9e/euc/bVBQsWkJmZybx585yT6ADMnz+fKVOmMH/+/GpbLUeOHEl2djbXXHMNYB26Y/HixW6H44oIK1as4KGHHmLu3LlERkaSmprKyy+/3Kjn0VgSaMe0EpHPgEfrmoVVRMKB74ARwBGsoWNTjDG76rvP9PR0424zdHMbs2ADu/JP8+KtfbmjxrftSikQkW3GGPdf812i/Fm3ajLGMPGPm9icWwTAXdemMntc9TfO3BNn+dv2fLbnFXPDFQncOThFh4KqkKa1qzZv1639x88w4qXPmT8pjfFpvj/kQ6jIzs6mZ8+e/o6hfKyuv7u36lbATKIjIhOA3wMJwGoRyTLG3CwinbAO1zHaGFMuIlVDxcKARRdrHv3tiVt+yuJNBxmX1snfUZRSqlFEhDczB/GbFd+QW3iW/xxcezbX1HYx/GpEDz+kU0qFqjARrkiMJc6elFApFRgCpoE0xqwAVtSxPB8Y7XK51lCxQHZ9jwSu7+GffTCVUspboiLC+N3ENH/HUEpdQlLbxfCPhwN7NkqlLkU6qFwppZRSSqkQFmi7rKnm1dx/b20glVJKKaWUClGRkZEUFhZqE3mJMMZQWFhIZGTkxVdupIAZwqqUUkoppRpPROYBPwNKgf3A3caYUyKSinWYoT32qpuMMdPt2wwA/gxEYe0iNNMYY0SkJfAWMAAoBCYaY3J992yUtyQnJ5OXl4e/jouufC8yMpLk5ORmu39tIJVSSimlQsNa4El70sEXgCeBx+3r9htj0uq4zR+A+4BNWA3kKOBj4B7gpDHmJyIyCXgBmNjM+VUzcDgcdO3a1d8xVAjRIaxKKaWUUiHAGLPGGFNuX9yEdbxst0SkI9DKGLPRPl7tW8DP7avHA2/a598FRogem0cphTaQSimllFKhKBNrS2KVriLytYh8LiLX28uSgDyXdfLsZVXXHQawm9JioG3NBxGR+0Rkq4hs1SGSSl0adAirUkoppVSQEJF/Ah3quOopY8wH9jpPAeXAEvu6o0CKMabQ3udxpYj0Buraolg100p9111YYMxCYCFAenq6ztKi1CXgkmggt23bdkJEDnq4ejvgRHPm8RLN6V3BkhOCJ6unObs0d5Bg1MC65algee1A8GTVnN4VLDkBrvDHgxpjbqrvehGZBowFRtjDUjHGlAAl9vltIrIfuBxri6PrMNdkIN8+nwd0BvJEJBxoDRTV99jNVLcgeF4XmtO7giUnBE9Wr9StS6KBNMYkeLquiGw1xqQ3Zx5v0JzeFSw5IXiyBkvOQNWQuuWpYPqbBEtWzeldwZITrKz+zlCTiIzCmjTnBmPMOZflCUCRMaZCRLoBPYAcY0yRiPwgIlcDXwIZwO/tm30ITAM2ArcBn1Q1pO40R92y8wfF60Jzelew5ITgyeqtunVJNJBKKaWUUpeA/wVaAmvt+W6qDtcxFHhWRMqBCmC6MaZqa+L9XDiMx8dc2G/yT8DbIrIPa8vjJF89CaVUYNMGUimllFIqBBhjfuJm+XvAe26u2wpcWcfyH4HbvRpQKRUSdBbW2hb6O4CHNKd3BUtOCJ6swZLzUhJMf5Ngyao5vStYckJwZQ12wfK71pzeFSw5IXiyeiWnXGQ4u1JKKaWUUkopBegWSKWUUkoppZRSHtIGUimllFJKKaWUR7SBtInIKBHZIyL7ROQJf+epi4h0FpFPRSRbRHaJyEx/Z6qPiISJyNcissrfWeojInEi8q6IfGv/bq/xd6a6iMjD9t99p4gsFZFIf2eqIiKLRKRARHa6LGsjImtFZK/9M96fGUPdxWqYWBbY1+8Qkf6e3jaActZ6nQVaTn/U6SZkjRSRzSKy3c46JxBzulzvk/eUJr5Gc0XkGxHJkgA8zEeg0boVOFl9Xbu0bgVOzkbVLWPMJX8CwoD9QDcgAtgO9PJ3rjpydgT62+djge8CMadL3l8DfwFW+TvLRXK+Cdxrn48A4vydqY6MScABIMq+vBy4y9+5XPINBfoDO12WvQg8YZ9/AnjB3zlD9eRJDQNGY03PL8DVwJee3jYQcrp7nQVaTl/X6SZmFeAy+7wD6ziAVwdaTpfrm/09xQuv0VygXXO+PkPlpHUrsLL6snZp3QqsnI2pW7oF0jII2GeMyTHGlALLgPF+zlSLMeaoMeYr+/wPQDZWYxFwRCQZGAO87u8s9RGRVljF/U8AxphSY8wpv4ZyLxyIEpFwIBrI93MeJ2PMeqzjhLkaj9WcY//8uS8zXWI8qWHjgbeMZRMQJyIdPbxtIOR09zoLqJx+qNNNyWqMMWfsdRz2qblm1mvS396H7ylNyqkaROtWAGX1ce3SuhVAORtDG0hLEnDY5XIeAdqYVRGRVKAf1jcvgehl4DGg0s85LqYbcBx4wx5i8LqIxPg7VE3GmCPAb4FDwFGg2Bizxr+pLirRGHMUrC8/gPZ+zhPKPKlh7tbxZf1rSk5f8kpOH9XpJmW1h1dlAQXAWmNMc2Vt6u/0ZXzzntLUnAZYIyLbROS+ZksZGrRueV+w1C6tW97l87qlDaRF6lgWsMc3EZHLsA4I/JAx5rS/89QkImOBAmPMNn9n8UA41tCSPxhj+gFnsYZbBhSx9h8cD3QFOgExInKnf1OpAOJJDXO3ji/rX1Ny+lKTc/qwTjcpqzGmwhiTBiQDg0Sk1gHlvaTROX38ntLUv/11xpj+wC3AL0VkqDfDhRitW94XLLVL65Z3+bxuaQNpyQM6u1xOJoCGB7oSEQfWP/YSY8z7/s7jxnXAOBHJxdqMPlxEFvs3klt5QJ7Lt1fvYjWUgeYm4IAx5rgxpgx4H7jWz5ku5pjLMI6OWN8UqubhSQ1zt44v619TcvpSk3L6uE575XdqrKH7nwGjvJ7Qwwz1rOPL95Qm/T6NMVU/C4AVWEPLVN20bnlfsNQurVuBk7Nxdcs08w69wXDC2gqVg7V1p2rn097+zlVHTgHeAl72d5YGZL6RwJ9EZwNwhX1+NjDP35nqyDgY2IW176Ng7VP4K3/nqpExleqT6Myj+iQ6L/o7Y6iePKlhWPthuO5Av9nT2wZCTnevs0DL6es63cSsCdiThgFRdi0cG2g5a6zTrO8pTfx9xgCxLuf/DYzyxesgGE9atwIrqy9rl9atwMnZ2LrVrC+QYDphzU70HdYsRk/5O4+bjEOwNjfvALLs02h/57pI5mb9p/FSxjRgq/17XQnE+zuTm5xzgG+BncDbQEt/Z3LJthRr38wyrG+57gHaAuuAvfbPNv7OGcqnumoYMB2Ybp8X4BX7+m+A9PpuG6A5a73OAi2nP+p0E7L2Bb62s+4Eng7EnDXuo9nfU5rw++yG9cFtO9YXfgH5WSKQTlq3Aierr2uX1q3AyNnYuiX2jZVSSimllFJKqXrpPpBKKaWUUkoppTyiDaRSSimllFJKKY9oA6mUUkoppZRSyiPaQCqllFJKKaWU8og2kEoppZRSSimlPKINpFJKKaWUUkopj2gDqZRSSimllFLKI/8PSnsLn8hk93UAAAAASUVORK5CYII=\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -696,20 +744,17 @@ } ], "source": [ - "dA = dA.npview()\n", - "dB = dB.npview()\n", - "dC = dC.npview()\n", "xlim = -0.005, 0.05\n", "\n", "fig = plt.figure(figsize=(15, 12))\n", "fig.subplots_adjust(wspace=0.3)\n", "\n", "ax = fig.add_subplot(3, 3, 1); ax.set_ylabel('Vm (mV)')\n", - "ax.plot(dA.time(), dA['cell.Vm'] - dB['cell.Vm'], label='Model A - Model B'); ax.legend()\n", + "ax.plot(dA.time(), dA['amp.Vm'] - dB['amp.Vm'], label='Model A - Model B'); ax.legend()\n", "ax = fig.add_subplot(3, 3, 4); ax.set_ylabel('Vm (mV)')\n", - "ax.plot(dA.time(), dA['cell.Vm'] - dC['cell.Vm'], label='Model A - Model C'); ax.legend()\n", + "ax.plot(dA.time(), dA['amp.Vm'] - dC['amp.Vm'], label='Model A - Model C'); ax.legend()\n", "ax = fig.add_subplot(3, 3, 7); ax.set_ylabel('Vm (mV)')\n", - "ax.plot(dB.time(), dB['cell.Vm'] - dC['cell.Vm'], label='Model B - Model C'); ax.legend()\n", + "ax.plot(dB.time(), dB['amp.Vm'] - dC['amp.Vm'], label='Model B - Model C'); ax.legend()\n", "\n", "ax = fig.add_subplot(3, 3, 2); ax.set_ylabel('Vp (mV)')\n", "ax.plot(dA.time(), dA['amp.Vp'] - dB['amp.Vp'], label='Model A - Model B'); ax.legend(); ax.set_xlim(*xlim)\n", @@ -733,11 +778,11 @@ "id": "0f81a21d", "metadata": {}, "source": [ - "Here, we see\n", + "Here, we see that\n", "\n", - "- A minor difference in $V_m$ between Model A and Models B & C, which is only visible when plotting the difference explicitly.\n", - "- Some differences between Model A and Models B & C in the early $\\mu$s of $V_p$ and $I_\\text{out}$.\n", - "- Differences between Model B and Model C in $V_m$ and $V_p$ look to be due to numerical noise. The main difference between these two models is in the early $\\mu$s of $I_\\text{out}$." + "- There is a minor difference in $V_m$ between Model A and Models B & C, which is only visible when plotting the difference explicitly.\n", + "- There are some differences between Model A and Models B & C in the early $\\mu$s of $V_p$ and $I_\\text{out}$.\n", + "- In line with their shared equations, models B and C differ only in their prediction of the early $\\mu$s of $I_\\text{obs}$." ] }, { @@ -762,7 +807,7 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 16, "id": "f5705ee7", "metadata": {}, "outputs": [ @@ -797,7 +842,7 @@ }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 17, "id": "3544c88d", "metadata": {}, "outputs": [ @@ -817,7 +862,7 @@ }, { "cell_type": "code", - "execution_count": 15, + "execution_count": 18, "id": "62cd5098", "metadata": {}, "outputs": [], @@ -833,13 +878,13 @@ }, { "cell_type": "code", - "execution_count": 16, + "execution_count": 19, "id": "9cd6ad9f", "metadata": {}, "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA30AAAD4CAYAAABYH49PAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAB+rUlEQVR4nO3deXxU9bn48c8zM9nJCgQSAgZIREAQNWxWWxQQUQt1KWJbxaLlVm217W2rXlqt3nLV7rVV+0uLLS4ldavYqoCguFXAoIhsEoRIEhII2UPWmfn+/phJSMiezORkMs/79Yoz8z3LPAfwm/Oc7ybGGJRSSimllFJKDU42qwNQSimllFJKKeU/mvQppZRSSiml1CCmSZ9SSimllFJKDWKa9CmllFJKKaXUIKZJn1JKKaWUUkoNYg6rA/CFYcOGmdTUVKvDUCro7dix44QxZrjVcQQirceUsp7WYb2ndZhS1uusDhsUSV9qairZ2dlWh6FU0BORz62OIVBpPaaU9bQO6z2tw5SyXmd1mHbvVEoppZRSSqlBTJM+pZRSSimllBrENOlTSimllFJKqUFMkz6llFJKKaWUGsQ06VNKKaWUUkqpQcySpE9Efiki+0Vkl4j8U0TiWmy7R0QOisinIrLAiviUUkoppZRSarCwqqXvdeBsY8xU4ABwD4CITAKWApOBy4DHRMRuUYxKKaWUUkopFfAsWafPGLOxxcetwLXe94uBLGNMPXBYRA4CM4D3OztfVVUVW7ZsaVWWkpJCWloaTqeTd999t80xqamppKamUl9fz/vvtz39+PHjGT16NDU1NWzfvr3N9jPPPJPk5GSqqqrYsWNHm+0TJ05kxIgRlJeXs3Pnzjbbzz77bIYNG8aJEyfYvXt3m+3Tpk0jLi6OY8eOsW/fvjbbzz//fKKjozl69CgHDhxos33GjBlERkaSl5fHZ5991mb77NmzCQsLIzc3l9zc3DbbL7zwQhwOBwcPHiQ/P7/N9jlz5gDw6aefUlhY2Gqb3W7noosuAmDv3r0cP3681fbQ0FAuuOACAD755BNKSkpabY+IiGDmzJkA7Ny5k/Ly8lbbhwwZQkZGBgDZ2dlUV1e32h4XF8e0adNwu9y8//5/OHmyEuN04nY3YlxOYqIiGD16NC5XI3v37cPVUI8xbsS4McYQPSSSEcOHY4yLw4c+w+1yIsYNuMHtZkhUBPGxsRjj4mh+HsYYwIAxGGOIjIwkOnoIxu1uvnbBuw8QFRlJVGQkbrebkpITYIx3O2AMUUOiiAgPx+VyUl5WBsa0OAdERUUSHhaG09lIZUVF83mbREUNITQ0hMbGRqqqWv7ZNB0fRUiIZ3vNydZ/dk1/vg6Hg8aGBk7W1AAQPu16xOZ5RtTVvz3lP8YYnt+Rz6JpyYQ59HmYUirw/HvXUS5MG0ZcZKjVoSgVVAbC4uzLgX9434/CkwQ2yfeWtSEiK4AVAGPHjvVnfMqPGp1OaqorcdVV4W6owtRXc9Jdz7Yj7+Ksq6aq9DimoRqHu44QVz0hpg5jGti90Umou5YEVy3DjZMQ04gDJyE4CcFF/T8bCRMnX+ji+8d1sX1KF9vP78G1dltZF9vLu9he0cX2yp5vf4OlSBeHKf/bsOcYP3p+F4dOnOSuy86yOhyllOqRgvJavvP3j7gwbRhP3zLT6nCUCipijOl6r96cWGQTMLKdTSuNMeu8+6wEMoCrjTFGRB4F3jfGPO3dvhp41RjzQmfflZGRYbKzs317AarXXC43x4/lU5J/kJqSAhorijDVx7CfPEZY3QkiG0uIdpYRbaqJkZouz9do7NRKOHWEU28Lp0HCabCF02iPwGUPx9hCMfZQjC0Etz0UbCGez/YQsIeBPQRxhGLsYdgcoYgtBAkJwW5zYLPbsdkcYLMhNgdisyE2O2Kzg83eosyzLzZ78zFN+9nEhs1mQ2yCTQQRz3tpSpNEQATB5u1Q7dkmzeXeVxEQGyAgNJcJTe9tnn2gxbGe7wJp/qqmb/AcQ3Oy5jlWmk59irSXzrUta2rp64yI7DDGZHS5o2qjO/XYix/m84NnP+Yr05L53dJz+ykypYKH1mG915067LPiaub++i3GDovizR/O6Z/ABrBhw4aRmppqdRhqgMrNzeXEiRM9OqazOsxvLX3GmHmdbReRZcCVwFxzKvPMB0a32C0FOOqfCFVfOJ0uCvI/p/jQx9QW7EbKDhNxMp/4hqOMdB8nSepJOu2YMmIotydwMiSBY1FjOBoeB94fe1Q8jqgEQqMTiIgeSmRMAlFDYoiIiibEEUYIENP/l6nUgGLzJuhu/zyrU0opv2q629OeIx6pqaloo4XqSNNQJl+xpHuniFwG3AV8yRjTsqnnZeDvIvIbIBlIB9oOqFP9qqauns/2fkj5gfegaBdxVQdJcX7OGVLNGd59qonghGMklVFj2Bv9BSQ+lfDhqUQPG01s4mhihiYR7wgl3tIrUSqwNTXKuv3UQ0MppfzJpnWYUpaxakzfH4Ew4HVvd7WtxphvG2P2iMizwF7ACdxujHFZFGPQKquo4tMdm6ndv5m40p2kNx5gitQBUEUkRWFjyU2YR27iWUSPmcLI8dMYMnQUQ9rtJqiU8pWmlj69X1JKBSLtraCUdayavTOtk22rgFX9GE7Qc7kNe3bt4PiOdcQVvsekxt3MknqcxkZe2HgOJl1JyBkzSJp0EQljJhKtyZ1SXfL2aPg9YAf+Yox5qK/nPHXDpHdMSikVDJwuNwYIsVu1ypoaLAbC7J3KAi63YeeH2ynZnsXY45uYyhEACuwp5CQvJmrSPM44fwFjI+OsDVSpAORdX/RRYD6escofiMjLxpi9fTlvU9cozfmUUoGo6ZmxQSux7iivaWDxo+9RXefkxdsu4IyhUVaHpAKYJn1BJvdoEfs3rWHU4ec53xzAbYRDkWezO+1uUr+whFEjx7e/RoZSqidmAAeNMYcARCQLzzqkfUr6RFv6lFIBTHQKlx5Z/e5hPi/xTH3x4Kv7+dMNflkoSgUJTfqCgDGG7I92UPnmI8yuXE+q1FMQcgZ7z/ox4y5eRlpCitUhKjXYjALyWnzOB9osStVyvdExY8Z0edJTkyD4IEKl1IAmIuHA23jmQHAAzxtj7hORBDzrG6cCucASY0yZ95h7gJsBF3CHMWaDt/x84G9ABPAqcKd3qaww4Ek8y86WANcZY3K9xywDfuIN5+fGmDW+ujZ9btU9/95VyBfPHM6EEUP463u5lJ1sID5KF7VXvaMdhAcxt9vw1paNvLvqcs5fN48vVr3C4RHzKb3+VUb9z8dMumYl4ZrwKeUP7T3ObnObY4zJNMZkGGMyhg8f3vVJmydy0TsmpYJAPXCJMeYcYBpwmYjMAu4GNhtj0oHN3s+IyCRgKTAZuAx4zNvVHOBxPA+Y0r0/l3nLbwbKvHMt/BZ42HuuBOA+PA+rZgD3iUifJ+D295QAdXV1zJgxg3POOYfJkydz3333AVBaWsr8+fNJT09n/vz5lJWVNR/z4IMPkpaWxoQJE9iwYUNz+Y4dO5gyZQppaWnccccdzfVufX091113HWlpacycOZPc3NzmY9asWUN6ejrp6emsWdO3HDmvtIbDJ04y96xELjs7Cafb8P6hkj6dUwU3TfoGIWMM72z9D+89eAVf2vJVpjk/5kD6Lbjv3MXk254hYcIX/F/zKhXc/LLmqE53rlTwMB7V3o8h3h+Dp6t4U0axBviK9/1iIMsYU2+MOQwcBGaISBIQY4x537su8pOnHdN0rueBueJ5urQAeN0YU+ptRXydU4miD67NV2dqLSwsjDfeeIOPP/6YnTt3sn79erZu3cpDDz3E3LlzycnJYe7cuTz0kGderb1795KVlcWePXtYv349t912Gy6XZ9L4W2+9lczMTHJycsjJyWH9+vUArF69mvj4eA4ePMj3v/997rrrLsCTWN5///1s27aN7du3c//997dKLnvqk4IKAM4/I56pKbFEhtp5/zNN+lTvadI3yOw/lMvGX3yN2a9dQUbjDvZPuJXIu/Zx1jd+RVi8jtZTqp98AKSLyFgRCcXz9P3lvp5UpztXKriIiF1EdgLH8SRh24ARxphCAO9ronf39rqVj/L+5LdT3uoYY4wTqACGdnKu0+NbISLZIpJdXFzchyv1DRFhyJAhADQ2NtLY2IiIsG7dOpYtWwbAsmXLeOmllwBYt24dS5cuJSwsjLFjx5KWlsb27dspLCyksrKS2bNnIyLceOONrY5pOte1117L5s2bMcawYcMG5s+fT0JCAvHx8cyfP785UeyNfYWV2G1CWuIQQuw2pqcmsFVb+lQfaNI3SFTWNvDcE79m2JqLmFu7nkOp1xHy/Y856/qHsEfEWh2eUkHFe/P0HWADsA941hizp6/nPTXznVIqGBhjXMaYaXh6C8wQkbM72b2jbuWddTfvzTEt4+tRF/X+4HK5mDZtGomJicyfP5+ZM2dy7NgxkpKSAEhKSuL48eMAFBQUMHr0qU4ZKSkpFBQUUFBQQEpKSpvy049xOBzExsZSUlLS4bl6a19hJeOHRxEe4umhe96YeA4WV1PT4Oz1OVVw04lcBoEPPvoY18t38FWzk/yoydRe9xhnnjHN6rCUCmrGmFfxTJjgMzqmT6ngZIwpF5EteLpYHhORJGNMobfr5nHvbh11K8/3vj+9vOUx+SLiAGKBUm/5nNOO2eLDS/Ibu93Ozp07KS8v56qrrmL37t0d7tteXSoiHZb39piWMjMzyczMBKCz1tF9hVVkpJ4aRjkxKRpjYH9RFeeN6fPwShWEtKUvgNU1uli75jHOfOkypphPyZ/9ACk/fIdoTfiUGpR0TJ9SwUNEhotInPd9BDAP2I+nq/gy727LgHXe9y8DS0UkTETG4pmwZbu3C2iViMzyjte78bRjms51LfCGd9zfBuBSEYn3TuByqbesT/qz6oqLi2POnDmsX7+eESNGUFhYCEBhYSGJiZ4esSkpKeTlnerFmp+fT3JyMikpKeTn57cpP/0Yp9NJRUUFCQkJHZ7rdCtWrCA7O5vs7Gw6ah2ta3RRUF7L+OFDmssmJccAsPdoZa/+PJTSpC9A5R0v4/VfL+P6w/dQHTUGx23vkbLgTrDZuz5YKRWQmta40pxPqaCQBLwpIrvwjBN+3Rjzb+AhYL6I5ADzvZ/xdiF/Fs96oOuB240xLu+5bgX+gmdyl8+A17zlq4GhInIQ+AHemUCNMaXA/3q/9wPgAW+ZT/irt0JxcTHl5eUA1NbWsmnTJs466ywWLVrUPJvmmjVrWLx4MQCLFi0iKyuL+vp6Dh8+TE5ODjNmzCApKYno6Gi2bt2KMYYnn3yy1TFN53r++ee55JJLEBEWLFjAxo0bKSsro6ysjI0bN7JgwYJeXcfR8loAUuIjmstGxUUQE+5gb6Emfap3tHtnANr68R6G/PMGvsxnfJ5+E2dc9wtwhFkdllKqn2hLn1KDnzFmF3BuO+UlwNwOjlkFrGqnPBtoMx7QGFMHfLWDcz0BPNGzqLvHXzVYYWEhy5Ytw+Vy4Xa7WbJkCVdeeSWzZ89myZIlrF69mjFjxvDcc88BMHnyZJYsWcKkSZNwOBw8+uij2O2eh+ePP/44N910E7W1tSxcuJCFCxcCcPPNN3PDDTeQlpZGQkICWVlZACQkJPDTn/6U6dOnA3DvvfeSkJDQq+vIK2tK+iKby0SEM0dEc/B4dUeHKdUpTfoCzPpNrzP1nRXESw3HFq7mjJnXWh2SUqqfac6nlApExs/TUE2dOpWPPvqoTfnQoUPZvHlzu8esXLmSlStXtinPyMhodzxgeHh4c9J4uuXLl7N8+fIeRt1WflkNAKMTIlqVjxsexZufWj9LqgpM2r0zQBhjeOGFtVz4zjcId9hg+XpGaMKnVFBpumHSpE8pFci0DutcXmktIXYhMTq8VfnYYUMorqqnqq7RoshUINOkLwAYY3j6qT9zxa7vUh0+kujvvEXEmDY9PpRSg1zTjZJ271RKBSKturonv6yGUXER2G2tZ/8cOywKgNwTNVaEpQKcJn0DnDGGrKf+H9d9djdlUeMYccdmQuJTuj5QKTVo6X2TUiqQ+bubZ6A7VlnHiJjwNuXjhnuSvkMndFyf6jlN+gYwYwxZWU9y9WcrKY6awMjvbkSihlkdllLKIk23SdrSp5QKRFpzdc+J6gaGR7edoG9MQiQicPjESQuiUoFOk74B7Nl//pPF+39EWcQZJH/nFSQizuqQlFIWaprm3K13TkopNWgVV9UzbEjbpC88xM6ouAhN+lSvaNI3QK1/+33mfXwHJ8OGkXjbq0hk76b9VUoNHs25nrb0KaUCmFZhHattcFFd72y3pQ88rX15pTqmT/WcJn0D0PZ9hxm/+WbCbIbYm1/CFjPS6pCUUgOItvQppQKRvxZlH0xOVNcDMLydlj7wLNie713HT6me0KRvgCksq6bxHzcxVorguqcIHXGm1SEppQYKnb1TKTUIaA3WseKmpK+Dlr6U+EiOV9VT73T1Z1hqENCkbwBpcLp58y938QV2UvqlVQw56xKrQ1JKDSC6Tp9SKpBp1dW1E1WepK+9MX3gaekDOFpe128xqcFBk74BZG3WUyytfob80YtInPNtq8NRSg1Q2tKnlApkWoV1rKmlb1h0aLvbR8V5kr78Mh3Xp3pGk74B4s0de7g85yeURJxByjceB5GuD1JKBZWmGyW9YVJKBTatxDpyoqoBgKFRHbT0JUQC6Lg+1WMOqwNQUFJVB//6HrFSi9z4DIQNsTokpdQA1Jz06Q2TUioA6QOrrhVX1xEXGUKoo/12mRHRYThsoi19qscsaekTkf8VkV0islNENopIcott94jIQRH5VEQWWBFffzLGsO6p33Ex2ymfdRchyWdbHZJSaoDTGyellBqcTlQ1dDhzJ4DDbiMpLpwCbelTPWRV985fGmOmGmOmAf8G7gUQkUnAUmAycBnwmIjYLYqxX2x4/yOuPfZ7CmOnkXjpD6wORyk1gDXlejqmTykVmLTu6krpyQYSotofz9dkVJwu26B6zpKkzxhT2eJjFKdqgcVAljGm3hhzGDgIzOjv+PpLeU0Djo33ECZOEm94AmyDOr9VSvVR0xpXmvMppQKZ1mEdq6htJC4ypNN9UuIjNelTPWbZRC4iskpE8oCv423pA0YBeS12y/eWtXf8ChHJFpHs4uJi/wbrJy89t4Z5bKVi+vewDxtvdThKqQCh90tKqUCmdVjHymsbiIvouqXvWFUdjS53P0WlBgO/JX0isklEdrfzsxjAGLPSGDMaeAb4TtNh7Zyq3brBGJNpjMkwxmQMHz7cPxfhRx8fLmLOoV9yImwMiQt+aHU4SqkAoN07lVKBTKuurpXXNBLbRUtfUmw4xsBx75p+SnWH32bvNMbM6+aufwdeAe7D07I3usW2FOCoj0OznNtt+OTZ/+Ubcoyaq14ER8cDdpVSqknTDZMmfUqpQGa0DmtXXaOLeqeb2IjOk76RseEAFFXUNq/bp1RXrJq9M73Fx0XAfu/7l4GlIhImImOBdGB7f8fnb69t3clVNc9RkHQpkWfNtTocpVTA0DF9SqnA5e+qKy8vj4svvpiJEycyefJkfv/73wNQWlrK/PnzSU9PZ/78+ZSVlTUf8+CDD5KWlsaECRPYsGFDc/mOHTuYMmUKaWlp3HHHHc2Jan19Pddddx1paWnMnDmT3Nzc5mPWrFlDeno66enprFmzpsfxV9Q2AnQ5pi8p1pPoHS2v6/F3qOBl1Zi+h7xdPXcBlwJ3Ahhj9gDPAnuB9cDtxhiXRTH6RV2ji4bNDxImTpKuftDqcJRSAUiTPqVUIPNXFeZwOPj1r3/Nvn372Lp1K48++ih79+7loYceYu7cueTk5DB37lweeughAPbu3UtWVhZ79uxh/fr13HbbbbhcntvOW2+9lczMTHJycsjJyWH9+vUArF69mvj4eA4ePMj3v/997rrrLsCTWN5///1s27aN7du3c//997dKLrujvMaT9HW/pU+TPtV9Vs3eeY0x5mzvsg1fNsYUtNi2yhgz3hgzwRjzmhXx+dPLm97iy87XOT7ha9iGp1kdjlIqgGj3TqVUIPN31ZWUlMR5550HQHR0NBMnTqSgoIB169axbNkyAJYtW8ZLL70EwLp161i6dClhYWGMHTuWtLQ0tm/fTmFhIZWVlcyePRsR4cYbb2x1TNO5rr32WjZv3owxhg0bNjB//nwSEhKIj49n/vz5zYlidzW39HUxkUtMuIOoUDuFmvSpHrBs9s5gVFHbSMK2h2i0hZP85fusDkcpFWCa7pc051NKBbL+qMNyc3P56KOPmDlzJseOHSMpKQnwJIbHjx8HoKCggNGjT00lkZKSQkFBAQUFBaSkpLQpP/0Yh8NBbGwsJSUlHZ7rdJmZmWRkZJCRkcHps8+X1zQAXXfvFBFGxoZTVKnLNqju06SvH/1r/XrmsY2q8/4LhgTejKNKqYFBW/qUUqpj1dXVXHPNNfzud78jJiamw/3am1BGRDos7+0xLa1YsYLs7Gyys7M5ffb5ppa+rrp3gmdcn7b0qZ7QpK+fVNU1MuLjP1ArUSTO+57V4SilAtCp7p3WxqGUUr1h+mGFvsbGRq655hq+/vWvc/XVVwMwYsQICgsLASgsLCQxMRHwtMbl5Z1aHjo/P5/k5GRSUlLIz89vU376MU6nk4qKChISEjo8V080J31dtPSBZ1xfoU7konpAk75+8u/NbzCfbVSe802IiLM6HKVUADp1w6RZn1IqcPlryQZjDDfffDMTJ07kBz/4QXP5okWLmmfTXLNmDYsXL24uz8rKor6+nsOHD5OTk8OMGTNISkoiOjqarVu3YozhySefbHVM07mef/55LrnkEkSEBQsWsHHjRsrKyigrK2Pjxo0sWLCgR/GX1zRitwnRYV2vqJYUG87xqjqcukC76ia/rdOnTqlpcBKX/Qh1Es6IS//b6nCUUgFOe3cqpQKRv+uu9957j6eeeoopU6Ywbdo0AP7v//6Pu+++myVLlrB69WrGjBnDc889B8DkyZNZsmQJkyZNwuFw8Oijj2K32wF4/PHHuemmm6itrWXhwoUsXLgQgJtvvpkbbriBtLQ0EhISyMrKAiAhIYGf/vSnTJ8+HYB7772XhISEHsVfUdtITLjD0y30k+fhyFaYsQKGn9lm35Gx4bgNFFfXNy/hoFRnNOnrB//e8h+udb/HsSkrSIrsWQWglFJNdPZOpdRg4K8a7MILL+ywFXHz5s3tlq9cuZKVK1e2Kc/IyGD37t1tysPDw5uTxtMtX76c5cuX9yDi1sprG4mLDIUDG+CFmz2Fu1+Ab78LsaNa7ZvsTfQKK+o06VPdot07/czpcsO2P+EWO0mXft/qcJRSAazpVkbH9CmlAprWYe0qr2kgJiIE3vk1JIyDW98HZx1sbJuU6lp9qqc06fOzNz4+yOXOzRwfcznEJFkdjlJqEPDXeBillPInrbo6V1XnZHRIBeRtg2lfgxGTYPbtsOefUNS61THJm/TpDJ6quzTp87PCNzMZInWMuPQHXe+slFKdaEr29MZJKaUGn+p6JzOdH3o+TLjC8zr7dnBEwPbMVvvGRoQQHmKjsFzX6lPdo0mfH+3OK2Fu5T8pjDsXe8q5VoejlBokdEyfUioQ9ceSDYGsus5JeuOnEB4LiRM9hRHxMOVa+OQ5qC1v3ldEPGv1VWpLn+oeTfr86IMNfydFThAz506rQ1FKDQJNuZ7eNimlApnWYe2rrncyuj4Hks6Blgu7T78FGmvg46xW+4+MCdcxfarbNOnzk4qaRsYdeZ6KkOFETfmy1eEopQaRmgYX97y4y+owlFKqR7STQsfcbkNdfR0jaj/zJH0tJU+DkVNg1z9aFSfFatKnuk+TPj95/f1sLpKPqZ/yNbDryhhKqb5r2TVq7fY8CyNRSqne08mo2jrZ4GSUnMBhGmD4xLY7TFkCRz+Eks+ai5LiwjlWWYdLp3RW3aBJnx8YY6jbvgYEEi+62epwlFKDxOn3SY0utzWBKKWU8qnqeidnyDHPh/jUtjtMuRYQ2PVsc9HI2AicbsOJ6vp+iVEFNk36/OCTvFIurttI0dDZEH+G1eEopfxARH4pIvtFZJeI/FNE4lpsu0dEDorIpyKywF8x6C96pZQaHKrrnIyR454PCWPb7hCTDKkXwifPNj8BTIrxLNtwVGfwVN2g/Q794KM3X2CZlHDywlusDkUp5T+vA/cYY5wi8jBwD3CXiEwClgKTgWRgk4icaYxx9fULW7b0hdLIY6/t4PaLx2EMOGyCveXA/3ZIJ9s7P1KpwBYeNYTwiCirw1Be2hmxrSpvS5/LHoZ9yMj2d5q6BF7+LhR8CCnnNy/Qfkxn8FTdoEmfj9U2uEg69ALVjliG6AQuSg1axpiNLT5uBa71vl8MZBlj6oHDInIQmAG83+fv9L7e51jDDfbXcex3w/6+nlWpwW/b5J8y86s/tDqMoKdD+TpWXedktBTTMGQ0EbYOOuJNXASv/NDT2pdyfvMC7UfLNelTXdOkz8fe+uQzLmYHpWlLGeIItTocpVT/WA40Tas2Ck8S2CTfW9aGiKwAVgCMGTOmW1+UJvl807GB11zTyXZPYM7EkUSGOnC7TadrYOnNlgpmiZMusjqEHhOR0cCTwEjADWQaY34vIgl46ptUIBdYYowp8x5zD3Az4ALuMMZs8JafD/wNiABeBe40xhgRCfN+x/lACXCdMSbXe8wy4CfecH5ujFnjq2vT+qitqjonyVKGOzq5450i4uDMBbD7Bbh0FQlRoYQ5bBRpS5/qBk36fKxw63OESSOJX7jR6lCUUn0kIpvw3HCdbqUxZp13n5WAE3im6bB29m/3FscYkwlkAmRkZHR5G2SM4VzbQQAedi7lB0sv56JzOrlBUEoFMifw38aYD0UkGtghIq8DNwGbjTEPicjdwN103bX8cTwPmLbiSfouA17DkyCWGWPSRGQp8DBwnTexvA/IwFN/7RCRl5uSy77SRdrbqq5vZLiUI9HTOt9x6hLY9zIc2oKkzyMpNlzH9Klu0YlcfKiitpG0Y+spC03GPnq61eEopfrIGDPPGHN2Oz9NCd8y4Erg6+bUHOT5wOgWp0kBjvokHiBVimg0dhZffAGLNOFTatAyxhQaYz70vq8C9uHpNbAYaGp1WwN8xfu+uWu5MeYwcBCYISJJQIwx5n1vPfXkacc0net5YK54Bv8uAF43xpR6E73X8SSKfbsmTfY6VFXbyHAqsEd3MJ6vSfqlEB7r6eIJjNS1+lQ3adLnQ1t27OYC+YSGiVdDFxMqKKUCm4hcBtwFLDLG1LTY9DKwVETCRGQskA5s99X3JlJOMbGEhmj3caWChYikAucC24ARxphC8CSGQKJ3t1FAywU8m7qWj/K+P7281THGGCdQAQzt5Fynx7VCRLJFJLu4uLgPV6gaa8oJk0ZCYrtI+hxhMOkrsO/f0HCS5NgICjXpU92gSZ8PlWc/i10MiV/4htWhKKX8749ANPC6iOwUkT8BGGP2AM8Ce4H1wO2+mLkTAANDpJYqE0mYQ6tvpYKBiAwBXgC+Z4yp7GzXdspMJ+W9PeZUgTGZxpgMY0zG8OHDOwmtaf8udwleVZ41+mxdtfSBp4tn40nY/yojY3WBdtU9OqbPR45X1jGldCPHh6STmDjR6nCUUn5mjEnrZNsqYJXPvxNDFHVUE6FJn1JBQERC8CR8zxhjXvQWHxORJGNMobfrpndxtw67lud7359e3vKYfBFxALFAqbd8zmnHbPHRZWny1w57jbeldEhi5zsCjLkAYlLgk2dJGj+jeYH2Ed51+5Rqj941+MhbOz7mPNtBbFOusToUpdQgZQxESy0nTTihmvQpNah5x9atBvYZY37TYtPLwDLv+2XAuhblbbqWe7uAVonILO85bzztmKZzXQu84R33twG4VETiRSQeuNRb5hP+yvmWL19OYmIiZ599dnNZaWkp8+fPJz09nfnz51NWdmoumgcffJC0tDQmTJjAhg2nLm/Hjh1MmTKFtLQ07rjjDpqGbNfX13PdddeRlpbGzJkzyc3NbT5mzZo1pKenk56ezpo1PZ/o1FHblPSN6Hpnmw2mXAsHNzMm9CSgC7Srrll61yAiPxQRIyLDWpTdIyIHReRTEVlgZXw9cfLjlwEYlqFJn1LKf4ZQSxURhDnsVoeilPKvLwA3AJd4u5DvFJHLgYeA+SKSA8z3fu6qa/mtwF/wTO7yGZ6ZO8GTVA71rif6AzwzgWKMKQX+F/jA+/OAt6xP/N3Ad9NNN7F+/fpWZQ899BBz584lJyeHuXPn8tBDDwGwd+9esrKy2LNnD+vXr+e2227D5fL8cd16661kZmaSk5NDTk5O8zlXr15NfHw8Bw8e5Pvf/z533XUX4Eks77//frZt28b27du5//77WyWX3RFWd8LzpjstfQBTrwPj4swTniVjdTIX1RXLkj7v+jPzgSMtylpON3wZ8JiIDPg7m4qaRtJLt1ASfgYMP9PqcJRSg5TBM6bvpNHunUoNdsaYd40xYoyZaoyZ5v151RhTYoyZa4xJ976WtjhmlTFmvDFmgjHmtRbl2d6Zh8cbY77TNNuwMabOGPNVY0yaMWaGMeZQi2Oe8JanGWP+2r9X3ztf/OIXSUhIaFW2bt06li3zNGYuW7aMl156qbl86dKlhIWFMXbsWNLS0ti+fTuFhYVUVlYye/ZsRIQbb7yx1TFN57r22mvZvHkzxhg2bNjA/PnzSUhIID4+nvnz57dJPrsS3lCKEztExHfvgBGTYOQUhuc8CxiOatKnumDlXcNvgR/T+sFPu9MNWxFcT7z7yQFmyl4az7zc6lCUUoOYMRBKIw04tHunUirgGAsG8x07doykpCQAkpKSOH7cMwSyoKCA0aNPDYFMSUmhoKCAgoICUlJS2pSffozD4SA2NpaSkpIOz9UT4c4KamzRPZv9/fxv4ijewwzHIYoqtHun6pwlE7mIyCKgwBjzsbT+xz0Kz8KhTdqdIth7jhV4FhplzJgxfoq0e4o/fBmHuEmcfq2lcSilBr8QXDTiCLjuncOGDSM1NdXqMFQQys3N5cSJE1aHoVoaABO5tJeAikiH5b095nSZmZlkZmYC0HKZi3BnFXWOaGK6F77H1CXw+r0sd7zJvyou7MmRKgj5LekTkU1Ae/POrgT+B8+g4DaHtVPWbtVgjMkEMgEyMjIsqz7qGl2MKtpMRehwYkedZ1UYSqkgYDA4vElfoLX0paamkp2dbXUYKghlZGRYHYLyMsBc2w62cU6/feeIESMoLCwkKSmJwsJCEhM9Y+ZSUlLIyzu1FGF+fj7JycmkpKSQn5/fprzlMSkpKTidTioqKkhISCAlJYUtW7a0OmbOnDntxrNixQpWrFgBtP63Gemuot4R3bOLC4uGqUu4JPtp1pbpOomqc367azDGzPP2H2/1AxwCxgIfi0gunmmAPxSRkXQ83fCAte3TfC7kY6pTL/XMpqSUUn5iDDhw4sROeIjWN0qpwBJ5/CNWh/6ae2xP99t3Llq0qHk2zTVr1rB48eLm8qysLOrr6zl8+DA5OTnMmDGDpKQkoqOj2bp1K8YYnnzyyVbHNJ3r+eef55JLLkFEWLBgARs3bqSsrIyysjI2btzIggU9m4twiLuahpDYnl9gxnJCaSCj9JWeH6uCSr937zTGfAI0T03kTfwyjDEnRORl4O8i8hsgGe90w/0dY08czl7Pl6SB4RlXWR2KUmqwM4ZQcdGIPeC6dyqllKO+HIDRUuSX819//fVs2bKFEydOkJKSwv3338/dd9/NkiVLWL16NWPGjOG5554DYPLkySxZsoRJkybhcDh49NFHsds99erjjz/OTTfdRG1tLQsXLmThwoUA3Hzzzdxwww2kpaWRkJBAVlYWAAkJCfz0pz9l+vTpANx7771tJpTpTKPLTTQnqQ/tUedOj5FTyIuexjWV/8bV+EvsIWE9P4cKCgNqcXZjzB4RaZpu2Enr6YYHHGMMEUe20CBhhI67yOpwlFKDnbc6dBq7zt6plAo4xjuKpwdTlfTI2rVr2y3fvHlzu+UrV65k5cqVbcozMjLYvXt3m/Lw8PDmpPF0y5cvZ/ny5T2I9pSaBhexcpLC0F609AE5Z36LS3bcTvkHWcRdsKzrA1RQsvyuwRiTaow50eJzu9MND0T7i6qY7vyQE8NnQEi41eEopQY5m7sBgEYchARp0ldV18hPX9rNZb97m3vX7aaittHqkJRS3dWTmSmDSE19AzGcxB3eu6SPtHnsdZ9B2PZHwO32bXBq0AjOuwYf+ejjDxlnK2LI5MusDkUpFQRsbicAKUNjGBkTfA+aXG7DzWuy+fv2I8RHhvLMtiN84y/bOFnvtDo0pVQ3NM26J2hi0lJtVQV2MRAe16vjR8ZG8rjzy0SUH4S9L/k0NjV4aNLXB3X7NgIQc/ZCiyNRSgUDMZ7k5isZqdht1j8xX79+PRMmTCAtLY2HHnrI79/39NbP2X64lAevnsLaFbP4f984nz1HK3jgX3v9/t1KKR8Qz22n9bXXwNJQXQqAdHdh9tMkx4XzinsWpUPSYfMD4GzwZXhqkNCkr5eq652klr1PWVgKDB1vdThKqSAg3pY+bNYPx3a5XNx+++289tpr7N27l7Vr17J3r/+Srwanmz+8cZALxg/lq+d7Fk6eN2kE3/riOP6RnccHuaV++26llK/YvP/Vlr6WmpI+e2Rcr46PjQghNMTB+qRboewwZK/2YXRqsNCkr5e2HTjKLNlDXerFVoeilAoS4vKOX7OHWBsIsH37dtLS0hg3bhyhoaEsXbqUdevW+e37Xv2kkBPV9fzXl8a3WvT4zrnpJEaH8euNn/rtu5VSvmFoaukbAKuzDyCumjIA7FG9a+kTEZJjI3jPTINxc+Cth+Hkia4OU0FGk75eOrJzM5FSz7BpV1gdilIqSNi83TvFHmpxJFBQUMDo0aeWVU1JSaGgoKDVPpmZmWRkZJCRkUFxcd8WDn5uRx6pQyO5KG1Yq/LIUAf/9aXxbD1Uqq19Sg1wxvu8xqZJXyvO2goAQnuZ9AGMjA3naGUdXPYQ1FfD+nt8FZ4aJDTp66WwvHdwYidEl2pQSvUTcXta+ozN+pY+Y9retMlpM/OtWLGC7OxssrOzGT58eK+/q+xkA1sPlXLF1CRs7Yxl/NqMMQyNCuX/vXWo19+hlPI/I9rS1x53XRUAoZG9WKfPa1RcBAVltZA4ES76AXzyLHy63lchqkFAk75eOFZZx1l1H3Mi9mwIG2J1OEqpINE0pk/s1o/pS0lJIS8vr/lzfn4+ycnJfvmuzfuP43IbFkwe2e72iFA7100fzRv7j1FYUeuXGJQ6nYiEi8i1IvJ7EXlORJ4UkR+LyGSrYxu4mtbp06SvJXddNQDhQ3q5ZAMwOiGS41X11DW64KL/hhFnw0u3QkW+r8JUAa5HSZ+IRImI3V/BBIrsT48wVQ5hS9VWPqVU/2nq3skAaOmbPn06OTk5HD58mIaGBrKysli0aJFfvuvtA8UkRocxZVTHN0TXzxiDAbK253W4j1K+IiI/A94DZgPbgP8HPAs4gYdE5HURmWpdhAOUtvS1yzScBCAiqvctfSnxEQAUlNeCIwy+ugZcjfDcTTqbpwK6SPpExCYiXxORV0TkOLAfKBSRPSLySxFJ758wB5Zje97CIW6GTplndShKqWBiXJ7XATB7p8Ph4I9//CMLFixg4sSJLFmyhMmTfd/AYYxh2+ESZo4b2qb7aEujEyK5KH04//ggD6dLZwZUfveBMeZ8Y8x/G2P+bozZZIz5tzHmN8aYLwNfB6wffDvAGO+gPh3T15rUV+M2QlhE73uPjU6IBCCvtMZTMCwNFv8B8j/wtPjpou1Br6s7hzeBTcA9wG5jjBtARBKAi/E8zfqnMeZp/4Y5sITnv4cTB44xM6wORSnVBREJB64ELgKSgVpgN/CKMWaPlbH1mPH+0rYNjJ75l19+OZdffrlfv+NIaQ3HKuuZMTahy32/NmM03376Q949eII5ExL9GpcKbsaYV9or99Y3XzbGPAcc79+oBj4j2r2zXY3V1BDOkD7U7aPjvUlfWYsu7pOvgtLDsPl+CI+FK34NnTw8U4NbV0nfPGNM4+mFxphS4AXgBRGxvp9RPyoor2VSwy5K4s9mRGiU1eEopTrh7YK1CM8DrG14bsLCgTPxPLQKB/7bGLPLsiB7QJqSviD6pb3tsGdGzpndSPouPiuRmHAH63Ye1aRP9RvvsJdLgeuBBcA7wHOWBjVQeSeA0qSvNXvjSWolnL7MEpEYHUao3UZ+U0tfkwu/D7Vl8J9HoLEGvvwIOPrWCP1pURXbc0upbXAyfvgQvpA2jPCQoB/9NeB1lfT9U0T+Dqwzxpxsb4f2ksLB7IP9n3OlHKZ8/HesDkX5WGNjI/n5+dTV1VkdyoAXHh5OSkoKISED/pnPB8aYn3Ww7TcikgiM6cd4+qT5RkkGRktff9h+uJSEqFDSE7u+HQpz2LliahLrdh6lpsFJZKj13WDV4CUiXwS+BlwBbAe+AIw1xtR0eqDSxdlPY3fWUCsRfTqHzSaMio8gv+y0yaxEYP4DEBYNb67yTOxyzV8guv2JsTpzvKqOu1/4hDf2t27Ejgn3LJ2z/AtjiQjV5G+g6uo34p+BpcDvRORNYC3wqjEmaEeEFu/ZgkPcJEyaa3Uoysfy8/OJjo4mNTW107FDwc4YQ0lJCfn5+YwdO9bqcLoSISJhxpj69jYaY44TSF2wmp6SB1HStzOvnHNHx3X7/8nF00axdnser+89xuJpo/wcnQpWIpIPHAEeB35kjKkSkcOa8HXOeB9c6Zi+1hzOkzTY+pb0gWcyl7yydv4JisCXfgxxY+Bfd8LjF8CVv4WJi7rdcySvtIYl/+99Sk828KMFE/jKuaMYEuZgV345a/7zOb/c8Ckv7Mjnd0unMTUlrs/Xonyv0zsHY8w6Y8z1wBnAi8Ay4IiIPCEi8/sjwIEmqvB9GgnBpuP5Bp26ujqGDu18sgjlWYtt6NChgdIi+nUgzzuV+sKAn33Y271TBsiYPn+rbXBxqLiayZ3M2nm6GakJJMeGs27nUT9GphQvAKOA64Avi0gUaCbTXfpbtrUQVy31tsg+n2d0QuSpiVzac85S+K+3IWYUPHsjPLkYjn7U5Xkr6xr5xupt1DS4ePG2C7j94jRGxUUQGxHCRenD+cuyDJ65ZSa1jS6ufuw/ZL79WbtruSprdevOwRhTa4z5hzHmKjz91s8Fgm7Fx+OVdUxu2MWJuLMhtO//c6qBRxO+7gmUPydvnZUGbAbuwJMAPu7tlhWAPEmfCZKWvn1FlbgNTE7u/jTmNpvw5WnJvHWgmJLqdht4leozY8ydQCrwGzwT2x0AhovIEhHRBXw70jymT7t3thTqrqHR3vf7ypT4CMpqGqmud3a80/AJ8K03YOEvoWgXZM6BNV+Gff/ucGmHn/xzN/lltfxlWQaTk9t/CPeFtGGsv/OLzJ80gv97dT8rntpBRW1QjQAb8Lp15yAiI0TkuyLyHvASsBE435+BDUQ7P8vnbDmMnHGh1aGoQUpEuOGGG5o/O51Ohg8fzpVXXtmj86SmpnLixIle7/PRRx8hImzYsKFH3zsQGWMqjTFrjDELgSnATuAPIhJwC7qJd8rtYOneuedoJdCzpA/gK9NG4XIbXvmk0B9hKQWA8XjDGPMtPAng14CvALkWhjWgNTX+BMZjw/4T5q7F6fBBS1/8acs2dMQeAjNXwJ0fw7z74UQO/OPr8Kt0eOl2+OR5qDoGwJZPj/Pyx0e545J0pqd2PqFWbGQIj339PO69chJv7j/OlX94h0/yK/p8Xco3ulqn71si8gbwIZ7Z7n5sjBlnjLnLGLOzPwIcSI7t+w92MQydFKCNBGrAi4qKYvfu3dTWegZiv/7664wa1f/jktauXcuFF17I2rVr+/27/UVE4oGr8XTHSsDTPSvABNeYvr1HK4iNCGFUXM/GukxMimHCiGjt4qn6hYiEAhPxJHs3AaOtjGcgk+YxfdrS11K4uxano+8zwrdZq6/LL46FC78H3/sEvv48pF8K+/8FL9wMvz4T8/tp2J67kfuiX+a2EXs8XUFPnjiVvbdDRFh+4Vie/fZsXC7DNY//h6e2fq7dPQeAriZyuQB4CNjUtEZfMJP8DwAIGTPd4kjUYLZw4UJeeeUVrr32WtauXcv111/PO++8A0BpaSnLly/n0KFDREZGkpmZydSpUykpKeH666+nuLiYGTNmtKpcn376aR555BEaGhqYOXMmjz32GHZ7x0PbjDE8//zzvP7661x00UXU1dURHh7u9+v2BxGJxvPk/XrgPOBl4OfAmyYQfwOZ4Gvpm5wc06vuxIvPTeYX6z8lr7Sm+UZIKV8TkSuAPwGf4WnAGgv8F/CalXENVE0TuQzWlr7169dz55134nK5uOWWW7j77ru7dVwEtbhD+l5PpQ71nCO3pN0J9ztmD4H0+Z4ftwsKP4bDb5H3ybukNOzmIttW5IWsU/s7wiE6CSLiISLO8xoe50kiQyLAEc55jnA2znHwTPYx3n15KzW7R7LsC2mEh4WCzQ5ib/FqA5ujbZnYvRPNyKlXwG0gv7yO/PJaCivrKaqoo6rOTU2jm/pGF8YmhNhsOOw2Qh12YiJCiYkMISY8lJiIEGIjQ4mN9LyPDHUQGRqCvWms/Onf1+oVujvxzUDUadJnjPlm03sRmYqn+4KjxfYX/RbZAFPb4CK5+hNORKUyLCLe6nCUn93/rz3s9XYt85VJyTHc9+XJXe63dOlSHnjgAa688kp27drF8uXLm5O+++67j3PPPZeXXnqJN954gxtvvJGdO3dy//33c+GFF3LvvffyyiuvkJmZCcC+ffv4xz/+wXvvvUdISAi33XYbzzzzDDfeeGOH3//ee+8xduxYxo8fz5w5c3j11Ve5+uqrffOH0P8OAxvwzLC3PtCXmBFvnhoMY/pcbsOnRVV8Y9YZvTp+0TmepG/dzgK+c0m6j6NTqtmvgYuNMQcBRGQ88Aqa9HVqMLb0uVwubr/9dl5//XVSUlKYPn06ixYtYtKkSZ0faAyRpg53aN+HgsZFhpIQFcrhEz1M+lqy2WHUeThHTuPr/zmHhBFhvHTLOVByECoLPEs+VORBZSHUlXvWACz73PNaVwHG1XyqIXiegBAK5AP/6NPlnQoRz1pLVq635PYmoKb5FWinrPV2z2djWpd52sDb7ueZK8p3urWIkYg8AUwF9kDz/6kGz4yeQWFXXhnnSg41Iy+zOhQ1yE2dOpXc3FzWrl3L5Zdf3mrbu+++ywsveHolXnLJJZSUlFBRUcHbb7/Niy96/ne84ooriI/3PJjYvHkzO3bsYPp0T+t0bW0tiYmdL1q9du1ali5dCngS0KeeeiqQk74xg2sKdc8v02CYvbOgrJZ6p7tb6/O1JyU+kump8by08yi3X5wWMJMPqYBzvCnh8zpEIC0Do3xm+/btpKWlMW7cOMDz+3PdunVdJn3OumocYiCk7907AcYNi+Kz4j4kfV6vfFJIXmktP7liEhI2BJKneX664nKCsxac9dDofXXWsefIMf727kGOFFeROMTBl9ITmJIczZj4MCLsgHFhXE4qa+s4Xl5DUUUNR05U8fmJasprGxAgRGBkXASj48IZFRfGsCFhxEc6iA5zYGuq4o0n1Wr56jZuGpwuahtc1DW6qGtwUtvopL7RSaPTTaPTTYPLjdPlosHpwuV2e443QMsHFMZgE086JkKLV+MZLyenxs3ZMC32MQji3WaajxERz6tpagNv+s6m1K/rmVV7orsr184yxnTxqGJwO7h/JzOlmtAzv2B1KKofdKdFzp8WLVrED3/4Q7Zs2UJJSUlzeXs9EptuZtu7qTXGsGzZMh588MFufa/L5eKFF17g5ZdfZtWqVc1r8lVVVREdHd3Lq7FOU8InIlcC/4unt4IdTz1sjDE9myHEas2zIAz+pO+z4moA0nqZ9IFnzb6fvLSbvYWVHc44p1Qf7RGRV4Fn8dypfRX4QESuhuDqEdUtZvCO6SsoKGD06FPDOVNSUti2bVub/TIzM5t74xQXF1NTV8tR92ickSN8Ese44VG8sb+4z+d54t3DpCUOYf7EHsZld4A92rMYfAuTR57NwxmXsHFvEc9sO8KPPjqB+RDAEBlqxy52ahrtuNyhgOdX84iYMDLGJnD+GfGcf0Y8E5NiCHX0/PefDQj3/gSUJzJ8erruJn3vi8gkY8xen357AKk7tBWAqPEXWByJCgbLly8nNjaWKVOmsGXLlubyL37xizzzzDP89Kc/ZcuWLQwbNoyYmJjm8p/85Ce89tprlJWVATB37lwWL17M97//fRITEyktLaWqqoozzmi/y9ymTZs455xzWs3auWzZMl566aVWs4oGoN/hmcTlk4Acy+clTUOrgyDpO3jck/SNH977pO+KKUn87OU9rNt5VJM+5S/hwDHgS97PxXgmivoyQdYjqicG4+LsnT2UbWnFihWsWLECgIyMDEKihvLmJev4QtpQn8QxbvgQns3Op7KukZjwkF6dY39RJR/nV3DvlZOw2XzXS8JmEy47O4nLzk6i9GQD2bmlHD5xkmOVnuV1wkNsDI8OY/zwIaQlDiEpNlx7afhQd5O+NXgSvyKgnlNPyaf6LbIBxBhDXMmH1NiGEDnsTKvDUUEgJSWFO++8s035z372M775zW8ydepUIiMjWbNmDeAZ63f99ddz3nnn8aUvfYkxYzw93SdNmsTPf/5zLr30UtxuNyEhITz66KMdJn1r167lqquualV2zTXX8Pjjjwd60pcH7A7khM8jeBZnP3i8mqFRocRHhfb6HPFRocyZMJyXdx7lrsvOwu7DmxeloPXcB6obmlv6ArwqbkdKSgp5eadWAsrPzyc5ObnL4yJC7dw6Z7zP4hg3zNNN9FDxSaaNjuvVOZ7LzifELnzlXP/NHp4QFcqlk0f67fyqre4mfU8ANwCfwCBsk+9CbkkNk90HqBh2DpFBcLOlrFNdXd2mbM6cOcyZMweAhIQE1q1b12afoUOHsnHjxubPv/3tb5vfX3fddVx33XVtjsnNzW1T9re//a1N2aJFi1i0aFE3oh/Qfgy8KiJv4XlwBYAx5jfWhdRzTRO5BMPsnZ8VVzO+D107myyaNopN+46z/XAps8f75km6UiLyE+AxY0xpB9svASKNMf/u38gCg8jgS/qmT59OTk4Ohw8fZtSoUWRlZfH3v/+93+MY5+0dcai4uldJX4PTzUsfFTBv4ggS+vDQTQ083U36jhhjXvbVl4rIz4Bv4ekGAfA/xphXvdvuAW7GM2PBHcYYy1eH3ns4j4WSz4kzvmp1KEqp3lkFVOPpihW4v8WaV84Z3C1WxhgOFldz+ZSkPp9r/sQRRIXa+edH+Zr0KV/6BPiXiNThWcu4GE/9kg5MAzYB/2dZdANUU2cLGYQtfQ6Hgz/+8Y8sWLAAl8vF8uXLmTy5/+cHGJMQid0mHOrlZC5v7D9OyckGlmTocpODTXeTvv0i8nfgX7R+St6Xvuq/Ncb8qmWBiEwClgKTgWRgk4icaUyL+V8tUJqzHZsYEiboeD6lAlSCMeZSq4Pos6beqYO8x0HpyQbKaxr7NJ6vSUSonSumJvHvXYX85MpJvR7jolRLxph1wDoRSQe+ACQBlcDTwApjTK2V8Q10g7F7J8Dll1/eZtbt/hbqsDEmIZJDJ9r2HOqO53fkkRgdxkXpw3wcmbJad5O+CDzJXsubJn8MUF4MZBlj6oHDInIQmAG87+Pv6ZnCnQA4Us63NAylVK9tEpFLjTEbu9514JIgWZw9t8SzysbYYb5ZVP3rM8/g2ex81n1UwA2zU31yzp5yutwYIMQ+uP/ugo0xJgfIsTqOQNHUwjcYZ+8cSNITh7C/qKrHxx2vquPNT4tZ8cVxOLSuGnS6lfT5aaDyd0TkRiAb+G9jTBmeVQi3ttgnnw5WJhSRFcAKoHnSCn9wuQ3DKvZSHj6SuMgEv32PUsqvbgd+LCL1QCOBumQD/bdkw49+9CP+9a9/ERoayvjx4/nrX/9KXFwcAA8++CCrV6/GbrfzyCOPsGDBAp9+95FST7ekMQm+WbdqakosZ4+K4ZltR/jGrDP8PhtcRU0jm/Yd4+2cYvYVVvJ5SQ31Ts9NblSonWHRYaQnDmHCyGimpsQxIzWhTxPWKBUoDIN3IpeBZFJyDK/vO0ZNg5PI0O6278A/PyzA5TZ89fwUP0anrNLpv4S+DFQWkU1Ae9PyrAQex7NmlvG+/hpYTvsDVdqtGYwxmUAmQEZGht9qj4PHq5nIIU4OnUKcv75EKeVXxhi/LTIoIj8EfgkMN8ac8Jb5Z2xyU0ufze6T03Vm/vz5PPjggzgcDu666y4efPBBHn74Yfbu3UtWVhZ79uzh6NGjzJs3jwMHDmC3+y6mIyW1iEBKfIRPzicifH3mGdzz4id8eKSM88/wzwO8vNIaHttykH9+VEBdo5thQ0KZNjqeL505nGhvt9LymkaOVdVxoKiKNz8txuX2/PqaMCKaGWMTmD42gemp8STF+ubalRpQBvGYvoFkYlIMxsCnRVWcOya+W8cYY3huRz4ZZ8Q3TwajBpeu0v9eD1Q2xszrTgAi8megKWnMB1qOHE0BjnbnPP6y9/ARrrIdo2SMzsqsVKARkVRjTG4n2wUYZYzJ7+X5RwPzgSMtyvw2Nrk/u3deeump3vyzZs3i+eefB2DdunUsXbqUsLAwxo4dS1paGtu3b2f27Nk+++7PS08yMiac8BDfJZKLzklm1Sv7+Nt/Pvdt0udyUld3kv/3xn6e23qQMGnkWxMTuHLyUNKHRXhaNMxJMC5P0u72vho3DU4HucXVHCiqIKcoh0MfVvHKdievAkOjQhk3PIqxwyJJjo0gKTacmHAHrRop26xAYjrc5jaGugYXtY0u6pwu6hpc1DV6P5/20xEbngTaJiDS9L7ps+fVJoI0vzaVtTgOwWY7dQ6b9xwdMae9aWopOv3SzWlJRKAvzjJYNf212LV7p19NSvJ0YtlbWNntpO+jvHIOHq/m4Wum+DM0ZaFOkz5/DVQWkSRjTKH341XAbu/7l4G/i8hv8NwspQPbe/MdvlJ28AMA4tNmWBmGChIiwje+8Q2eeuopAJxOJ0lJScycOZN//7v7M3+npqaSnZ3NsGEdD8TuaJ/q6mr++7//m02bNhEeHs7QoUP55S9/ycyZM3t3Udb6pXgypHXADk49uEoDLgbmAvfheeDUG7/FsxxEy3U0/DY2WZrX6evf2TufeOKJ5mU/CgoKmDVrVvO2lJQUCgoK2j0uMzOTzMxMAIqLi9vdpz15pTWMTvDNeL4mUWEOvj5zDH9+5xA/mH8mY4e103W0oQbKcqGqEKqKoOqo57WmFOoroa6y9WtjDRg34cCdwJ1Nc8R0c5RXKHCm9wfwZFVNvTwb8Tzy9NFjTxsQ6f0JPul+O7OI/AL4OVALrAfOAb5njHnab18awJpWatAxff6VEh9BdLiDvUcru33Mc9n5RITYuWJq12sLqsDU3TF9vh6o/AsRmYbnoU8u8F/e79kjIs8CewEncLvVM3faCj/2vI4618owVJCIiopi9+7d1NbWEhERweuvv86oUf5bHLU9t9xyC2PHjiUnJwebzcahQ4fYt29fv8bgK8aYr3pb3r6Opwt5ElAD7ANeBVYZY+p6c24RWQQUGGM+Pm2MmP/GJhvfjumbN28eRUVFbcpXrVrF4sWLm987HA6+/vWve0No24TS0Ri5FStWsGLFCgAyMjK6HdfnJTV86czh3d6/u26+aCx/+08uj715kF8uGA75H0B+NhzbDScOQsWRtgeFx0HUMAiLgfAYiB7peQ2LZW+Jkw37S7GHhHP5uamkJQ0FRxjYQz2vNgeI3fP3JQK2pve2U+U2u7fZrKlMmv9+jTGUnmwgr6yWz0tqOFJaS2mNZ2bTitpGGpxunAZcbjd2mxAeYifU4SDMIUSGOogMcxAV6iAy1E5UmIOosBCiQu1Ehdk928JCiAqzExXqeR/msPVqvKMB3MYzBt4Yg9sYXMZg3OAyxlsObrzvveXupn2925s0hdD86h35cepz6+2njww5td377t839fiaeuBSY8yPReQqPP+vfxV4E8/DcdUB7d7pXyLCxKQY9nQz6attcPGvj49y+ZQkhoR1fwygCiyW/M0aY27oZNsqPGtqWa7B6SaxWidxUf1r4cKFvPLKK1x77bWsXbuW66+/nnfeeQeA0tJSli9fzqFDh4iMjCQzM5OpU6dSUlLC9ddfT3FxMTNmzGh1Y/7000/zyCOP0NDQwMyZM3nsscc6HH/12WefsW3bNp555hls3mUBxo0bx7hx4/x/4X5ijNmLZyxxj3UxNvl/aD2jcfNh7YXRQWw9G5vc3L3TN90eN23a1On2NWvW8O9//5vNmzc330CnpKSQl5fXvE9+fj7Jyb57Mlzb4OJ4VT1jfNzSh8tJ4rH3eDLpeUbufgf2HPOU20MhcSKMmQnDboCEcRAzypPcRY+EkLZj65wuNw++tp/VnxzmwrRh/OH6c/0yEYsAQ70/03x+dt8RwO79CUJN7buXA2uNMaW+mihIRJ4ArgSOG2PO9pYlAP8AUvE8NF/inQivw7HEInI+8Dc8M7G/CtxpjDEiEgY8CZwPlADXNXWHF5FlwE+8ofzcGLPGF9ekE7n0n2mj4/jbe7nUNbq67Cq/fk8h1fVOlmToBC6Dmabznfi0qIpJHKZ22Dk6iUuwee1uKPrEt+ccOQUWPtTlbkuXLuWBBx7gyiuvZNeuXSxfvrw56bvvvvs499xzeemll3jjjTe48cYb2blzJ/fffz8XXngh9957L6+88kpzl7p9+/bxj3/8g/fee4+QkBBuu+02nnnmGW688cZ2v3vPnj1MmzbNp5NyBLKOxiaLyBRgLNDUypcCfCgiM/Dj2OSm7p39MXvn+vXrefjhh3nrrbeIjDyVgC1atIivfe1r/OAHP+Do0aPk5OQwY4bvur/nl3mWaxgz1EdJX8ln8OGT8PFaqD7GDEcEbzGR94dew9KrrvH8f+kI6/bpKusa+e7fP+KtA8XcdEEqP7liok5tHtz+JSL78XTvvE1EhgO96j3Qjr8Bf8STmDW5G9hsjHlIRO72fr6ri7HEj+PpUbAVT9J3GfAangSxzBiTJiJLgYeB67yJ5X1ABp4HVjtE5OWm5NIXNOnzv+mpCWS+fYiP88qZOW5op/v+44M8zhgayYyx2sAxmGnS14mcI3lcbTtG2Rhdn0/1n6lTp5Kbm8vatWvbLPL67rvv8sILLwBwySWXUFJSQkVFBW+//TYvvuhZNvOKK64gPt4zcHvz5s3s2LGD6dOnA1BbW0tiYmI/Xs3gZIz5BGj+gxSRXCDDGHNCRPw2NrlpIpf+SPq+853vUF9fz/z58wHPZC5/+tOfmDx5MkuWLGHSpEk4HA4effRRnz4k+Ny7Rl+fW/qKD8Dbv4DdLwACZy6AaV9H0uay590CfrnhU0acHMPFPUj4Dp84yS1rPuDzkhr+76opfG2m/5YLUoHBGHO3iDwMVBpjXCJyEs+4Xl+c+20RST2teDEwx/t+DbAFuIsOxhJ766YYY8z7ACLyJPAVPEnfYuBn3nM9D/zRO7nVAuD1ppnbReR1PIni2r5flKcO0zF9/pdxhuc+IPvzsk6TvkPF1Ww9VMqPFkzw+3I2ylrdSvpEZCzwXTzdCZqPMcYs8k9YA0PV4R0AxI6fbnEkqt91o0XOnxYtWsQPf/hDtmzZQklJSXN5Z+Op2qusjTEsW7aMBx98sFvfO3nyZD7++GPcbndz907VM34dm+zjMX2dOXjwYIfbVq5cycqVveox26UjpZ6k74yhvVyjr74a3noI3n8MHOEw+zsw+3ZPV02vWy4ay4sf5vOj53bx6p0Xkhgd3uVp38kp5vZnPsRuE56+ZSazunhyroKDiIQD3wQuFBEDvIunZc1fRjRNhGeMKRSRpodPHY0lbqT1RFUtxxiPAvK853KKSAWe3sTN5e0c00pv10zWpM//4qNCSU8cwvbDpdx+ccf7ZX2Qh8MmfFW7dg563b1zeAlP3/E/4FlTr+lnULMV6SQuyhrLly/n3nvvZcqU1lMnf/GLX+SZZ54BYMuWLQwbNoyYmJhW5a+99hplZZ5eOHPnzuX555/n+PHjgGdM4Oeff97h944fP56MjAzuu+++5gQzJyeHdevWdXhMoBCRq0XkNyLya++kCz5jjEltWqPP+3mVMWa8MWaCMeY1X31Pf3bvtMqR0hqGhDmIjwzpeufT5e+Ax2fDf/4A534dvrcLLv3fVgkfQJjDzqNfP4/q+ka+88xH1DZ0nJO73IbHt3zGTX/9gKTYCF7+zoWa8KmWnsTTpfIPeLpiTgSesiCOjsYSdzbGuDfHtC40JtMYk2GMyRg+vBuTLxkd09efpo9NYMfnZTQ420+y650unt+Rz/xJI7r18EsFtu7eOdQZYx4xxrxpjHmr6cevkVnMGENC1aeUhySCTuKi+llKSgp33nlnm/Kf/exnZGdnM3XqVO6++27WrPGMrb/vvvt4++23Oe+889i4cWPzE9dJkybx85//nEsvvZSpU6cyf/58CgsL25y3pb/85S8UFRWRlpbGlClT+Na3vuXTiTqsICKPAd/Gs/bobuC/RORRa6PqheaWvsHbBedoeS2j4iJ63s1o+5/hiQWeW9NvrodFf/DMutmBs0bG8Itrz+GDz0tZ9tftHK9qOwxrz9EKrs/cysPr93PZ5JG8cNsFPl9KQgW8CcaYm733R28aY1bQYhUOPzgmIkngWf4KOO4t72gscb73/enlrY4REQcQC5R2cq4+a0r1NOnrHxdPSKS63sm2wyXtbl+/u4jSkw1cP0O7qgeD7o7p+72I3AdsBOqbCo0xH/olqgEgv6yW8e7PqY47SydxUf2murq6TdmcOXOYM2cOAAkJCe22ug0dOpSNGzc2f/7tb3/b/P66665rXmOtpdzc3HZjiImJ4c9//nMPIx/wvgScbbzNlyKyBk8CGGCaWvoGb9J3rLKOEbE9eOJsDGy+H979LaQvgKv+1O0HdYvOScYYw10v7GLur97imvNTmJQcQ2VtI1s+Lea9z04QGxHCr796DlefN0rHu6j2fCQis4wxWwFEZCbwnh+/72VgGfCQ93Vdi/I2Y4m94wyrRGQWsA24EU+rZMtzvQ9cC7zhndVzA/B/ItK0qvelwD2+Cd/b0iea9PWHi9KHERFiZ+OeY1yU3rol1hjDn946xLjhUVyY1vEDMjV4dDfpmwLcAFxC810Hxvt5UNqff4I5cpSSpEE9bFGpYPEpMAZo6ts6GthlXTi9I/04ps8qhRV1nDUypns7GwPr74Ztf4LzvwlX/Nqz7l0PLJ42isnJMfz29RzWbj9Cvbcb1BlDI7lzbjrf/MJYYiN60dVUDWoi8gme+6AQ4EYRaVrkcQye8by++I61eCZtGSYi+Xhm1HwIeFZEbgaO4FkXsKuxxLdyasmG17w/AKuBp7yTvpTimf0T77IT/wt84N3vgaZJXfpMc71+FR5i5+KzhvPKJ4WsvGJiq6UbtnxazL7CSn557VRsNn2gFQy6m/RdBYwzxjT4M5iB5NihXYSIi/ix06wORSnVd0OBfSLSNIvmdOB970ybATMpVX/O3mmFRpeb4ur67rf0vfsbT8I363ZYsKrXLaBpidE8+vXzqGt0UVxVT2SonaFDuj+rpwpKV/r7C4wx13ewaW4H+7e7zrExJhs4u53yOrxJYzvbngCe6Haw3aSpRf/7+swzePWTIv69q5Brz/f09G10uXnotf2kxEfwlXPbnaNHDULdTfo+BuI41Xd80Gs46un5FTZqqsWRKKV84F6rA/CNwZ30FVfVYwyMjOlG0rf7Bdj8AExZApf+3CddXsND7DpmT3WLMaZ5RiwROQe4yPvxHWPMx9ZENfAZberrdxeMH8pZI6P57esHWHj2SKLCHPzxjYN8eqyKP33jfEJ0ndGg0d2kbwSwX0Q+oPWYvoB4Ot4b4aX7aZQQQoamWR2K6kfGGB230w3tLR0xEInIH4G/D5qJpwZ5986iSs9kKkldtfSdOAgv3wGjZ8HiR0GXF1EWEZE7gW8BL3qLnhaRTGPMHzo5LIgFxu+OwURE+N+vnM1X//Q+//XUDiaMjGb1u4e55rwUFkweYXV4qh919zflfXi6eP4fQbBkQ1VdI6PqD1EWNQ7sun59sAgPD6ekpCRgEhqrGGMoKSkhPDwgpnfOAX4tIrki8rCITLM6oL4Y7N07iyo8Sd+Izlr6nPXw/E1gD4FrV4MjtH+CU6p9NwMzjTH3GmPuBWbhSQJVP3vuueeYPHkyNpuN7OzsVtsefPBB0tLSmDBhAhs2bGgu37FjB1OmTCEtLY077rij+fd/fX091113HWlpacycObPVxGdr1qwhPT2d9PT05hm0AQ4fPszMmTNJT0/nuuuuo6Fh4IyImp6awP9dNYUdn5fxxHuHufq8Uay66mx9yB1kOs1oBt1T8m7aX1TFWbYjuIbNsToU1Y9SUlLIz8+nuLjY6lAGvPDwcFJSBv5CrsaY3+OZffgMPJMU/NW7mPJaIMsYc8DSAHtosK/T15T0ddrS994jUPQJLP07xA78f4Nq0BOg5UKPLnToWsf8+FD17LPP5sUXX+S//uu/WpXv3buXrKws9uzZw9GjR5k3bx4HDhzAbrdz6623kpmZyaxZs7j88stZv349CxcuZPXq1cTHx3Pw4EGysrK46667+Mc//kFpaSn3338/2dnZiAjnn38+ixYtIj4+nrvuuovvf//7LF26lG9/+9usXr2aW2+91W/X21NfmzmGr5ybTIPTTVykPiwLRl01YzU9JU8C/gGsNcbs9HtUFjv8eS7TpZyK0edYHYrqRyEhIYwdO9bqMJQfeMffPAw8LCLn4pmg4D6gZ1M9Wmywz95ZVFlHqMNGXEcLs5d8Bm//EiYthrOu6N/glGrfX4FtIvJP7+ev4JkVU/WziRMntlu+bt06li5dSlhYGGPHjiUtLY3t27eTmppKZWUls2fPBuDGG2/kpZdeYuHChaxbt46f/exnAFx77bV85zvfwRjDhg0bmD9/PgkJnmVh5s+fz/r161m6dClvvPEGf//73wFYtmwZP/vZzwZU0gcQGepA873g1emdgzHm98aY2XjWuCrF85R8n4jcKyL+XHzUUtVHPJO4xJwxzdpAlFI+ISIhIvJlEXkGz3TlB4BrLA6rx4KhpS8pNrzjLkev3QX2ULjs4f4NTKkOGGN+A3wTzz1SGfBNY8zvLA1qALNi+ERBQQGjR59aaz4lJYWCggIKCgpa9VhpKj/9GIfDQWxsLCUlJR2eq6SkhLi4OBwOR5tzKTVQdGvA2mB5St5d9mLPEjsyss0Mx0qpACIi84HrgSuA7UAWsMIYc9LSwHqruaVvcPYeK6qo63g83+F34ODrMP9/ISapfwNTqhPGmA+BD62OIxjMmzePoqKiNuWrVq1i8eLF7R7TXqIpIh2W9+aYzs51uszMTDIzMwF0OInqV91K+kQkBLgMz5iYucBbwP1+jMtSsVUHqLLHEz0k0epQlFJ98z/A34Ef+mxxYUu5rQ7Ar4oq6zh3TFzbDcZ4lmeIToYZOkeGUgGrjy19mzZt6vExKSkp5OXlNX/Oz88nOTm5eRz/6eUtj0lJScHpdFJRUUFCQgIpKSls2bKl1TFz5sxh2LBhlJeX43Q6cTgcrc51uhUrVrBixQoAMjIyenw9SvVWVxO5DK6n5N1QUl3PWFculXHpRFsdjFKqT4wxF1sdgy+JMbiNdHva5UBijKGosq79NfoObob87XDlbyEkov+DU0oFrEWLFvG1r32NH/zgBxw9epScnBxmzJiB3W4nOjqarVu3MnPmTJ588km++93vNh+zZs0aZs+ezfPPP88ll1yCiLBgwQL+53/+h7KyMgA2btzIgw8+iIhw8cUX8/zzz7N06VLWrFnTYctjS7m5uc2JX3FxMcOHD/ffH4QF9Jr6puWssb7QVUvfIHtK3rUDRZVMlQLKhl/U9c5KKdWPDIN3lauymkYanG5Gtjdz538egegkmPaN/g9MKRUQ/vnPf/Ld736X4uJirrjiCqZNm8aGDRuYPHkyS5YsYdKkSTgcDh599FHsds/opMcff5ybbrqJ2tpaFi5cyMKFCwG4+eabueGGG0hLSyMhIYGsrCwAEhIS+OlPf8r06dMBuPfee5sndXn44YdZunQpP/nJTzj33HO5+eabu4z5xIkTze8zMjLaLDUR6PSaBpZOk77B9pS8OwqP5DBb6nGOnmx1KEopFTQKK2oB2rb0Fe6Cw2/BvJ/pmnxqwBCRKtp/BiOAMcbE9HNIAcGfE7lcddVVXHXVVe1uW7lyJStXrmxTnpGRwe7du9uUh4eH89xzz7V7ruXLl7N8+fI25ePGjWP79u09jFqp/qMrj5+mKt8ziUuMJn1KqQFGjMEM0iXAjlV61uhr09K39XEIiYLzb+r/oJTqgDFGR4D0ggzavgpKDXyDcWhI3xR/CoAMP8viQJRS6nT9n/T96le/QkRadUN68MEHSUtLY8KECWzYsMEn31NY0U7SV1cBe/4JU5dARLxPvkcpZR1N+TrWNLnLYKLXNLBoS99poqsPUW2PZUjUMKtDUUqp0/TvLVNeXh6vv/46Y8aMaS7bu3cvWVlZ7Nmzh6NHjzJv3jwOHDjQPEamt45V1GETGD4k7FTh7hfAWQvn3dCncyulBgpN+zoSyMlER/SaBhZt6WuhpLqe0a4jVEWPszoUpZRqyxhMP67R9/3vf59f/OIXrdabWrduHUuXLiUsLIyxY8eSlpbmk3EshRV1DI8Ow2Fv8Wvpw6cgcTIkn9fn8yullFLBTJO+Fg4UVZEmRzHDtGunUmpg6q/unS+//DKjRo3inHPOaVVeUFDA6NGjmz+npKRQUFDQ7jkyMzPJyMggIyOjy0WIiyrrGBnbYjmG4k/h6Idw7jcG7WL0SgUdP07kopTqnGVJn4h8V0Q+FZE9IvKLFuX3iMhB77YF/RlTXv7nxEs1UaMm9efXKqVUN/n2hmnevHmcffbZbX7WrVvHqlWreOCBB9pG0M5Nm3SQlK1YsYLs7Gyys7O7XNeoqKKOkTEtunbueQkQOPvqnlySUkoNWOvXr2fChAmkpaXx0EMPtdlujOGOO+4gLS2NqVOn8uGHH1oQZc91dV379+9n9uzZhIWF8atf/cqCCHuuq2t65plnmDp1KlOnTuWCCy7g448/tiDKnrFkTJ+IXAwsBqYaY+pFJNFbPglYCkwGkoFNInKmMcbVH3GdzN8D6MydSqkByhifpn2bNm1qt/yTTz7h8OHDza18+fn5nHfeeWzfvp2UlBTy8vKa983Pzyc5ObnPsRRV1vGFtBZjqfe+BGNmQ/TIPp9bKTVABHFLn8vl4vbbb+f1118nJSWF6dOns2jRIiZNOtXQ8Nprr5GTk0NOTg7btm3j1ltvZdu2bRZG3bXuXFdCQgKPPPIIL730knWB9kB3rmns2LG89dZbxMfH89prr7FixYoB/3dlVUvfrcBDxph6AGPMcW/5YiDLGFNvjDkMHARm9FdQUnLA86ozdyqlBiDpp9k7p0yZwvHjx8nNzSU3N5eUlBQ+/PBDRo4cyaJFi8jKyqK+vp7Dhw+Tk5PDjBl9q6ZP1jupqnMyommNvuIDcHwvTP5K3y9GKaUGgO3bt5OWlsa4ceMIDQ1l6dKlrFu3rtU+69at48Ybb0REmDVrFuXl5RQWFloUcfd057oSExOZPn06ISEhFkXZM925pgsuuID4eM+s0rNmzSI/P9+KUHvEqqTvTOAiEdkmIm+JyHRv+Sggr8V++d6yNkRkhYhki0h2V2NFuiuq8hB1tkiI6ftTa6WU8g9rx7dNnjyZJUuWMGnSJC677DIeffTRPs/cWdS8Rp+3e+c+7y/XiYv6dF6l1EDToqUvyFr9ujMeuidjpgeKQIy5Kz29ptWrV7Nw4cL+CK1P/Na9U0Q2Ae31y1np/d54YBYwHXhWRMbR/t1Mu7WCMSYTyATIyMjoc81R1+giqfFzymNSGamTBiilBiBjrJnwPDc3t9XnlStXsnLlSp+dv6hpjb4Y70QuBzZ6ZuyMSfLZdyilBoCWFZjbBfbgWTmsO+OhezJmeqAIxJi70pNrevPNN1m9ejXvvvuuv8PqM7/932aMmdfRNhG5FXjReP5Ut4uIGxiGp2VvdItdU4Cj/oqxpc9LakiVIhrjZvfH1ymlVI8JBqtb+vyhqOXC7DWlUJANX/yRxVEppXyvxc20uzGokr7ujIf215hpfwrEmLvS3WvatWsXt9xyC6+99hpDhw7tzxB7xarunS8BlwCIyJlAKHACeBlYKiJhIjIWSAf6vgBUN+QWnSCZEkJHpPfH1ymlVC/4diKXgaK5e2dMOBx6E4wb0uZbHJVSytda1V/OeqvCsMT06dPJycnh8OHDNDQ0kJWVxaJFrbuwL1q0iCeffBJjDFu3biU2NpakpIHd46E71xVounNNR44c4eqrr+app57izDPPtCjSnrHqEcsTwBMishtoAJZ5W/32iMizwF7ACdzeXzN3luZ/ik0MsaN0Ehel1ABl+mcil/5WVFFHbEQIEaF2OLgZIuJhlC7IrtRgIy3TPleDdYFYwOFw8Mc//pEFCxbgcrlYvnw5kydP5k9/+hMA3/72t7n88st59dVXSUtLIzIykr/+9a8WR9217lxXUVERGRkZVFZWYrPZ+N3vfsfevXuJiYmxOPr2deeaHnjgAUpKSrjtttuaj8nOzrYy7C5ZkvQZYxqAb3SwbRWwqn8jgrqiHADCRwZGtq6UCkaDs3tnYUUdSbHhnkGLBzfB+EvA1rfJYZRSA1yQJX0Al19+OZdffnmrsm9/+9vN70WERx99tL/D6rOurmvkyJEBMbtlS11d01/+8hf+8pe/9HdYfWLZ4uwDjb3sM8+bhPHWBqKUUh2QQdq981hlnWe5huJPofoYjLvY6pCUUn7QcoKM0soqCyNRKvho0ucVffJzqhwJED4wm5qVUsoze+cgbun7/D1PQeoXrA1IKeV31z32ttUhKBVUNOkDyk42kOw+ysmoM6wORSmlOiSDsJ2vwemm5GS9p6Xv8/9AdBLEj7U6LKWUP7Ro6Qul0cJAlAo+mvQBh06cZKwU4daunUqpAW3wTeRyvKoOYyApJsyT9I2ZDQG+xpNSqmuhOHG63FaHoVTQ0KQPOFJ4jEQpJ3ykLteglBrABl9DH8e8yzWc4TgBVUfhjAssjkgp5S+mVUufkzqnJn1K9RdN+oDKgv0AxI6aaHEkSinVmcHX0ldU4Vmr64zqnZ4CTfqUCgqh0khtQ7+syqWUQpM+ABqPHwTAPjzN4kiUUqpjMgiTvsKKWgCGlnwE4bEwXB++KTVYtRyXHIKTukZN+pTqL5r0AeGVhzxvEsZZG4hSSnViEPbu5FhlHeEhNkKP74Tk88Cmv5aUGqxa1mHhNFDv1KRPqf6iv12B2No8ykMSISTC6lCUUqpDYgbfOn2FFXWMiRbk+D4YdZ7V4Sil/OpUDRYjNdQ26Jg+pfpL0Cd9VXWNpOhyDUqpXhCR74rIpyKyR0R+0aL8HhE56N22wHffaGCQde88VlnHjMij4HZC8rlWh6OU8qcWT61iOUmdtvQp1W8cVgdgtfyyWs6QIqriz7c6FKVUABGRi4HFwFRjTL2IJHrLJwFLgclAMrBJRM40xvjg7mYwjumr47qYw54PmvQpNcidyvpi5aSO6VOqHwV9S1/RseMkSDUhw3Q8n1KqR24FHjLG1AMYY457yxcDWcaYemPMYeAgMMMn32gYVEmf2204XlnPBNdBiEqEmFFWh6SU6iexnNTZO5XqR0Gf9FUW5QAQPVJn7lRK9ciZwEUisk1E3hKR6d7yUUBei/3yvWXqNKU1DTS43KTU7ve08umi7EoNbt51+mpMGClSrOv0KdWPgj7pqy/2dCsakjTe4kiUUgONiGwSkd3t/CzG0z0+HpgF/Ah4VkSE9gfdtTv/ioisEJFsEckuLi7uOh769wbpD3/4AxMmTGDy5Mn8+Mc/bi5/8MEHSUtLY8KECWzYsKHX5y+qqCOSOuJOHtaunUoFkQMmhUm2XL63dgfbDpVYHY5SQSHox/RJea7nNX6stYEopQYcY8y8jraJyK3Ai8YYA2wXETcwDE/L3ugWu6YARzs4fyaQCZCRkdH1xJz92L3zzTffZN26dezatYuwsDCOH/f0Xt27dy9ZWVns2bOHo0ePMm/ePA4cOIDdbu/xdxRV1DFB8jxrdyVN9fUlKKX6QEQuA34P2IG/GGMe6vNJvS19G1zTuSski1dD72H/X0ezbcyZhA1NITQ2iYj4ZIYMTSYmcRRhEdF9/kqllEfQJ30R1fmctA0hKiLO6lCUUoHlJeASYIuInAmEAieAl4G/i8hv8Ezkkg5s981XGkw/dYF8/PHHufvuuwkLCwMgMTERgHXr1rF06VLCwsIYO3YsaWlpbN++ndmzZ/f4O4oq6zjTlu/5kKiLsis1UIiIHXgUmI/nQdYHIvKyMWavL86/3j2dqsYIFtq2c77kMDJ/GyEFbcf3VZsIymzx1NijaQiJoTE0FhMWizssFhMeh0TEYY+MxREeTWjkEMIjYwiPHEJEVAxhkdGERQxBHKG+CFmpgBf0SV9cfQEVEaOIsjoQpVSgeQJ4QkR2Aw3AMm+r3x4ReRbYCziB230zcyeeFrF+cuDAAd555x1WrlxJeHg4v/rVr5g+fToFBQXMmjWreb+UlBQKCgp69R1FFXVMtOVhQqKQuFQfRa6U8oEZwEFjzCEAEcnCM0lVH5M+0/zfp13zedo1n1fvuIhhCeEcLcqnquQodWVHaawowlQdw1ZznNDaYhyNlYQ3lBNfm0eUqSaGk9ile/Vhg3FQK2HUEYZTQnBKCC5x4JJQXDYHbgnFbQvBZQvBLSHe96EYm+c9NjtG7IjNDmJHbDbPe5sdEe+r9zNiw4jnFe/+iB1js506FgERRE69SvNnG+L58z71WUDE5h3z3GIEQdNn73nalHs+gM3z2eA9Z8veIu2/9e7XzraOytspabWrnL6XdLSprT496OzdsX17uOqfY8MiojhjYt9WGgjqpK+itpEk9zHqhky2OhSlVIAxxjQA3+hg2ypglR++1afdO+fNm0dRUVGb8lWrVuF0OikrK2Pr1q188MEHLFmyhEOHDmFM2xut028QmmRmZpKZmQlAe2MWiyrr+JKjAEk8C2xBP8RcqYGkvQmpZvrq5C3rsUnJMQCckToOUrs3k3qj00lVVTk1FSXUnyynvqaK+poqGmurcdZ5fmisQbw/dmcNNmcduBuwuRoQdyM2dyM2dwN2dyMOZw3hphEHThymEYdxEoKTEBqx48Jm3NhxY8ONDYOtmwmnUr6S40iHn2T36RxBnfQVlJ5kvBSTH68LsyulAoMvk75NmzZ1uO3xxx/n6quvRkSYMWMGNpuNEydOkJKSQl7eqXvB/Px8kpOT2z3HihUrWLFiBQAZGRltthdV1JHOEUj8ch+vRCnlY92akEpEVgArAMaMGdPlSYuHTWd5ww85buL6FFyIw0Fc/DDi4of16Ty9YYzB5TY4XY24nE6cLicupwvjcmKMG7fbibjduN1NZS6My4VxuzDGjTHgNm4wBuN2YzAYY3C7DQYDxuB2e7a7jWebMW7EGJpbSg14fht4ttO0rfk93n072tbB7GLtlZtTb0zLraaD3bx/Rh3/AbZ821Xy3IfkurMYOtG3HjV9ibfzzaFD4np/bq+gTvqKj+YySZyED9c1+pRSAaCXv8R64ytf+QpvvPEGc+bM4cCBAzQ0NDBs2DAWLVrE1772NX7wgx9w9OhRcnJymDGjd8sQ1pUXEWcqIFF7Wyg1wHRrQqqeTkaVNn4Cb3Mcp/cO94mb2j4MGuhEBLtdsNvDIDTM6nCU6ragTvqqij4DICZJ1+hTSqmWli9fzvLlyzn77LMJDQ1lzZo1iAiTJ09myZIlTJo0CYfDwaOPPtqrmTsBYqtyPO0JIyb5NnilVF99AKSLyFigAFgKfK2vJ00fEc2KL47jsS2fcfW5o7jkrBF9PaVSqpuCOulzlhwCYIguzK6UCgDi4zF9nQkNDeXpp59ud9vKlStZuXJln85fVdfIGGcuhACJmvQpNZAYY5wi8h1gA54lG54wxuzxxbmbhgDbbP1TlymlPII66bOXf44bwRbXdT90pZSyXv8lff52rLKOMyWf+tB4woYkWh2OUuo0xphXgVf9dX57Py0/o5TyCOrp0iJr8imzDwNdw0UpFQgM9G066IGjqKKecbZC6uPGWx2KUqofNU3XrxP2KtW/LPlfTkT+ISI7vT+5IrKzxbZ7ROSgiHwqIgv8GUd8w1GqIlL8+RVKKeVDg2ea8MKKWsZKEbZh2r1eqWDS3L1TW/qU6leWdO80xlzX9F5Efg1UeN9PwjNYeDKQDGwSkTN9tbBxSxW1jYwyxyiP/pKvT62UUn4h3ZjgOlCUlp4gUcppHDHB6lCUUv2oKdWz65g+pfqVpY3r4lnRdwmw1lu0GMgyxtQbYw4DB4HezQXehfzjpYyQcmy6Rp9SKlCYwTOmz1l8EICQxHSLI1FK9StvC5+29CnVv6zuUX0RcMwYk+P9PArIa7E931vWhoisEJFsEckuLi7u8ReXHvUs1xCROLbHxyqllDXMqb5RAS6k3DN7Mgk6pk+pYKRJn1L9y29Jn4hsEpHd7fwsbrHb9Zxq5YP2ZyhotzeTMSbTGJNhjMkYPnx4j+OrPpYLQGySLsyulAoMAoOmpS+yOhc3Agn64E2pYHKqe6elYSgVdPw2ps8YM6+z7SLiAK4Gzm9RnA+MbvE5BTjq++igsfRzAIYkpvrj9EoppToxtO4IFSEjiA+JsDoUpVQ/0olclLKGlc9Z5gH7jTH5LcpeBpaKSJiIjAXSge3++HKpzMeFDYlpt/eoUkoNPGZwTONS1+gi2XWUqigdU61UsGlaskE06VOqX1m5OPtSWnftxBizR0SeBfYCTuB2f8zcCRB+8igV9qEk2EP8cXqllPKDwTGRyzHvcg3HY2daHYpSqp815Xqa8ynVvyxL+owxN3VQvgpY5efvJrbhGNVDRpLgzy9SSikfkkGS9B0vKuAMqeHEcJ3ERalgpSs2KNW/gnIYbUVtIyNMMY1Dkq0ORSmlemBwdO+sLvIs1xAxQhdmVyrYNPVSt2tTn1L9KiiTvvzSkyRJCRI3xupQlFKqRwZDS19jyWEA4pN1jT6lgo3bm/XpmD6l+ldQJn3FhZ8TKi7Ch+kkAkqpADI4GvqQcs/syeHDUq0NRCnV70xz0mdxIEoFmaBM+iqLcgGIHanrQymlAsdgGdMXWpVPucRC2BCrQ1FK9bOmZ1e6ZINS/Ssok776Es9T5qhETfqUUoHEDIrH4zF1RykNSbI6DKWUBVxuT9qnE7ko1b+CMumjIs/zGptibRxKKdUDnpa+wDfUWUh1pK6RqlQw8uZ82DTrU6pfBWXSF3aykFqJgvBYq0NRSqnuMwb6qXvnzp07mTVrFtOmTSMjI4Pt27c3b3vwwQdJS0tjwoQJbNiwoUfnra1rYKQ5QWO0PnRTKhg1j+kbBF3VlQokQZf0GWOIri+iMnyk1aEopVSP9deYvh//+Mfcd9997Ny5kwceeIAf//jHAOzdu5esrCz27NnD+vXrue2223C5XN0+b3FhLqHiQuJS/RS5UmogOzWmz9IwlAo6QZf0ldU0MtIUUx+la/QppQJN/3XuFBEqKysBqKioIDnZU2euW7eOpUuXEhYWxtixY0lLS2vVCtiVyqOeNfrChuuYaqWCkbt5TJ9mfUr1J4fVAfS3/LIaxsgJqmIvsDoUpZTqEWnxX3/73e9+x4IFC/jhD3+I2+3mP//5DwAFBQXMmjWreb+UlBQKCgq6fd7a4kMAxCTrwuxKBSOXLtmglCWCLukrPF7MVDlJ/dBUq0NRSqke8u1ELvPmzaOoqKhN+apVq9i8eTO//e1vueaaa3j22We5+eab2bRpU/N4nJY6WmQ5MzOTzMxMAIqLiwFInnoxH7h+xpQUTfqUCkZNVYi29CnVv4Iu6as4lgtA9MhUS+NQSqkeM75dsmHTpk0dbrvxxhv5/e9/D8BXv/pVbrnlFsDTspeXl9e8X35+fnPXz9OtWLGCFStWAJCRkQHAqHGTGTVusk/iV0oFnqYHRzqmT6n+FXRj+uqLcwGIHHqGtYEopVQv9NdELsnJybz11lsAvPHGG6SnpwOwaNEisrKyqK+v5/Dhw+Tk5DBjxox+iUkpFfh0yQalrBF0LX3uinzPG12jTykVYKQfJ3L585//zJ133onT6SQ8PLy5m+bkyZNZsmQJkyZNwuFw8Oijj2K32/stLqVUYHM3L9mglOpPQZf02auO4saGLTrJ6lCUUqqHTL+19F144YXs2LGj3W0rV65k5cqV/RKHUmpw0ZY+pawRdElfVP0xqkOHEmMPuktXSgW4QL5Fys3NbR7XV1xczPDhwy2OqP8F63WDtdeem5tryfeq9p0a0xfINZpSgSeoMp+T9U6Gu09QGzGSGKuDUUqpHuu/lj5fO3HiRPP7jIwMsrOzLYzGGsF63RDc165ac+tELkpZIqgmcimuqidJSmmM0q6dSqnAI8YQ2O19Sqlg19S9s6OlXpRS/hFUSd+JqjqSpQRiRlkdilJK9YrRGyWlVABza/dOpSwRVElfeckxIqQBR/xoq0NRSqle6L/ZO/2pae2+YBOs1w3Bfe2qtVOLs1sbh1LBJqiSvrqSIwBEDB9jcSRKKdVzniUbAv9OKVgTgGC9bgjua1etaUufUtYIqqTPWeZZoy9quC7MrpQKQIOjoU8pFcR0yQalrBFUSZ9UFgDgiNPunUqpwCMBPHunUkqBzt6plFWCKukLOVmIEzsMSbQ6FKWU6jEDAZX0rV+/ngkTJpCWlsZDDz3UZrsxhjvuuIO0tDSmTp3Khx9+aEGUvtfVde/fv5/Zs2cTFhbGr371Kwsi9I+urvuZZ55h6tSpTJ06lQsuuICPP/7YgiiV1XSdPqWsYUnSJyLTRGSriOwUkWwRmdFi2z0iclBEPhWRBb783pi6PIodI8Fm9+VplVJByIp6TDABM6TP5XJx++2389prr7F3717Wrl3L3r17W+3z2muvkZOTQ05ODpmZmdx6660WRes73bnuhIQEHnnkEX74wx9aFKXvdee6x44dy1tvvcWuXbv46U9/quP8gpTb7XkNkKpMqUHDqpa+XwD3G2OmAfd6PyMik4ClwGTgMuAxEfFZhpbYUEB5WIqvTqeUCm4W1GOBM5HL9u3bSUtLY9y4cYSGhrJ06VLWrVvXap9169Zx4403IiLMmjWL8vJyCgsLLYrYN7pz3YmJiUyfPp2QkBCLovS97lz3BRdcQHx8PACzZs0iPz/filCVxWzeO0+3jlFWql85LPpeA8R438cCR73vFwNZxph64LCIHARmAO93drKqqiq2bNnSqiwlJYW0tDScTifvvvsutRVFzHUf4W05m2NbtpCamkpqair19fW8/37b048fP57Ro0dTU1PD9u3b22w/88wzSU5Opqqqih07drTZPnHiREaMGEF5eTk7d+5ss/3ss89m2LBhnDhxgt27d7fZPm3aNOLi4jh27Bj79u1rs/38888nOjqao0ePcuDAgTbbZ8yYQWRkJHl5eXz22Wdttjd1LcrNzSU3N7fN9gsvvBCHw8HBgwfb/cU8Z84cAD799NM2N2l2u52LLroIgL1793L8+PFW20NDQ7ngggsA+OSTTygpKWm1PSIigpkzZwKwc+dOysvLW20fMmQIGRkZAGRnZ1NdXd1qe1xcHNOmTQNg27Zt1NbWtto+dOhQpkyZAsB//vMfGhoaWm1PTExk0qRJALzzzju4XK5W25OSkpgwYQJAm3930Pbf3ukG+7+9IOLTeqw7hMCZy6WgoIDRo0+Nn05JSWHbtm1d7lNQUEBSUlK/xelr3bnuwain17169WoWLlzYH6GpASbc4XkG5rAHxgMspQYLq5K+7wEbRORXeFobL/CWjwK2ttgv31vWhoisAFaAp8tIV4yE8IljCnVjL2NI7+NWSqkm38OH9diYMV0vJVMxYiaB0tLXNG6nJTltDE939gk0g/GauqMn1/3mm2+yevXqdh+KqcHvp1dOIjEmnLln6fwKSvUnvyV9IrIJGNnOppXAXOD7xpgXRGQJsBqYR/t3M+0+2DbGZAKZABkZGaap5el0DoejuVWKRde02R4WFkZHxwJERkZ2uj06OrrT7XFxcZ1uHzZsWKfbR4wYwYgRIzrcnpycTHJycofbR48e3erp6+maWp06kpaWRlpaWofbJ0yY0Nzq1Z5JkyY1t5q1p6nFrSNNLXYdaWrx60hTi2FHmlocO9LUYtmRzv7uWv3ba8dg/7c3GPR3PdZVPLNv/nU3I7deSkoKeXl5zZ/z8/Pb/Hvpzj6BZjBeU3d097p37drFLbfcwmuvvcbQoUP7M0Q1QMRHhXL3wrOsDkOpoOO3MX3GmHnGmLPb+VkHLANe9O76HJ6uT+B5It4yQ0nhVJcppZTqV1qP9d706dPJycnh8OHDNDQ0kJWVxaJFi1rts2jRIp588kmMMWzdupXY2NiA7toJ3bvuwag7133kyBGuvvpqnnrqKc4880yLIlVKqeBk1UQuR4Eved9fAuR4378MLBWRMBEZC6QDbQc1KaWU9bQe64TD4eCPf/wjCxYsYOLEiSxZsoTJkyfzpz/9iT/96U8AXH755YwbN460tDS+9a1v8dhjj1kcdd9157qLiopISUnhN7/5DT//+c9JSUmhsrLS4sj7pjvX/cADD1BSUsJtt93GtGnTuuypEcxE5KsiskdE3CKScdq2dmcHFpHzReQT77ZHxNu/1lsX/cNbvk1EUlscs0xEcrw/y1qUj/Xum+M9NrQfLlsp5UfSXj98v3+pyIXA7/F0L60DbjPG7PBuWwksB5zA94wxr3V1voyMDJOdne3HiJVS3SEiO4wxQXEnp/WYUoPPQKnDRGQi4Ab+H/BDY0y2t3wSsBZPz4JkYBNwpjHGJSLbgTvxjCl+FXjEGPOaiNwGTDXGfFtElgJXGWOuE5EEIBvIwNMFfQdwvjGmTESeBV40xmSJyJ+Aj40xj3cWs9ZhSlmvszrMkolcjDHvAud3sG0VsKp/I1JKqZ7Rekwp5S/GmH3Q7mQ47c4OLCK5QIwx5n3vcU8CXwFe8x7zM+/xzwN/9LYCLgBeN8aUeo95HbhMRLLw9F74mveYNd7jO036lFIDm1XdO5VSSimlVM+MAvJafG6aHXiU9/3p5a2OMcY4gQpgaCfnGgqUe/c9/VytiMgKEckWkezi4uI+XJZSyt+sWrJBKaWUUipodTY7sHeyqHYPa6fMdFLem2P8NgOxUso6mvQppZRSSvUzY8y8XhzW0ezA+d73p5e3PCZfRBxALFDqLZ9z2jFbgBNAnIg4vK19QTkDsVKDjXbvVEoppZQKDO3ODmyMKQSqRGSWd7zejcC6Fsc0zcx5LfCG8czitwG4VETiRSQeuBTY4N32pndfvMd21PKolAoQlsze6WsiUgx83s3dh+F5ijWQaYy+oTH6Rk9iPMMYM9yfwQxWPajHBtu/GatojL4TCHF2N8YBUYeJyFXAH4DhQDmw0xizwLut3dmBvUs7/A2IwDOBy3eNMUZEwoGngHPxtPAtNcYc8h6zHPgf79euMsb81Vs+DsgCEoCPgG94J4/pLObBVIdBYMSpMfrGYIqxwzpsUCR9PSEi2QNhOubOaIy+oTH6RiDEGEwC4e9DY/SNQIgRAiPOQIgxWATK30UgxKkx+kawxKjdO5VSSimllFJqENOkTymllFJKKaUGsWBM+jKtDqAbNEbf0Bh9IxBiDCaB8PehMfpGIMQIgRFnIMQYLALl7yIQ4tQYfSMoYgy6MX1KKaWUUkopFUyCsaVPKaWUUkoppYKGJn1KKaWUUkopNYgFTdInIpeJyKciclBE7rY6ntOJyGgReVNE9onIHhG50+qYOiIidhH5SET+bXUsHRGROBF5XkT2e/9MZ1sd0+lE5Pvev+vdIrLWu5aS1TE9ISLHRWR3i7IEEXldRHK8r/FWxhisBnodBlqP+ZLWYb2OSeuwAWyg12Nah/mO1mG95696LCiSPhGxA48CC4FJwPUiMsnaqNpwAv9tjJkIzAJuH4AxNrkT2Gd1EF34PbDeGHMWcA4DLF4RGQXcAWQYY84G7MBSa6MCPAv7XnZa2d3AZmNMOrDZ+1n1owCpw0DrMV/SOqx3/obWYQNSgNRjWof5jtZhvfc3/FCPBUXSB8wADhpjDhljGoAsYLHFMbVijCk0xnzofV+F53+OUdZG1ZaIpABXAH+xOpaOiEgM8EVgNYAxpsEYU25pUO1zABEi4gAigaMWx4Mx5m2g9LTixcAa7/s1wFf6MyYFBEAdBlqP+YrWYb2nddiANuDrMa3DfEPrsL7xVz0WLEnfKCCvxed8BuD/xE1EJBU4F9hmcSjt+R3wY8BtcRydGQcUA3/1dn34i4hEWR1US8aYAuBXwBGgEKgwxmy0NqoOjTDGFILnFyKQaHE8wSig6jDQeqyPtA7zLa3DBoaAqse0DusTrcN8r8/1WLAkfdJO2YBcq0JEhgAvAN8zxlRaHU9LInIlcNwYs8PqWLrgAM4DHjfGnAucZIB15/H2xV4MjAWSgSgR+Ya1UakBLGDqMNB6zAe0DlODUcDUY1qH9ZnWYQNQsCR9+cDoFp9TGCBNuC2JSAieSuYZY8yLVsfTji8Ai0QkF0+3jEtE5GlrQ2pXPpBvjGl6Ovc8nspnIJkHHDbGFBtjGoEXgQssjqkjx0QkCcD7etzieIJRQNRhoPWYj2gd5ltahw0MAVGPaR3mE1qH+V6f67FgSfo+ANJFZKyIhOIZqPmyxTG1IiKCp+/zPmPMb6yOpz3GmHuMMSnGmFQ8f4ZvGGMG3FMRY0wRkCciE7xFc4G9FobUniPALBGJ9P7dz2WADXJu4WVgmff9MmCdhbEEqwFfh4HWY76idZjPaR02MAz4ekzrMN/QOswv+lyPOXwazgBljHGKyHeADXhm53nCGLPH4rBO9wXgBuATEdnpLfsfY8yr1oUU0L4LPOP9xXII+KbF8bRijNkmIs8DH+KZLewjINPaqEBE1gJzgGEikg/cBzwEPCsiN+OpJL9qXYTBKUDqMNB6zJe0DusFrcMGrgCpx7QO8x2tw3rJX/WYGDMgu1MrpZRSSimllPKBYOneqZRSSimllFJBSZM+pZRSSimllBrENOlTSimllFJKqUFMkz6llFJKKaWUGsQ06VNKKaWUUkqpQUyTPqWUUkoppZQaxDTpU0oppZRSSqlB7P8DcmgunvdUdq8AAAAASUVORK5CYII=\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -856,10 +901,10 @@ "\n", "ax = fig.add_subplot(1, 3, 1)\n", "ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(mA.get('cell.Vm').initial_value().eval(), **kw)\n", + "ax.axhline(mA.get('amp.Vm').initial_value().eval(), **kw)\n", "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", - "ax.plot(dA.time(), dA['cell.Vm'], label='Model A')\n", - "ax.plot(dC.time(), dC['cell.Vm'], label='Model C')\n", + "ax.plot(dA.time(), dA['amp.Vm'], label='Model A')\n", + "ax.plot(dC.time(), dC['amp.Vm'], label='Model C')\n", "ax.legend()\n", "\n", "ax = fig.add_subplot(1, 3, 2)\n", @@ -902,7 +947,7 @@ "- However, the differences in $V_m$ are neglible, while differences in $V_p$ and $I_\\text{out}$ occur only in the first $\\mu$s under normal circumstances.\n", "- When $C_p$ is made large, the difference between the models becomes more notable, and Model A can exhibit ringing. However, this lasts for less than a ms.\n", "- If we assume an ideal op-amp, so that $V_p = V_c$ (and $\\dot{V}_p = \\dot{V}_c$), then Model C equals Model B.\n", - " - Alternatively, we can think of Model C as a variant with $V_\\text{out} = V_o - V_p$." + "- Alternatively, we can think of Model C as a version of Model B but with $V_\\text{out} = V_o - V_p$." ] } ], diff --git a/artefacts/appendix-L-compensated-models.ipynb b/artefacts/appendix-L-compensated-models.ipynb index a34b0af..2dc2595 100644 --- a/artefacts/appendix-L-compensated-models.ipynb +++ b/artefacts/appendix-L-compensated-models.ipynb @@ -32,18 +32,20 @@ "id": "5d0303e8", "metadata": {}, "source": [ - "## Sigworth-style model (1, 2a, 3a, 4, 5a)\n", + "As with the uncompensated model, we can write the Sigworth and Lei-style models using very similar equations:\n", "\n", "\\begin{align}\n", "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref}\n", + "2a. && (C_p+C_f)\\dot{V}_p &= \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref} \\\\\n", + "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", + "3a. && \\tau_a \\dot{V}_o &= V_\\text{ref} - V_p \\\\\n", + "3b. && \\tau_c\\dot{V}_p &= V_\\text{ref} - V_p\n", "\\end{align}\n", "\n", "\\begin{align}\n", @@ -55,168 +57,11 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "6. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "7f702770", - "metadata": {}, - "source": [ - "## Alternative op-amp equation (1, 2b, 3b, 4, 5a)\n", - "\n", - "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\left(C_p+C_f\\right)\\dot{V}_p + \\frac{V_p-V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "4962e0c4", - "metadata": {}, - "source": [ - "## Lei-style model (1, 2c, 3b, 4, 5a) or (1, 2b, 3b, 4, 5b)" - ] - }, - { - "cell_type": "markdown", - "id": "09112c29", - "metadata": {}, - "source": [ - "Following Lei et al. (2020), we get\n", - "\n", - "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I && \\text{(Equation 2.3)}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3b. && \\tau_c\\dot{V}_p = V_c - V_p && \\text{(Equation 2.4)}\n", + "6a. && R_f I_\\text{obs} &= V_o - V_\\text{ref} \\\\\n", + "6b. && R_f I_\\text{obs} &= V_o - V_p\n", "\\end{align}\n", "\n", - "\\begin{align}\n", - "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref} && \\text{(Equation 2.5)}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "76868171", - "metadata": {}, - "source": [ - "and we can combine two equations to find\n", - "\n", - "\\begin{align}\n", - "I_\\text{in} &= I + C_p \\dot{V}_p + C_m \\dot{V}_m - (C_p^* + C_m^*) \\dot{V}_\\text{ref} && \\text{(Equation 2.6)} \\\\\n", - " &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} && \\text{(Equation 2.3)}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "ceea30dc", - "metadata": {}, - "source": [ - "Then, we define\n", - "\n", - "\\begin{align}\n", - "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref} && \\text{(No equivalent)}\n", - "\\end{align}\n", - "\n", - "and insert into Lei et al. Equation 2.7 to find\n", - "\n", - "\\begin{align}\n", - "R_f C_f \\dot{I}_\\text{obs} &= I_\\text{in} - I_\\text{obs} && \\text{(Equation 2.7)} \\\\\n", - "C_f (\\dot{V}_o - \\dot{V}_\\text{ref}) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} - \\frac{V_o - V_\\text{ref}}{R_f} &&\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "2c. && C_f \\dot{V}_o &= \\frac{V_\\text{ref} - V_o}{R_f} + C_p \\dot{V}_p + C_f \\dot{V}_\\text{ref} + \\frac{V_p - V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "For a model (1, 2c, 3b, 4, 5a)." - ] - }, - { - "cell_type": "markdown", - "id": "d1ac36aa", - "metadata": {}, - "source": [ - "**Alternatively**, and equivalently, we can define\n", - "\n", - "\\begin{align}\n", - "5b. && R_f I_\\text{obs} = V_o - V_p && \\text{(No equivalent)}\n", - "\\end{align}\n", - "\n", - "with which we can derive 2b. from Lei et al. Equation 2.7:\n", - "\n", - "\\begin{align}\n", - "C_f (\\dot{V}_o - \\dot{V}_p) &= \\frac{V_p - V_m}{R_s} + C_p \\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref} - \\frac{V_o - V_p}{R_f} \\\\\n", - "C_f \\dot{V}_o &= \\frac{V_p - V_o}{R_f} + (C_p + C_f) \\dot{V}_p + \\frac{V_p - V_m}{R_s} - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "resulting in an alternative expression for the same model: (1, 2b, 3b, 4, 5b)." - ] - }, - { - "cell_type": "markdown", - "id": "284e2438", - "metadata": {}, - "source": [ - "## Three models\n", - "\n", - "This leaves us with the following equations:\n", - "\n", - "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "2a. && (C_p + C_f)\\dot{V}_p &= \\frac{V_o-V_p}{R_f} + \\frac{V_m-V_p}{R_s} + C_f\\dot{V}_o + (C_p^* + C_m^*) \\dot{V}_\\text{ref} \\\\\n", - "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3a. && \\tau_a\\dot{V}_o = V_\\text{ref} - V_p \\\\\n", - "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} - V_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "5a. && R_f I_\\text{obs} = V_o - V_\\text{ref} \\\\\n", - "5b. && R_f I_\\text{obs} = V_o - V_p\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "1defaf9b", - "metadata": {}, - "source": [ - "and three models:\n", - "\n", - "1. Sigworth-style **model A** (1, 2a, 3a, 4, 5a)\n", - "2. Hybrid-style **model B** (1, 2b, 3b, 4, 5a)\n", - "3. Weerakoon/Lei-style **model C** (1, 2b, 3b, 4, 5b)" + "Where the Sigworth-style model consists of **(1, 2a, 3a, 4, 5, 6a)**, while the Lei model can be written as **(1, 2b, 3b, 4, 5, 6b)**." ] }, { @@ -248,9 +93,9 @@ "id": "dbc86249", "metadata": {}, "source": [ - "## Sigworth-style model (1, 2a, 3a, 4, 5a)\n", + "## Sigworth-style model (1, 2a, 3a, 4, 5, 6a)\n", "\n", - "We start with a (1, 2a, 3a, 4, 5a) model, with a switch to turn compensations on or off." + "We start with a (1, 2a, 3a, 4, 5, 6a) model:" ] }, { @@ -262,38 +107,42 @@ "source": [ "mA = myokit.parse_model('''\n", "[[model]]\n", - "desc: Compensated model (1, 2a, 3a, 4, 5a)\n", + "desc: Compensated model (1, 2a, 3a, 4, 5, 6a)\n", "amp.Vm = -80\n", "amp.Vp = -80\n", "amp.Vo = -80\n", "amp.Vr = -80\n", + "amp.Ve = -80\n", "\n", "[amp]\n", "alpha = 0.7\n", - "beta = 1\n", + "beta = 0.7\n", "time = 0 [ms] in [ms] bind time\n", + "I = 50 [pA] in [pA]\n", "Vc = -20 [mV] in [mV]\n", - "Cm = 20 [pF] in [pF]\n", + "Cm = 40 [pF] in [pF]\n", + "Cm_est = 40 [pF] in [pF]\n", "Cp = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 20 [pF] in [pF]\n", "Cp_est = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", "tau_amp = 50e-6 [ms] in [ms]\n", "tau_sum = 40e-3 [ms] in [ms]\n", - "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", - " in [pA]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Eq 1\n", " in [mV]\n", - "dot(Vp) = ((Vo - Vp) / Rf + (Vm - Vp) / Rs + Cf * dot(Vo) + I_inj) / (Cp + Cf) : Eq 2a\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", + " ) / (Cp + Cf) : Eq 2a\n", " in [mV]\n", "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est) : Eq 4\n", " in [mV]\n", - "I_obs = (Vo - Vr) / Rf : Eq 5a\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum : Eq 5\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf : Eq 6a\n", " in [pA]\n", "''')\n", "mA.check_units(myokit.UNIT_STRICT)" @@ -306,10 +155,12 @@ "metadata": {}, "outputs": [], "source": [ - "def plot(m, d, axes=None, label=None, ls=None, altins=False):\n", + "t1 = 3\n", + "t2 = 0.6\n", + "\n", + "def plot(m, d, axes=None, label=None, ls=None, altins=False, t_zoom=t2):\n", " if axes is None:\n", - " fig = plt.figure(figsize=(15, 10))\n", - " t_zoom = 0.05\n", + " fig = plt.figure(figsize=(15, 12))\n", " \n", " # Top left: Vm\n", " ax1 = fig.add_subplot(3, 2, 1)\n", @@ -318,9 +169,8 @@ " # Top right: Vo\n", " ax2 = fig.add_subplot(3, 2, 2)\n", " ax2.set_ylabel('Vo (mV)')\n", - " ins2 = ax2.inset_axes((0.3, 0.35 if altins else 0.20, 0.65, 0.55))\n", - " ins2.set_xlabel('Time (ms)')\n", - " ins2.set_ylabel('Vo (mV)')\n", + " ax2.set_xlabel('Time (ms)')\n", + " ins2 = ax2.inset_axes((0.3, 0.35 if altins else 0.10, 0.65, 0.50))\n", " ins2.set_xlim(-0.005, t_zoom)\n", " ins2.patch.set_alpha(0.5)\n", "\n", @@ -328,9 +178,7 @@ " ax3 = fig.add_subplot(3, 2, 3)\n", " ax3.set_xlabel('Time (ms)')\n", " ax3.set_ylabel('Vp (mV)')\n", - " ins3 = ax3.inset_axes((0.3, 0.20, 0.65, 0.55))\n", - " ins3.set_xlabel('Time (ms)')\n", - " ins3.set_ylabel('Vp (mV)')\n", + " ins3 = ax3.inset_axes((0.3, 0.10, 0.65, 0.50))\n", " ins3.set_xlim(-0.005, t_zoom)\n", " ins3.patch.set_alpha(0.5)\n", "\n", @@ -338,9 +186,7 @@ " ax4 = fig.add_subplot(3, 2, 4)\n", " ax4.set_xlabel('Time (ms)')\n", " ax4.set_ylabel('Vref (mV)')\n", - " ins4 = ax4.inset_axes((0.3, 0.20, 0.65, 0.55))\n", - " ins4.set_xlabel('Time (ms)')\n", - " ins4.set_ylabel('Vref (mV)')\n", + " ins4 = ax4.inset_axes((0.3, 0.10, 0.65, 0.50))\n", " ins4.set_xlim(-0.005, t_zoom)\n", " ins4.patch.set_alpha(0.5)\n", " \n", @@ -348,9 +194,7 @@ " ax5 = fig.add_subplot(3, 1, 3)\n", " ax5.set_xlabel('Time (ms)')\n", " ax5.set_ylabel('Recorded I (pA)')\n", - " ins5 = ax5.inset_axes((0.3, 0.35 if altins else 0.20, 0.65, 0.55))\n", - " ins5.set_xlabel('Time (ms)')\n", - " ins5.set_ylabel('Recorded I (pA)')\n", + " ins5 = ax5.inset_axes((0.3, 0.35 if altins else 0.10, 0.65, 0.50))\n", " ins5.set_xlim(-0.001, t_zoom)\n", " ins5.patch.set_alpha(0.5)\n", "\n", @@ -385,9 +229,9 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -401,7 +245,7 @@ "sA.set_constant('amp.alpha', 0)\n", "sA.set_constant('amp.beta', 0)\n", "sA.set_constant('amp.tau_sum', 1e-9)\n", - "dA = sA.run(5)\n", + "dA = sA.run(t1)\n", "ax = plot(mA, dA, altins=True)\n", "plt.show()" ] @@ -424,16 +268,16 @@ "name": "stdout", "output_type": "stream", "text": [ - "0.0009316421158516164\n", - "4.942386538786536e-05\n", - "8.411668444807674e-05\n" + "0.5360060480448077\n", + "0.3335819218948224\n", + "0.13388253915857717\n" ] }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -445,122 +289,175 @@ "source": [ "sA.reset()\n", "sA.set_constant('amp.alpha', 0)\n", - "sA.set_constant('amp.beta', 1)\n", + "sA.set_constant('amp.beta', 0)\n", "sA.set_constant('amp.tau_sum', 40e-3)\n", - "dA = sA.run(5)\n", + "dA = sA.run(t1)\n", "print(abs(-20 - dA['amp.Vm'][-1]))\n", "ax = plot(mA, dA, label='$\\\\alpha$ = 0')\n", "\n", "sA.reset()\n", "sA.set_constant('amp.alpha', 0.4)\n", - "dA = sA.run(5)\n", + "dA = sA.run(t1)\n", "print(abs(-20 - dA['amp.Vm'][-1]))\n", "ax = plot(mA, dA, axes=ax, label='$\\\\alpha$ = 0.4')\n", "\n", "sA.reset()\n", "sA.set_constant('amp.alpha', 0.8)\n", - "dA = sA.run(5)\n", + "dA = sA.run(t1)\n", "print(abs(-20 - dA['amp.Vm'][-1]))\n", "ax = plot(mA, dA, axes=ax, label='$\\\\alpha$ = 0.8')\n", "ax[0].legend()\n", "plt.show()" ] }, + { + "cell_type": "code", + "execution_count": 6, + "id": "69238c29", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.5360060480448077\n", + "0.3002220216352107\n", + "0.10281145358753818\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0)\n", + "sA.set_constant('amp.beta', 0)\n", + "dA = sA.run(t1)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA, label='$\\\\alpha = \\\\beta = 0$')\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.4)\n", + "sA.set_constant('amp.beta', 0.4)\n", + "dA = sA.run(t1)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA, axes=ax, label='$\\\\alpha = \\\\beta = 0.4$')\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.8)\n", + "sA.set_constant('amp.beta', 0.8)\n", + "dA = sA.run(t1)\n", + "print(abs(-20 - dA['amp.Vm'][-1]))\n", + "ax = plot(mA, dA, axes=ax, label='$\\\\alpha = \\\\beta = 0.8$')\n", + "ax[0].legend()\n", + "plt.show()" + ] + }, { "cell_type": "markdown", - "id": "81d750ae", + "id": "d6a73e64", "metadata": {}, "source": [ - "## Alternative op-amp equation model (1, 2b, 3b, 4, 5a)\n", + "## Lei-style model (1, 2b, 3b, 4, 5, 6b)\n", + "\n", + "As with the uncompensated model, we can write the Sigworth and Lei-style models using very similar equations:\n", "\n", "\\begin{align}\n", - "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6b. && R_f I_\\text{obs} = V_o - V_p\n", "\\end{align}" ] }, { "cell_type": "code", - "execution_count": 16, - "id": "35b87cc8", + "execution_count": 7, + "id": "41558131", "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "tau_c = 0.0008 ms\n", - "tau_c = 0.8 us\n" - ] - } - ], + "outputs": [], "source": [ "mB = myokit.parse_model('''\n", "[[model]]\n", - "desc: Compensated model (1, 2b, 3b, 4, 5a)\n", + "desc: Compensated model (1, 2b, 3b, 4, 5, 6b)\n", "amp.Vm = -80\n", "amp.Vp = -80\n", "amp.Vo = -80\n", "amp.Vr = -80\n", + "amp.Ve = -80\n", "\n", "[amp]\n", "alpha = 0.7\n", - "beta = 1\n", + "beta = 0.7\n", "time = 0 [ms] in [ms] bind time\n", + "I = 50 [pA] in [pA]\n", "Vc = -20 [mV] in [mV]\n", - "Cm = 20 [pF] in [pF]\n", + "Cm = 40 [pF] in [pF]\n", + "Cm_est = 40 [pF] in [pF]\n", "Cp = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 20 [pF] in [pF]\n", "Cp_est = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "tau_amp = 50e-6 [ms] in [ms]\n", "tau_sum = 40e-3 [ms] in [ms]\n", - "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", - " in [pA]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", + "tau_c = tau_amp * (Cf + Cp) / Cf in [ms]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Eq 1\n", " in [mV]\n", - "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs + (Cp + Cf) * dot(Vp) - I_inj) / Cf : Eq 2b\n", + "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs +\n", + " (Cp + Cf) * dot(Vp) - Cm_est * dot(Ve) - Cp_est * dot(Vr)\n", + " ) / Cf : Eq 2b\n", " in [mV]\n", "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est) : Eq 4\n", " in [mV]\n", - "I_obs = (Vo - Vr) / Rf : Eq 5a\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum : Eq 5\n", + " in [mV]\n", + "I_obs = (Vo - Vp) / Rf : Eq 6b\n", " in [pA]\n", + "\n", "''')\n", "mB.check_units(myokit.UNIT_STRICT)\n", - "sB = myokit.Simulation(mB)\n", - "\n", - "print(f'tau_c = {mB.get(\"amp.tau_c\").eval()} ms')\n", - "print(f'tau_c = {mB.get(\"amp.tau_c\").eval() * 1e3} us')" + "sB = myokit.Simulation(mB)" ] }, { "cell_type": "code", - "execution_count": 7, - "id": "2f7c2f7b", + "execution_count": 8, + "id": "df18acad", "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "0.000232735775895776\n", - "0.00016916356870666505\n", - "1.3889048702253604e-05\n" + "0.5377944938862278\n", + "0.3343201842124657\n", + "0.13312509434985742\n" ] }, { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4oAAAK5CAYAAAD5FNBPAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOzdd3yV1f3A8c+5O3sHMoAQwt4QQEQUFRFxb9S6lTqrVuuo/qqtUmcdLbXVttaJuKqoICoqToYge4+ETMjed9/z++MJISFhJ7kJ+b5fr/u6957zPOf53hCSfJ+zlNYaIYQQQgghhBBiD1OwAxBCCCGEEEII0bFIoiiEEEIIIYQQoglJFIUQQgghhBBCNCGJohBCCCGEEEKIJiRRFEIIIYQQQgjRhCXYAQRLfHy8TktLC3YYQggh2sGKFStKtNYJwY6js5DfkUII0TUc6Pdjl00U09LSWL58ebDDEEII0Q6UUjuDHUNnIr8jhRCiazjQ70cZeiqEEEIIIYQQoglJFIUQQgghhBBCNCGJohBCCCGEEEKIJiRRFEIIIYQQQgjRRKdJFJVSTyulNiml1iilPlRKRTeqe0AptU0ptVkpdXoQwxRCCCGEEEKITq/TJIrAl8AQrfUwYAvwAIBSahAwHRgMTAVeVEqZgxalEEIIIYQQQnRynWZ7DK31F43eLgEuqn99LjBHa+0GspRS24CxwOIDtVddXc2iRYualKWmppKRkYHP5+OHH35odk5aWhppaWm43W4WL27efJ8+fejRowd1dXUsW7asWX2/fv1ITk6murqaFStWNKsfOHAg3bp1o6KiglWrVjWrHzJkCPHx8ZSUlLBu3bpm9SNGjCA6Oprdu3ezcePGZvWjR48mIiKCgoICtmzZ0qx+7NixhIaGkpuby/bt25vVjx8/HrvdTnZ2NtnZ2c3qTzjhBCwWC9u2bSMvL69Z/aRJkwDYvHkzhYWFTerMZjMTJ04EYMOGDRQVFTWpt9lsHH/88QCsXbuW0tLSJvUhISGMGzcOgFWrVlFRUdGkPjw8nMzMTACWL19OTU1Nk/ro6GhGjBgBwNKlS3E6nU3q4+LiGDp0KAA//fQTHo+nSX1iYiKDBg0C4Pvvv8fv9zepT0pKon///gDNvu9Avvfke28E0D7fe1prADTGc0pqCul90vF5ffzwk/G91/iYnr160rNnT1xuF8uWLTPO03vP75XWi+SUZOrq6li5cmVD+Z42eqf3JrFbIjU1NaxZu6ah3T31fTL6EBcXR2VVJRs3bjTqGrXRt29fMntnHvX3nhB7eANesiuz2V65nazKLJLCkjil5ylE2iKDHZoQQnQonSZR3Md1wDv1r1MwEsc98urLmlFKzQBmAPTu3bst4xNCBIHWGr/249M+4xkfPu0jtzoXX8BHTl0Ouz278Ws/AQL4tR9t0pjyTfgCPrLKsyivLceP3zhGB8AMWRuz8Af85O3Ko7quur7OeCgzfP3T5/gDXopKi3C6nQS0Hz9768OKwwhoP1XVVfh8PgIE0AQIaI2pUGHbYcOvAzhddfgDgfp6TUBryAPzejN+HcDj9RLQe2q10UoOsGLPZw+g2ZvEaYCdoJfsKWlBDvDTAb6ouQf5ojfPy5vKP0h9wYGrzYWwqvfagzQixKH5Pu97Zi6dSX5N02/MPy3+ExNSJnDT8JsYHDc4SNEJIUTHovbc1e0IlFILge4tVD2otZ5bf8yDQCZwgdZaK6X+DizWWr9ZX/8fYL7W+oMDXSszM1PLZsJCtB5vwIvT58Ttc+PyuxqeXT4Xbv8+rxuVefwePH4P3oAXb8BrvPZ78PlceP1uPD43Xr8bb6DRcX7jWK/24w348GgfXh3Aq/37T4hamUlrLBrMGM9WNGatsQAWrTFrsNTXmTDemzBemzSYAQWYtTae69s07fPaBJj2tAGo+mu2VK4Aha5/Nh40qdt7zB4NZXqfcxq3oxuX731tvNKgVZOyxtdtXGZcVzUq39NWo/P13rI9R1x0744D/2McAqXUCq115lE31EUca78jtdY8u+JZXl3/Kr2jenPD0BvoH9OfXpG92FK+hQXZC5i3Yx7VnmruG3Mfl/S/BKXUwRsWQohO7kC/HztUj6LWevKB6pVSVwNnAafqvRluHtCj0WGpHPQetRBiXwEdoNJdSbmrnHJ3OeWucspcZVS6K6nx1lDrrTWePbUN72u9NdR4jNfugOfgF2nBniTLqsGmNVYdwKp1/Wuwao0FjUNrIurfN9Shseo9j/rz68tM2tTwABNoM2gTWpvQ2mw8MEPAhMaC1iajHnNDPfXPgfpjtbYQ0GYCWAhgxqfN+DDjx0QAE/76R5PXem+ZNtWndcoMJhMoE5jq21Ym/CYTfmXCiwKT2ahXCqVMaLXnvQmlTA3nK2VCKYVWZpSi/ljjnMbnK6Ua3kP9eaZGZcqEac8fxg1tKiOFa/RsMpmMJlDGadSf3uR9faqn9qaATY5VjVLBfc7d9zyT/K0ujpLWmud+eY5X17/Kpf0v5d4x92Iz2xrqhyUMY1jCMGYMncHvf/g9jy19jBVFK3hk/COEWkODGLkQQgRXh0oUD0QpNRW4DzhJa13XqOpjYLZS6lkgGegLNJ+kJUQXFdABSpwlFNYWUlhTaDzXFlLiLDGSQlc55a5SKtxVBAi02IYVRTgmwrQiXAcI8/tJ8Hnp7fcSHggQFtCE6QAhASOhc2iNPRAwnrXGphVgQ2kbAW3Hpx14/HbcfjtObTzcWHFhM561be9rbLi18bq2vsyr7ATMxgOLA6x2lNmG2WpDme1YLVbsNjM2swm7dc+zCbvFhM1iwm42ni1mExaTwmo2YTErrCbj2WI2YTUZz03K95Q1OsdiUpiUwmJWmJXCbFJYTCZMJpo+N0qQhBDt570t7/Hfdf/l0v6X8uC4B/f7/zDaEc2sU2fxn7X/YdaqWVS5q/jbKX/Dara2c8RCCNExdJpEEZgF2IEv63/IL9Fa36S1Xq+UehfYAPiAW7XW/gO0I8Qxp9xVzo7KHeys2klBTQGFNQUUVuVQWFvILlcpvn3+S4SjiA9ArM9HmtfDSL+PmECAWH+AGL+fGH+A2IDxHKoteFU4VYRTEQijJBBKuT+UCsKp1GFUEUq+DqEWB3XYqdEh1OHAiR1tj0BZw7DYQwh3WAmzWwizWwi3Wwizm433tj1lZmIa1YdYzTisJuwW894Ez2LGZjFhlm4mIcQh2Fm1k2eWP8P4pPH8ftzvD3qzxqRM3DjsRmIdsTyy+BEe+vEhHp/4OCbVmRaJF0KI1tFpEkWtdcYB6mYCM9sxHCHandaa3XW72VG+jR1Fa9hRuoHtVdlkOXdT7nc1HGfSmgR/gCSfj6E+H1N8PpJ8fpJ9PuICVkJ1BG4dTVEgknxvOMWBcCp1ODmEsUYbyd+eJFA7oggLCycmzEZMqPGIDbMSXf+6m8NI8PYme3ufHVaT9KAJIYLGH/Dz+x9+j9Vk5dEJjx5Wsndhvwspd5fzwi8vEOuI5d4x98rPMyFEl9NpEkUhuhJnXSmbc75jw64VbCzfzLa6QrJ81dQ2Ghoa6ffTx+vlFK+PXl5Ngg4nzB+NyxPHTncMef5oinQ0W3U0xURRoqOw2MNIjLCTGGmnW6SDhHA7seE2MhqSQBsxoVZiwmxEh1ixmOUuuhCic5qXNY81xWv48wl/pltYt8M+//oh11PqLOXNjW+SHp3Oxf0uboMohRCi45JEUYhgqi2lbvcaNuf9yIbitWyoyWWDr4odZgjU372O9fvJ8Po5zR9ChC8GPPGU13SnwJPELh3PKh1LFWHEhNpIjQklNSmE5OgQ0qIcjIkwEsJukQ4SI+yE2eW/vBDi2Ofxe3hx1YsMjB3ImelnHlEbSil+N+Z37KjcwZPLnmR4wnD6xfRr5UiFEKLjkr8ahWgPPjcUbcBXsJIteT+xunwza93FbDBrsqzWhqQwJgA9AuGMc8XhrE6itDadAm8aXxFJqM1CekIYPZNCSY0J5dSYEFJjQkiJDiUlJoRwSQKFEAIwFrDJr8nnD5P/cFTzC03KxMwTZnLxJxdzz7f3MOfMObISqhCiy5C/LIVobZ462L0OCldTnv8zq4tXs9q1m9U2K+vsNpwmE5ggyh5CrDea7uXdKa/tQ4lrANW+aPzRoaQnhNGnRzhTEsJITwgnPSGM7pEOmSMjhBAH4fV7+c/a/zCm+xjGJ48/6vbiQ+J5fOLjzPhiBjOXzmTmCbIkghCia5BEUYijVVUIOT/hz/6JbXk/srouj9U2K6sddnZarWAHky2SKG8MgYqeOJ398delYbIl0Cs5iuP6RzEoKZK+3cJJjw8nxGYO9icSQohO68udX1LsLOaR4x9ptZtrxyUdx4xhM3hpzUuc3ONkJvc64LbPQghxTJBEUYjDoTWU7YCdP1KZ/R2rC5ex2lvBaoedtXYbdSEmCInBHnDgr03FXZ6Bv64X3ewZ9E+OZ3DvSAYnRzEoOZLkKOkhFEKI1jZ702x6RvTkhJQTWrXdXw//Nd/lfcdjSx4js1sm0Y7oVm1fCCE6GkkUhTiYujK8W75gy5a5rClayVo8rLXbyLZZIRxMOpoQXzxVFel4nWmYPGkMSEpnbN9YxqTFMjw1mpgwW7A/hRBCHPPWl65ndfFq7h1zb6vvfWg1WfnThD9x2aeX8dTPT/HniX9u1faFEKKjkURRiH3oQICC7G9Yu+l/rNm9grWeMjbarLhNJoiwEq4jUK5U3EV98Dt7EqrTGNQriTEjYxnbO4YhKVHYLTJ8VAgh2tu7m98lxBLCeRnntUn7A2IHcP3Q63lpzUtM7T2VE1NPbJPrCCFERyCJoujS9mxiv7FoNRu3fcaG4lWsc5dQajKGhNoV9LB3I8XTh02l/fDU9gRzPBMyEpgwPI4xvWPplxiBySRDSIUQIpjcfjdfZH/Bab1OI8IW0WbXmTFsBl/lfMUfF/+RuefOJdwW3mbXEkKIYJJEUXQZWmsKawvZULqh4bGxeA1l3moATFrT2xcg0xKLXfdnRekINhX3ogQzQ1IiuWFUAif1S2Rkz2isshG9EEJ0KN/nfU+Nt4Yzex/ZvomHyma28cfj/8iv5v+Kv6/6O/eNva9NryeEEMEiiaI4Jmmt2VW7i/Wl641HyXo2lm2kwl0BgBlFH5+fic46BvlNdI8ZzTZ1Kq/u6smqmgA2s4kT+sZz7YndOGVANxIi7MH9QEIIIQ5o3o55xDniGJs0ts2vNSxhGJf0v4TZm2ZzTp9zGBg3sM2vKYQQ7U0SRXFMKK4rbkgK15WsY0PpBspcZQBYlIWMiJ6cao1nYGUNg0rz6OvX+HqewhfRJ/H8zjRyCiHEaubkAQncN7g7pwxIJMJhDfKnEkIIcSiqPFV8l/cdl/S/BIupff60+c2o3/Dlzi/50+I/8ea0NzGbZG66EOLYIomi6HR8AR8bSzeybNcyVhWvYkPJBoqcRQCYlIk+0X04MfVEBscOYrDbRb9187Cv/hIAb+p4lqVfzjW7B7Nko8ZiUkzqn8B9I1M5ZUCi7GEohBCd0Dc53+AJeJjWe1q7XTPSFsm9Y+7l/u/v570t7zF9wPR2u7YQQrQHSRRFh6e1Zkv5FpYULmHZrmX8svsXarw1AKRFpjE2aSyD4wYzOH4w/WP6E6qBte/C1y9A8UZ0WCJ5w+/gn5XjmbNF4wtohqZE8vDZKZw9PJn4cBlWKoQQndm3ed+SGJrIkPgh7Xrdab2n8eG2D3nhlxeY3Gsy8SHx7Xp9IYRoS5Ioig4poAOs2L2Cr3O+5pvcb8ivyQeMxPCM3mcwtvtYMrtnNv2lXJkP3z4NK/4LznL83YaxdNhj/Dl7EOuWuogKMXPthFQuzuxBv25ttyKeEEKI9uP1e/kx/0fOTD8Tpdp3BWqlFA+Ne4gLPr6Ap35+iqdOfKpdry+EEG1JEkXRoeRU5TB3+1w+2f4JhbWF2Ew2jks+jhuH3sgJKSfQLaxb85PyV8Div8OGuaAD1KVP5V3zWfxlSxzVO/0MSrLx1IX9OHt4sgwtFUKIY8zy3cup89UFbU/DtKg0bhh6A/9Y/Q/OyziP45OPD0ocQgjR2iRRFEGntWZJ4RJeW/8aPxb8iEIxPnk8d4y6g5N7nEyoNbTlEwtWwTd/hq2fgz2S8qHXMavmFF7dqDEpOGNIN64+vhejesa0+11mIYQQ7eO7vO+wm+2MSxoXtBiuH3o983bMY+aSmfzv3P9hN8uUBiFE5yeJoggarTVf7PyCf635F5vLNxPniOO2Ebdxbsa5dA/rvv8Td683EsRNn4Ijml1j7uXxkhP5eFkVDovi2uN7ceOJ6XSLdLTfhxFCCNHutNZ8m/ctY7uPJcQSErQ47GY7Dx33EDO+nMF/1v6HW0bcErRYhBCitUiiKIJixe4V/GX5X1hbspb0qHT+dPyfODP9TGxm2/5PqsiBhX+EdR+APYKCkXfyaMkkPvu+jghHHbednMG1E3oTG3aANoQQQhwzsquyya3O5epBVwc7FMYnj2da72n8e+2/mdZ7GmlRacEOSQghjookiqJdFdcV8+elf2ZhzkISQxN5dMKjnJ1+9oH3n/LUwo8vGA8UFaNv49GyU/lgcR1xYT5+d3p/rhzfi0jZ91AIIbqU7/K+Awja/MR9/W7M7/g+73seW/oY/zrtXzLtQQjRqXWaRFEp9ShwLhAAioBrtNYF9XUPANcDfuA3WuvPgxaoaJHWmk92fMITy57A4/dw+8jbuXLQlQceKqS10Xv45R+gKh/3wAv4u/lKXlzswmZxc9fkftx4Ym9CbZ3m21gIIUQrWlq4lN5RvUkKTwp2KADEh8Rzx6g7eGzpY8zLmsdZ6WcFOyQhhDhinekv7Ke11v8HoJT6DfAH4Cal1CBgOjAYSAYWKqX6aa39wQtVNFbqLOXhnx7m27xvGZk4kkcnPEqvyF4HPqloI3xyJ+QuIdBtGB+l/5GHV0ZS53Vx6Zge3Dm5L4kRMgdRCCG6Kn/Az8qilZzR+4xgh9LERf0uYu72uTz989NMTJlIlD0q2CEJIcQRMQU7gEOlta5q9DYM0PWvzwXmaK3dWussYBswtr3jEy1bVbSKSz69hMUFi7l3zL389/T/HjhJ9HuNvRBfOhFKtrBhzExOqvgDv10Syrj0WD6/cyJ/Pn+oJIlCCHEYlFJTlVKblVLblFL3Bzue1rC5fDM13hpGdxsd7FCaMJvM/N9x/0eFu4K//vLXYIcjhBBHrDP1KKKUmglcBVQCJ9cXpwBLGh2WV1/W0vkzgBkAPXv2bLtABQDzd8znoR8fontYd9468y0GxA448AkFq2DubbB7La7+5/IHz1W8+72bft1svH3JKMb3iWuXuIUQ4liilDIDfwdOw/gd+bNS6mOt9YbgRnZ0lu9aDrA3UXRWQN5yKN0KtSWgAxASDeHdIL4vJAwE2362W2plA+MGcvmAy3lr41uck3EOwxOGt8t1hRCiNXWoRFEptRBoaV+EB7XWc7XWDwIP1s9JvA14GGhpprhuoQyt9cvAywCZmZktHiOOntaaV9e/yrMrnmV0t9G8cPILBx5643PDoifgxxfQYQl8O/IFbv8lCbffy+9O78+NE9OxWTpN57cQQnQ0Y4FtWusdAEqpORijcTp3orh7OanhqXQvz4eP74KtX0DAZ1Qqk/HY8x5AmaH7UOg9ETImQ8/jwdJ2q2TfNvI2vtj5BY8ufpQ5Z83BYupQf3IJIcRBdaifWlrryYd46GxgHkaimAf0aFSXChS0cmjiEPkDfp78+Une3vQ2p6edzswTZh544+HS7fD+tVC4mooBl/Kb0gv5brGP4/tEMfP8ofSOD2u/4IUQ4tiUAuQ2ep8HNNudvjONugnoAL/sXsHJfiv8+xQIiYHjbjESwG5DIDTWONBTC1UFULwJdq2BnYth6Uvw09/AHgn9psLg8yHjVLAc4HfVEQizhvHA2Ae4a9FdzN44m6sGX9Wq7QshRFvrUInigSil+mqtt9a/PQfYVP/6Y2C2UupZjMVs+gLLghBilxfQAR7+6WHmbp/L1YOu5reZv8WkDtATuOY9+PROtMnC50Of4/ZfuhNuV/zl4uFcMCpFlhUXQojWcUgjbzrTqJttO76k0lNFZkk5TPo9jL8F7BHND7SHQ0I/4zHoHKPMUws7voXN82DTPFj7rpE0DjgLhl4EvU8Cc+v8eXRqz1M5MfVEZq2axZS0KXQPa2nQlBBCdEydJlEEnlBK9cfYHmMncBOA1nq9UupdjCE0PuBWWfG0/WmtmblkJnO3z+Xm4Tdzy4hb9n+wpxY+uxdWvokneSx3B27nk5/NnDGkGzPPH0psWNsNBRJCiC7o2Bp5U57N8s/ugAgro8/8Bww4+/DOt4XBgGnG46znjaRx/f9g4yewejaEJRoJ49CLIXkkHMVNS6UUD4x9gPPnns8Ty57g+ZOfP+K2hBCivXWaRFFrfeEB6mYCM9sxHLGPZ5Y/w7tb3uW6Iddx8/Cb939g8WZ450oo2ULWwJu5eNNJ1PoUT1wwiEvH9JBeRCGEaH0/A32VUr2BfIwtpS4PbkhHqK4M3ryQFVbo7ognpf9R7lNotkLfycbjzGdh6+ew5l34+d+w5EWI62skjEMuhPiMI7pEakQqNw2/ied/eZ75O+YzLX3a0cUshBDtpNMkiqLj+mDLB7y+4XUuH3A5d466c//J3raF8N61aIud1/o8xyMrExmUFM5fLxtJRmJ4+wYthBBdhNbap5S6DfgcMAOvaK3XBzmsw6c1fHQzuiKX5X0yGJ88rnVvLlodMOhc4+Eshw1zYe37sOhxWPRn6D4Mhlxg1MemH1bTVw++mm9yv+GxJY8xqtsoGYIqhOgUZClJcVTWFK9h5tKZHJ98PPeOubflX9paG4sHvHUx7vBUrjQ9wSPrE7n+hN58eOvxkiQKIUQb01rP11r301r3qR+F0/msfR+2LCBr4u2UeavJ7JbZdtcKiYHR18A1n8JvN8DpjxuL3Sx8BP46Ev5xAix6EnatM37HHYTFZOHxEx7Hp3089MNDBHSg7WIXQohWIomiOGIlzhLuWnQXiaGJPHXiU5hN5uYH+b3w6V3w2b0UJ5/MxJL72VgXxavXjuH/zhqE3dLCOUIIIURjdWXG3PaUTH5J6g802j+xrUUmG4vl3LAQ7lwLp//ZmOe46HH45wR4bgh8+lvYvADcNfttpkdkD+4bcx9Ldy3lzQ1vtk/sQghxFGToqTgi3oCXuxfdTZW7ijenvdnyPonOcnj3Ksj6jtW9ruGCLZPp1z2af101mtSY9tn0WAghxDHgp7+Bsxz3tOf5dv1sQszhfLsOFni3o9HYzCZCbRYiQyzEhtqIDbeREG4nJtSGydSKw1Oje8L4W41H9S5j78Ytn8Pqt2H5f8BkhR7jIH0SpE2AlNFNtt24oO8FLMpbxAu/vMBxycfRL6Zf68UmhBCtTOlDGDJxLMrMzNTLly8Pdhid1uNLH2f2ptk8OfHJlifmVxXAGxegS7fxTtI93L99KFMHd+cvlwwnzC73J4QQ7UsptUJr3YZjFY8tHel3ZKCmhMDzQ1luG8tVlTdh6fks2heJM/e6g55rNSsSIxx0i7STFBVC9ygHSVGOhudukQ4SIxzYLEc5wMrrgtwlsP1r47FrrVFucUBKJvQYA6nGo9Rs5qJPLiLMGsbsM2cTaYs8umsLIcRRONDvR/mLXRy2udvmMnvTbK4edHXLSWLpdnjjPAK1pfwx6k+8tr0Xd5zalztO7du6d3aFEEIc09blV7Lu9fu5xOtklvkCLj+uG/8rK+KC9KncfvVkQm0WlAKPP0Cd20+Vy0tZrYfSGg/F1S52VbkpqnJRWOliY2EVX28qwultuoOWUhAXZiMxwkggEyPsJEbYSYg0XseH20kIt5MQYSfEtp/pElaH0YuYPglO+5MxVDZnMWT/CDk/GT2iAR8AcZEp/KVbBtc7s/n9Z9fz1+MfwxTbp9X2bhRCiNYiP5XEYdlctpk/Lf4T47qP487RdzY/YNdaeON8fH4/M3iYn0p68vfLR3DmsKR2j1UIIUTn9dHKfB5+fxk/WT9lV+rpvH79Vaws/oUPFgQ4OS2TuPC9QzodVjORDivdoxwHbFNrTZXTx64qFwWVTnZXGklkUbWL3VVudlW6WJNXSWmtu8U1akJtZuLCbcSF2YkNsxETaiMu3EZ0qJWYUFv9w0pUqJXopFOJSp+Kw2pC+VxQuBryfobCNYwqXM297jL+zCZeemsyN1e7Ib4vJAwwnmPSIKY3xPaGsISj2stRCCGOlCSK4pB5A14e/OFBImwRPHXSU1hM+3z7FKyC18/FbQrhIufvKXH04v2bMhmS0sL8RSGEEGI/FqzbxW/fXcXvuq0hrMJJ2JQ7wKRYV7IOgMHxg4+oXaUUUfWJXP/uEfs9zucPUFrrobjaTXGNm+JqNyU1bspqPJTWeiipcVNU7WJTYRWltR7cvv2vYmozm4gMsRIZYiHSMYrIkHFExFqItXoY5JrFizGrCYkcyhRnFdE7fiJk3Qco9map2hqKjuqJikpBRSZDZIqxwE5EEoQnQFiikUxabEf0NemIvAEvVe4q6rx11PpqqfUaD4/f0+xYhcJusWM32wmxhGA323FYHETaIgm3hre80J4Q4pBIoigO2b/X/pvN5Zt54eQXiHXENq2sTxKdplCmVd6PJS6N/10/lqSokKDEKoQQonPKLqnlzndWMrxHNDNsP4I5A3qOB2BtyVqSw5KJD4lv0xgsZhPdIo05jIfC6fFTXuehrNZDpdNLpdNLRZ2XCqeHKqePSqeXKqeXKpfxnFdeR43LR43nUkgq4RnbZv5UfCMBVw/seEhVxfRQRfRSRfTy7SbFVUL3oiyS1QriVCUmmnd31poiqLVE47RE4bZE4rFF4bVF4bNFEbCFgy0CbOHgiEDZwzE5IjHZIzGFRGB1hGO1h2CzmLFaTNjMxsNqUVjNJiwm1ap7VmqtKaorYmvFVraVb6OwtpBdtbvYXbeb3XW7KXWWolv4jEciwhpBpD2SSFv9w970OcoeRZQtimh7tPHabrx2WA7t316IY5kkiuKQbC7bzMurX+bM9DM5pecpTSvrk8RaFcrU8vtI6JHBK9eMITr02Lm7KYQQou0FApp731+DzWziX9MiMb+61JjzV5+krCtZx5D4IUGOsrkQm5kQWwjJ0Yd/c7SgegzXfH4NNf3e4I9jXyTW2pM6j49at9949vjJcvtY7/bh9Ppxu1xY63ZjdxVhd5fh8JQR7isjzFtOhL+CMG8NEYFdRLGNKKqJVM5DiiOgFW6suLDhwkaNNl676997sOFTFvzKgl9Z6x8WAiZrw3ttsqBNZrSywJ5ns4Uqk4dcawX55nKKTZUUmSpwqb29g3ZsRBJOpAqnh+rOIHMGYSoUh8mB3WQ3nlUIVpMVpRTKZDKelQIFfvx48eHHhxc/Xu3FhQtnoI66QP2zq46SumJyAjup89dRG6jDp337/XrYlI1wSxjhlnAiLOGEW8IJNYcSanYQYg4hxBxivLc4CDWHEGoJJdRilIVYHFiVBavJWv+wYFKqyQhipYweboXxGRRqbzk0lO1J0BXsfd3o+Ianpm9RShHQAbQO4NN+NAECOoBPG88aozxQ/95Po9c6gMaPXwfwB/wE0EZ5/Wu/NuoC2l+f0BtJfaN+8CbvtdYNiX/jspY0viHR+NaEavRuf8ew32P2d9T+223S1n7jO/gxTdtpfK0mIe3TVuNvlAMfkxyVRs+EIxthcSgkURQH5Q14eejHh4iyR3H/mPubVhZthDfOo4YQplbcR0a/Qbx4xShCbfKtJcTR8Hq95OXl4XK5gh1Kp+JwOEhNTcVqtQY7FHEEPlqVz7LsMp6+aBjxW/8NJgsMvwyAMlcZ+TX5XDbgsiBH2bqSI7rznyn/4qoFV/HEL7/ltTNeIzUitVXa9gc0To8Xt7MKT20lPmcV3roqAs4qAu5qAu5qcFejPXXgdaJ8zvpnN8rnxOF3E+p3Yfa7MAU8qIATc8CLKeDFpH2YtRez34tZ+7BoL2b8mAhQZDazJMTBCoed5Q47OfX/H0MCAfp6vIz1eOjr8dLP66Wvx0tUYP9Dd9uSSykqTCYqTSaqzCYqTCYqzCYqTWYqTSYqzGVU1NcVm8zUmBR1JhO1SqEPs4fVojU2rbFqjVWDFeM1NEqeAF2fAGhAKxqlYc2P23OMDwgohR/wowgo8MNhxyg6nzP8PXjquvlt1r78NS8O6u2Nb7OpbBPPTXqOaEf03orynfDG+dT6zZxRfS+DBg5h1uWjjn6ZcSEEeXl5REREkJaW1qpDvo5lWmtKS0vJy8ujd+/ewQ6nU6uurmbRokVNylJTU8nIyMDn8/HDDz80OyctLY20tDTcbjeLFy9uVt+nTx969OhBXV0dy5Yta1af0bcvf/9mG/0Sw4iv2krdL+/iihrCmuUbgA1Ux1cD0NPes1lsAEOGDCE+Pp6SkhLWrVvXrH7EiBFER0eze/duNm7c2Kx+9OjRREREUFBQwJYtW5rVjx07ltDQUHJzc9m+fXuz+vHjx2O328nOziY7O7tZ/QknnIDFYmHbtm3k5eU1q3/5tJe5ZsE1XDvvWm6Kv4k4S1xDndlsZuLEiQBs2LCBoqKiJufabDaOP/54ANauXUtpaWmT+pCQEMaNGwfAqlWrqHBpIAJsgA3Cw8PJzDRWx1++fDk1NTVNzo+OjmbEiBEALF26FKezaS9lbGwstlQbi3IX8enGT9np3glAqAohw57GydGDOWPgJPqH9+KX5T8T8PtQ9b1XW3SAhPg40nr1gICfFSt+RmmAgNETpQPExcWR1L07Pp+X9evXQ0ATwKhDQ1x8PHGxsXg8Hnbs2F5/HkYvltbExcURFRWJx+MlJyenvjdLNyxYFBsXR3R4GCEuN+wqJFprAhr2pGaxcXGEhoTgcrkpLi7CrzVe/Ljw4lY+bJGhBGyKSncNpdXleJQfHwH8Rr8c1hAH2qxw+9zUup31dQH8yojfbrdjMpnw+fz4vF6gviNJG/1GdrsdkzLh8/nweff2gtb3RxLqCMGsTPi9fnxeHyatMNXXmlCEh4ZhVia8bg9+rx8FmDCh6tuPiohEofA43fi9PuPM+jZMShEdEYUZE06nE5/b26RtpUxERxlrUdTW1OCtj29Pj5fJbCIyIhIF1NTU4vX5mvS+mU0WIiON+cKV1VUEfMaqxHsSZKvFTFh4OADV1VX4/XtvKmjAYrUQFhZmnF9ZhdYBdKMLWK1WQkONvburKitpektCY7PacIQ60EBVZRX7stls2EPs6ICmqrq6Wb3dZsPusBMIaKprati3X9Vhd2C3W/H7A9TU1taX7z3G4XBgsxn1tbW1zQZc76n3+nw46+qand+3zwSAo/q5dyCSKIoDKnGW8OLqF5mYMpFTe566t6KmCN44D7ezhvNrH2LokOG8MH0kVrMkiUK0BpfLJUniYVJKERcXR3FxcbBDEUfgu+2VbC+u5enzBxBW8hOhzgLyUs9uqN9WtQ2AjKgMtuc1T9Q6u74xffnH5H8w4/MZ/KXwL9yUeBM97T2DHdZ+BXSAbHc2K+pWsL5gPaUrS1Eoejt6c3b02QwKGUSyNRmTMpGYmMignoMAcIfk4vc33aIkMiYJkvoDUL21+R/r0cmpOOpvUjiLmk9rsaelEV9/k2KLu/lNiqhGNylyTcvY96dqt379SE5Oprq6mpIVK5qd33PgQLp160ZFRQXVq1Y1q+/sNykmTZoEwObNmyksLGxS1+o3KSoqmtQf7U2KuLg4hg4dCsBPP/2Ex9N0waPExEQGDTK+977//vtm33tJSUn0729877V0A6qtb5D1a/S9t6KF772Bjb73Vu3ne68tqf2NET7WdaTNhDuyB394kM+yPuPDcz+kV2Qvo9BVBa9Ow1u0lUud95MybBLPXTIciySJQrSajRs3MnDgwGCH0Sm19LU70IbCorlg/I685KXF7K5y8fXdkzD/+Bx89Ue4awNEpQBw96K7WV+6ngUXLmjXuNrbjood3LzwZsrd5Txz0jOcmHpisENqoLVmc/lm5mfNZ0HWAgprC7Gb7RyffDwn9ziZE1NPJC4k7uANCSE6jAP9fpQeRbFfq4pW8fH2j7lx6I17k0S/D96/lsDuDdzgvofuQ06UJFEIIcRRKax08nN2GXdN7ofZpGDzfEga0ZAkAmwq28TA2GP/5kl6dDpvnfkWtyy8hdu/vp1bht/C9UOvb74lVTvaWbWT+Vnz+SzrM7Iqs7AoC8clH8ftI2/n5B4nE24LD1psQoi2I4miaJE/4OfPS/9Mt9Bu3DD0BqNQa/jsXti2kAe9N2DqO5nnLx0pSaIQQoijMm9NIVrD2cOToXo35C2Hk3/fUF/jqSGnOodz+pwTxCjbT3xIPK9OfZVHFj/CrFWzWJS7iJknzCQ9Or3dYthVu4vPsz9nftZ8NpRuQKEY1W0Uvxr4K07rdRoxjph2i0UIERzyF75o0fys+Wws28jdmXcTajUmAbPkRVj+H17yn01Wr4v4x69Gy8I1QhyjJk2axOeff96k7Pnnn+eWW2455Daef/55Xn/9dQBeffVVCgoKDvlcrTW/+c1vyMjIYNiwYfzyyy8AeDweTjzxRHy+/S9rLzqfj1cXMDQlit7xYbDjG0BD3ykN9ZvLNwMwMO7Y71HcI9QaylMnPsUzJz1DXk0eF39yMS+uepFKd2WbXTOvOo/X1r/GlfOvZMr7U3hm+TMA3JN5D19c9AWvTn2VS/pfIkmiEF2E9CiKZjx+D7NWzmJQ3CBOTzvdKNzyOfrzB/lCj2VewgxmXz0Gh9Uc3ECFEG3msssuY86cOZx++ukNZXPmzOHpp58+pPN9Ph+vvPJKQ4L36quvMmTIEJKTkw/p/M8++4ytW7eydetWli5dys0338zSpUux2WyceuqpvPPOO1xxxRWH/8FEh5Nf4WRNXiUPnDHAKMj+ARxR0H1owzGbyjYBMCB2QDBCDKrT005ndLfR/Hnpn/nH6n/wxoY3uGzAZfxq0K+IdcQeVdu+gI/N5Zv5Mf9HFu5cyMYyY6GVAbEDuGXELUxNm0paVForfAohRGckiaJo5t3N71JQW8Ajxz+CSZmgdDv+929gC2k8E3o3s68dR7hdvnWEaE+tvRrbnlXu9ueiiy7ioYcewu12N6ymV1BQgM/n46STTqJbt26sWrWKCy64gKFDh/LCCy/gdDr56KOP6NOnD19//TWjRo3CYrHw/vvvs3z5cq644gpCQkJYvHgxISEH3ph87ty5XHXVVSilOO6446ioqKCwsJCkpCTOO+88HnjgAUkUjxE/bi0BYFL/RKNg54/Q83gw7b0ZubF0I7GOWBJCEoIRYtDFh8Tz7KRn2VS2iZfXvMy/1/6b/677L6O7j25YRCY5LBmz6cA3cOu8dWws28iK3Sv4ZfcvrCpeRa3XWLJ/eMJw7sm8h1N6nkKPiB7t8bGEEB2c/LUvmqjx1PDympcZlzSO8cnjwV2D7+0rqPVo7lb38NJ1J5AQYQ92mEKINhYXF8fYsWNZsGAB5557LnPmzOHSSy9FKcXq1avZuHEjsbGxpKenc8MNN7Bs2TJeeOEF/va3v/H888/z448/NuzPdNFFFzFr1iyeeeaZhmXQ77rrLr755ptm150+fTr3338/+fn59Oix94/V1NRU8vPzSUpKYsiQIfz888/t84UQbe77bSUkRNjp1y0cqgqhbAdkXtfkmD0L2XT17WIGxA7g2UnPsr1iOx9v/5hvcr/hiWVP8MSyJzApE/GOeBJDE0kITSA+JJ4abw0lzhKK64opcZZQ49279UBGdAZnpZ/FqMRRjOk+hoTQrpmECyH2r9Mlikqpe4CngQStdUl92QPA9YAf+I3W+vMDNCEO4LUNr1HuLueuUXeB1vg/uhVVspm7/Pfz2I1nkp4gK5sJEQwH6gG0WCwHrLfb7QftQWzJnuGnexLFV155haqqKsaMGUNSUhJg7BE1ZYoxl2zo0KENyV9hYeEBt/d47rnnDnjtlrZu2pMkmM1mbDYb1dXVREREHPbnEh2H1pqftpVwYr8E4993549GRdoJDcd4/V62V25nQsqEIEXZ8fSJ7sNdo+/irtF3kV2ZzbJdy9hdt5uiuiKK64rJrc5lVdEqQq2hJIYm0jemL8cnH09CaALpUemMShxFtCM62B9DCNHBdapEUSnVAzgNyGlUNgiYDgwGkoGFSql+Wmt/y62I/alwVfD6+teZ0msKg+MHo3+ahXnjRzzhnc5F069iVE+ZvC5EV3Leeefx29/+ll9++QWn08moUaNYtGgRdvveUQUmk6nhvclkalhkJiQkBJfLtd+2D9ajmJqaSm5ubkN5Xl5ek/mNbrcbh8Nx1J9RBNfO0jpKaz2M7V0/1y77B7BHQvdhDcdkVWXhC/joH9M/SFF2bGlRaTKPUAjRJjpVogg8B9wLzG1Udi4wR2vtBrKUUtuAscDiFs4XB/Dmxjep89Vx8/CbIf8XAl8+zFf+0YRMuptpQ5OCHZ4Qop2Fh4czadIkrrvuOi677LLDOnfgwIFs27at4X1ERATV1dUN7w/Wo3jOOecwa9Yspk+fztKlS4mKimroxSwtLSUhIQGr1XpYMYmOZ2VuOQAje0YbBTt/hJ7HNZmfuLV8KwD9Yvq1d3hCCNGldZq9DZRS5wD5WuvV+1SlALmN3ufVl7XUxgyl1HKl1PLi4uI2irRzqvZUM3vjbCb3nExGSCJ1b1/N7kAkX/b9A7+Z3DfY4QkhguSyyy5j9erVTJ8+/bDOO+OMM/juu+8a3l9zzTXcdNNNjBgxAqfTedDzp02bRnp6OhkZGdx44428+OKLDXXffPMN06ZNO6x4RMf0y84Kwmxm+iZGgLMCSrZAj3FNjtlSvgWryUqvqF7BCVIIIbqoDtWjqJRaCHRvoepB4PfAlBbqWprZ3nxyC6C1fhl4GSAzM7PFY7qqtze9TbW3mhlDb6Tmf3cQUp3L85GP86fpE7v84gFCdGXnn39+k/mCkyZNajLfsfFqrI3revXqRVxcHFu3bqVv375ceOGFXHjhhYd8XaUUf//731usmz17No8//vhhfQ7RMa3KrWBYajRmk4Jda4zC5BFNjtlSvoX0qHSsJulBFkKI9tShehS11pO11kP2fQA7gN7AaqVUNpAK/KKU6o7Rg9h4HedU4NB3dRbUeet4Y8MbnJh6In2yfyF8y/942XQxv7nuakJssleiEOLIPPHEExQWFrZqmx6Ph/POO4/+/WW+Wmfn8wfYvLuaISmRRkHBKuM5aWST47aWb6VvjIxsEUKI9tahehT3R2u9Fkjc874+WczUWpcopT4GZiulnsVYzKYvsCwogXZS725+lwp3BTemnUPgrWtYFhjAwMv+RGpMaLBDE0J0Yv3792/1hM5ms3HVVVe1apsiOLJLa/H4AgxMqk8UC1dBVA8Ii2s4psZTw+663fSJ7hOcIIUQogvrFInigWit1yul3gU2AD7gVlnx9NB5/B5e2/Aa47qPI2n+X/D5A6wZ8wQ3DJTFa4QQQrSdDYXG4kYDujfqUUwa3uSY7ZXbAegTJYmiEEK0tw419PRQaa3T9uyhWP9+pta6j9a6v9b6s2DG1tnMz5pPibOE8zyxdCtdyruxv+baMycFOywhhBDHuE2FVVhMij6JYeCqhLLtkDSiyTE7KnYAxubwQggh2lenTBRF69Ba8/qG1+kT3pNTVrzKYjWCc6/7vbGogBBCCNGGNu+qJj0hDLvFDIUtL2SzvWI7drOd5PDk5g0IIYRoU5IodmGLCxeztXwrZxWU4NNmTOf9jbgI2cBaCGGsYPr55583KXv++ee55ZZbghSRONZsL64hIzHceFNYv/PVPj2K2yq30TuqN2aTLKwmhBDtTRLFLuz19a8TrUK4qnQT36b/lnHDhwU7JCFEB3HZZZcxZ86cJmVz5szhsssuC1JE4lji8QXILXfSJ6E+Udy1BiKSITyhyXE7KnbIQjZCCBEkbZooKqXClFJyG7AD2lq+lR8LfuSS0hLWW4Yz5fK7gh2SEKIDueiii/j0009xu90AZGdnU1BQwAknnMBTTz3F0KFDGT58OPfff3+QIxUASqlHlFL5SqlV9Y9pjeoeUEptU0ptVkqdHsw498gpq8Uf0KQnhBkFxZsgcWCTY2q9tRTWFspCNkIIESStuuqpUsoETAeuAMYAbsCulCoG5gMva623tuY1xZF5Y8Mb2LTi4qpq3Jf/Hbu10y+AK8QxrfHG9nukpqaSkZGBz+fjhx9+aFaflpZGWloabrebxYsXN6mbNGnSAa8XFxfH2LFjWbBgAeeeey5z5szh0ksvZcGCBXz00UcsXbqU0NBQysrKjuZjidb1nNb6mcYFSqlBGL+XB2NsIbVQKdUv2KuD7yiuBaB3fDgEAlC8BTInND2mfiGb9Oj0do/vWBEfH09aWlqwwxBCtLLs7GxKSkoOfuBRau3s4BtgIfAAsE5rHQBQSsUCJwNPKKU+1Fq/2crXFYeh0l3Jp9s+4dzqKnLTf82YvkODHZIQogPaM/x0T6L4yiuv8NZbb3HttdcSGmrssxobGxvkKMVBnAvM0Vq7gSyl1DZgLLD4wKe1rZyyOgB6xYZCZS74nBDfr8kxe7bGkBVPj1xaWhrLly8PdhhCiFaWmZnZLtdp7URxstbau2+h1roM+AD4QCllbeVrisP0+urZePFxSm04o2/6v2CHI4Q4BAfqAbRYLAest9vtB+1BbMl5553Hb3/7W3755RecTiejRo3izTffRClZGflglFIO4CxgIkZPnhNYB8zTWq9vo8veppS6ClgO3K21LgdSgCWNjsmrLwuqnLI6IhwWokOtULDFKEwY0OSY7RXbsZlspIQHPVwhhOiSWnuO4odKqcuVUmH7O6ClRFK0H3/Az/vrXmGUy0Xfqc9istqDHZIQooMKDw9n0qRJXHfddQ2L2EyZMoVXXnmFujqjR0iGnjanlHoE+AkYDywFXgLeBXwYI2u+VEod9uphSqmFSql1LTzOBf4B9AFGAIXAX/ac1kJTej/tz1BKLVdKLS8uLj7c8A5LTlkdPWNDjZsOxZuMwoT+TY7ZXrGdtKg0LCaZGiFEewvoAG6/mxpPDeWucorqiiioKWBn1U62V2wnvyafClcFHr8n2KGKNtTaP33/hTEX4nml1DfA28B8rbV8F3UQf/viFcrMLq7x9aH7iCnBDkcI0cFddtllXHDBBQ0roE6dOpVVq1aRmZmJzWZj2rRp/PnPfw5ylB3Oz1rrR/ZT96xSKhHoebiNaq0nH8pxSql/AZ/Wv80DejSqTgUK9tP+y8DLAJmZmS0mk60lp6yO/t0ijDfFmyE0HkKbDmPeXrGd4YnD2zIMIboMj99DXnUeO6t2sqtuF6XOUkpdpZQ6SylzlVHqLKXSU4nX78Ub8OI/jGnMFpOFUEsoodZQwixhhFqN16GWUOJC4ugW2q3hkRiaSLewboRbw2V0SifQqomi1nouMFcpFQKcA1wN/FMpNR94W2v9ZWteTxye0ho3S7JeItbu5/KLXwp2OEKITuD8889H66Y5w/333y+rnR5YiFLKXj8vsBmtdRFQ1JoXVEolaa0L69+ejzHMFeBjYLZS6lmMIbB9gWWtee3DpbWmoMLJqQMSjYKSLc2GndZ56yioLeCCqAuCEKEQnZfT52Rz2WbWl64nqzKLnKoccqpzKKwtJGAsHQKAQhHjiCHWEUtcSBzDEoYRZY/CbrZjNVmNh9l4tpgsTcrMyozL56LOV0ett5Y6bx11vrpmz2WuMlYXr6bM1XzkSYglxEgew4wEMiU8hd5Rvekd1Ztekb0IsYS055dN7EebjOfQWjuBd4B36ofXvIaRNMpWGUH0r/deZEOIi1+FDcUe0yvY4QghxLHqCuBFpdQCjJE1X7TDKqNPKaVGYAwrzQZ+DaC1Xq+UehfYgDH09dZgr3haVuvB5Q2QHB0CWhtDT4dc2OSYrMosANlDUYgD8AV8bCzdyPrS9Q2P7RXbGxLCCGsEPSN7MixhGGf3OZueET3pFdmL5PBkou3R7Tas2+P3UFRXxO663cZz7W521+1ueL+0cClFdUXoRqPik8KSSItMa0ge06LS6B3Zm8TQROmJbEdt8h2ilOoGXIIxDDUJeA+4ti2uJQ7NT1t3U1v5CqZouGrKk8EORwghjlla6/OVUpEYPXu/Af6jlJqLMbLmuza65pUHqJsJzGyL6x6J/AonACnRIVBTBK5KiG86P3FbxTZAEkUhGtNak1WZxeLCxSwpXMLyXcup8dYAEGOPYVD8IE7ucTJD4oYwKG5Qh0mqbGYbqRGppEak7vcYl89FTnUOWZVZZFVmkV2VTVZlFh9t+4g6X13DceHWcPrH9mdg7EAGxA5gQOwA0qPTsZpkrcy20Nr7KN4IXAb0B/4H3Ku1/rE1ryEOn9vn5/v3n+f7RD8nRA+ie1RasEMSQohjmta6CmM0zWtKqTjgIuBvSqlYrXWPA599bCuoTxSTo0OgeJVRuO9CNpXbsZgs9Ijo0l8qIXD6nHyf9z3f5n3LksIlFNUZo9ZTw1OZ2nsq47qPY1jCMJLCkjpEUnikHBYH/WL60S+m6TY5WmuK6orIqjISyG3l29hUton3t7yPy+8CwGqykhGdwcC4gfSP6d/wHGoNDcZHOaa0do/i8cATwMI9eyiK4PvXV+tJN71HqSWEi0ffFuxwhBCiy1BKxQAXAJcCsRhbRXVp+RXGH3cp0SGw0dgrkbimeyVmV2bTK6KXrHgquqQ6bx3f5X/Hl9lf8n3+9zh9TqLt0YxLGsdxSccxLmlcl7mJopQy5jGGdeO4pOMayv0BPzurdrKxbCObyzazsWwjX+d8zf+2/g8AkzKRHpXOsIRhDI0fytD4oWREZ2A2ySy4w9Hai9k0DC+tn5uY1vgaWuv/teb1xMHllNbh+uFFvkmGRFs0E1JOCHZIQghxTFNKRQDnYYywGYWxoMxjwDd635WBuqDdVS5sFpOxh2LZDjDbIbLpXok7q3aSFpkWnACFCAJvwMui3EV8lvUZ3+d9j8vvIs4Rxzl9zmFKrymM7jZakpxGzCYz6dHppEenc2b6mYDR+7i7bjebyjaxoXQDa0rWsHDnwobkMcQSwuC4wQxNGMqweCOB7BbWLZgfo8NrqzmKrwDDgPXAnp5FjTEcVbSjZz9ezK3WT7goNJYbBlwid2eFEKLtZQGfY+xtuED2D25qV6WL7pEOY5hcWRbEpIFp77bOvoCPnOocTupxUvCCFKKd5Fbl8sHWD/ho20eUukqJD4nnvIzzmJI2hVGJoyQ5PAxKKbqHdad7WHcm9ZgEGMljTnUOa4rXsLZkLWuL1/LGhjfwBXwAJIYmMiJhBCMTRzIicQT9Y/vLfMdG2iprOE5rPaiN2haH6NstxQze/m++jDcTAM7POD/YIQkhRFfQU2tdd/DDuqbdVUaiCBg9irHpTeoLawrxBXz0juwdhOiEaHtev5evc7/m/S3vs6RwCWZl5sTUE7mo30VMSJ4gyWErUkrRK7IXvSJ7cXafswFw+91sKtvE2uK1rClew6riVXyx8wsAHGYHQ+KHNCSOwxOGE2WPCuZHCKq2ShQXK6UGaa03tFH74iA8vgD/nPsNr1i+4NzY3ozvPuqAq00JIYRoHXuSRKXUWcCjGNMwzIAyqnVk8KILvt1VLoamRhtbY5RlQfrJTeqzq7IB6BUp2ziJY0uFq4J3Nr/D25veptRVSnJYMreNuI3zMs6TIZDtyG62MzxhOMMThjeU7ardxariVawuWs3KopW8su4V/PU7CaVHpTMicQQjEkYwInEEaZFpnXrhoMPRVoniaxjJ4i7Azd5fjsPa6HpiH28t3cm5lW+xPNJBoXbz236yabEQomNYsGABd9xxB36/nxtuuIH7778/2CG1lecxFrJZK3MTDVprdlW5mBxhh+pd4HNCbNOewz2JYpqs0C2OEbnVuby+/nU+2vYRLr+LE1JO4IqBVzA+abz0HnYQ3cO6MzVsKlPTpgLGgkLrS9ezqmgVq4pXNZnrGG2PZkTCCIYnDmdk4kgGxw3GYXEEM/w201aJ4ivAlcBa9s5RFO2kss7Lhwu/40PLd9zfcwxR1HFKj1OCHZYQQuD3+7n11lv58ssvSU1NZcyYMZxzzjkMGnRMzlbIBdZJkrhXtduHyxsgMdIOFTlGYXTTnsOdVTuJsEUQY48JQoRCtJ6cqhxeWvMS83bMQynFWelncfWgq8mIyTj4ySKoQq2hjOk+hjHdxwAQ0AGyK7NZWbSSVcWrWFW0ikV5iwCwmCwMih3E8MThDfMdE0ITghh962mrRDFHa/1xazaolHoEuBEori/6vdZ6fn3dA8D1gB/4jdb689a8dmfzt6+3cp3vHaodDr72lnBhv4uwmW3BDksI0QmtX7+eO+64g5ycHK688kqKioq46qqrGDNmzBG1t2zZMjIyMkhPN+alTZ8+nblz5x6rieK9wHyl1LcYo2sA0Fo/G7yQgquk2vgyJEY4oGKnURjTNFHMrsymd2TvLjO0Sxx7GieIFpOFywZcxrVDriUxNDHYoYkjZFKmhlVWL+x3IQDlrnJWFxtDVVcVreLdze/yxoY3AEgJT2kYrjoycWSn3ZqjrRLFTUqp2cAnNP3leLSrnj6ntX6mcYFSahAwHRgMJAMLlVL9tK4fWNzF5JTW8f3iH/m9dTHvDzoTT9Vqzs04N9hhCSGOwh8/Wc+GgqpWbXNQciQPnz34gMe4XC4uvvhi3nvvPdLT0xkwYACjR49uliROnDiR6urqZuc/88wzTJ48uUlZfn4+PXrs3f8rNTWVpUuXHsUn6dBmAjWAA5C7dUBxfaIYH26HgvpEMbpnk2Oyq7IZ231se4fWKXShYdudUomzhH+u/icfbPkAi8nCFQOv4Noh1xIfEh/s0EQbiHHEMKnHpIYVVr1+LxvLNrKyaCWri1eztHAp83bMAyDMGsaw+GENyeOwhGGE28KDGP2haatEMQQjQZzSqKyttsc4F5ijtXYDWUqpbcBYYHEbXKvDe+aLzdxq/ghlsTPX4iUjOoNBscfknXohRBtbuHAhI0eOZPBgI6H0eDzcfffdzY77/vvvD7nNlkZhHsM9R7Fa6ykHP6zrKK4xEsWECLvRoxiWCNaQhvo6bx2763bLQjYt6GLDtjuVOm8dr65/lVfXv4rH7+Gifhdx0/CbDi1B1BoCPvC5wOeBgNd4H/Abzzqw97g9lMnYUkaZwWQ23iszmK1gttU/rHDs/mztkKxmK8MShjEswViSRWtNfk1+w1DVVUWr+Ofqf6LRKBR9Y/oyMnEkwxOGMyJxBKnhqR3u92GbJIpa62vbol3gNqXUVcBy4G6tdTmQAixpdExefVkzSqkZwAyAnj17tnRIp7Yuv5I1a1bwvP0nskZdxZrir7kn854O900nhDg8B+v5aysrV65k1KhRABQUFBAeHs6ECROaHXc4PYqpqank5uY2vM/LyyM5ObmVI+8wFiqlpmitvwh2IB3FnqGnDYniPsNOc6qNeYuykE1zXWzYdqegtWZB9gKe+fkZipxFnJZ0PL9JnUKaX8PaD8FZAc7ypg93NXhqwFsHnlrwOjH6UtqAybI3cbSGgMUOFkf9cwhYHWANNcqsocYxttD616H1r8PAFma8toXXvw7f+9oaIgnpfiilSI1IJTUilbPSzwKgxlPDmpI1DYnjpzs+5Z3N7wAQHxLfsLLqiMQRDIodhNUc3D0dWzVRVEo9BLyotS7bT/0pQKjW+tP91C8EurdQ9SDGxsWPYvxvehT4C3Adxoqq+2rxf5zW+mXgZYDMzMxjbnGBpz7fzF32T1AWGx/HJGIuMXNm+pnBDksI0UnZ7Xby8vIAeOCBB/B4PC0edzg9imPGjGHr1q1kZWWRkpLCnDlzmD17dqvE2wHdCtyrlHIDXmR7DIpr3JhNiugQK1TkQsqoJvUNK55GprV/cB3coQ7bfvnll3n55ZcBKC4ublYvjpDWUJkHJVugZAvbdq3k8arVLNN1DPT6+UtxMSOy5gBzmp5nC4eQGAiJNp7DetcnWKF7Ey2zHSw249lsNRK8PQ9lap6I6YDR46j9TV/7feD31D+8jV576nss3fUPl5GgeuqgrtR49rn2Jq5+N4dO7U0a7S0kkvZwsEXUP4fvfW782h6x9701zOgtPUaF28I5Pvl4jk8+HgB/wM+2im2sKlrFymJjruPCnIUA2Ew2hsQPMVZXTRjJsIRhxIXEtWu8rd2juBb4RCnlAn7BWHjGAfQFRgALgT/v72St9eT91TWmlPoXsCfZzAN6NKpOBQoON/DObvH2UnZs3cDZjh8IjLqeT3K/ZkLKBBkXL4Q4Ypdffjnnnnsu/fv359e//jVut5s777yT559//ojbtFgszJo1i9NPPx2/3891113XMLT1WKO1jgh2DB1NSbWHuDAbJjRU5cOgpnPosyuzAegZeeyN+jlahzpse8aMGcyYMQOAzMzMNo/rmOSugYKVkL8cdm+oTw63greWGqX4R0wUsyMjCMXEQ+buXNRtMOZ+KRCZApHJEN4dQmPBEW0kgJ1NwF/f41kH3lrj2VNrvHbXGK89NfWP2r3vG9fV7Kp/36jskKi9yWZD4hnRPAk92Htb2N73HfjfwGwy0z+2P/1j+3PpgEsBKK4rZlXxKmOuY9Fq3tjwBv8N/BeApLAkBse13+/MVk0UtdZzgblKqb7ABCAJqALeBGZorZ1H2rZSKklrXVj/9nxgXf3rj4HZSqlnMRaz6QssO9LrdEZaa/7yxWbuCPkMhWJJ3xMo+ukz7utzX7BDE0J0YqmpqaxYsaLV2502bRrTpk1r9XY7CqVUmtY6+wD1CkjRWue1X1QdQ2mth9gwG9QWG70cUalN6ndW7aR7WHdCLCH7aaHr6mLDttuP1lC6HXIWQ97PkL8CijbsnRsYmQoJ/dAjr+Rzm+ap4p8o8VRxQcb53DH6TmIcx+A2Liaz0ctnb8V7XYHAPolm9d5E0l1z4PeeWqgq2PvaXWO0dcifx7p36OyenlxbeH1ZWNPhtfsOu20YjrtPvTWkftiuvdWH3iaEJnBar9M4rddpALh8LtaXrmdt8Vo2lG5gfen6Vr3egbTVHMWtwNZWbvYppdQIjGGl2cCv66+1Xin1LrAB8AG3drUVT7/fWkL2zmzOD/0GNeIy5u1eSoQ1gpN6nBTs0IQQoit6WillAuYCK9g7uiYDOBk4FXgYY0RMl1JW6yYu3GYM4YMWE0UZdtqyLjZsu01pVxWebd/i2/wFtuxvsFYbCbjXGkVZzFCK+/yaXRFDKAgfRJWKoNJdxo+VL5Hr/pkYczonht+Dp6gPT83PJRDIRaMJ6KbrzTSmlDHu3KSU8VopTMp4bzYZZXteG89gVgqTSTU8W0xGvbn+9d4yk3G8ydRwzN5nEyYTWEympuXmvee2dI7ZbFy38bWOmsnUuslnIFDf69m4h7O2eS/mnh7PJsfW95DWFkN5dtNj/C1Psdg/VZ84Nprn2ZBEOvbW7ZkTanHUzxfd89xovmjjYxrmkzpwWOyMDu/F6Oh+MPAKMFnI/PORbVF1uNpq1dNWp7W+8gB1MzGWIe9ytNb85cst3Bb2FWa/B9dxN7Pwy2s5Pe107GZ7sMMTQoguR2t9cf3WTVdgzKVPAuqAjcB8YKbW2hXEEIOmrNbD0JhoqKzfGqNRoqi1Jrsym2npx25v89HoSsO2j0adx8eO4lryyuvYVelid7Wb3ZUuXOX5DKv4ikz3UoYFNmJXfrzawTeBwXwbOI3FgUFkubqjqxvPjyvAErkaR7ePweQhUHYm5VUn8aPZjNm0qyHxMykjwTuQgNbGAqfaSCpB4w8YrwNaEwho/FoTCIBfG3UdhVLsTVT3JJDmvcmnSRnJZ/P3Jsxqb6LaUqLbkPAq1eQY8z7X27escfJsUibMpijMpugm9WY7mBxNz9nT3t4knIYypRRm/Fj9Tiz+OiwBFxafC7O/DovPidnnxOSvw+xzYva7UD4XZr8Tk8+F8jlRPicmnxO8TpTPhXLXoGqLjQTU5zbmf+6ZH3o0CxgpE9Cntf55D6jTJIqiZd9sLmJr7i4uD/8cNfBsvq3Lpc5XJ79ohRAiiLTWGzAWYuuS1m9fjt3qIKPnkCblpbXGHMWWehTLXGVUe6ulR/EAjvVh24fD6w+weVc1q/Mq2FBQRVZJLTuKa9lVtfceTAguplmWc4ltMWMCqzEToNCezorYyyhKnIiz+xjCw0KYbLNwrt1CqM1MqM1MiM1MjbecF1Y/ybd5XzM0fiiPTXiM9Oj0dv2Me5JHf6D+oTV+/94yX8BIMH2Bvcf4AoGGOn9A4/NrAnrP+wA+v25a36SNQJNynz+AP0Cz8pauFdhvm8ZxvkAAt0/j1zTEsSeuwD7n7I13n0d9sh08jvrH4TEp9iaiSmFSGrvJTyheQk1eQpSHEOXBjo8Q5cGhvNiVFzuNnhs/lAdjKZi2J4liJ6a15oWFW7kp4gds3mqYcAfztrxOQkgCY7q1T5e0EEIIsa97vrmGJH84r/x67+5VHl+AapfPmKNYmWfM+XFEN9TvrDJ6GWUPRdESl9fP4u2l/LCthNW5FawrqMTlNeYRRjospCeEc3xGHOlxoWSqDfQvmEv0zgUobx1E9IRhv4Vhl5KU0I+kg1xrQdYCZi6dSZ23jrtG38VVg67CYmr/P5lNJoUJhdXc7pfusBonzw0JpX9Pb2yjukY9s4FGyXagSRnNyvZX3tD7u6fnd8/1GvUKN05m9x5nvA7ovXUN12p0jG7UmxzQGo8GZ/1xe85paFtr4OZ2+Xq3yXe9Uqo3cDuQ1vgaWutz2uJ6XdV3W0tYn1fK7Oj5kDyByoS+fP/N91w24DLMJvmpIoQQIjhMGlBNb/2X1xlzf2LDbFCSD1EpTRaB2JMoSo+i2KOw0snXm4r4ZlMRP2wrweUNYLeYGJISxeVjezGiZzQjUqPpERuCCvhh41z48a9QuArsUTD0Yhh2KfQcf0hbLtR565i5dCYfb/84aL2I4sAkeTZkzmqf67TV7ZGPgP8AnwCBNrpGl6a15q9fbeXyiFWEuXbB8c+zcOdCfAEfZ/aWvROFEEIE175TrMpqGyWK1YUQ0bRfJ7c6F7My0z28pe2URVfh9Qf4csNu3lq6kx+3lQKQGhPCpZk9OGVgN8b1jsXROEvw1MKyl2HxLKjIgbgMOOt5GD7dWCzkEG0u28w9397Dzqqd3DT8Jn497NdB6UUUoiNpq/8BLq31X9uobYGxb+KKnWW8lPg5RGZA39OZ/+WNpEWmMShuULDDE0IIASilzgFOrH/7rdb6k2DG015a6rvZ06MYE2ozlrrv3XRl7tzqXJLDk7GarO0Qoeho8iucvL00h3eW51Jc7SYlOoS7T+vH1CHdyUgMb75npKcOFv8dlvwdnOXQYxyc/jj0n3ZYG7ZrrXln8zs8/fPTRNmj+PeUfzM2aWwrfzohOqe2ShRfUEo9DHwBuPcUaq3bZ+ZlF/D3Rds4LSyL+Kr1cOZf2O0s5uddP3Pz8Jtb3IBXCCFE+1JKPQ6MBd6qL/qNUup4rfUDQQyrXSgUep+hpxV1XgBiQkxQvQsim/Yo5lTn0COiR7vFKDqG/AonLyzcwvsr8tDAKf0TueK4npzULxFzS9syaA3rPoAvH4aqPOh3BpxwJ/Q87rCvXeWp4pGfHuHLnV9yQsoJPDbhMeJC4o76MwlxrGirRHEocCVwCnuHnur69+Iorcmr4MdtpXzd42uoiYHhl7Fg6/toNGf0PiPY4QkhxAEtWLCAO+64A7/fzw033MD999/f4nF+v5/MzExSUlL49NNP2znKVnEmMEJrY+dupdRrwErgmE8UATQtz1GMoxK0HyL3bhavtSa3Kpeh6UPbNUYRPJV1Xp7/agtvLckB4Orj07j+hN6kxoTu/6T8FbDgAchdCt2HwgUvQdoJR3T9dSXruHvR3RTVFXH36Lu5avBVmNSh90QK0RW0VaJ4PpCutT7cXSvFIfjnt9vp7yijd8kimHAH2MKYt2Meg+MGkxaVFuzwhBBiv/x+P7feeitffvklqampjBkzhnPOOYdBg5oPmX/hhRcYOHAgVVVVQYi01UQDZfWvo4IYR7sy6ea7hJXXz1GM8hUbBRF7E8VKdyXV3mp6RvRspwhFsGit+WzdLv4wdz1ltW4uGp3KHZP7kRJ9gPmE1btg4SOw+m0IS4Rz/gYjroAjXLjvgy0fMHPpTBJCEnj9jNcZmiA3KIRoSVsliqsxfjkWtVH7XdaO4ho+W7eLd9IWo3YpGHMDOyp3sLFsI/eOuTfY4QkhjjHr16/njjvuICcnhyuvvJKioiKuuuoqxow5si14li1bRkZGBunpxkqC06dPZ+7cuc0Sxby8PObNm8eDDz7Is88+e9SfI0geB1Yqpb4BFMZcxS7RmwjNE8WKOi8hVjO22t1GQaOhp7nVuQAy9PQYV1Tt4sEP1/Hlht0MSYnk1WvHMCTlIPdP1n8En9xhbFo+4U6YeDc4Io/o+m6/m8eXPs4HWz/g+OTjeXLik0Q32qJFCNFUWyWK3YBNSqmfaTpHUbbHOEr/+n4HkWYPmWWfwqBzICqV+StnoVBMTZsa7PCEEG3hs/th19rWbbP7UDjjiQMe4nK5uPjii3nvvfdIT09nwIABjB49ulmSOHHiRKqrq5ud/8wzzzB58uQmZfn5+fTosTcZSE1NZenSpc3OvfPOO3nqqadabLejU0rNAmZrrd9WSi0CxmAkivdprXcFNbh2YqL50NNKp5foUCvUJ4WNVz3NqTaGH/aMlB7FY9Xy7DJueesXKp1e7j9jADec0BuL+QBDPd3Vxs++VW9C8ii44F8Qn3HE1y9xlnDHN3ewpngNNw69kVtH3CpbiQlxEG2VKD7cRu12acXVbj74JZ8n0jZgyquEsb9Ga838rPmMTRpLQmhCsEMUQhxDFi5cyMiRIxk8eDAAHo+Hu+++u9lx33///SG3qfW+/Uw0W4Dr008/JTExkdGjR7No0aLDC7pj2Ar8RSmVBLwDvK21XhXckNqbat6j6PQSFWKFmt2gTBC293fWnkQxJTylHWMU7UFrzWs/ZfPYvI2kxITw2nVjGZh0kB7B3J/hfzdCxU6YeA9Muh/MR74a7uayzdz29W1Uuit5dtKznNbrtCNuS4iupFUTxUZ3Ub9tzXaF4Y0lO/H6/Zzp/AS6DYWex7G2ZC251bncOPTGYIcnhGgrB+n5aysrV65k1KhRABQUFBAeHs6ECROaHXc4PYqpqank5uY2vM/LyyM5ObnJMT/++CMff/wx8+fPx+VyUVVVxa9+9SvefPPN1vhYbU5r/QLG6t+9gOnAf5VSDuBtYI7WektQA2wHRuq/T49i3Z4exUJjnlmj3py86jy6hXbDYXG0a5yibfn8AR76aB1zfs5l8sBE/nLJCONmwf74ffDDs7DoCYhMgWvmQa/jjyqGb3K+4b7v7yPCFsGrU1+VLcSEOAyt3aMod1HbiMvr543F2fw6rRh74UY4+wVQivlZ87GZbEzuNfngjQghxGGw2+3k5eUB8MADD+DxtLw+2eH0KI4ZM4atW7eSlZVFSkoKc+bMYfbs2U2Oefzxx3n88ccBWLRoEc8880ynSRIb01rvBJ4EnlRKjQRewRhxc8yPd1M0n6NY6fSSFh8K1bsholuTupyqHBl2eoxxef3cMWcln6/fzW0nZ/Db0/phamm7iz2cFfDuVZD1LQy9GM78CziOfP0nrTX/Xf9fnl/xPIPjBvPXU/4qI6+EOEytug6w1voFrfV44CSMVd7+q5TaqJT6g1KqX2teq6v5cGU+5XVebrB/BfYoGHoxvoCPBVkLODH1RCJsEcEOUQhxjLn88sv57rvv6N+/P8OHD2f8+PHceeedR9WmxWJh1qxZnH766QwcOJBLLrmkYWjrtGnTKCgoaIXIOwallFUpdbZS6i3gM2ALcGGQw2oXLSWKFU5P/dDTXU3mJ4KxmI0sZHPscPv8zHhjBZ+v383DZw/intP7HzhJrMiFV6bCzh/h3L/Dhf8+qiTR4/fwfz/+H8+teI7T007nv1P/K0miEEegTeYoduW7qG1Ba80rP2RxfPcAcTkLYMwNYAtjWcFPlLpKOTP9zGCHKIQ4BqWmprJixYpWb3fatGlMmzatWfn8+fOblU2aNIlJkya1egxtSSl1GnAZxj6Ky4A5wAytde1Rtnsx8AgwEBirtV7eqO4B4HrAD/xGa/15fflo4FUgBJgP3KFbmijaypQGrZpepsrpMxLF6t3G4iT1ar21lLpKJVE8Rnj9AW6fvZLvthTz5IVDuXTMQXqKC1fDW5eA1wm/+h+kn3RU1690V/Kbr3/DL0W/cMvwW7hp+E3N5kELIQ5Nm+ws2pXvoraFH7aVsLWohge6L0cFvJB5HQDzdswj3BrOxNSJQY5QCCFEI78HFgMDtdZna63fOtoksd464ALgu8aFSqlBGHMhBwNTgReVUntuzP4DmAH0rX+0y/LYap/FbDy+AE6vn2iHgtpiiOjeUCdbYxw7tNY88L+1fLFhN388Z/DBk8StX8J/p4HJAtd/ftRJYmFNIVd9dhVrS9by1IlPcfOImyVJFOIotPZiNm1yF7Wre/XHbBLCLAwp/B+kTYSEfrh8Lr7K+YrTep2G3WwPdohCCCHqaa1PbqN2N0LzVWKBczEWyXEDWUqpbcBYpVQ2EKm1Xlx/3uvAeRg3cNuUAgKNUsUqlxeARFM1oCF87xzFPYlizwiZo9jZ/ePb7by/Io87J/fl6uPTDnzwilfh099Ct8Fw+btN9tU8ElvLt3LTwpuo89bx0mkvMab7ke31KoTYq7V7FNvqLmqXlVNax9ebi3igXyGqMqehN/G7vO+o9dYyrXfz4VtCCCG6lBQgt9H7vPqylPrX+5a3g6Y9ipVOI1FMUJVGQaNEMafK2BpDehQ7twXrdvHUgs2cOyKZO07te+CDFz0Bn9wBfU6Ga+cfdZK4fNdyrv7satDw6tRXJUkUopW0ao9iW91F7cpeX5yNWSmmeT+H0HgYcBZgDDuND4lnbPexQY5QCCFEa1FKLQS6t1D1oNZ67v5Oa6FMH6B8f9eegTFMlZ49j653b9+70FX1iWJMoMIoCE9sqMutziXWEUu4LfyorimCZ2dpLfe8t5oRPaJ58sJhBx7uuegJWPQ4jLgCzv4rmI/uT9GFOxdy33f3kRKRwj8n/5Pk8OSDnySEOCRtspiNaB1Oj593l+dy8QAbjh1fwHG3gMVGpbuS7/O/59L+l2I2yfpAQghxrNBaH8leR3lA4+64VKCgvjy1hfL9Xftl4GWAzMzMo1rwpvnQUx8AUYEyo2CfRFF6Ezsvt8/PbbNXYjYpZl0+Eof1AH+XfPvU3iTxnFlgOrqBbe9seoeZS2cyLGEYs06ZRbQj+qjaE0I01SaL2bQVpdTtSqnNSqn1SqmnGpU/oJTaVl93ejBjbE2frC6gyuXj5qglEPDBqKsB+CrnK7wBr6x2KoQQAuBjYLpSyq6U6o2xaM0yrXUhUK2UOk4ZXTxXAfvrlWxlCt2oU2lPj2K4tz5RDNubKOZU50ii2Ik9tWAza/MrefqiYaTGhO7/wJ9mwTczYfhlcM7fjipJ1Frzj9X/4LGlj3FS6kn8a8q/JEkUog10mh5FpdTJGBP2h2mt3UqpxPryxqu9JQMLlVL9tNb+4EXbOt5YspP+iaH0yH7PWMQmPgOA+Tvm0yuyF4PjBgc5QiGEEO1FKXU+8DcgAZinlFqltT5da71eKfUusAHwAbc2+h14M3u3x/iMdljIBvaMed3bo1hd36MY4ikFWzjYjITC7Xezu3a3LGTTSf2cXcYrP2Zx5XG9mDK4pRHT9Va/A188CIPONfZJPIrRUFprnl3xLK+uf5Vz+5zLI8c/gsXUaf6cFaJT6Uz/s24Gnqhf1Q2tdVF9eYurvWEsqtNprc6tYG1+JS+fUINang0nPwTA7trdLNu1TPYFEkKILkZr/SHw4X7qZgIzWyhfDgxp49Ca2Xd7jD2rntrdZRC2d+Pz/Op8NJrUiFRE5+Ly+rnv/TUkR4Vw/xkD9n/gtoUw9xbjhvcF/zqqJDGgA8xcMpN3t7zLZQMu4/6x92NSnWpwnBCdSmf639UPmKiUWqqU+lYptWdJq/2t9taMUmqGUmq5Ump5cXFxG4d7dGYvzSHUZubkugXgiIaBZwOwIHsBGi2rnQohOq0FCxbQv39/MjIyeOKJJ1o85rnnnmPw4MEMGTKEyy67DJfL1c5RiqOhaLpqTpXTi9mkMDuLm8xPzKsxFmWVoaedz/MLt7KjpJYnLxxGmH0//Q671sE7V0HiQJg+GyxHvp2XL+DjoR8e4t0t73LdkOt4YOwDkiQK0cY61P8wpdRCpdS6Fh7nYvR+xgDHAb8D3q2fc3HIq7pprV/WWmdqrTMTEhJaOqRDqHJ5+Xh1AZcOicC6eR4MuwSsDgDmZ81ncNxg0qLSghukEEIcAb/fz6233spnn33Ghg0bePvtt9mwYUOTY/Lz8/nrX//K8uXLWbduHX6/nzlz5gQpYnGk9D77KEY4LKjakiY9innVRqIoPYqdy/biGv79/Q4uHp3KCX3jWz6ophjeng6OKLjifXBEHvH1vH4v9353L5/s+ITbR97OnaPulFFVQrSDDpUoaq0na62HtPCYi9FT+D9tWAYEgHj2v9pbpzV3ZT5Or58bopeD3w0jfwVAVmUWG0o3SG+iEKLdrF+/nsmTJ9OvXz8effRRbr/9dn7++ecjbm/ZsmVkZGSQnp6OzWZj+vTpzJ3bfH0Vn8+H0+nE5/NRV1dHcrIsed+Z7Dv0tMblI8JhgZqipoliTR4Os4M4R1z7BymO2KOfbiDEaua+/Q059bnhnV9BbQlcNhsiDjB/8SBcPhe/+eY3fLnzS+4dcy8zhs2QJFGIdtKZ5ih+BJwCLFJK9QNsQAnGam+zlVLPYixm0xdYFqwgj5bWmreX5TI4OZKUHe9D92GQNBwwehMViqm9pwY5SiFEe3py2ZNsKtvUqm0OiB3AfWPvO+AxLpeLiy++mPfee4/09HQGDBjA6NGjGTOm6WbWEydOpLq6utn5zzzzDJMnN93tIT8/nx499t7bS01NZenSpU2OSUlJ4Z577qFnz56EhIQwZcoUpkyZcrgfUQTRvolitctHlM0ElWXNehRTI1LlD/82dOJ/BnMcaTx1/bxWae+bTUUs2lzMQ2cOJD58P0NJ590NuUvg4lcheeQRX6vOW8ftX9/Oz7t+5uHxD3NRv4uOuC0hxOHrTIniK8ArSql1gAe4WmutgQOt9tbprM2vZENhFbNOscBPa+CMpwEjgZy/Yz5ju48lMTTxIK0IIcTRW7hwISNHjmTwYGOFZY/Hw913393suO+///6Q2zR+bDe1b5JQXl7O3LlzycrKIjo6mosvvpg333yTX/3qV4f5CUSw7DtHsdrlo7vNCTrQdDGbmnxSwltcVkC0Ep8yVpdtlbb8AR6dt4H0+DCuGp/W8kEr34KVb8DEu2Hw+Ud8rT1J4vLdy5l5wkzO7nP2EbclhDgynSZR1Fp7gBb/Stjfam+d0Zyfc3FYTZzm+QrMNhhq3D1bW7KWnOocbhh6Q5AjFEK0t4P1/LWVlStXMmrUKAAKCgoIDw9nwoQJzY47nB7F1NRUcnP3rj+Wl5fXbFjpwoUL6d27N3vmkl9wwQX89NNPkih2ImqfVLHK5WVAWK3xJswYZqq1Jq86jzHdx7TQgmgtdg1efK3S1ge/5LGjuJaXrhyNzdLC7KXd643exLSJcPKDR3wdp8/ZJEk8K/2so4haCHGkOk2i2BXUeXx8vKqAs4fEY9/wPgw4E0JjAfh0x6fYTDYm95p8kFaEEKJ12O128vKMxUYeeOABPB5Pi8cdTo/imDFj2Lp1K1lZWaSkpDBnzhxmz57d5JiePXuyZMkS6urqCAkJ4auvviIzM/PIP4gIAkWgUUdxjdtHt8j6mwn1PYoV7grqfHXSo9jGrBq8+ugTRbfPzwsLtzK8RzRTBnVr4YBqePdqY9GaC/9zxNtgOH1ObvvqNkkShegAOtRiNl3dZ2t3UeP2MaPbNqgrhRFXAOANeFmQtYBJPSYRYYsIcpRCiK7i8ssv57vvvqN///4MHz6c8ePHc+eddx5VmxaLhVmzZnH66aczcOBALrnkkoahrdOmTaOgoIBx48Zx0UUXMWrUKIYOHUogEGDGjBmt8IlEe1H7LEhe7fIRb6oy3oQaq2Q2rHgaLiuetiWbVvhaoUfxveV5FFS6uGdKv5bnlH52P5RtN5LEiBYSyUMgSaIQHYv0KHYg7y7PJS0ulIzCNyC8O6SfDMDigsWUu8vlB6YQol2lpqayYsWKVm932rRpTJvWfPXm+fPnN7z+4x//yB//+MdWv7ZoP3u2x9BaU+P2EUd9ohhWnyjWdM2tMd577z0eeeQRNm7cyLJly5r0lj/++OP85z//wWw289e//pXTTz8dgBUrVnDNNdfgdDqZNm0aL7zwwiEvAGTVCi9Ht3SDxxfgH4u2M7JnNCdktLAdxoaPYdWbxrzE3hOP6BpOn5PbvzKGmz424TH5m0eIDkB6FDuInaW1LM0q46phYaitX8LwS8Fs5PGf7viUKHsUJ6ScEOQohRBCiIMzNVr11OUN4A9oonR9ohhiTKnIr8kH6HJDT4cMGcL//vc/TjzxxCblGzZsYM6cOaxfv54FCxZwyy234PcbCd7NN9/Myy+/zNatW9m6dSsLFiw45OtZUfgIHFXMH63KJ7/CyW9O6ds8Qa3eBZ/cYazQftL9R9S+y+cyVjfd/TOPTXhMFq4RooOQRLGD+GBFHiYFF9oWQ8AHwy8HoNZbyzc53zA1bSpWszXIUQohhBCHQjWkJtVuLwCRuhJCYhpuguZV5xHriCXUGhqkGINj4MCB9O/fv1n53LlzmT59Ona7nd69e5ORkcGyZcsoLCykqqqK8ePHo5Tiqquu4qOPPjrk61m0+ah6FAMBzcvf7WBgUiST+ic0rdQa5t4G3jq44F9gsR12+x6/h7sW3cWywmWSJArRwUii2AEEApoPfslnQkY8UZvfM/YcSjQ2sf0q5ytcfpcMwRCiC2ppKwlxYPI16xjMmND1HU81LmN+XJivomF+IhhDT7vasNMDaWmP0fz8fPLz80lNTW1WfqgsmPCqI+9R/HpTEduKarjppPTmvYmr3oJtX8Jpf4KE5snvwfgCPu777j5+yP+Bh8c/LEmiEB2MJIodwJKsUvIrnFyXUQu71jb0JgJ8uv1TUsJTGJ4wPIgRCiHam8PhoLS0VBKfw6C1prS0FIfDEexQujwTqqEPq8ZtJIqh3goIjWs4Jq8675gddjp58mSGDBnS7DF37tz9nrO/PUYPZe/Rxl5++WUyMzPJzMykuLgYCxa86sh/jvznhyySoxxMG5rUtKJ6F3z+e+h5PIy58bDb9Qf8PPjDgyzMWcj9Y+/nwn4XHnGMQoi2IYvZdAAfrMgnwm5hYt2XYLLCEOOHZVFdEUt3LeXGoTce8qR1IcSxITU1lby8PIqLi4MdSqficDia9L6I4DApE/76WYp7ehQd3nKI6wcYPUm7ancxrXfzRY2OBQsXLjzsc/a3x+ienwX7lu/PjBkzGlYJzszMxIYF1xEmihsLq1i8o5T7zxiA1bxP38L834HPDefOAtPh9TtorXl0yaPMz5rPHaPu4IqBVxxRfEKItiWJYpDVeXx8tq6Qc4cmYln3PvSd0rAZ8WdZnxHQAc5MPzPIUQoh2pvVaqV3797BDkOII2LSCn/9Dc7q+h5Fq7u8oUdxV+0u/NpPj4ge+22jqznnnHO4/PLL+e1vf0tBQQFbt25l7NixmM1mIiIiWLJkCePGjeP111/n9ttvP+R2HcpO3RGOH3v1x2wcVhPTx+zz77T5M9j4MZz6B4jrc1htaq158ucn+WDrB8wYNoMbht5wZMEJIdqcDD0Nsi/W76bO4+fq7tlQWwQjLmuom7djHkPihtA7Sv5YFEII0XmYlBl/kzmKGkujRHHP1hjH6tDTA/nwww9JTU1l8eLFnHnmmQ1bYAwePJhLLrmEQYMGMXXqVP7+979jNhub1v/jH//ghhtuICMjgz59+nDGGWcc8vUcphDqTAp/4PAWtKms8zJ3dT7nj0wlOrTRIjWeWqM3MWEgjD/0hHWPv678K29tfIsrB13JbSNuO+zzhRDtR3oUg+x/K/NJiQ6h/+7/gSPa6FEEtpVvY2PZRu4fe2RLTQshhBDBYsbUZI5iBE5UwLc3UazumnsoApx//vmcf/75LdY9+OCDPPjgg83KMzMzWbdu3RFdL8RsrCpb5SwnJqyFPRD34/1f8nB5A/zquJ5NK757Gipz4doFh73K6ctrXubfa//Nxf0u5neZv5NpNUJ0cNKjGERFVS5+2FrMpUOjUJs+NeYmWuwAzMuah1mZOT3t9CBHKYQQQhweE6a9PYpuH9Gq2nhTnyjm1+RjURa6hXYLUoRdR4g1AoDisryDHLmX1pq3lu5kZM9oBidH7a0o2Qo/zYJh06HX+MOK4/X1r/O3lX/j7PSzeei4hyRJFKITkEQxiD5eXUBAw6Xhq8DnguHGsNOADjBvxzzGJ48nPuTQ7/4JIYQQHYFZNe1RTDDVGG9CYwGjRzEpPAmzyRycALuQMFsMACUVh76lxtKsMnYU13L52H16Exc8ANYQYzuMw/DBlg94evnTnNbrNP404U+YlPz5KURnIP9Tg2juqgKGpkTRLetDiE2H1EwAlhYupbC2kHP6nBPkCIUQQojDpzATUIqADlDj8pFkrTMqGvUopoZ3vWGnwRAeYiTnpZW7DvmcOctyiHBYOGtYo9VVt3xh7Jl40r0Qceg9wV/u/JI/LfkTE1Im8OTEJ7GYZNaTEJ2FJIpBsq2ohrX5lVw+QEH298YwjvphGB9u+5BIWySn9DwlyFEKIYQQh8+sjJ5Cv/ZT6/aRZK01Khr1KHbF+YnBEBdhLBhUVH1oQ08r67zMX7eLc0ckE2Kr7/H1e409E2P7wNhfH/K1Fxcs5r7v7mNY/DCem/QcVrP1sOMXQgSPJIpBMndVPiYFZ6sfjIJhlwBQ6a7kq51fcWb6mdjN9iBGKIQQQhyZPUML/QE/1W4fCeb6RDEkllpvLeXu8i654mkwJMWkA1BWs/uQjv94TQEeX4BLMxsNO13+XyjdClMeO+QFbNYUr+GOb+4gLSqNWafOIsQSctixCyGCSxLFINBaM3dVARP6xBG+6QPocRzEGltgzM+ajyfg4fyMlldEE0IIITq6hh7FgI9at494cw0oMziiuvSKp8GQ3K0vFq0pcxUf0vHvLc9lQPcIhqREGgWuSvj2CUibCP0PbVuO7RXbueWrW4hzxPHS5JeIskcd/CQhRIcjiWIQrMqtIKesjqvSKqBkMwy/tKHuw60fMjB2IAPjBgYvQCGEEOIoqPpE0ed1UeP2EaNqjGGnSjXsoSiJYvuIi0sk1h+g0ldx0GM376pmTV4lF2f22Lsq6Q/PQ10pTHm0YYrMgRTUFDDjyxlYTVZenvIyCaEJR/cBhBBBI4liEMxdVYDNYuJE19dgtsFgo/dwU9kmNpZt5LyM84IboBBCCHEUzMpYsMS9J1GkGkL2zk8EZDGbdmKzmon2Q6W/+qDHvr8iF4tJcd6I+kVsqgpgyYsw9GJIHnnQ80ucJcz4cgZOn5OXTnuJHhE9jjZ8IUQQSaLYzvwBzadrCpncLw77xv9Bv6kQYixd/eHWD7GZbJyZfmaQoxRCCCGOnKl+2wuP10mNy0ekrm5YyCa/Jp8IawSRtshghtilhAesVOE64DE+f4APVxZwyoBE4sLr10hY9AQE/HDKQwe9RrWnmpsX3szu2t28eOqL9Ivp1xqhCyGCqNMkikqpd5RSq+of2UqpVY3qHlBKbVNKbVZKdegd6pfsKKWkxs113XdAbTEMnw6A2+/m0x2fcmrPU2UsvxBCiE6toUfR56XW7SM8UNWkRzE1IlU2XG9H4TqUcpPvgMd8t7WYkho3F46u7+kt3gIr34AxN0BM2gHPdflc3PbVbWyr2MZzJz/HiMQRrRO4ECKoOs1mNlrrhol8Sqm/AJX1rwcB04HBQDKwUCnVT2vtb7GhIPt4VQHhdgsjKz43fmlmnAbANznfUOWp4ry+5wU3QCGEEOIoNSSKbie1Hj9hjqomPYrpUenBDK/LiTbFUGmuodZTQ5gtvMVj3l+RR1yYjVMGJBoFXz8K1lA48Z4Dtu0NePndt79jZdFKnjrxKU5IOaG1wxdCBEmn6VHcQxm3IC8B3q4vOheYo7V2a62zgG3A2GDFdyBun5/P1hVyTv8wzFvmw5ALGpaZfnfLu6SEpzCu+7ggRymEEEIcHZPJ2C+vsq4G0IR4KyA0loAOkF+TLwvZtLM4h/H1zipc3WJ9Wa2HLzfs5twRKVjNJsj/BTZ+DONvg7D4/bYb0AEe/vFhFuUt4qHjHmJq76ltEr8QIjg6XaIITAR2a6231r9PAXIb1efVl3U4320pocrl46qo1eBzwfDLAdhRsYOfd/3MRf0uwlw/r0MIIYTorMwmo0exyukkFDdm7YWQWEqcJbj9blnIpp11i+4PwIac5S3Wz12Vj9evuWRM/b/LV3+C0DgYf+t+29Ra8/TPT/PJjk+4feTtXNL/klaPWwgRXB0qUVRKLVRKrWvhcW6jwy5jb28iQEuTHPR+2p+hlFqulFpeXHxo+wm1pk9WFxATaqXf7k8hri+kjALgvS3vYTFZZO9EIYQQxwRLfY9itbPWWPEUIDSW/Jp8AFIiOuT93GNWRo8xAGQVbWhWp7XmnZ9zGZoSxYDukZD1Hez4BibeDY79Lzj0z9X/5M2Nb3LloCu5ceiNbRa7ECJ4OlSiqLWerLUe0sJjLoBSygJcALzT6LQ8oPH6y6lAwX7af1lrnam1zkxIaN99feo8Pr7csJvL+2lMOT8Zi9gohdPnZO72uZzW8zTiQuLaNSYhhBCdh1LqYqXUeqVUQCmV2ag8TSnlbLTg2z8b1Y1WSq2tX/Dtr6qdVpAxm41pFdV1dUSrWqMwJEa2xgiSfhmjifL7yavObla3Oq+STbuqmT62B2gNCx+ByFTIvH6/7b218S1eXP0i52Wcx+8yfycLEwlxjOpQieIhmAxs0lrnNSr7GJiulLIrpXoDfYFlQYnuAL7aWITT62e67UdAwTBjbZ55O+ZR7amWIRtCCCEOZh3GzdLvWqjbrrUeUf+4qVH5P4AZGL8b+wLtMolsT6JY43ISo/b0KMaRV52HQpEcntweYYh6MZFhpHrM5Puaj6Z6a8lOQqxmzhmeDBvmQv4KmHQ/WB0ttvXx9o95YtkTnNrzVB4e/7AkiUIcwzpbojidpsNO0VqvB94FNgALgFs74oqnn6wuoFu4ldScj6D3iRDdA601b2x4g4GxAxndbXSwQxRCCNGBaa03aq03H+rxSqkkIFJrvVhrrYHXgfPaKr7GrGZj6Gmtq27v0NOQWPJq8ugW1g1bfSIp2k83HUWe2UNABxrKymo9zF1dwAWjUoiwaGNuYsJAGHF5i218nfM1f/jxD4xLGseTJz6JxdRpFs8XQhyBTpUoaq2v0Vr/s4XymVrrPlrr/lrrz4IR24FUubws2lzMzenFqIqdMOIKAH4s+JEdlTu4ctCVckdOCCHE0eitlFqplPpWKTWxviwFY3rGHgdc7K015/HbLEZvVI27jmhVYxSGxhp7KMqw06DoHpqO06TYlLOioey1n7Lx+AJcfXwaLP8PlG2H0/4ILSyst6xwGb/79ncMihvECye/gN1sb8fohRDB0KkSxc7qi/W78fgDnK2/BlsEDDwbgDc2vEFCSAJT02Q5aSGEEIe8qNu+CoGeWuuRwG+B2UqpSA5jsTdo3Xn8NmsIAHXuOmIbehSNOYqyNUZwDOt1MgDf/PIeAJV1Xl79KZvTBnWjX7gbFj0B6SdD3ynNzl1Xso7bv76dnpE9efHUFwmzhrVr7EKI4JAxA+3g49UF9I2G2OzPYOiFYAtlW/k2fir4idtH3t4wREcIIUTXprWefATnuAF3/esVSqntQD+MHsTGWdl+F3trbfb6RMLpcRKtatD2SNzaT5GzSHoUg2TycZcSvuMZ1hYtAeCpzzdR7fJy1+R+8OX94KmBM56EfUY4bS7bzE0LbyLGEcNLp71EtCM6CNELIYJBehTbWGmNmx+3lXBX8gaUt7Zh2OmbG9/EYXZwcb+LgxyhEEKIzkwplaCUMte/TsdYtGaH1roQqFZKHVe/2ulVwNz2iMluDQXA6a0jwVyLCo2joMbIUaVHMTjsjlD6ByLIMZVw438X89bSHK6b0JtB1T/Bqrdgwh2Q0L/JOVvLt3LjFzfiMDv412n/IjE0MUjRCyGCQRLFNjZ/3S78Ac2k2gUQ3w96jKO4rphPtn/C2X3OJsYRE+wQhRBCdAJKqfOVUnnAeGCeUurz+qoTgTVKqdXA+8BNWuuy+rqbgX8D24DtQLvM4w+1Gz2KLq+LeFONMT+xpn5rDEkUg+aE3ieRazNjyZrDpZk9eGCcFT66GboNgZPua3Lsjood3PDFDVhNVv5z+n/oEdljP60KIY5VMvS0jX28Kp9T48sJ3b0cpjwGSvHq+lfxaz/XDr422OEJIYToJLTWHwIftlD+AfDBfs5ZDgxp49CaCXWEA+Dzu4lT1RDap2EPxZTw/a6nI9rYaaNv4oW8+RyX8BlXd+8Nr/7dGGp6yetg2bs4zfrS9dyy8BZMysS/T/83vSJ7BTFqIUSwSI9iG8qvcPJzdjm3RS8GkxWGTafUWcq7m9/lzPQz5e6cEEKIY1Ko3UgUTSYv0VRDaDx5NXmEWEKIc8QFObquq1d0b4ZH9+edMDuBhQ9DeCJcMw/i+jQcs7RwKdctuA6H2cF/T/8vvaN6BzFiIUQwSaLYhj5eVYANL8NK5kP/MyA8gdc2vIYn4OGGoTcEOzwhhBCiTYSHRQNgUh4idVXD1hgp4SmyHVSQXTn8RnLNMPf8Z+HX30PiQAACOsBr61/jpi9vIjk8mTemvUFaVFpwgxVCBJUMPW1Dc1flc1PiesxVZTD6Gspd5czZNIepaVPlDp0QQohjVkRoFAA25cKuXRAWT17ZRpmf2AGc1us0RiWO4ol1L5MYP4DxyeNZU7yGWStnsXTXUk7teSp/mvAnIm2RwQ5VCBFkkii2kY2FVWzaVc1rSQshJg3ST+aVX57D5XMxY9iMYIcnhBBCtJnwsGgsWhNqqgVAh8aTtzOPcd3HBTkyYVImnj7paa7//HpuWngTZmXGr/1E26N5cNyDXNr/Uun1FUIAkii2mQ9X5jPInEu38l/gtEfJqy3grY1vcW7GufSJ7nPwBoQQQohOymSxYNWaUFUHQJk9BKfPKT2KHURiaCLvnf0e83bMI6c6h7TINE5PO53Q+m1NhBACJFFsE/6A5qOV+Twd+x04HTDyV/z15ycwKzO3jbgt2OEJIYQQbc6mwW4yEsU8/AD0iJBF3DoKh8XBhf0uDHYYQogOTBazaQPfby3GU13CCXVfwbBLWFObx2fZn3H14KvpFtYt2OEJIYQQbc6iwWZyA5CnvQCkhkuPohBCdBaSKLaB95bncX3IIsx+F4Gxv+bJn58kzhHHtUNk30QhhBBdgxUTbqXQKPJ81QAkhycHOSohhBCHSoaetrKyWg+LNuTwRMgX0OsU3q1Yx5riNfz5hD8TZg0LdnhCCCFEuwgzWXAqhQpLIKcmj26h3XBYHMEOq0vJzs4mMzOT4uJiEhISgh3OAUmMR6+jxwcSY2vZtGlTu1xHEsVW9sGKPM7jWyJ8pezOvIbnf3mc8UnjOSv9rGCHJoQQQrSbCLONWpMJonuSXZVNWmRasEPqckpKSgDIzMxk+fLlQY7mwCTGo9fR4wOJsbVkZma2y3Vk6GkrCgQ07yzdwW/s8wikjOb/cufhD/j5v+P+T5aaFkII0aWEWUKoNSl0TG+yK7PpFdkr2CEJIYQ4DJIotqLvthYzpmI+3QK7eb3vOBYXLubesffSI1JWeRNCCNG1hNujqFEmKhIyqPJUkRaVFuyQhBBCHAZJFFvRm99t4C7rh/zSYzgv5C5gcs/JXNT3omCHJYQQQrS78MQh1IZEsjN9AoD0KAbRjBkzgh3CQUmMR6+jxwcSY2tprxglUWwla/MqGbLzNXyWSu4K8ZEclswjxz8iQ06FEEJ0SWGOaGoUZLmMeXK9I3sHOaKuS/7wbR0dPcaOHh9IjK1FEsVO5u3PvuZS2zxu6tkHL/C3U/9GlD0q2GEJIYQQQRHjiMHpc7KuZB02k42k8KRghySEEOIwdJpEUSk1Qim1RCm1Sim1XCk1tlHdA0qpbUqpzUqp09s7tsVbCplS8Cg3J8VTaNK8cMoLpEelt3cYQgghRIfRLbQbAIvyFtE3pi8Wkyy0LoQQnUmnSRSBp4A/aq1HAH+of49SahAwHRgMTAVeVOr/2bvv+KrK+4Hjn+fO7D2AQAhhD9kg7oWKe68ObbXiam37s2q1yzpqrbbW1rpabdXaqnWBW3EgKsgMI5AEQoAMyN65+z6/P87NIiEEuLk34/vmdV/33nPOPed7ckK+9znPUuZQBdXk9LDpzRt4MMNBid3O46f9jXnD5oXq8EIIIUS/NCx6GAAVLRVMSpoU5mgGvw8++ICJEycybtw4fv/733dZr7Xm1ltvZdy4cUyfPp3169f3uxjz8vI45phjsNvtPPLIIyGPDw4e40svvcT06dOZPn06xx57LBs3bux3MS5ZsoTp06czc+ZM5s6dy5dfftnvYmy1Zs0azGYzr732WgijMxwsxs8//5z4+HhmzpzJzJkzuffee/tdjGDEOXPmTKZOncpJJ50U3AC01gPiAXwIXBF4fRXwn8Dru4C79tvumIPtb86cOfpIVFSV6s/XLtG3PX6CnvnPqfqk5+foLVVbjmifQggh+gawVveDXDZQHkeaI7XWurihWE/71zQ97V/T9Fvb3zri/YkD83q9Ojs7WxcWFmqXy6WnT5+uc3NzO23z7rvv6kWLFmm/369Xrlyp58+f3+9iLC8v16tXr9Z33323fvjhh0MaX29j/Oqrr3RNTY3WWuv33nuvX/4cGxsbtd/v11prvXHjRj1x4sR+F2Prdqeccoo+66yz9P/+979+F+Nnn32mzznnnJDG1VFvYqytrdWTJ0/Wu3fv1lob/4cOVU/5cSC1A/kJ8KFS6hGMmtBjA8szgFUdtisJLOtRY2Mjn3/+eadlI0eOZNy4cXi93m7vvmRlZZGVlYXL5eKx129jiX0LxMBcTwoXZ/0fcS1xkAwtLS2sXr26y+cnTJjAiBEjaGxsZN26dV3WT548mfT0dOrq6sjJyemyftq0aaSkpFBVVcWWLVu6rJ85cyYJCQmUl5ezbdu2LuvnzJlDbGwsZWVlFBQUdFk/f/58oqKiKC4uprCwsMv61rt8u3btYteuXV3WH3/88VgsFnbs2EFJSUmX9SeffDIA+fn57N27t9M6s9nMCSecAMDWrVupqKjotN5ms3HsscYl37x5M9XV1Z3WR0ZGcvTRRwOQk5NDXV1dp/UxMTFtk5OuXbuWpqamTusTEhKYOXMmAN988w0Oh6PT+uTkZI466igAvv76a9xud6f1aWlpTJkyBYAVK1bg8/k6rR8+fDgTJ04E6PJ7B4f2u7dy5cou68eOHcuoUaPkd09+9+R3bz+tv3si9DJiMsiKy2JXwy6Ozzg+3OEMaqtXr2bcuHFkZxvdXq688kqWLFnS9rcBjFqmq6++GqUUCxYsoK6ujr179zJ8eGj6jvYmxrS0NNLS0nj33XdDEtPhxNiaDwAWLFjQbc4Jd4wxMTFtr5ubm0M+sGJvYgT461//yiWXXMKaNWtCGt+hxBhOvYnxP//5DxdffDGZmZmA8X8omPpV01Ol1DKl1JZuHhcANwE/1VqPAn4KPNv6sW52pQ+w/8WB/o1rPR7PEcU6LvFYLnXP5fboxVwz7h5iLXFHtD8hhBBiMFFK8bfT/sZr571GcmRyuMMZ1EpLSxk1qn3O5pEjR1JaWnrI24Q7xnA71BifffZZzjrrrFCE1qa3Mb755ptMmjSJc845h+eeey6UIfb69/HNN9/kxhtvDGlsHY/fm5/jypUrmTFjBmeddRa5ubmhDLFXMRYUFFBbW8vJJ5/MnDlzeOGFF4IaQ7+qUdRaLzzQOqXUC8CPA2//B/wj8LoE6Dij/Uig7AD7fwZ4BmDu3Lm6tZZhfxaLhQOtA7Db7Xzvsh8dcH1UVFSPn4+Nje1xfUJCQo/rU1JSelyfnp5Oenr6AdePGDGCESNGHHD9qFGjOv1i7q+1huFAxo0bx7hx4w64fuLEiW01HN2ZMmVKj3d0WmtXDqS1duZAWmt3DqS1duhAOt5N7E5r7dSB9HTtevO719N6+d2T372eDOXfPREemXGZ4Q5hSDBaj3W2fy1Sb7bpS+E+fm8cSoyfffYZzz77bMj7//U2xosuuoiLLrqIL774gl/96lcsW7YsFOEBvYvxJz/5CQ899BBmc8iGFemkNzHOnj2b3bt3ExMTw3vvvceFF17I9u3bQxVir2L0er2sW7eOTz75BIfDwTHHHMOCBQuYMGFCUGLoVzWKB1EGtPbQPBVovVJLgSuVUnal1BhgPNC1/ZMQQgghxCA0cuRIiouL296XlJR0uSnXm23CHWO49TbGTZs28YMf/IAlS5aQnBza2vJD/TmeeOKJFBYWUlVVFYrwgN7FuHbtWq688kqysrJ47bXXuPnmm3nrrbf6VYxxcXFtzXjPPvtsPB5Pv/s5jhw5kkWLFhEdHU1KSgonnnhiUAdYGkgFxeuBPyqlNgK/AxYDaK1zgVeBrcAHwC1aa98B9yKEEEIIMYjMmzeP7du3U1RUhNvt5uWXX+b888/vtM3555/PCy+8gNaaVatWER8fH7L+ib2NMdx6E+OePXu4+OKLefHFF4NWaxPsGHfs2NFWG7V+/XrcbndIC7S9ibGoqKht3IFLL72UJ554ggsvvLBfxbhv3762n+Pq1avx+/397ud4wQUXsGLFCrxeLy0tLXzzzTdMnjw5aDH0q6anPdFafwnMOcC6B4AHQhuREEIIIUT4WSwWHn/8cc4880x8Ph/XXnstU6dO5amnngLgxhtv5Oyzz+a9995j3LhxREVF8c9//rPfxbhv3z7mzp1LQ0MDJpOJP//5z2zdupW4uNCMA9GbGO+9916qq6u5+eab2z6zdu3akMTX2xhff/11XnjhBaxWK5GRkbzyyishbebbmxjDrTcxvvbaazz55JNYLBYiIyN5+eWX+93PcfLkySxatIjp06djMpn4wQ9+wLRp04IWg+qu/etQMHfuXB3K/9hCCCHCRym1TmvdcydR0UZypBBCDA095ceB1PRUCCGEEEIIIUQISEFRCCGEEEIIIUQnQ7bpqVKqEth9hLtJAUI3/FH4yHkOHkPhHEHOczAJ1jmO1lqnBmE/Q4LkyEMyFM5zKJwjDI3zHArnCHKeh+KA+XHIFhSDQSm1dij0eZHzHDyGwjmCnOdgMhTOcbAaKtduKJznUDhHGBrnORTOEeQ8g0WangohhBBCCCGE6EQKikIIIYQQQgghOpGC4pF5JtwBhIic5+AxFM4R5DwHk6FwjoPVULl2Q+E8h8I5wtA4z6FwjiDnGRTSR1EIIYQQQgghRCdSoyiEEEIIIYQQohMpKAohhBBCCCGE6EQKir2glFqklMpXSu1QSv28m/VKKfWXwPpNSqnZ4YjzSPXiPE9WStUrpXICj1+HI84joZR6TilVoZTacoD1A/5a9uIcB/x1BFBKjVJKfaaU2qaUylVK/bibbQb09ezlOQ7466mUilBKrVZKbQyc52+72WZAX8vBbCjkSMmPg+M6wtDIkUMhP4LkyP226ZvrqbWWRw8PwAwUAtmADdgITNlvm7OB9wEFLAC+CXfcfXSeJwPvhDvWIzzPE4HZwJYDrB8M1/Jg5zjgr2PgPIYDswOvY4GCwfZ/s5fnOOCvZ+D6xAReW4FvgAWD6VoO1sdQyJGSHwfHdTyE8xwM13LQ58dDOM/BcD3DliOlRvHg5gM7tNY7tdZu4GXggv22uQB4QRtWAQlKqeGhDvQI9eY8Bzyt9RdATQ+bDPhr2YtzHBS01nu11usDrxuBbUDGfpsN6OvZy3Mc8ALXpynw1hp47D/S2oC+loPYUMiRkh8NA/06AkMjRw6F/AiSI/fbrE+upxQUDy4DKO7wvoSuv4S92aa/6+05HBOo+n5fKTU1NKGF1GC4lr0xqK6jUioLmIVxl62jQXM9ezhHGATXUyllVkrlABXAx1rrQXstB5mhkCMlPxoG+nU8FIPmWg6F/AiSI+mj62k50h0MAaqbZfuX4nuzTX/Xm3NYD4zWWjcppc4G3gLG93VgITYYruXBDKrrqJSKAV4HfqK1bth/dTcfGXDX8yDnOCiup9baB8xUSiUAbyqlpmmtO/YhGhTXchAaCjlS8qNhoF/H3ho013Io5EeQHBnQJ9dTahQPrgQY1eH9SKDsMLbp7w56Dlrrhtaqb631e4BVKZUSuhBDYjBcyx4NpuuolLJiJIeXtNZvdLPJgL+eBzvHwXQ9AbTWdcDnwKL9Vg34azlIDYUcKfnRMNCvY68Mlms5FPIjSI7soE+upxQUD24NMF4pNUYpZQOuBJbut81S4OrAiEMLgHqt9d5QB3qEDnqeSqlhSikVeD0f4/enOuSR9q3BcC17NFiuY+AcngW2aa3/dIDNBvT17M05DobrqZRKDdwlRSkVCSwE8vbbbEBfy0FsKORIyY+GgX4de2UwXMuhkB9BcuR+m/XJ9ZSmpwehtfYqpX4IfIgx8tlzWutcpdSNgfVPAe9hjDa0A2gBvh+ueA9XL8/zUuAmpZQXcABXaq0HVDMFpdR/MUbASlFKlQC/wegUPGiuZS/OccBfx4DjgO8CmwPt9gHuBjJh0FzP3pzjYLiew4HnlVJmjCT+qtb6ncH2d3YwGgo5UvLj4LiOrYZIjhwK+REkR/b531k18H5WQgghhBBCCCH6kjQ9FUIIIYQQQgjRiRQUhRBCCCGEEEJ0IgVFIYQQQgghhBCdSEFRCCGEEEIIIUQnUlAUQgghhBBCCNGJFBSFEEIIIYQQQnQiBUUhhBBCCNGvKaWSlVI5gcc+pVRp4HWTUuqJPjrmT5RSVwdhPy8rpcYHIyYhQknmURRCCCGEEAOGUuoeoElr/UgfHsMCrAdma629R7ivk4DvaK2vD0pwQoSI1CgKIYQQQogBSSl1slLqncDre5RSzyulPlJK7VJKXayU+oNSarNS6gOllDWw3Ryl1HKl1Dql1IdKqeHd7PpUYH1rIVEp9blS6lGl1BdKqW1KqXlKqTeUUtuVUvcHtolWSr2rlNqolNqilLoisK8VwMJA4VOIAUMKikIIIYQQYrAYC5wDXAD8G/hMa30U4ADOCRQW/wpcqrWeAzwHPNDNfo4D1u23zK21PhF4ClgC3AJMA76nlEoGFgFlWusZWutpwAcAWms/sAOYEdQzFaKPSUFRCCGEEEIMFu9rrT3AZsBMoLAWeJ8FTMQo3H2slMoBfgmM7GY/w4HK/ZYt7bCvXK31Xq21C9gJjAosX6iUekgpdYLWur7DZyuAEUd4bkKElFSBCyGEEEKIwcIFRi2eUsqj2wfj8GN871UYhbxjDrIfBxDR3b4D+3J1WO4HLFrrAqXUHOBs4EGl1Eda63sD20QE9inEgCE1ikIIIYQQYqjIB1KVUscAKKWsSqmp3Wy3DRh3KDtWSo0AWrTW/wYeAWZ3WD0ByD28kIUID6lRFEIIIYQQQ4LW2q2UuhT4i1IqHuO78J/pWoh7H3jxEHd/FPCwUsoPeICbAJRS6YBDa733SGIXItRkegwhhBBCCCH2o5R6E7hDa739CPfzU6BBa/1scCITIjSk6akQQgghhBBd/RxjUJsjVQc8H4T9CBFSUqMohBBCCCGEEKITqVEUQgghhBBCCNGJFBSFEEIIIYQQQnQiBUUhhBBCCCGEEJ1IQVEIIYQQQgghRCdSUBRCCCGEEEII0Ykl3AGES0pKis7Kygp3GEIIIUJg3bp1VVrr1HDHMVBIjhRCiKGhp/w4ZAuKWVlZrF27NtxhCCGECAGl1O5wxzCQSI4UQoihoaf8KE1PhRBCCCGEEEJ0IgVFIYQQQgghhBCdSEFRCCGEEEIIIUQnUlAUQgghhBBCCNGJFBSFEEIIIYQQQnQyZEc9HWr82k9hXSHlLeUMjx7O8OjhRFmjwh2WEEIIEXaN7ka2VG0h0hLJyNiRJEcko5QKd1hCCBFWUlAc5DZVbuJfuf9i7b611LpqO60bGz+Wb03+FudmnyuFRiGEEEOK0+vk2S3P8tmezyioLUCj29ZFWaJYNGYR3538XcYljgtjlEIIET5SUBykPD4PT258kme3PEuCPYETRp7AvGHzyIzNZF/zPsqay1i2exn3rbqPx9Y/xvenfZ/vTf0eFpP8SgghhBjccipy+NVXv2JXwy7mD5vPTTNuYmbaTDx+DyWNJWyr2cZ7O9/jje1vcFzGcfx83s/Jis8Kd9hCCBFSUioYhEqbSvnxpz8mvzafi8ZdxB3z7iDGFtNlu+umXUdOZQ7PbX6Ox9Y/xmfFn/Hg8Q+SGZcZhqiFEEKIvqW15smNT/LUxqcYHj2cv5/xdxYMX9Dttv835//4X8H/eD73eS57+zJun3c7l024TJqkCiGGDBnMZpCpbKnk+o+up6y5jL+e+lfuPe7ebguJAEopZqXN4q+n/ZU/nPgHiuqLuPTtS3m78O0QRy2EEEL0vSc3PsmTG5/kvLHn8cYFbxywkAiQGJHI4umLefOCN5mdPpv7Vt3HDz/9IY3uxhBGLIQQ4SMFxUGkxdPCDctuoMpRxVMLn+LkUSf3+rNnjTmLN89/k2kp07j7y7v5x+Z/oLU++AeFEEKIAeDlvJd5cuOTXDjuQu477j6irdG9+lxaVBpPLnySn8//OV+Xfc01H1xDeXN5H0crhBDhJwXFQeR33/yOwrpC/nzKn5meOv2QP58enc7TC5/mnOxzeGz9YzzwzQP4/L4+iFQIIYQIna3VW/nDmj9w4sgTueeYezCpQ/v6Y1Imvj352/zttL9R2ljKd9//LjvrdvZRtEII0T8MmIKiUuoypVSuUsqvlJq737q7lFI7lFL5SqkzwxVjOL238z2WFC7h+qOu59gRxx72fqxmK787/nd8f9r3eSX/Fe7+8m4pLAohRD8nOfLAWjwt3PHFHSRGJPLAcQ9gNpkPe1/HjjiWfy36F26fm6s/uJr8mvwgRiqEEP3LgCkoAluAi4EvOi5USk0BrgSmAouAJ5RSh58FBqBaZy0PfPMAM1NncuOMG494fyZl4v/m/B+3zrqV94re456V9+DX/iBEKoQQoo9IjjyAJzc+yZ6GPTx0wkMkRCQc8f4mJ0/mxbNexG62s/jjxRTWFR55kEII0Q8NmIKi1nqb1rq7W3cXAC9rrV1a6yJgBzA/tNGF1183/JVmTzP3HHtPUKe3uH769dw440be2vEWD6x6QPosCiFEPyU5sns763by763/5uLxFzN32NyDf6CXRsWN4tkznsWkTPzgox+wu2F30PYthBD9xYApKPYgAyju8L4ksKwLpdRipdRapdTaysrKkATX17ZVb+O1gte4atJVjE0YG/T93zzjZq6ddi2vFrzKn9f/Oej7F0II0aeGbI7UWvPg6geJtEZy6+xbg77/rPgs/nHGP/D5ffzgox/IADdCiEGnXxUUlVLLlFJbunlc0NPHulnWbdWX1voZrfVcrfXc1NTU4AQdZo+ue5QEewI3zbypT/avlOIns3/C5RMu57ktz/HStpf65DhCCCF6Jjny0Kzcu5JVe1dxy8xbSIpI6pNjjE0Yy9OnP02ju5GbPrmJBndDnxxHCCHCIXjtFINAa73wMD5WAozq8H4kUBaciPq3jZUbWbl3JbfNuY04W1yfHUcpxd1H302Vo4qHVj9ESmQKZ2YNufEQhBAirCRH9p7Wmqc2PkV6VDqXTbisT481OXkyj578KDd/cjM/+ewnPLXwKWxmW58eUwghQqFf1SgepqXAlUopu1JqDDAeWB3mmELi6Y1Pk2BP4PKJl/f5scwmMw+d+BAz02Zy14q7WLtvbZ8fUwghxBEbkjlyzb41bKjYwHVHXReSQtsxI47h/uPuZ82+Ndz95d0yAJwQYlAYMAVFpdRFSqkS4BjgXaXUhwBa61zgVWAr8AFwi9Z60M/nkFudy4rSFVw95WqirFEhOWaEJYK/nvpXRsaO5Mef/Zid9TKHlBBC9AeSIzt7etPTpEWmcfH4i0N2zHOyz+H/5vwfH+76UPr0CyEGhQFTUNRav6m1Hqm1tmut07XWZ3ZY94DWeqzWeqLW+v1wxhkqL219iWhrNFdOujKkx423x/PEaU9gMVm4ednNVDmqQnp8IYQQXUmObFdQW8Dqfav5zpTvYDfbQ3rs7039HldMvIJ/bvknr+a/GtJjCyFEsA2YgqJoV+2o5oNdH3Be9nnE2mJDfvyRsSP522l/o8ZZw48++REtnpaQxyCEEEJ05+W8l7Gb7SGtTWyllOLn83/OiSNP5IFvHmB58fKQxyCEEMEiBcUB6M0db+Lxe0Jem9jRtJRpPHTCQ2yt2cqdK+7E5x/0LZmEEEL0cw3uBt7Z+Q7nZJ9DvD0+LDFYTBYePvFhJiZO5PYvbie3OjcscQghxJGSguIA4/P7eDX/VeYPm98n8yYeilMyT+HOeXfyefHn/GHNH9C62xHXhRBCiJBYsmMJDq+DKyeG70YqQJQ1ir+d9jcS7An88JMfUtY06AeaFUIMQlJQHGBW7l3J3ua9XDHxinCHAsC3Jn+Lq6dczX/y/sOLW18MdzhCCCGGKK01b2x/g+kp05mcPDnc4ZAalcoTpz2By+vi5mU3yxyLQogBRwqKA8zSwqXE2eI4edTJ4Q6lzW1zb+P00afzyNpH+Hj3x+EORwghxBCUV5PHjrodXDDugnCH0mZc4jj+fMqf2d24m59+9lM8Pk+4QxJCiF6TguIA0uRu4rM9n3HWmLP61WS+JmXid8f/jump07lrxV3kVOSEOyQhhBBDzNLCpVhNVs7MOvPgG4fQ/OHzuffYe1m9bzW/+fo30k1DCDFgSEFxAPl498c4fU7OzT433KF00TrHYnpUOrd+eiu76neFOyQhhBBDhMfv4b2i9zh51MlhG8SmJ+eNPY9bZt7C2zvf5q8b/hrucIQQolekoDiAvLPzHTJjM5mROiPcoXQrMSKRJxc+iVKK6z++nr1Ne8MdkhBCiCFgZdlKapw1nJd9XrhDOaAbpt/AJeMv4e+b/87zuc+HOxwhhDgoKSgOEFWOKtbsW8PZ2WejlAp3OAeUGZfJM6c/Q7Onmes/vp4qR1W4QxJCCDHIfbTrI2KtsRyfcXy4QzkgpRS/WvArzhh9Bo+sfYTXCl4Ld0hCCNEjKSgOEJ8Vf4ZGszBzYbhDOaiJSRN54rQnqGip4IaPb6DeVR/ukIQQQgxSHr+Hz0s+5+RRJ2M1W8MdTo/MJjO/P+H3HJ9xPPeuvJf3i94Pd0hCCHFAUlAcID7Z/QmjYkcxIXFC9xt4HFBfCtWFxqN2NzRVgtcV2kADZqbN5LFTHqOovkgKi0IIIfrMuvJ11LvqOW30ad1v4PdDSw3U7jLyY81OaCgDZwOEYWAZq9nKn07+E7PTZ3P3irtltHAhRL9lCXcA4uAa3A18s/cbvjvlu0az08Z9sP1j2LMKKnKNxOfqYX4mWyzEpEJ0GsSNgPiRkJAJCaMhMQsSR4PFHvS4jxlxDI+e/Cg//fynXP/R9Txz+jMkRCQE/ThCCCGGrmW7lxFpieTYEceCz2Pkxp2fQ9kGqN4O9SWg/d1/2GSF6FQjR8YMg/gMiB9l5MWELEgaA5GJEOQuH5GWSB4/9XFuWnYTty+/nd+f+HsWZS0K6jGEEOJISUFxAPii5Au82stpKgZeuNBIgGiISoZh02HGlRA73Ehm1khAgd8LnhZw1kNzFTRXQFOFkTjz3gGfu8MRFMRlGAkxaQwk7vcccfgjyJ006iT+fMqf+elnP+WaD67hqYVPMTxm+JH9QIQQQgjAr/18uudTjk+bQ+RnD0LOf6C5EpQZ0qfAqKNh+hVGvrTHGgVD7QevA1xN4KgxWt80Vxi1jCWrwVHb+SD2eEjK2i83ZhuvY0eA6fAaZ8XYYnjq9Ke4ednN3LH8Duqd9Vwx6Yoj/6EIIUSQSEFxAPgk/w3StImj3r7dKNCddAdMPh/Spx7eXU6/H5rKoW630RSnpijwvBPy3zeSbEeRiUbNY8LoQE1kpnHHNX6kcfc1IqHHOE4ceSJPnf4Ut356K995/zs8tfApxieOP/S4hRBCiA427V1DpaOS00p2QGMTTDobjroMxp5qFAwPh6sR6oqNvNiaG2uLYN9m40ar39u+rdnW3kKnNT+25cgMo5bSfOCvWtHWaJ46/SluX347939zP5WOSm6ZeUu/HrROCDF0qKE68evcuXP12rVrwx1Gz7TGueKPnFD4Ty50+vjF/J/DzG9DX3fWdzUGCo9FxnPdbqPPY+0uowmPb79+j9Zoo0lr7DCjZjM2HWICj+hU405udAr5rhpu+uxWnD4nj53yGPOGzevb8xjAtNZ4/B7cPjcevweNxoQJpRRKKUyYsJgs2M12+UIhRC8opdZpreeGO46BYkDkyKrt/OnNy3nR6uGLqFnEnn6fcVOzL/m80FDSniNbc2PtLqjbY9RQdqRMgbw4rP05Jh1i0oznqBSITsYbEc+9Gx7jzR1vcvH4i/nl0b/s9wPzhJPP78PtN/Kj1+/tkh9NyoTdbMdsMoc7VCH6vZ7y44CpUVRKPQycB7iBQuD7Wuu6wLq7gOsAH3Cr1vrDcMUZNF4XvHUTawvfxTksjZPP/CNkh6j/gj0Whk83Hvvz+40mOnXFRrKsL4WGUqPJTuM+KF5lNHH1Ort8dCLw78h4bkyN5/oPruUnKpFr7JmoqCSITDCOa4s1nu2xYI8BWwxYo8AWZRRIbVHG+wH0x19rTYO7gX3N+9jXvI/ylnL2Ne+j0lFJvaueBncDje7GtmeXz4W34x3rHigUEZYIIswRRFgisJvtRFoiOy2LsEQQZYkiyhrV6TnaGk2UJYpIa2Tb647rrCarFEKFGCCGXI7c/jH87/t8mRbPnISJxF74YmiOa7YE+vZnAad0Xe9qgvriQI7smB/3GuMJ7FphdAnZjwX4rclKakoaz2x/g8KCd3jEmsWwqFSj+0dEfHtutMWAPc7IkdYosEV3zpNma9D7VPYlj8/TlhfLW8rbXtc562hwN3TKkS2eFtx+N/4D9Tndj9VkJcISQaQ5si0fdsyNEeYIIi2RnfNi4HWkNZJoS3Sn3NmaKyMsEZiUjAcpBr8BU1AEPgbu0lp7lVIPAXcBdyqlpgBXAlOBEcAypdQErbUvjLEeGZ8XXrsW8t7hqxmLsDXtYE7myeGOymAyBe6MDgMOUCOotTG4TlOl0cS1pTrwqGJESw3/aS7nV815/FHXsqmpnntLHcS01HWtqewxDitYIsAaAZZIsNjAbG9/NluNAXraltmMz5gtYNrvYbYGXpuNfi0ms/G+9bUydV6nTB0equ21RlHmaWC7o5ydjgp2OiopclRQ5Cin0de54GzGRIotjnhrNLGWKDIsUUyOTSbWEkWEyYbNZMVqsmA1WbAoCyal8GMUOv1oNBqP34vT78Hld+PwuXH63Th9Llw+Dw6vmxZXIzWB5Q6fi2avgxafC03vWhFYlJlIcwRRFjvR5kiiLBFEmY1HpMVuxKgsnWK1KuPZZrJgCbw3KxNKmWj72qIUKvBOtS3qsJ4O6wML/do4Z7/2d/oZ+LVGaz/+1tf427Zt3c6v/W3btr/2o6Ft+46f9WPsU0PnzxI4dofXbTEE9ulvO66xLwLr96fo+iWu6/c6td+7/d538z2wyzZd3vd80G7j6vKRg3/moHF12ceBt1dK8cDCxw96DDGEcmThp/Dyt6hIncB2awM/HXduuCNqZ4+BtMnG40C8LuOGalNFW26kpRrVUs2PmqsY31TEbzzFXOHZzkMlBSxoqut5wLr9KVMgL9qNcQssEUYObMuPtm5ypS2Q+6wd8mIgF5o65MhOudBsfCdQ+y/vmh9RJhx+L/mOcoqclexsqaDIWcFORwWlzmr8++WlWHMkSbZY4ixRxFmiyLCnEBczmmhzBFaztUOusWJWJuPveYfc4NN+3NqL0+c2HoE8aORJN05nPZW+Cpx+Ny0+Jw6vixafE+8h/LeINNuJtkS25cW2HGmJIMJkb8+LXfKjte29xWTG1DoBgWr/y9eeA1WHv5fdr9cd8lZrDuqSkwKvacuFh5bzWv/5tb/bnNeeCzvnVN3N8Trm4N4W+FvPtdP73uSrg+Sebo/TbX4+SC7t9nMHz/Pdn8Oh5foTRp7EokmXdRNRcAyYgqLW+qMOb1cBlwZeXwC8rLV2AUVKqR3AfGBlT/trbGzk888/77Rs5MiRjBs3Dq/Xy5dfftnlM1lZWWRlZeFyuVi5suvux44dy6hRo2hpaWH16tVd1k+YMIERI0bQ2NjIunXruqyfPHky6WlpuF+7HlveO2wfdz0fteQw3DSGp9/8kvi0DPzmCCrrGiguK8fj03g1eP3g0xCfkIjFaqXF4aS+vh6/ptOf3vj4BCwWC06nk6amJqDz+sTERMxmMw6Hg+bm5i7xJSYmYjKZcThaaGlp6bI+KSkJpUw0NzfjdDo6rLEBw0lOPgqApqYmnC4HyRFf8HHUe3yWOJx0262Mjp1DhL8Ff2MlFncdkdpBBC4itJNI5SYx0ozd70S7GjB7HdhwY3N7sLnd2PASaVVYtQc8zZi1B6v2YMGDFa/xUBoTPkx+D2btw4zxMOHD3MvCU0ceIM9mY0OEnZwIOzl2G5WW9v9SqV4vYzxezvZ4GO3xMszrJd3nY5jXR7LPRzjqRDXgVIoWpWgxmWgxtb9ubl3WaV37Ns2BZeWBZW6l8KDwKIzXSuEdQHexTVpjwvhT3uk1oDQojGWt741bAcZ7kza2bdtGt342sI1u3xeB7VrpXhSs9v9tPNh7AK0Ovk3P++waV2+O21MMvdnHweJo/RlWVVWxZcuWLvufOXMmCQkJlJeXs23bti7r58yZQ2zsYfZVG0CGTI507UL/9yqaI0bwXPrp0PA6e7dZeHjLMuJTR6AtdqrrGynZW4HHr/H5wavB54eExK45Etp/BxMSOufI/e/xHChHtm6WlJSEyWSipaX7HJmcnIxSiubmZhyOjjkyCUgiJeUYwMiRKe497It9getjK0iwXkBKy+mMTEogwt+Cr7ESi6ueSBxEaBcROInEyJE27UI7GzD7HNi8bmxeDzbtxqp8RFnBoj3gacKs3Vh0IDdqD1blw6I0Ju3DpDvnSDO9/zLfUaXZxAa7vS1H5tlsbTnC5tdkeTxM8Xg4x+NlhNdLutfHMJ+XYV4fUWHqFuWBtlzXJf8phaNDjmzukD9b19WZFGXKhFMpvB1yoweF2zRw8iO050XjdXc5sP296mYb1SEvtudR4337PrsWp7rNb73Z5hBzYPf7PfI82F0svd1Pb+LZX1TxHhZNuuyIcmRPBkxBcT/XAq8EXmdgJMVWJYFlXSilFgOLAcaMGdOX8R0Wv9bsfu9PjN72Bi9aL+P3hbMwZX2Is3wOW2pcwM62bU0KbCawmMBsUpgVRDY1YLWY8fl8eD1+44usav9P2KCdmE0mvF4PLlfXX9EW5cRkMuFxu3G5u653mpwoZcLt9uDubn29E4XC5fbg6Wa9q85IjC6XB48HcJxITPMoWhJfpTTuaepcxxDvvBiPMxmvt/NIq0opov3RxnG0o8tdP5NJEWU31ju0A59vv/VmE1GRUcZ5Olrw+zonPotJERNpw4QfV0sTyu/FhB8Tfsz4sVoUNruXFsseatmJw1ZKi60cbTKaiNq8cSR4M5jgHI6tOY5IdwJWbUOhKUVTbjFht1lRJo3T24Iyt//3V2gsVjM2ixXw4+rwBaK1gGG1WLBazUa/Vaez0zoAm9WC2WxBo3E7nR0+Hzg/qwWr2Yxf+3G7AjW3GlTgx2S1WjCbLPh9ftzujiPiGseJs1lJNJnx+X143B4609hsNkwmEz6fF5fHjU/50Mpv3JFUGpvNilImfH4vHo+3y/4tdismpfB6ffh83sBe28/PbrNjwoTP58Pn9QU+p0Ab99XsdmO91+vF7/W3rweUVkRERKBQeD3ewO9GxzuzYI+IAMDt9uD3d/7dUSjsEfbAejd+f+ffHaUUdnsP600Ku81Y73K70P7O/zdMJhM2m81Y73Kxf7/xTuudXWuETSYzNps1sN7ZJdGYzWasVmO909m1SbjZYsZqsaLRuJxda/UtFgsWiwWtNS7Xgdf7tW7/3eq03orFYsbv7/q7BWC1WjGbD7zeZg/+1D1DwKDMkbWVe4l9+9s0kcT3HD+nqOQdTFGx/H1dEuACitq2tZjAagKLas+REc1dcySq9aYP1PsdWMxmPB4PLlfg70iHm1/NyoFSJjye7nOgo87Rc46sdYBSuF0e3J4DrKc1R6YS2fJDiH+bupjlNFg3U9f8LSK8U3A5LXi8qZ0+a1KKKB3IkeyXI9XBc6TZZCIyysiRjpYWfB3/jmmNzUynHInfKEC25kmr2Q9RdbRYiqlXRTTby/BYjFpQ5TcT40lnuGMkCd5hWJqiifBGoTDRhCYXTb7FhM1qxWTROPwtbTfiWvOczWLGarV0yIHt6xTG3yFjvR/Xfn/nFBpr29+xDjmwUw41/g5pvx+X202g8g0V+Hvd9nfK2/nvlEJjA2KsNkxmk5FDPd38HbPZUCaFz+fB6XUbrU6UHx1IwjarFUwKv8+Px9shxyrdeb3Xi6ft2rXGr7BbbUaO9fnwd5cjbXYUJnyBHKs6ttzRCrvdyJE+jxef39e5VQftOdLj9uDrIUd63O7OvzscPEealAmb3chxblfXZsUHy5FmkwlrDznSbDJjPYIcaTFbsFgtoMHp6mb9QXKk1WLBbLGg/RqXu7v1VsyWDr97+68/SI7Mnjq7y7Jg6leD2SillgHDuln1C631ksA2vwDmAhdrrbVS6m/ASq31vwPrnwXe01q/3tOx+lNH/Qanh3+v2s3qrz7lGffPWa5n8tfU3xKbuoFNrr9z25SnOSptMsnRNuIjrUTbLdgsg6dtvNPr5OlNT/PPLf8k3h7PtdOu5fKJlxNpiQxbTFprdjfsZkPFBjZWbmRDxQZ21hsFdYuyMClpEjPTZjIrbRYz02aSFpUWtliFEAc3GAazGao5cmVhNc8s3873dt3OArWN71t/T9So6eSoHzMx7mhunPoLUmLsJERZiY2wEmk1Yx5gtTc9WbNvDfeuvJddDbs4ffTp3DjjRiYkTghrTM2eZjZVbiKnIoecyhw2VW6iyWO0VEqJTDFyY6qRIyclTZKBeYToxwbMYDZa64U9rVdKXQOcC5ym20u4JcCoDpuNBMr6JsLge2/zXn69JJf6pmY+j/krvqhUFiz+L6cnpnPb52+xryKNa+YeM6gHFYmwRPDj2T9mUdYiHln7CI+sfYTntjzHtdOu5aLxFxFni+vzGFw+F1urt7KhYgM5FTlsrNxIjdMYvS7WFsvM1Jmcm30uM9NmMi1lWlgLsUKIoWmo5ciKBie/XpLLB7n7uC5qBSeZNlF+wu946dTr2FK1hW+918S3p5/BCdmpB9/ZADZv2DxeO/81ntv8HM9vfZ6Pd3/MwsyFXD/9eqYkT+nz42ut2de8jw0VG4wcWZlDQW0Bfu1HoRifOJ6zx5zddvM0IyZjUH9nEWIo6VcFxZ4opRYBdwInaa07Nv5fCvxHKfUnjI7644GunR/6Gb9f89AHeTz9xU6Oyohnyez1ZKzeA5e9Aonp+Pw+Vu1dxSmjThkyf3AnJk3k72f8nfXl63ly45M8svYR/rL+L5w86mTOH3s+x4w4BpvZdsTH8Ws/pY2l5Nfms6lyExsqNpBbnYvHbzT3GB03muMzjmdW2ixmpc1iTPwYGd1MCNGvDbYcuaW0nuueX0Ndi4dfnjqM6za8AmnHkX7qzaAUX5V9hUJxzIhjwh1qSNjNdm6aeRPfmvwtXtz6Ii9te4lle5YxPnE852afy9ljzmZYdHeVzYeu2dNMQW1B283TDRUbqGipACDSEsn01Oksnr6YmakzmZ46nVjb4O8DLMRQNWAKisDjgB34OFBwWqW1vlFrnauUehXYCniBW/r7aG5aa361ZAsvfbOH7yzI5J6Tk7E8cSWMPwMmGlNgbKneQoO7geMyjgtztKE3O302fz/j7+RW5bK0cCnvF73PR7s/wmKyMCFxAlOTpxqPlKmMjhuN3WzvUpDz+X3UOGuodFRS5ahiX/M+ttdup6C2gPzafJo9xkAEVpOVqclT+fbkbzMzbSYzU2eSHJkcjtMWQogjMWhy5NayBq58ZhXxkVbeuuU4Jq/7jTGlxNkPtw0B+HXZ10xJnkJiRGKYow2teHs8P5z1Q66eejXv7XyPd3a+w6PrHuXRdY8yPHo4U5KntD0mJ00mwZ7Q7VyCLZ4WKh2VVLRUUOWoYk/DHvJr88mryaO4sbhtu2HRw5iTNqettnB84ngspoH01VEIcST6VR/FUApn/4t/rNjJ/e9u44YTs/n5WZNQ790O6/4Ft3wDyWMBeDLnSZ7c+CRfXPEFCREJYYmzv/D4PHxd9jXrK9aTW53L1qqtNHoaO21jNVmxm+3YzUaH6VpXbZcO0VGWKCYmTWRi4kQmJk1kUtIkxieOb/uMEGLwGgx9FEMpXDmyvMHJ+Y9/iULx5i3HMty3F/46F+ZdZxQUgUZ3Iye8fALXTruWW2ffGvIY+5s9DXv4rPgzcqty2Vqzld0NuzuttygLNrPNeJhstHhb2voTdjQ6bjQTEicwKWkSk5ImMTFxIunR6aE6DSFEmAyYPopDwba9Dfz+/TwWTR3GnYsmoZoqYP0LMPOqtkIiGHdLpyZPHfKFRACr2cpJo07ipFEnAUbT0ZLGErZUbWFv817cPjcun6vt4dd+kiOTSY1MJTUylZSoFNIi00iPTpcmpEII0U9prfnFm5upd3h465bjGB4fCUv/bMzhd/z/tW33zd5v8GnfkGxx053MuEyumXpN2/tGdyN5NXnk1+TT6GnE7XO3P/xuoixRpESmkBaVRmpUKmmRaQyLHkaUNSqMZyGE6I+koBhCPr/m529sJj7SyoMXH4XJpGDV38DvgeN+0rZds6eZzVWbuXbateELth8zKROZcZlkxmWGOxQhhBBBsnRjGcu2VfDLcyYzaVgc1JdAzn9gzjUQN7xtu9X7Vrf1lRNdxdpimTdsHvOGzQt3KEKIAU6qV0Lo7Y1lbCyu41fnTiEx2gaOOljzLEy9qFNt4oaKDfi0j7nDpJWUEEKIwc/j8/OHD/I5KiOe7x8XmMNx5ROAhuN+3GnbNfvWMCttFlaTTLkghBB9SQqKIeL3a578vJAJ6TGcP2OEsXDz/8DdBMf8sNO2a/etxaIszEydGfpAhRBCiBB7a0MppXUOfnr6eGMORI8TNv4HJp8HCe2tR2qdteyo28HcdLmRKoQQfU0KiiHyaV4F+eWN3HTyWKPJKcCGF2HYUTBiVqdt15SvYWrKVOkvIIQQYtDzBW6kThkexykT04yFee+AoxZmX9Np23Xl6wCkWaUQQoSAFBRD5PmVu8hIiOS86YHaxL0bjcesq9uG+wZjyOqtVVvlbqkQQogh4evCKnZWNXPDSdnt8wavfx4SRsOYkzptu7Z8LRHmCKYmTw1DpEIIMbRIQTEEyuocfLmjikvmjMRiDvzI178IZjtMv6zTtjmVOXi1V/onCiGEGBL+t7aE+EgrZ04NTBhfsxOKvoDZ3wVT568pa/atYWbaTKxm6Z8ohBB9TQqKIbB0YxlawyWzM4wFPi/kvgGTz4XIzpMFr923FrMyMyttVjd7EkIIIQaPFreXD3P3cf6MEURYAxPD575pPM+4qtO2dc46CmoLpMWNEEKEiBQUQ+DD3H0clRHP6ORoY8GeldBSDVMu6LLtuvJ1TE6aTLQ1OsRRCiGEEKH1RUElLq+fs44a1r5w29uQMRfiR3baNqcyB4A56XNCGKEQQgxdUlDsYxWNTnKK6zh9Snr7wm1vgyUCxi3stK3H5yG3OpdZ6VKbKIQQYvD7KLechCgr87OSjAV1e6BsgzHa6X7WV6zHYrIwLWVaiKMUQoihSQqKfezz/Eq0htMmB0Zy8/uNguLY08DWudYwryYPl8/FjNQZYYhUCCGECB2/X/N5QSWnTExr77+/7W3juZuCYk5FDlOSphBhiQhhlEIIMXRJQbGPrSysJiXGxpThccaCsg3QWNZtEtxYuRFACopCCCEGvfzyRmqa3Rw3LqV94ba3IX0aJI/ttK3b5ya3KpeZaTNDG6QQQgxhUlDsQ1prVhZWc3R2cvuQ3/nvgTLDhDO7bJ9TmcPw6OEMix7WZZ0QQggxmHy1owqAY8YmGwsctbBnFUw8u8u2W6u34va7ZaA3IYQIISko9qFd1S3sa3ByTHZy+8Kdn0PGHIhK6rJ9TkUOM1Nnhiw+IYQQIlxW7axhdHIUGQmRxoKiFYCGsad22XZDxQYAqVEUQogQGjAFRaXUfUqpTUqpHKXUR0qpER3W3aWU2qGUyldKda2qC5N1u2sBmD8mUCh01kPZesg+qcu2+5r3Ud5Szow0aXYqhBDi0Ay0HKm1Zv2eWuaO7nDTdOfnYIuBkV2nv9hQsYFRsaNIiUzpsk4IIUTfGDAFReBhrfV0rfVM4B3g1wBKqSnAlcBUYBHwhFLKHLYoO9iwp5ZYu4VxqTHGgl1fgfbDmK4FxZyKHEDulgohhDgsAypH7q5uoabZzezRCe0Li5bD6OPAbO20rdaajZUbpdmpEEKE2IApKGqtGzq8jQZ04PUFwMtaa5fWugjYAcwPdXzdySmuY/qoeEymQP/EouVgiYRRXcPbWLmRSEskExInhDhKIYQQA91Ay5EbS+oAmDkqwVhQVwzVOyD75C7bljSVUOOskYHehBAixAZMQRFAKfWAUqoY+DaBu6VABlDcYbOSwLKwcnv9FJQ3Mi0jvn3hzuWQuQAs9i7b51TkMC1lGlaTtcs6IYQQ4mAGUo7MLWvAZjExIT3WWFC03HjupqC4uXIzAEelHBWi6IQQQkA/KygqpZYppbZ087gAQGv9C631KOAl4IetH+tmV7qbZSilFiul1iql1lZWVvbNSQRsr2jE49NMHREoKDaWQ+W2bvsnOrwO8mry5G6pEEKIAxpMOXJLaT2Th8VibZ0/segLiE6DtMldtt1ctZkIcwTjE8f3aUxCCCE6s4Q7gI601gt7uel/gHeB32DcHR3VYd1IoOwA+38GeAZg7ty53SbKYNlaZrQCmjoiMH9i8TfG8+jjumybW5WLV3tlxFMhhBAHNFhypNaarXsbOGtah6mgStZA5tGgupZrN1VuYkryFCymfvWVRQghBr1+VaPYE6VUx1uJ5wN5gddLgSuVUnal1BhgPLA61PHtL39fI3aLiazkaGNB6Vow22B411rDTVWbAJieOj2UIQohhBgkBlKOrGx0UdfiYWJrs9PmaqjZCSPnddnW7XOzrWabtLgRQogwGEi3536vlJoI+IHdwI0AWutcpdSrwFbAC9yitfaFL0xDQUUT49JiMLcOZFOyFoYd1W3/xC1VW8iIySAxIjHEUQohhBgkBkyOzC9vBGDCsEBBsXSt8dxNQTGvJg+P38NRqdI/UQghQm3AFBS11pf0sO4B4IEQhnNQBfsaOXZssvHG54WyDTD76m63za3KlSQohBDisA2kHFlQ3gTQPpBNyRpQZhg+s8u2m6tkIBshhAiXAdP0dCBpcnnZ1+BkbFpg/sTKbeBpgYyukwjXOGsoay5jWvK0EEcphBBChN7OyibiI60kR9uMBSVrYNg0sEV12XZT5SbSotIYFj2syzohhBB9SwqKfWBXVTMAY1MD/RNL1hjPI7sWFLdUbQFgasrUkMQmhBBChNPOymayU6NRSoHfByXrum12CpBbnSs3UoUQIkykoNgHdgYKimNSAjWKJesgKhkSs7psm1uVi0IxJXlKCCMUQgghwmNnVRPZrfmxqgDcjd0WFBvdjexu2C03UoUQIkykoNgHiiqbUQpGJwea0ZSuNZqddjPs95bqLWTHZxNtjQ5xlEIIIcJJKRWtlDKHO45QanF7KW9wkd3a4qZ0nfGcMafLttuqtwEwNVkKikIIEQ5SUOwDu6ubGRYXQYTVDO4W447piFldttNas6Vqi9wtFUKIIUApZVJKfUsp9a5SqgJjCou9SqlcpdTD+01xMSjtqWkBIDMpcCN17yawRkPS2C7bbq3eCiAtboQQIkykoNgHimtb2pNgxVbQfmNqjP3sa95HjbOGaSnS/0IIIYaAz4CxwF3AMK31KK11GnACsApjiovvhDPAvra72igotrW42bfJGMjG1PXrSG51LsOjh8vUUUIIESYDZnqMgWRPTQsnjE813uzdaDwPn95luy3VxkA20lH/8KWkpJCVlRXuMIQQfWDXrl1UVVWFO4xgWqi19uy/UGtdA7wOvK6UsoY+rNAp7lij6PfDvs0w46put91avVWanR4hyZFCDE6hyo9SUAwyp8dHeYOrvUZx32aIiIf4UV223Vy1GYvJwsSkiSGOcvDIyspi7dq14Q5DCNEH5s7tOlL0APemUuo/wBKtdXN3G3RXkBxM9tS0EBthISHKBtWF4G7qtsVNg7uBPY17uHDchaEPchCRHCnE4BSq/Bj0gqJSKgI4F6MpzQjAAWwB3tVa5wb7eP1NaZ0DgJGJkcaCiq2QPq3bgWxyq3KZkDgBm9kWyhCFEEKEx9+BK4E/K6U+A/4LvKe1doc3rNAprXUwMrFD1wwwcuR+ZCAbIYQIv6D2UVRK3QN8DRwDfAM8DbwKeDH6XnyslOraBnMQKQsUFDMSIkFrqNgGaZO7bOfXfrZWb5Vmp0IIMURorZdora8CRgNvANcAe5RSzymlTg9vdKFRWucgIyHCeFO+FVCQNqnLdjKQjRBChF+waxTXaK3vOcC6Pyml0oDMIB+zX9lb5wRgREIk1JeAqwHSuia6PQ17aPI0yYinQoSR1ppmTzOVjkqqHFVUOaqobKmkyllFo7sRl9eF0+fE5XPh9DqN116X8T7w2qu9WJQFi8mC2WRue20xWTArc9tym8lGjC2GOFscMdYYYm2xxNpiibPFtb1uXZ8ckUyUNSrcPx7RR7TWDuAV4JXAzdPnMQqNg36qjNI6B/PHJBlvKnKN+YVtXaeHyq3OZUT0CBIiEkIanxCindPrpNpZ3ZYfqx3G6xpnDQ6vA5fP1SlPdnzv9rlx+VwopTrlRYvJ0vW9yUKkJbItH3bMix1zZZwtjnh7PHG2OFQ3LfVE8AW7oBiplLJrrV3drdRaVwAVQT5mv1Ja50ApGBYfAYWBZjXdFBTzavMAmJzUtbZRCBEcfu2noqWCXQ272FW/i90NuylvKW8rEFY7q3F4HV0+ZzVZibXFEmGOwG6xE2GOIMISQZQliiR7EnaLHbvZWG42mfH5ffi0D6/fi1d78fnbX3v9xnu3383epr0UuAtodDfS5GlCow8Ye7Q1mtTIVFIiU4znqJT291GppEamMjx6uBQoByClVDpwOUYz1OHA/4DvhzWoEGhwemh0eo0WN2C0uEnv/mbp1uqtciNViD6ktabWVcuehj3sajDyY0ljSadCYaOnscvnFIoEewKRlsi2XNj6iLHGEGGJwGa2EWGOwGqyotHt+XH/h25/XeusZXfDbhrdjTS6G/Fp3wFjt5lspEYZ+TAtKq0tT7bmxpTIFNKj0om3x0uB8ggFu6D4beAJpdQHGH0vPtK6hys9CJXVOUiPjcBqNkGlURjsrllNXnUeFmVhbELXuaOEEIeu2lFNXk0e22q2kVeTx676Xexp3NOpIBhpiWRY9DBSI1M5KvWotoTSWvhKiTCeQ3G30q/9NHua25Jig7uh7blT7aajii3VW6gqqeq2UJtgT2BEzAgyYjIYET2i/XXgWQqS/YdS6nrgKmAiRtPTO7TWX4U3qtBpbXEzPCESvG5jMJvJ53XZrt5VT3FjMRePvzjUIQoxKDV7msmvyW/Lj4V1hexq2EWju70gaFEWhscMJzUylQmJE0gZkdKWH5Mjk9vyZWJEIhZT346FqbXG4XW05cWOebLWWUuVo4oKRwVVLVUU1hWyau+qTufSKsoS1ZYLO+bF1tdSM3lwQb3SWuuLlFJxwEXArcCzSqklwH+11l8E81j91d56p1GbCFCZD9FpENl1Dqi82jzGJoyVgWyEOAxN7iZyKnPYVLmJbdXb2FqzlYqW9sYKGTEZZMdnM2/YPMbEj2F03Giy4rJIi0rrN0nBpExtTWp6q9nTTGVLJZWOSipbKtnbvJeypjJKm0vZUbeDL0q+wOXr3KAjwZ7AyJiRZMZlMjpudNsjMy6TOFtcsE9L9OxY4PfAMq21P9zBhNq+hkBBMT4CagpB+yCl66jf22qMgWykf6IQh87j85BbncuGig1srd7Ktppt7GnY09aCJSkiifEJ4zl7zNmdcsKImBFYTf1jdh6lFFHWKKKsUQyLHtarzzi9zrZuJJUtlZS3lBv5samUsqYy1pWvo8nT1OkzMdYYMmIyyIzLJDM2s1N+TI5I7jffF8Ip6LcEtNYNGP0tnldKJQOXAn9VSiVprbvOETHI7GtwMj4txnhTmQ+p3U99kV+Tz7Ejjg1hZEIMXDXOGjaUb2Bt+VrWla8jvzYfv/ZjUibGxI1h/rD5TEqaxJTkKUxMmjhoC0DR1mii46PJis/qdr3WmmpnNWVNZZQ1lVHSVEJpUykljSXkVOTwftH7nZq7JtoT25Jia4LMjs9mdNxouYnVB7TWbc1LA30Ts+iQh7XWb4QhrJDZV2/UiA+Li4C9+cbCbnJk20A2SVJQFOJgmj3NbKzcyPry9ayvWM/mys04fYHxMqJHMClpEudmn8vkpMlMSprUr26YBlOEJYJRsaMYFdt9UUNrTYO7oVN+bH3eXrudz/Z8hld727aPtkZ3KjyOjhvNmPgxjIkfQ7S1a7/qwarP6o6VUonAxcAVQBLGZMLB2O/PgIeBVK11VWDZXcB1gA+4VWv9YTCOdTjK650cPy7FGPG0qgCmX95lm9ZmZZOSujZJFUKAx+8hpyKHFaUrWFGygh11OwCwm+3MSJ3B4umLmZM+h+kp06VpZQdKqbamQtNTuw4w7fK5KG4oZnfjbvY07GF3w272NO5h1d5VLC1c2radWZkZFTuKMfFjyI7PZmzCWLLjsxkTP0Z+3kGglHoOmA7kAq01ixqjOeqR7rvf5sh99UZtd1qcHTYXGAtTxnfZLrcql4yYDBnIRohuaK0pqC1gRekKviz9kpyKHHzah0mZmJg4kUsnXMrs9NnMSptFSmRKuMPtN5RSxNvjibfHMzm56/ggXr+XvU172d24m90NxmNPwx62VG3ho90f4e/QCCQ9Kr1TXmzNk4kRXVsQDnRBLSgqpWKBCzH6YMwGlgL3A59prQ88akPv9z8KOB3Y02HZFIwBAaZizNu4TCk1IRx9I5tdXhpdXtLjIqBxnzHiaTfNavJqjL6LUlAUol1lSyVfln7JitIVrCxbSZOnCYvJwpz0OZyTfQ5z0+cyNXkqVnP/aBozENnNdsYljmNc4rgu61o8Lexp3MPOup0U1hdSVF/EzrqdrChdgdfffpd1WPQwxsaPNZJjQraRIOPHypf6Q7NAax306rL+niPLG50kRduwW8zGjdT4Ud2OeLq1eqs0OxWig2ZPM1+VfsWXpV/yVelXVDiMrhaTkibxvanfY96wecxInUGMLSbMkQ5cFpOFUXGjGBU3iuMzju+0zu1zU9JYYuTF+p3srN9JYV0hr1e83mnsgER7ImPix7QVIrPjs8lOyCY9Kn3A1uIGu0axCPgQeBL4QGvtCfL+HwXuAJZ0WHYB8HJgpNUipdQOYD6wMsjHPqiKRuNuaXqcHaqNGhBSun4hay0oTkzqvlmqEENFZUslH+/+mA93fcj6ivWAcadu0ZhFHJ9xPAuGLxhSTTzCKcoaxaSkSV1uYHn8Hoobiymq65wg11es75QgkyKSOt1ZbX09kBNkH1qplJqitd4a5P327xzZ4CIt1m68qd4ByV3zY72rnpKmEi6ZcEmIoxOif2n2NLO8eDkf7f6IFSUrcPvdxFpjOWbEMRyfcTzHZxxPalRquMMcEmxmm3FjNCGb0zitbblf+9nXvK8tL7YWJD/c9SEN7oa27aKt0YyJa7+52lqAHBkzErOpf8+KFOyCYqbWuiXI+wRAKXU+UKq13rjfl44MYFWH9yWBZd3tYzGwGCAzM/jTOVYEOuqnxUa0FxS7SYT5NflkxGQM2n5UQvSkylHFJ7s/4YNdH7CufB0azfjE8dwy8xZOzTyV8QnjpWDRj1hN1rbE1l2CLKwrZGf9zh4TZMfmOa0FyYyYjH6fIPvQ8xiFxX2AC1CA1lp3bS/cSwMiRzY6SYuLMLpmVBfCjCu7bNPaP3FqskyNIYaeFk8Ly0uW89Guj1hRugKXz0VqZCqXTbyMhZkLmZk2s89HHBW9Z1ImRsQYo413rIVsHS+gtWVOYb2RJ1eVde7mYTVZyYrP6lR4zI7PJisuq9+MExDsUU9bAJRS5wL3YXTUN9OeBHssGSmllgHdDW/0C+Bu4IzuPtZdKAeI7xngGYC5c+cecVPY/bXWKKbF2WHnDjDbIW5kl+3yavKYmCi1iWLo8Pg8LC9Zzhvb3+Crsq/waz/Z8dncNOMmzsg6Q6aJGYA6JsgTRp7Qtry3CdJmsjE6fnRbgmwdJGB03GgiLZHhOKVQeg74LrCZ9j6KBzXgc2SDi/FpsdBcaXTN6OZGamuLG5ljWAwVWmtyKnN4c/ubfLDrAxxeB6mRqVwy/hLOzDqTmWkzMSlTuMMUh6DjeAHzhs3rtK7R3Wi0zqlrv8GaW5XLR7s+ahtszqRMjIwZ2VZwbM2PY+LHhLySqa9uS/wZYyCbzYfSN1FrvbC75Uqpo4AxQOud0pHAeqXUfIy7ox2HOBoJlB1e2EemMlBQTI2xQ81OSB4Lps7/uVs8Lexu2M3Z2WeHI0QhQmpn3U7e2P4Gb+98mxpnDWmRaVw77VrOHnM24xLGSc3hIHQ4CfLj3R93GihgRPQIxsSPCXXoobRHa7304Jt1NpBzpN+vqW52GTdSq7YbC5O73iDKq8ljePRw6fMqBr0qRxVLC5fy5vY32dWwiyhLFGeNOYvzss9jdvpsKRwOUrG2WGakzmBG6oxOy51eJ7sbdrc1Y21tqfNl6ZedxglIjkgOaX7sq4JiMbAlGAPYAGitNwNpre+VUruAuVrrKqXUUuA/Sqk/YXTUHw+sDsZxD1VVkwuLSREfaTWanqZM6LJNQW0BGs2kRBnIRgxOLp+LD4o+4H8F/2Nj5UYsysLJo07movEXceyIY6XZzBB2oATp8rnY3bCbovqiTo9BLE8p9R/gbYymp8DhT48xEHJkvcODx6dJibEbcygCJHUtKObX5Ev/fTFo+bWfVWWr+G/+f1lRsgKf9jE7bTbXTruWM7POlFGlh7AISwQTkyZ2+fvn9Xspayprz40NRmudUOmrb2x3AO8ppZbTOQn+KdgH0lrnKqVeBbYCXuCWcIzmBkaNYnKMDRN+qN0FE8/qso2MeCoGq33N+3g1/1VeK3iNWlctY+LH8LO5P+Pc7HNJjkwOd3iiH7Ob7UxInMCExM431+b+dm6YIupzkRi5sWNT0aBMj7G//pIjq5oCLW5i7VBZBCaLMeppB06vk6KGIk7POj3U4QnRp5rcTSwpXMLLeS+zq2EXSRFJXDP1Gi4ad9EB58UVAozRWDPjMsmMy+SkUSe1LZ/769Dkx74qKD4ANAERQNB7Y2qts/Z7/0DgmGFV1eQy7pY2lIHPDYlZXbbJq8kj3h7PsOjuupkIMfAU1Bbw7OZn+XDXh2g0J488mW9N/hbz0+ehPM3grIfGbeBqMvoluZvA3QKe1ofDeHhd4A08+9yBh9d49nvA5wG/F/w+0L7As7/9GW0MkqEP0OVLKUAZz8oUeG0KPAKvTWZQ5g7PpsCzpfMyk6XDw7zf+w4PszWw3tr1vdkaeLZ0eG/psNy63366WdfxvTTjHTC01t/v4/1n7fc+7DmyMlBQTIm2QUERJGQav/sd7KjbgV/7pcWNGDSqHFW8uPVFXs1/lSZPE9NTpvPgCQ9yRubp2LTfyI+VBeBuNHKkuwnczcbD4wBPM3ic4HUGcmTguTUn+jz75Udvh7zoA7/feG7Ni1pzgC7KtOdERbf5sVOOtPScH5W5Q77bPzd2zImtyzq+tx4g73XMlb3InZIfg6KvCopJWuvuOtUPatXNbqOgWLvLWJDYtQ1xfk0+kxInSd8sMTC5W4wbIQ0lbNi3jn+UfcYXzbuJwsy3TYl8ywUZW1bAmqVGAuxtxYXJCpYIsNiNZ7MVzLbAw2I8t27TbWGuY8EvkOT217EwSaBAqTsULrU/kGQ7FEK97sB7b+eCadt23vb3fq9RsPV7jcTdmrBDpS0x95RAu0nC3b4OJPi25Qd7393DvN8+O7zv+OWi0/b7fakYZJRSvwSe0FrXHGD9qUCU1vqd0EbW92qa3QCkxNqhpqjb/ChTR4kBzeeBxr1QX8qeyi38q/RTltRtxYuf080JfM+fxrSi7bD1p+CsM26C9oYygSWyPT9aAvmwNT92/FvfliNNHfJkhwKfscOuBae2vKj3u/HaIT+25cZAAbQtP/q6FlB9ns7rOuVIT/t2odKb/NixoHrAG7WWDvvYv4Db4f2h5McD3nDeLyeq/W5Sh0hfHWmZUuoMrfVHfbT/fqm6yc241BioNZIdSZ0TodfvZXvddq6YeEUYohOiF7xuqNttDDZRvcN4XV8KDSVQX4p21LAiMoJnE+JYHxFBos/HDxuaudJnJz4qGqKSYXgWRCZCZAJEJEBEPNhjwR4H9hiwxRiTbFujwBZlJEBz6P7ohZTf3yFJejokSW/7HeC2O8Kt23T33nto2x5su9aE7XV2jqVLXN7Oj9bkHzLjQ3iskNgMvK2UcgLrgUqMljfjgZnAMuB3YYuuD1U3GV+Kk6OsRkFx5Lwu2+TV5BFjjSEjptvZO4QIL78fGkqN3FhTCNU7ob7YWFZfCk3lbLNZeC4+jo+iozADFzY28z2XIjMiAqJjIXVEN/kxLpAjW/NjjJEbrYHHYK0Ra63tbM1P/o6vvYeQ5w4xl/a4XYeCrNcF/qb9Crj7vw5s6++QL0MmNPmxr76d3QLcoZRyAR56OT3GQFfdbPRRpCbQ/2K/qTF21e/C5XNJ/0QRfj4PVObhK9uIb+8WdPUOTDWFWOp3ozoUBLy2OJxRI2iOSuejYem8osrZretJNMVzfvzpTEs4G581hbe0wufX+LXx8PkxXjs1fofxWmuNX4NG49cutHahqQnctNTGM4EbmBjvIbCO1teB58CS9ved13dcuv+QWl3eH7AZTtdtg6nrri2BRx9NDWEKPI6U1pjwYdI+zNqHSXsx48Wk/Zi0r8M6b+ftOixX+DFrHyqw3NiPr215636MsV4GD631EmCJUmo8cBwwHGgA/g0s1lo7whlfX6pucmFSkGBqAVd9lxupEJg6KmmitLgR4eX3Q90u/GWb8JVtRFfmo2p3Yq4twuRztm3mM0fgis7AGTmMtSlH8WJ6Bjn+SiKUjZNiTmBu4gVYIjL5HDM+v27Lka35UXs0fncgVwbyoJErvWga8Ot6o2IPY9TgjvkROGCOPFB+7Lis49KecmRP+bG7z/ad1vwY0Te778P8aOS4QI4MrDNpH2YC69te+zrl0PbXHT/X/hoOeeDsw9InBUWtdWxf7Lc/a3F7cXr8JEXboXKX0Ul/v1qSvFoZyEYEl8fnp6bZTWWji6omF9VNbuocHuodHhoCz40tTpKbt5PlyCXTtZ1s707G6j3YlBcz4NY2ivRwduphFOnp7PQPp0gPp0gPo94Zjdm/DXvkh5gpx+dMw119GY31M3kJM1ARePSOSYFJKaPLA4Hnjq8xpldQYLSOaX2v2huTtn6JbH/fune13/vutmld3nlBT99L+/Ir6+D6QqxoT+bBMrgKiq201tuB7eGOI5Sqm90kRtkw1+0yFiSM7rTe5/dRUFvAxeMvDn1wYlDy+zW1LW6qm91UNbqobHJR19I5P9a3uIlqKSarZTOjXQWM8RQyTu8iBgcmwK9N7NHp7NTDKdKnsUsPo0gPY6d/OOUkYvKWYbd/hEXl43fH4KlZRGPt0Sz1R7KUFiCv1/Gq1vyI8dyaA02q+/zY+tRdjjxQfuy4rGP26SlHHixNDaYs1ncUxrTy5iDucwAWFJVSWVrrXT2sV0CG1rokmMftD9qa1UTbYPtuSBzdZZu86jxsJpuMcCV6zevzs7umhR0VTRTXtFBa56C01kFZvYOyOmdbv5+O7LiZoQo53r6ds0z5HOXPIwqjoqLRHM/e6AmsiTmG6thJ1MVPxhU3mgibDbvFzCiLibEWEzaziaLmHN4ufpaixm0MixzJ5ePu4YQRC7GZzZhNCrNJYTGZMJnAYjJhVgplArNSmJQy+rQrZSxXg61QJEJh7mvhjkAES02zm6Rom9GcHbrkyOLGYhxeBxMTpX+i6B2tNXvrneyoaGJ3dTMldUZeLKtzUFbnoKLRhc/fucrLhJ/Jag/HWQtYZClgps4jOdBl2Kki2Rs5jtyos6iOnUht3GRa4sdjtUcSYTWTYjExwmLiZLOJGk8JH5T8i3XVy4m2xHJ+1k2ck3Up0dZIIx+25UiFyWTkQVNgWWtONJsCuVLyozgMc18PzXGCXaP4sFLKBCwB1tHe/2IccApwGvAbjAmAB5XaFuMLe2K0DWp3w6RzumyTV5vH+MTxWE3WUIcnBoBGp4ec4jpy9tSRV97IjvImiqqacfvaB0SJtJrJSIwkIyGS6SMTSIu1kxJjZxQVjKn5gtS9y4koW4XyBWalSZ4Mo6+CzGMhcwGx8SOJVYquM3y221y5mb9s+Aur9q4iPSqd3x77W84fe77MfyiEOGw1ze72/AhdahSlxY3oicvrY2tZAznFdWwuraewoonCymaaXO19wqxmxYiESEbER3Ls2BSGx0eQEmNjuLWF7PpVDCtfTnTJckzOOuMDsaMg8zTIPAYyjyEidRJjTCZ6msq8rKmMJzf+jaWFS4kwR3DD9Bu4Zuo1xNqGXEM6MUQE9Zuf1voypdQU4NvAtRj9L1qAbcB7wANaa2cPuxiw2kZ0s3mgpcoY+rsDrTX5NfmclnlaOMLrN26//XbefvttbDYbY8eO5Z///CcJCQns2rWLyZMnM3GicTd5wYIFPPXUU2GOtm9VN7lYXlDJ6qIaNuypo6Cisa29f2ZSFOPTYjh5Uirj02IZlxZDZlIUiVFW486j3wd7VkLBB7D2Q6gqMD6YPB7m/QCyjofMBRCV1Ot4SptKeXTdo3y460MS7YncMe8OLp94OXazvQ/OXoihRyn1kNb6TqXUZVrr/4U7nlCqbXGTnRIDdXsCg3h0HrIgvyYfi7IwNmFseALsJyRHGhxuHyt3VrFiexUb9tSxtayh7aZpWqydCemxXDpnJGPTYhibGs3Y1BhSY+yYTIGaufKtkLcUtn0IJWsBDdGpxk387JONwmHCqAMef39N7ib+sfkfvLj1RQC+M/k7XHfUdSRF9D7HCjEQBb2KQGu9FfhFsPfb39W1eABI8QX6a+13t7S8pZw6V92QH/b79NNP58EHH8RisXDnnXfy4IMP8tBDDwEwduxYcnJywhtgH9Jak1/eyCfbKvhkWzkbiuvQGuIjrczKTODso4Yze3QC00cmEB95gFrnfZth48uw+TVo2mcMxZx1PMy9FsafAcmH/iWrxdPCPzb/g+dzn8ekTNw04yaumXoN0dboIzxjIcR+zg5MkXEXMKQKijXNbuaMthoFxf1upIIxkE12QjY2c9CnXh5QhnKOLK5p4bP8Cj7Nq2BlYTUur58Iq4npIxP4/nFZzMpMYOaoRIbFH2BAk/pS2Pw/41G+xVg2Yjac/HMjPw6faUyndAh8fh9v7XiLv274K9XOas7LPo9bZ98qc2GLIUPakgVJa41ignufsWC/O1Wt80MN9WY1Z5zRPr3mggULeO21wd8JqazOwRvrS3htXQm7qlsAmD4ynh+fNp7TJqUzdURc+13Q7rQmv02vQkWuMaLu+DPgqMtg/OnGsNqHwa/9LC1cymPrH6PKUcW52efy49k/lgQoRN/5AKgCopVSDQRGBG99Hqwjg2utqWvxkBhlg73FkDyuyzb5NfkcM+KYMETXvwy1HFnv8PDupr28vr6EdbtrAchKjuJbR2dyysQ0js5Owm7pYQAQZz1sXWLkx11fAhpGzoezH4EpF0BM2mHH9s3eb3h4zcPk1+YzK20Wj5/2ONNSph32/oQYiKSgGCR1LW6UgmjHXmNBfNeCokIxIbGn3mFDy3PPPccVV7TPKVlUVMSsWbOIi4vj/vvv54QTTuj2c8888wzPPPMMAJWVlSGJ9VBprVm5s5q/f7GTzwsq0RqOHpPEDSeN5dRJaaTHHWSIZ62NpqUr/wb57xkT2Y6cZyS/qRdDdPIRxZdTkcPvvvkd22q2MT11Oo+d8hjTU6cf0T6FED3TWt8O3K6UWqK1viDc8YRKo8uL169JjLRCXTGMPbXT+ipHFZWOShnIZj+DOUfuqGjkHyuKeHNDKS6vn3FpMdy5aBJnTk0nOzXm4DuoLoRvnoINL4Gn2bj5cPJdMP0ySMo+othKm0p5aPVDfFb8GSOiR/DwSQ9z5ugzZcAZMSRJQTFIals8xEdaMTWUGDU+sZ1rZfJr8smMyxwSzfkWLlzIvn37uix/4IEHuOCCC9peWywWvv3tbwMwfPhw9uzZQ3JyMuvWrePCCy8kNzeXuLiuN9gXL17M4sWLAZg7d24fnsmh01rzwZZ9PLm8kE0l9aTE2PjhKeO4dM5IRif34tr7PJD7Fqx8HPbmGBPzHvdjmPXdw2pWur9aZy2PrnuUN3e8SXpUOg+d8BBnjTlLEqAQIaS1vkApNRoYr7VeppSKBCxa68Zwx9YX6pqNrhlp1hbjS/1+N1ILaow+1kOlxc1QzpE5xXX85ZPtfJpXgd1i4uLZGVw5L5PpI+MPnoe0hqLlsOpJKPjQ+K511KVGv/yMOUc8Kb3H5+Ffuf/imU3PoJTix7N/zHenfFf66Yshrc8Kikqpi4HjMZrVfKm1frOvjtUf1LYYc0RRXwJxGWDq3FRiW802piZPDVN0obVs2bIe1z///PO88847fPLJJ22JwW63Y7cbf4znzJnD2LFjKSgo6HdJridrdtXwwLvbyCmuY0xKNL+76Cgunp1BhLUX8+a4GmHNP+CbZ6CxzBiU5pw/wYyrwBZ1xLH5tZ+3drzFo+sepcndxPenfZ8bp99IlPXI9y2EODRKqeuBxUASMBYYCTyFMTL4oFPnMLpmDNNVxoL4kZ3Wt454OlT68A/FHLmrqpmHP8zn3c17SYq28ZOF4/nugtEkx/SiEOb3Gf3yv3rM6H4RlQIn3QFzr4PY9KDEt3rvau7/5n6K6otYmLmQO+ffKd0whKCPCopKqScwpsT4b2DRDUqphVrrW/rieP1BvcOoUaS+pMvd0gZ3A6VNpVw64dIwRdd/fPDBBzz00EMsX76cqKj2QkplZSVJSUmYzWZ27tzJ9u3byc4+suYjobK33sG9b2/l/S37SI+z8/Cl07l49kjMPfU7bOV1w7p/wfKHjNFyx5wE5z0G4xYecqf7AymoLeD+VfezoWIDs9Nm88sFv2R84vig7FsIcVhuAeYD3wBorbcrpQ6/M1U/1zrYW7Kv3Fiwf0GxJo/h0cOJt8eHOrR+Z7DlyBa3lz8v285zXxZhs5i49bTxLD4xmxh7L75+am2M7P3JvVCxFdKmwgV/g2mXgvUg3Td6qcpRxSNrH+Hdne+SEZPB3077GyeOPDEo+xZiMOirGsWTgGlaG4P9K6WeBzb30bH6hXqHJzCZcAmMPrbTutZmNdL/An74wx/icrk4/fTTgfYhvr/44gt+/etfY7FYMJvNPPXUUyQl9e9hp7XW/Hd1MQ++tw2P38//nT6B60/IJtLWixpEvx9y34BP74PaXZB1Aiz8LYycE7T4HF4HT+Q8wYtbXyTOFsd9x93HBWMvkGamQoSfS2vtbv2/qJSyYLS+GZTqHEZBMc7dfUExvyZ/yNQmHsxgypFfF1Zx5+ubKK5xcMXcUdx25gTSYntZwNv9NSy7B4q/gaSxcOk/YcqFQbuBqrXm9e2v86e1f8Lpc3LD9Bv4wVE/IMISnAKoEINFXxUU84FMIDCzLqOATX10rH6hrsXD2OQIo9lg3IhO62TE03Y7duzodvkll1zCJZdcEuJoDl9di5vbX9vEx1vLOXZsMg9efFTv+iACFH4KH/8G9m2C9KPg26/DuNOOuH9FR6v2ruK3X/+WkqYSLh5/MT+d/VMSIhKCtn8hxBFZrpS6G4hUSp0O3Ay8HeaY+kx9i9H0NMZVAWab0XQwwOF1sKthF2dknXGgjw8pgyFHur1+/vRxAU9/UciY5GheWbyAo7N7OQBbxTb4+New/SOIGQbn/hlmfQfMB5gy6jAUNxRzz8p7WL1vNfOGzeNXC37FmPgxQdu/EINJXxUUk4FtSqnVgffzgJVKqaUAWuvzD3WHSql7gOuB1iG87tZavxdYdxdwHeADbtVaf3hk4R+6uhY3I6xN4PdCfEandXk1eSRHJJMalRrqsEQf2FxSzw0vrqWyycWvzp3Ctcdl9a6WrqkC3r8Dct805hG7+O9GE5og3SEFo5nzI2se4c0dbzI6bjTPnfkc84bNC9r+hRBBcSfwA4yWNjcA7wH/OJId9uccWR+oUbS37DVupHb4m7ejdgd+7WdSotxIHQwqG13c+O91rNtdy1XzM/nVuZOJsvXiq6bXBV88Al/+CWzRsPAemH9DUProt/L5ffx72795fMPjWEwWfnPMb7hk/CXSykaIHvRVQfHXfbTfR7XWj3RcoJSaAlwJTAVGAMuUUhO01r4+iqELv1/T6PKSoZqNBXGdC4r5tflSmzhIvLtpL7f9L4fkaDuv33Qs00cmHPxDWhtzPH1wJ7ib4dRfwrG3giW4I6l9Wfolv/n6N1Q7qrlu2nXcOONGaUYjRD+jlDIBm7TW04C/B3n3/TJH1rV4iLSaMTfuhbihPZDNYLaltJ7rX1hLbYubv1w1i/NnjDj4hwD2fANLfwRV+TD9CjjzwSOeAmp/O2p38Juvf8Omqk2cNPIkfrnglzJYjRC9ENSColLqceA/WuvlwdzvQVwAvKy1dgFFSqkdGIMErAxVAI1OL1pDGtXGgg5NTz0+DzvqdnDslGMP8GkxUDz3ZRH3vrOVOaMTefq7c0jpzWht9SXwzk+NZjQj58MFj0NqcL8QNbmbeGTtI7y+/XXGxo/lL6f8hakpQ2OEXSEGGq21Xym1USmVqbXeE4JDhj1HNjgDg701lMKo+Z3W5dfkE2ONISMm4wCfFgPB14VVXP/8WuIjrbx247FMy+jFwESuRmOgmtV/N/qtfvt1GL8wqHF5/V6e2/IcT258khhrDL8/4fecPeZsqUUUopeCXaO4HfijUmo48ArwX611ThD3/0Ol1NXAWuA2rXUtkAGs6rBNSWBZF0qpxRhDkpOZmRm0oBqcgRHd/IGhvzvUKBbWF+L1e5mcNDloxxOhpbXm8U938MePC1g0dRiPXTUTu+UgA9ZoDWufM/oiah8segjmX99l2pQjtbJsJb/++tdUtFRw3bTruGnmTTLnkxD933AgN9A9o7l14eF0y9hPv8yR9Q4P8REWaNzbbR/+CYkT5Iv7APbx1nJu+c96spKjeOHaoxkW34uWLIWfwpIfGTcPjr7BaGljjw1qXEX1Rfziy1+wuWozZ2adyV3z7yI5Mrg1lUIMdkEtKGqtHwMeC0wkfCXwT6VUBMY0GS9rrQt6+rxSahnQXVuAXwBPAvdhjAx3H/BH4Fqgu+zS7ehxWutngGcA5s6dG7QR5lr7XyR4qwId9dv/ELUOZCPNagau1kLixbMz+MMl07GYD9KnsKUG3roZCt6H7JON6S4Ss4IaU4unhT+u/SOvFrxKVlwWL571ItNTpwf1GEKIPvPbw/nQQM2RDQ4vIyOaocENse0FRZ/fR0FtARePvzhYhxIh9ll+BTe/tI4pI+J5/vvzSIiy9fwBn8cY7furxyBlIlz3UZda5iPl137+m/df/rzuz9gtdh4+8WEWjVkU1GMIMVT0SR9FrfVu4CHgIaXULOA54DdAj9UpWutetTlQSv0deCfwtgRjVNVWI4GyQ435SLTWKMa4KyF2WKfRK/Nq8oi0RJIZG7y7syJ0nv96l1FInJXBI5fOwHSwuRH3fAOvXQvNFUYt4tE3BHU0U4CNlRu5a8VdlDSWcM2Ua/jhrB9KX0QhBoAj7Z4xkHPkRHu98SZueNvy4sZiHF6HTB01QK0srObGF9cxIT2WF66dbzQv7kl9Cfzve1CyBuZ8HxY9CNbIoMa0r3kfv/rqV6zau4rjM47nt8f+lrSoQTtFqRB9rk8KikopK7AIo1bxNGA5h3kHtcM+h2ut9wbeXgRsCbxeCvxHKfUnjI7644HV3eyizzQ4vABEOSs63S2F9mY15iA3ORR9791Ne/nN0lxOn5LOHy6dfvBC4ppnjVFN40cad0lHzApqPF6/l2c2PcMzm54hPSqdfy76J3PSgzfvohCiz/VZ94x+nSOdHjKi6ow3HXJk60A2MtjbwLOjopHFL6xlVFJU7wqJu76EV68xRje97F8w9aKgxqO15p2d7/DgNw/i1V4Z0VSIIAn2YDanA1cB52AkopeBxVrr5h4/2Dt/UErNxGgyswtjSHG01rlKqVeBrYAXuCWUo7lBe42izVEBI45qW661Jr8mn3OyzwllOCIItpTWc9v/cpidmcBfr5rVc3NTrxvevx3W/QvGnQ6X/AMiE4Iaz56GPdy14i42VW3i/LHn8/P5PyfWFtz+HEKIvnWk3TMOov/mSIeXdFVrvOlQo5hfk49FWRibMDaU4YgjVNPs5tp/rcVuNfGv788juaeB3bQ2Bqv54OeQPBau/A+kjA9qPI3uRu5beR/v73qf2Wmzuf+4+xkVN+rgHxRCHFSwaxTvBv4D/ExrXRPMHWutv9vDugeAB4J5vEPREOijaGnZB3Fnti0vbSqlydMk/RMHmMpGF9e/sJakKBtPf3cuEdYeaoMddfDKd2DXCjj+p3Dqr4I6YI3Wmrd2vMWDqx/EYrLw8EkPsyhL+loIMZAdbveMg+yzX+ZIrTWNTg/JrV8JYtLb1uXV5JGdkI3NfJB+baLf8Pr83PTvdexrcPLy4gWMTOxhnkO/Dz64C1Y/DRPPhouehoi4oMaTU5HDz1f8nH3N+7h11q1cO+1aacElRBAFezCbU4K5v4Gi0eklGgfK3dwlCQIykfAA4vNrfvLKBmqa3bx+07GkxvZwp7SuGF66FKoL4aJnYMYVQY2l3lXPPV/fw7I9yzh62NHcf/z9Mu+TEINAX3TP6K+a3T78GpL81RCdCub2Jor5NfkcM+KYMEYnDtWfPi7gm6Ia/nT5DGZnJh54Q3czvP4DyH8PjvkhnH4fmA4yENwh8Pl9PLvlWZ7IeYJh0cN4/qznmZE6I2j7F0IY+qSP4lDT4PSQZW803sS2N6vJq8nDpEyMTwxuMwvRd55aXshXO6p56JKjep4Hqnwr/PticLfAd9+AMScGNY7NlZu5/YvbKW8p57Y5t3H11KsxqeAlWSFE6PVx94x+qTHQNSPOWw0x7Te6qhxVVDoqZSCbAeTL7VU88XkhV80fxcWzRx54Q0ctvHQZlK6Dsx8xpoYKovLmcu768i7W7FvDWWPO4lcLfiVdMYToI1JQDIJGp5fRtgbwALHtNYr5NfmMiRsjI1IOEJtL6nn04wLOnT6cy+f20L+hbAO8eLExFcq1H0D6lKDFoLXm39v+zZ/W/Yn0qHReWPQCR6UedfAPCiEGgj7rntFfNTqNwd6i3VWQ0j6QTUGN0R1TBrIZGOpa3Nz2vxzGpcXw63OnHnjDpgp48SKoKoDLX4DJ5wU1jk/3fMqvv/41bp+b+4+7n/PHni8D1gjRh6SgGASNTg+jLfVGQbHDHdNtNdtkVMoBwuX1cdv/ckiOsXH/hdMOnHj2rDLulEYkwDVLICk7aDHUu+r59Ve/5tPiTzl11Knce9y9xNt7qNUUQgwoQ7F7RmuNYqSrCmLbR4JuHfFU+vAPDPe+vZXqJjfPXjOPSNsB+gA2lMHz5xnP33oFxp4atOM7vU4eWfsIr+S/wuSkyfzhxD+QFZ8VtP0LIbonBcUgaHR6GWFuMN4EahRrnbWUt5QzOWlyGCMTvfXk54UUlDfx3PfmHnjC4OLVRk1i7DC4ZqkxDUaQdGxqeue8O/n25G/LXVIhxIDX6PSi8GNzVnXpwz88erjcDBsAPsuv4I0Npdx66rgDd8lo3GcUEhvL4btvQuaCoB1/R+0Obv/idnbU7eCaKdfw49k/xmo+yHQcQoigkIJiEDS5vKSqejDbjZomIL82H5C7pQNBYWUTf/tsB+fPGMGpk9K736hsA/z7EuNGwPfe7TTE+5GQpqZCiMGs0ekliUaU9nVqcZNfky/5cQBwenz8eskWxqXFcMup47rfqKkSnj8fGvYGColHB+XYraN+/+6b3xFtjeaphU9xXMZxQdm3EKJ3pKAYBE1OLymmOuNuaaAWKK9aJhIeCLTW/PbtrURYzPzq3AP0NSzPNfpcRCTA1UuDVkiUpqZCiMGu7UYqQEwqAA6vg10Nuzgj64wwRiZ644nPCymucfDf6xdgt3TT5NRRCy9cAHV74DuvB62Q2OJp4YFvHmBp4VKOHn40vz/h96REpgRl30KI3pOCYhA0urwk2mshPrVtWV5tHulR6SRG9DB8tAi7T7ZV8EVBJb86d0r3U2HU7jaam1oijOamCcGZxFeamgohhoImp5dUVWe8CTQ93VG7A7/2y9RR/VxpnYOnlxdy/owRHDM2uesG7hb4zxVQvR2+9SpkBae2r7CukNs+v42d9Tu5ecbNLJ6+WOZGFCJMpKAYBE1OL/GWWoiZ0LYsvyZfahP7Oa/Pz4PvbyM7NZqrjxnddYPmamMKDK8Drv0QksYc8TGlqakQYihpdHlJobVG0SgoykA2A8PDHxjX6edndfNdxu+D1641+u5f/jyMDc44TUsLl3L/qvuJtETyzBnPsGB48Po6CiEOnRQUj5DX58fh8RHjrYGYNMAYnauovohTM4M34pcIvpfXFFNY2cwz352D1bzfHIUeJ7z8LagrNmoS0458UCJpaiqEGGqanF4yLIHB3qKNVjf5NfnEWGPIiMkIY2SiJ1tK63krp4xbThnLiITIziu1hvfvhIL3jXkSp1xwxMdzeB08+M2DvLnjTeYNm8dDJzxEalTqwT8ohOhTUlA8Qs1uHyb8RHrqINooKO6o24FP+2TE037M6fHxl0+2M3d0IqdP2W8AG61h6Y+geBVc9q+gjN4mTU2FEENRs8vLWEsjmCLBbkyKnleTx8SkifI3sB97+MN8EqKs3HDS2K4rV/8d1vwdjv0RzL/+iI+1s34nt31+G4V1hSyevpibZtyExSRfT4XoD0wH30T0pMnlJZFGTPjbahTzaqRZzYHcc889ZGRkMHPmTGbOnMl7773Xtu7BBx9k3LhxTJw4kQ8//LBP43jpmz1UNLq47Yxuvqx8+ShsfhVO/RVMveiIjqO15sWtL3L1B1ejteaFRS/wnSnfkS9IQoghocnlJdXUYNQmKoXP76OgtkC6ZhxAf8iR63bXsrygkhtOHEtcxH7TUBR+Ch/cCRPPhoX3HvGx3t35Lle+cyXVjmqeWvgUP5r1IykkCtGPyP/GI9Ts8pLSOqJbtDEiV15NnjSr6cFPf/pTfvazn3VatnXrVl5++WVyc3MpKytj4cKFFBQUYDYHvwO70+Pj6eWFLMhO6tpBv+Aj+ORemHYpnHDbER2nY1PTU0adwn3H3SdNTYUQQ0qTy0uKamjLj8WNxTi8DiYmyo3UAwl3jvzLJ9tJirZ17btfUwT/+z6kToaLnwHT4dc1OL1OHlrzEK8VvMbstNn84cQ/kB59gOmphBBhIzWKR6jJ5SVZde5/kVeTx4TECZiU/Hh7a8mSJVx55ZXY7XbGjBnDuHHjWL16dZ8c67V1JVQ0urj11PGdV9TshDd+AMOmwfl/bZvq5HBsrtzMFe9cwRelX3DnvDt57JTHpJAohBhyml1eknR9e4ubWpk66nCEKkduKa1neUEl1x0/hmh7h7oEdwu88h1Aw5X/bmtGfDh2N+zmO+99h9cKXuO6adfx7JnPSiFRiH5qQJVklFI/UkrlK6VylVJ/6LD8LqXUjsC6M0MZU7PLSwrtBcXWZjWTk6V/4oE8/vjjTJ8+nWuvvZba2loASktLGTWqfeqJkSNHUlpaGvRj+/yav6/YyYxRCZ1rEz1OePVqQMEV/wZb1GHtX5qaCiHCpT/myCaXl3hd11ajmF+Tj0VZGJvQTd83AYQ3Rz61vJAYu4Xv7l+b+N7txpzClzwHSdmHvf8Pij7g8rcvp7ylnCdOe4KfzPmJNDUVoh8bMAVFpdQpwAXAdK31VOCRwPIpwJXAVGAR8IRSKmQT7jS7vCS3Nj2NSpFmNcDChQuZNm1al8eSJUu46aabKCwsJCcnh+HDh3PbbUbzTq11l/0cqHD1zDPPMHfuXObOnUtlZeUhxfbx1n3srm7hxhOzO+//w7th32a46GlIzDqkfbaqd9Xzk89+wh/W/IETMk7g1fNelakvhBAh0X9zpIdYX32nFjfZCdnYzLZQhdDv9NccWVzTwnub9/KtozM7903c8BLk/BtOvB3GLzy0kw1w+Vzcv+p+bv/idiYkTuB/5/2PE0aecFj7EkKEzkC6jXMT8HuttQtAa10RWH4B8HJgeZFSagcwH1gZiqCaXD6SVCNamVCRieTtXgMM7WY1y5Yt69V2119/Peeeey5g3B0tLi5uW1dSUsKIESO6/dzixYtZvHgxAHPnzj2k2J79sohRSZGcMXVY+8KtS2Dts3DsrTBx0SHtr5WMaiqECLN+mSOVqxELXohqr1E8ZsQxoTh0v9Vfc+QLK3ehlOJ7x2a1L6zaDu/9DLJOgJN/3ut9dVTcUMxty29jW802vj/1+/xo9o+wmqwH/6AQIuwGTI0iMAE4QSn1jVJquVJqXmB5BlDcYbuSwLKQaHF7SaYBHZkEJhN5NXlYTBbGJYwLVQgDyt69e9tev/nmm0ybNg2A888/n5dffhmXy0VRURHbt29n/vz5QT32ltJ61uyq5ZpjsjCbAoW4+hJYeiuMmA2n/fqQ96m15t9b/y1NTYUQ4dYvc2SEu8Z4EZ1ClaOKSkflkG5xczDhypEtbi8vrylm0bRh7fMmel3w2rVgiQgMXnPoFdEf7vqQy9+5nNKmUh4/9XH+b+7/SSFRiAGkX9UoKqWWAcO6WfULjFgTgQXAPOBVpVQ20N038q5tNIz9LwYWA2RmZgYjZJpcXrJVY/uIp7V5jI0fi9Usfwi7c8cdd5CTk4NSiqysLJ5++mkApk6dyuWXX86UKVOwWCz87W9/C/pobi+u3E2k1cxlcwP9PPx+ePNG8Hngkn/AIV4zGdVUCBFKAy1Hen1+Ynz1RmRRKRTUFABDu8XNwYQrRy7JKaPR6e1cm/jZ72DfJrjyPxDXfe3lgbh9bh5e8zAv57/M9NTpPHLiIwyPGR60eIUQodGvCopa6wM2fldK3QS8oY2G+quVUn4gBePu6KgOm44Eyg6w/2eAZwDmzp3bbaI8VC0uH8mqAdXa/6I6j+MyjgvGrgelF1988YDrfvGLX/CLX/yiT45b7/CwdGMZF84aQXxkoEC4+mnYtQLO+wskH9rACh2bmt4x7w6+M1lqEYUQfWug5chmt9E1A4CoJPJqcwCZY7gn4cqR/161m0nDYpk7OtFYsOcb+OoxmH01TDrnkPZV3FjMz5b/jK3VW7l6ytX8ZPZP5Oa5EAPUQGp6+hZwKoBSagJgA6qApcCVSim7UmoMMB7om3kVutHs9pKsmlBRyVQ5qqh2VjM5SUY87W+W5pTi8Pi4an7gLnl1ISz7LYw/00iEvdRdU9PvTvmuFBKFEOH2Fv0sR7a4vSS2FhSjU8iryWN49HBpedHPbC6pJ7esgW8dnWnkMncLvHUTxI+CM393SPtatnsZV7x9BcWNxTx2ymPcPu92KSQKMYD1qxrFg3gOeE4ptQVwA9cE7pzmKqVeBbYCXuAWrbUvVEG1uHxGIoxKJq/GmB9K7pb2P6+sLWbK8DiOyog3mpwu/RGYbXDen3s9X6I0NRVC9GP9Lkc2u3wk0VqjmEJ+Tb7kx37olbV7sFtMXDAz0HX18wehphCuXtrr+RI9Pg9/XPdHXtr2EkelHMXDJz1MRkzIusIKIfrIgCkoaq3dwHcOsO4B4IHQRmRocbmJo0kKiv3Ytr0NbClt4J7zphh3S9f9C3Z/Bef/tdf9LqSpqRCiP+uPObK1RtFntuM2KXY17OKMrDNCHYbogdPjY2lOGWdNG2Z0yyjLgZWPGy1tsk/q1T5Km0r52ec/Y0v1Fr4z+Tv835z/k1pEIQaJAVNQ7Lec9ZjxQ2QSeTV5ZMRkEGeLC3dUooPX15VgNSvjbmlTBSz7jTHU96zvHvSzWmte2vYSf1z3R9Ii03hh0QsyN6IQQvRCi9uoUfTaE9lRuwO/9jMpUQay6U+WbSunwenl0jmjwO+Dt39szHl5+n29+vynez7ll1/9EjT8+eQ/c9ro0/o4YiFEKElB8QiZXbXGi6gk8ve9L6O59TM+v2bJxjJOmZhGYrQN3vgleBxw7qMHbXIqTU2FEOLwGTWKTfgjksirlRY3/dFbG0oZFhfBMWOTYe0/YG8OXPIsRCb0+DmPz8Oj6x/lxa0vMiV5Co+c9AijYkf1+BkhxMAjBcUjZHXVAdBii2Z3w27Ozj47vAGJTlYWVlPZ6OKiWRmweyVsegVO+BmkjO/xc21NTZulqakQQhyOZpeP4aoRHZlKfk0+MdYY6bfWj9Q2u/k8v5Jrjx+D2VENn94HY06CaZf0+LmypjJuX347m6o2cdWkq/jZ3J9hM9tCFLUQIpSkoHiEbO46AAp8TWi0jHjazyzJKSXGbuGUCcnwz8shLgNO+L8Dbr9/U9Pnz3qe6anTQxixEEIMDi1uLwk0QeQE8mrymJg0UW649SPvb9mH16+5YOYI+PQ34G6Gsx/usbXN8uLl3P3l3fi1nz+e9EfpcyrEICcFxSMU4a0HIM9VBchEwv2J2+vnw9x9nDElnYitrxoTB1/yLNiiu91empoKIUTwtLh9JKgmdFQSBbXfcPH4i8Mdkujg7Y1lZKdGM8VcAuufh/k3QGr3TYM9fg9/Wf8X/pX7LyYnTeaPJ/2RUXHS1FSIwU4KikcowtsAQF5zGfH2eNKj0sMckWj11Y4qGpxezp+aAB8+ABlzD9ikRpqaCiFEcLW4jBrF3RE2HI0OJiZK/8T+orrJxTdF1dxyyjjUx3eDPQ5OuqPbbfc17+P25beTU5nDFROv4PZ5t2M320McsRAiHKSgeIQifY1okyKvYSeTEidJ4aIfeX/LXmLtFo6veQMay+DSZ7s0qZGmpkII0Td8jgYsys8OszFt44SkCWGOSLT6aGs5fg0XJ+2Er5cZo5xGJXXZ7ouSL7j7y7vx+r08fOLDLBqzKAzRCiHCRQqKR8Dn18T4G2m2xbK9dgdXTroy3CGJAK/Pz7JtFZw9PhLL14/B+DNh9LGdtpGmpkII0Xe0ow6A7f4WTMrE2Pix4Q1ItHl/yz4yEyPJynnQ6Ls///pO6z1+D49veJzntjzHpKRJPHLSI4yOGx2maIUQ4SIFxSPQ4vaSoJoossfh9rtl2O9+ZO3uWmqa3Vxn/RycdXDqLzqtl6amQgjRt1Rg+qgCdx2j40YTYYkIc0QCoMHpYWVhFfdOLUcVrDami7JGtq3f17yPO764gw0VG7hswmXcOf9OaWoqxBAlBcUj4HD7iKeZgsgowMm4hHHhDkkEfJRbTpLFyfiiF2HSuTB8BmA0Nf1v3n95eO3D0tRUCCH6kMlpDPZW4Kxg2rC5YY5GtFqeX4nH5+e82hcgfhTM/E7bulV7V3HH8jtw+Vw8dMJDMuWXEEOcFBSPQIvbR5xqYY3Nhkm5yY7PDndIAqMw+EleOXelfIWqq4cTfwaA0+vkvlX3sbRwKSePPJn7j79fmpoKIUQfMbvqaVKKUmc1FydK/8T+Ytm2cs6I2k5M5Xo4549gsaG15p+5/+Sx9Y8xJm4Mj57yKGPix4Q7VCFEmElB8Qg4PEaNYpHVRmZspjSr6ScKK5vYW13POfFLIPsUGDGLsqYyfvLZT9hWs42bZ97MDdNvwKRM4Q5VCCEGLaungR02KwATpKDYL3h9fj7Pr+Tl6PdBp8LMb9PiaeGXX/2Sj3d/zBmjz+C+4+4jyhoV7lCFEP2AFBSPQIvbxyjVQpEphimJ48Mdjgj4LK+S881fE+WqguNupaC2gBs+vgGn18njpz7OSaNOCneIQggx6Fk9DRTYbIAUFPuLnOI6Up1FTNbfwCm/pNbn5Ief/JAt1Vv42dyfcfWUq6W/vhCijRQUj4DT48OqWijDyQUJUlDsLz7PL+ce+zJInszG2CRu/uD7RJgjePGsFxmXKP1IhRAiFGzeJgqibURboxkePTzc4Qjgs/wKvm/5CG22UzH1XH7w/tXsbd7Ln07+E6dlnhbu8IQQ/Yy0vTsCDoeDSqtGg7Tl7yeaXV5cu9cw3l9I/vQLuXHZTSTYE3jh7BekkCiEECEU4Wtid6BrhtRS9Q+r83ZzieVL6qZewA1f3kVFSwVPn/60FBKFEN0aMAVFpdQrSqmcwGOXUiqnw7q7lFI7lFL5SqkzQxWT11FHsdWolB0VOypUhxU9+Kaomsv4hHJbNDfv/ZAoaxTPnvksGTEZ4Q5NCCH6TH/MkRG+JoqtVpl/r5+oanIxoeIDLNrJT821FDcW8/hpjzMnfU64QxNC9FMDpump1vqK1tdKqT8C9YHXU4ArganACGCZUmqC1trX1zH5W+opsRg/wpGxI/v6cKIXVm3bw4/MK7ktcxL17kZeOvslhkUPC3dYQgjRp/pjjrT5G9lrVpwtN1L7ha92VHGZ+XP+NDybdXX5/O743zFv2LxwhyWE6McGTI1iK2W0X7kc+G9g0QXAy1prl9a6CNgBzA9FLD5nA8VWC7HmSJlmoZ+w5L/N23FWVvpquWPeHUxMmhjukIQQImT6U45sNjfjV5AZlxmKw4mDyN+yDktECf+O8HLphEs5b+x54Q5JCNHPDZgaxQ5OAMq11tsD7zOAVR3WlwSWdaGUWgwsBsjMPPLEpZ31FFssjIxIPeJ9DRVXXHEF+fn5ANTV1ZGQkEBOTg67du1i8uTJTJxoFOwWLFjAU089dUj7Lm9wMt3xCfelJ3L0sKO5bMJlQY9fCCH6uX6RI31+TYPVAUjXjEPRVzlSa01K0Vs8kJZEsj2J2+bc1ifxCyEGl35VUFRKLQO6ayf4C631ksDrq2i/UwrQXQ953d3+tdbPAM8AzJ07t9ttDomzkRKrhUkxMppbb73yyittr2+77Tbi49trYseOHUtOTs5h73vd1h1sSyql0RTLz+b9TAZPEEIMKgMpRzo9PprNHsDKiOgRR7KrIaWvcuTuqmbMtpVsibDxu3k/I8YWc6ShCiGGgH5VUNRaL+xpvVLKAlwMdOx5XQJ0vF05EigLfnTdxONupNJs5qTo9FAcblDRWvPqq6/y6aefBm2ftZte59W4aM4adgyTkiYFbb9CCNEfDKQc6fD4aLJ4ASspkSl9fbhBJ9g5ckvOSt5N9DPSksA52ecEZZ9CiMFvoPVRXAjkaa1LOixbClyplLIrpcYA44HVoQjG7a7FYTKRIoOlHLIVK1aQnp7O+PHt808WFRUxa9YsTjrpJFasWHHAzz7zzDPMnTuXuXPnUllZ2ba8vPEjWkwmLptxfZ/GLoQQ/VS/yZFOj48Gi59YrFjN1r4+3KAT7BxZtP0lttltXHvU9zCpgfbVTwgRLv2qRrEXrqRzkxq01rlKqVeBrYAXuCUUo7kBtHiqwQop0qymk4ULF7Jv374uyx944AEuuOACAP773/9y1VVXta0bPnw4e/bsITk5mXXr1nHhhReSm5tLXFxcl/0sXryYxYsXAzB37lwAyiqr2RpVSaqOY7YM9S2EGJr6TY50Oh3UmBVJKrKvDzXghDpHaq0p8G8g0g/nTvlWH52VEGIwGlAFRa319w6w/AHggdBGA83+BgBSYqRGsaNly5b1uN7r9fLGG2+wbt26tmV2ux273Q7AnDlzGDt2LAUFBW0FwYNZv+pVVkfauDL1OLlbKoQYkvpTjnQ3N1JpMZNglr5w+wt1jizcXci6KC9zzZlEWqTgLoToPflGfQSadSMAydL/4pAsW7aMSZMmMXJk+9yTlZWV+HzGTe6dO3eyfft2srOze73P1aVL0Erx7QU/DHq8QgghDo3H0UCl2UySpWuNl+hZsHPkJ2ufpdFsYmH2+X0SrxBi8BpQNYr9jUM3A5AcmRzmSAaWl19+uVOTGoAvvviCX//611gsFsxmM0899RRJSUm92p/f52OduZgJ7ghGJ48/+AeEEEL0KXdLAzVmM4k2mWP4UAU7R26rXondpjl73jV9Ea4QYhCTguIRaFZOlIZEe2K4QxlQ/vWvf3VZdskll3DJJZcc1v4effUW9thMfM/+/+zdd3wcxdnA8d9c0akXq1qWXOVeMLjTOxgHTMeEXuJQAoTkTSAhhRRCSCeBQJwQgknAdEyz6dW4YBv33tVs9X66Ou8fe3c6SadeTuX5fj7n3Zud3XtWJ3nvuZmd6ZU5pIUQQrSh1l6KWyniIxLDHUq/093XyN2mEsa5Iom0RXcxMiHEYCNdT7vAgZNoDWaTOdyhDGpRJhsTnDZuvuBX4Q5FCCEEUGM3RtuMj0wMbyCDnNfrYYjXxrSYaeEORQjRD0mLYhc4lZtILbl2uN1x1aPcpr0yiI0QQvQRtfVlACRGt697pOgZJpOZ/317fbjDEEL0U/LJugscyk2UJIp9giSJQgjRd9S4KgBIjJbB3oQQor+ST9ddUG/yEiWNskIIIUQjdS5j+qghsalhjkQIIURnSZbTBfVKE4k13GEMaocOHWLmzJkUFxeTmtq3P5BIjN1DYuwe/SHGXbt2hTsE0Ul2tzF9VEp8epgjGdzkGtm9+nqMfT0+kBi7S29dHyVR7AK7SRMniWJYlZSUADBz5kzWr+/b92FIjN1DYuwe/SVG0T/ZPbVghsQYSRTDSa6R3auvx9jX4wOJsbv01vVRup52ktvtoc6kiDRFhjsUIYQQok9xehwARNviwxyJEEKIzpJEsZPqHfXUmhSRJlu4QxFCCCH6FKd2YNYaq1l63QghRH8liWIn2esqqTOZiDLJBLZ9TsMclQAAjYZJREFUweLFi8MdQpskxu4hMXYPiVH0JJd2EukNdxTCrz/8LUmMXdfX4wOJsbv0VoxKa90rL9TXzJw5U3el//Heg1u49LNruCpiFj+5+t/dGJkQQojuppTaoLWWmx7bqavXyDv+cRI7LJV8csu2boxKCCFEd2vt+igtip1UVVMKQJQlJsyRCCGEEH2LCxc2rcIdhhBCiC6QRLGTquqMRDE6Ii7MkQghhBB9ixMPEZIoCiFEv9ZvEkWl1HSl1Bql1Cal1Hql1OygbT9SSu1TSu1WSp3XG/FU2csBiLUl9MbLCZ+VK1cyfvx4cnJy+O1vf9tsu9aau+++m5ycHKZNm8bGjRv7XIy7du1i3rx52Gw2/vCHP/R6fNB2jP/73/+YNm0a06ZN48QTT2Tz5s19Lsbly5czbdo0pk+fzsyZM/niiy/6VHx+X331FWazmZdffrkXozO0FeMnn3xCQkIC06dPZ/r06fzyl7/sczGCEef06dOZPHkyp512Wi9H2D/0tWukEw823W8+YgwIcn3sHnJ97J0Y/eQa2bUYoYevkVrrfvEA3gPm+9YvAD7xrU8CNgM2YBSwHzC3dbwZM2borlj6zsN6yn+m6Nc+frJLxxHt53a79ejRo/X+/fu1w+HQ06ZN09u3b29U5+2339bnn3++9nq9evXq1Xr27Nl9LsZjx47pdevW6R//+Mf697//fa/G194YV61apcvKyrTWWr/zzjt98udYXV2tvV6v1lrrzZs36/Hjx/ep+Pz1zjjjDD1//nz90ksv9Vp87Y3x448/1gsWLOjVuIK1J8by8nI9ceJEffjwYa218ffTGcB63QeuZT316GvXyEv+MVVf/Y8TunQM0X5yfey9GOX62D0x+uvJNbJlvXWNbO362J++7tOAf0KmBKDAt74QWKa1dmitDwL7gNkh9u9WJUW7AEiOH9rTLyV81q1bR05ODqNHjyYiIoJFixaxfPnyRnWWL1/O9ddfj1KKuXPnUlFRQWFhYZ+KMS0tjVmzZmG1hmfY+PbEeOKJJ5KUlATA3LlzycvL63MxxsbGopTRta22tjaw3lfiA/jb3/7GZZddRlpaWq/F1tEYw6k9MT733HNceumlDB8+HCAsP8t+ok9dI51KY9OWnn4Z4SPXx96LUa6P3RMjyDWyLX3hGtmfEsXvAr9XSuUCfwB+5CsfBuQG1cvzlTWjlFrs65Kzvri4uEvBTJyygLmOBGZOPqtLxxHtl5+fT3Z2duB5VlYW+fn5Ha4T7hjDraMxPvXUU8yfP783Qgtob4yvvfYaEyZMYMGCBfz73703+nB7fxdfe+01brvttl6Lq+nrt+dnuHr1ao477jjmz5/P9u3bezPEdsW4Z88eysvLOf3005kxYwZLly7t1Rj7ke/Sh66RUy1jmBQ/vUvHEO0n18fuIdfH7iHXyO7RF66RferrPqXUB0BGiE0PAGcB92qtX1FKXQk8BZwNhPqaJOScH1rrJcASMIb+7kqs58+4gvNnXNGVQ4gO0iGmcmn6LVl76vSkcL9+e3Qkxo8//pinnnqq1+9vaG+Ml1xyCZdccgmfffYZP/3pT/nggw96I7x2xffd736XRx55BLPZ3CsxNdWeGE844QQOHz5MbGws77zzDhdffDF79+7trRDbFaPb7WbDhg18+OGH2O125s2bx9y5cxk3blxvhdln9Kdr5MM3vd6V3UUHyfWxe8j1sXvINbJ79IVrZJ9KFLXWZ7e0TSm1FLjH9/Ql4F++9TwgO6hqFg1dbsQAkpWVRW5uwxfjeXl5ZGZmdrhOuGMMt/bGuGXLFm699VZWrFhBcnJyb4bY4Z/jqaeeyv79+ykpKSElJaVPxLd+/XoWLVoEQElJCe+88w4Wi4WLL764x+Nrb4zx8fGB9QsuuIA77rij136G7Y0xKyuLlJQUYmJiiImJ4dRTT2Xz5s2DMlGUa6RoiVwfu4dcH7uHXCN7L8Yev0a2dPNiX3sAO4HTfetnARt865NpfKP+AXrhRn3R+1wulx41apQ+cOBA4Kbebdu2Narz1ltvNbpZf9asWX0uRr+f//znYblZvz0xHj58WI8ZM0avWrWq1+Nrb4x79+4N3Ky/YcMGnZmZGXjeF+ILdsMNN/T6jfrtibGwsDDwM1u7dq3Ozs7utZ9he2PcsWOHPvPMM7XL5dK1tbV68uTJeuvWrR1+LQb+YDZyjRzE5PrYPeT62HsxBpNrZOdj7I5rZGvXxz7VotiGbwGPKqUsQD2wGEBrvV0p9SKwA3ADd2qtPeELU/QUi8XCY489xnnnnYfH4+Hmm29m8uTJPPnkkwDcdtttXHDBBbzzzjvk5OQQHR3N008/3ediPHr0KDNnzqSqqgqTycRf/vIXduzY0eibq3DH+Mtf/pLS0lLuuOOOwD7r16/vlfjaG+Mrr7zC0qVLsVqtREVF8cILL/RaN6b2xBdu7Ynx5Zdf5oknnsBisRAVFcWyZct6tStYe2KcOHEi559/PtOmTcNkMnHrrbcyZcqUXouxH5Fr5CAm18fei1Guj90TY7jJNbJ9lA7R/3UwmDlzpu7NP2whhBDho5TaoLWeGe44+gu5RgohxODQ2vWxP416KoQQQgghhBCiF0iiKIQQQgghhBCiEUkUhRBCCCGEEEI0MmjvUVRKFQOHu3iYFKCkG8Lp6+Q8B47BcI4g5zmQdNc5jtBap3bDcQYFuUZ2yGA4z8FwjjA4znMwnCPIeXZEi9fHQZsodgel1PrBMDiCnOfAMRjOEeQ8B5LBcI4D1WB57wbDeQ6Gc4TBcZ6D4RxBzrO7SNdTIYQQQgghhBCNSKIohBBCCCGEEKIRSRS7Zkm4A+glcp4Dx2A4R5DzHEgGwzkOVIPlvRsM5zkYzhEGx3kOhnMEOc9uIfcoCiGEEEIIIYRoRFoUhRBCCCGEEEI0IoliOyilzldK7VZK7VNK3R9iu1JK/dW3fYtS6oRwxNlV7TjP05VSlUqpTb7Hz8IRZ1copf6tlCpSSm1rYXu/fy/bcY79/n0EUEplK6U+VkrtVEptV0rdE6JOv34/23mO/f79VEpFKqXWKaU2+87zFyHq9Ov3ciAbDNdIuT4OjPcRBsc1cjBcH0GukU3q9Mz7qbWWRysPwAzsB0YDEcBmYFKTOhcAKwAFzAXWhjvuHjrP04G3wh1rF8/zVOAEYFsL2wfCe9nWOfb799F3HkOBE3zrccCegfa32c5z7Pfvp+/9ifWtW4G1wNyB9F4O1MdguEbK9XFgvI8dOM+B8F4O+OtjB85zILyfYbtGSoti22YD+7TWB7TWTmAZsLBJnYXAUm1YAyQqpYb2dqBd1J7z7Pe01p8BZa1U6ffvZTvOcUDQWhdqrTf61quBncCwJtX69fvZznPs93zvT43vqdX3aHoDfb9+LwewwXCNlOujob+/j8DguEYOhusjyDWySbUeeT8lUWzbMCA36HkezX8J21Onr2vvOczzNX2vUEpN7p3QetVAeC/bY0C9j0qpkcDxGN+yBRsw72cr5wgD4P1USpmVUpuAIuB9rfWAfS8HmMFwjZTro6G/v48dMWDey8FwfQS5RtJD76elqwcYBFSIsqZZfHvq9HXtOYeNwAitdY1S6gLgdWBsTwfWywbCe9mWAfU+KqVigVeA72qtq5puDrFLv3s/2zjHAfF+aq09wHSlVCLwmlJqitY6+B6iAfFeDkCD4Rop10dDf38f22vAvJeD4foIco306ZH3U1oU25YHZAc9zwIKOlGnr2vzHLTWVf6mb631O4BVKZXSeyH2ioHwXrZqIL2PSikrxsXhf1rrV0NU6ffvZ1vnOJDeTwCtdQXwCXB+k039/r0coAbDNVKuj4b+/j62y0B5LwfD9RHkGhmkR95PSRTb9hUwVik1SikVASwC3mhS5w3get+IQ3OBSq11YW8H2kVtnqdSKkMppXzrszF+f0p7PdKeNRDey1YNlPfRdw5PATu11n9qoVq/fj/bc44D4f1USqX6viVFKRUFnA3salKtX7+XA9hguEbK9dHQ39/HdhkI7+VguD6CXCObVOuR91O6nrZBa+1WSn0HeBdj5LN/a623K6Vu821/EngHY7ShfUAdcFO44u2sdp7n5cDtSik3YAcWaa37VTcFpdTzGCNgpSil8oCfY9wUPGDey3acY79/H31OAq4Dtvr67QP8GBgOA+b9bM85DoT3cyjwjFLKjHERf1Fr/dZA+392IBoM10i5Pg6M99FvkFwjB8P1EeQa2eP/z6r+97MSQgghhBBCCNGTpOupEEIIIYQQQohGJFEUQgghhBBCCNGIJIpCCCGEEEIIIRqRRFEIIYQQQgghRCOSKAohhBBCCCGEaEQSRSGEEEIIIYQQjUiiKEQfoZRKVkpt8j2OKqXyfes1Sqm/99BrflcpdX03HGeZUmpsd8QkhBBCNCXXSCF6n8yjKEQfpJR6EKjRWv+hB1/DAmwETtBau7t4rNOAa7XW3+qW4IQQQogWyDVSiN4hLYpC9HFKqdOVUm/51h9USj2jlHpPKXVIKXWpUup3SqmtSqmVSimrr94MpdSnSqkNSql3lVJDQxz6TGCj/wKolPpEKfVnpdRnSqmdSqlZSqlXlVJ7lVK/9tWJUUq9rZTarJTappS6ynesz4GzfRdWIYQQolfINVKIniOJohD9zxhgAbAQ+C/wsdZ6KmAHFvguhH8DLtdazwD+DTwU4jgnARualDm11qcCTwLLgTuBKcCNSqlk4HygQGt9nNZ6CrASQGvtBfYBx3XrmQohhBAdI9dIIbqJJIpC9D8rtNYuYCtgxnch8j0fCYzHuHC9r5TaBPwEyApxnKFAcZOyN4KOtV1rXai1dgAHgGxf+dlKqUeUUqdorSuD9i0CMrt4bkIIIURXyDVSiG4iTeBC9D8OML6hVEq5dMONxl6Mv2mFcQGb18Zx7EBkqGP7juUIKvcCFq31HqXUDOAC4GGl1Hta61/66kT6jimEEEKEi1wjhegm0qIoxMCzG0hVSs0DUEpZlVKTQ9TbCeR05MBKqUygTmv9X+APwAlBm8cB2zsXshBCCNEr5BopRDtJi6IQA4zW2qmUuhz4q1IqAePv/C80v0CtAJ7t4OGnAr9XSnkBF3A7gFIqHbBrrQu7ErsQQgjRk+QaKUT7yfQYQgxiSqnXgB9qrfd28Tj3AlVa66e6JzIhhBAivOQaKQY76XoqxOB2P8YN+11VATzTDccRQggh+gq5RopBTVoUhRBCCCGEEEI0Ii2KQgghhBBCCCEakURRCCGEEEIIIUQjkigKIYQQQgghhGhEEkUhhBBCCCGEEI1IoiiEEEIIIYQQohFLuF5YKZUNLAUyAC+wRGv9qFJqCPACMBI4BFyptS737fMj4BbAA9yttX7XVz4D+A8QBbwD3KPbGM41JSVFjxw5stvPSwghhBBCCCH6gw0bNpRorVNDbQvb9BhKqaHAUK31RqVUHLABuBi4ESjTWv9WKXU/kKS1vk8pNQl4HpgNZAIfAOO01h6l1DrgHmANRqL4V631itZef+bMmXr9+vU9dHZCCCGEEEII0bcppTZorWeG2ha2rqda60Kt9UbfejWwExgGLKRhUtJnMJJHfOXLtNYOrfVBYB8w25dwxmutV/taEZcG7SOEEEIIIYQQooP6xD2KSqmRwPHAWiBda10IRjIJpPmqDQNyg3bL85UN8603LQ/1OouVUuuVUuuLi4u79RyEEEIIIYQQYqAIe6KolIoFXgG+q7Wuaq1qiDLdSnnzQq2XaK1naq1npqaG7IorhBBCCCGEEINeWBNFpZQVI0n8n9b6VV/xMV93Uv99jEW+8jwgO2j3LKDAV54VolwIIYQQQgghRCeELVFUSingKWCn1vpPQZveAG7wrd8ALA8qX6SUsimlRgFjgXW+7qnVSqm5vmNeH7SPEEIIIYQQQogOCtv0GMBJwHXAVqXUJl/Zj4HfAi8qpW4BjgBXAGittyulXgR2AG7gTq21x7ff7TRMj7HC9xACAJfHRZ27jkhLJBGmCIzvE4QQQgghhBAtCdv0GOEm02MMTFprNhdvZk3hGvZV7GNf+T4OVx3Grd0AmJSJSHMkUZYoIi2RJNoSOSH9BOYNnceM9BlEW6PDfAZCCCGEEEL0jtamxwhni6IQ3WZf+T7ePvg2Kw6uIL8mH4Cs2CxyknI4c/iZDIkcQr2nHrvbHnjUu+sprivmhV0v8OyOZ7GarExPm868ofOYlzmPScmTMKmwj/ckhBBCCCFEr5MWRdGv7SrbxZ83/JkvC77ErMzMzZzLglELOD37dOIi4tp1jHp3PRuLNrKmYA1fFnzJ7vLdAIxOGM2Nk29kwegFRJgjevI0hBBCCCGE6HWttShKoij6pcKaQh7b9Bhv7n+TeFs8t0y5hQvHXEhKVEqXj11iL+HzvM/5387/sbt8NylRKVwz8RquGHcFCbaEboheCCGEEEKI8JNEMQRJFPsnrTX/3flf/rLhLwBcO+labpl6C/ER8T3yWqsLV/PM9mf4suBLoixRXDb2Mq6fdD1DY4d2++sJIYQQQgjRmyRRDEESxf6nylnFz1b9jA+PfMjp2afz49k/7rWEbXfZbv6z/T+sPLgSs8nMrVNv5aYpN2Ez23rl9YUQQgghhOhukiiGIIli/7K9ZDvf//T7HKs9xndnfJfrJ10flmkuCmoK+NOGP/HuoXfJjsvm/tn3c2rWqb0ehxBCCCGEEF3VWqIoQzqKPu+Dwx9w3YrrcHvdPH3+09ww+YawzYWYGZvJH077A0vOWYLFZOHOD+/k7o/uDoy0KoQQQgghxEAgiaLo01YcXMH/ffp/TEqexEsXvsT0tOnhDgmAeZnzeOXCV7h3xr2sKVzDwtcX8uTmJ3F5XOEOTQghhBBCiC6TRFH0WW/sf4P7P7+f6WnT+cc5/yApMincITViNVu5ecrNvHHxG5yWdRqPb3qca1dcy8HKg+EOTQghhBBCiC6RRFH0Sa/ufZWffPETZqXP4u9n/Z0Ya0y4Q2pRRkwGfzz9j/z59D+TX5PPlW9eyYu7X2Sw3v8rhBBCCCH6P0kURZ/z/uH3+fmXP+fEYSfy2FmPEW2NDndI7XL2iLN59aJXOSH9BH615lfc9dFdlNpLwx2WEEIIIYQQHSaJouhTdpbu5IEvHmBa6jQePeNRIi2R4Q6pQ9Ki03ji7Ce4f/b9rC5YzaVvXMpneZ+FOywhhBBCCCE6RBJF0WeU2Eu466O7SLAl8OgZj/bbOQpNysQ1E69h2TeWkRKVwp0f3skj6x6RgW6EEEIIIUS/IYmi6BMcHgf3fHQPVc4q/nrGX0mJSgl3SF02Nmkszy94nmsmXsN/d/6Xm9+9mWO1x8IdlhBCCCGEEG2SRFGEndaaB798kC0lW/jNyb9hYvLEcIfUbSLMEdw/+35+f9rv2V2+myvfupK1hWvDHZYQQgghhBCtkkRRhN2re1/lrQNvccf0Ozh7xNnhDqdHnD/yfJYtWEaiLZHF7y/mX1v/hVd7wx2WEEIIIYQQIUmiKMLqYOVBHvnqEeZkzOHb074d7nB61OjE0Ty/4HnOHXEuj258lHs+NrraCiGEEEII0ddIoijCxulxct9n9xFhjuChkx/CpAb+r2O0NZrfnfo77p99P1/kfcGitxaxr3xfuMMSQgghhBCikYH/yVz0WU9ufpKdZTv5xYm/ID0mPdzh9BqlFNdMvIZ/n/9v6lx1XPPONXx45MNwhyWEEEIIIURAWBNFpdS/lVJFSqltQWVDlFLvK6X2+pZJQdt+pJTap5TarZQ6L6h8hlJqq2/bX5VSqrfPRXTMrrJdPL3taS4acxFnDT8r3OGExfFpx/PCN15gdMJovvvxd/n7pr/LfYtCCCGEEKJPCHeL4n+A85uU3Q98qLUeC3zoe45SahKwCJjs2+fvSimzb58ngMXAWN+j6TFFH+L2uvnZqp8Rb4vnh7N+GO5wwio9Jp3/zP8PF425iCc2P8G9H99Lras23GEJIYQQQohBLqyJotb6M6CsSfFC4Bnf+jPAxUHly7TWDq31QWAfMFspNRSI11qv1lprYGnQPqIPWrpjKTvLdvLAnAdIsCWEO5yws5lt/PqkX3PfrPv4NO9Trn3nWo5UHQl3WEIIIYQQYhALd4tiKOla60IA3zLNVz4MyA2ql+crG+Zbb1rejFJqsVJqvVJqfXFxcbcHLtpWWFPIE5ue4MzsMzlnxDnhDqfPUEpx7aRrefKcJym2F7Po7UV8mf9luMMSQgghhBCDVF9MFFsS6r5D3Up580Ktl2itZ2qtZ6ampnZrcKJ9/rD+DwDcN/s+5FbS5uYOncvzC54nIyaD2z+8nf9s+w9GQ7kQQgghhBC9py8misd83UnxLYt85XlAdlC9LKDAV54Volz0MWsK1/De4fe4ZeotZMZmhjucPis7Lpv/zv8vZw0/iz9u+CM/+uJH1Lvrwx2WEEIIIYQYRPpiovgGcINv/QZgeVD5IqWUTSk1CmPQmnW+7qnVSqm5vtFOrw/aR/QRLq+L3679LVmxWdw05aZwh9PnRVuj+eNpf+Su4+/inQPvcP2K6ymsKQx3WEIIIYQQYpAI9/QYzwOrgfFKqTyl1C3Ab4FzlFJ7gXN8z9FabwdeBHYAK4E7tdYe36FuB/6FMcDNfmBFr56IaNNre19jf+V+fjDrB9jMtnCH0y8opVg8bTF/O/NvHKk+wqK3F7Hh2IZwhyWEEEIIIQYBNVjvf5o5c6Zev359uMMYFOxuO9949RsMixvGM+c/I/cmdsKBygPc89E95FXn8YNZP+DqCVfLz1EIIYQQQnSJUmqD1npmqG2W3g5GDD7P73qeInsRvzvtdy0nN85aqD4KdaXgrAFnHfgbjJUJrFFgjYbIRIhJgaghYB48v76jE0bzvwX/48ef/5iH1z3MpuJNPDjvQaKt0eEOTQghhBBCDECD55O2CIsqZxVPbX2KU4adwoz0GaA1FO2Aws1wdKvxOLYN7OUdP3hkIsQPg8RsSMg2lkmjIDkHhowykssBJD4inr+e+Vee2voUj216jD1le/jTGX9idMLocIcmhBBCCCEGGEkURY/6z7b/UOWs4u70k+GdH8Kut6Aq39hoiYL0yTBpISSOgLihRmthRCxERIPJ9+vp9YC73mh1tJcbrY51pVBbDJX5UJkLR1ZDfWXQKytIGgGpEyB1PKRNhvRJkDIOLP33HkmTMvGtad9iaupU7vvsPq5+62p+cdIvOH/k+eEOTQghhBBCDCByj6LoMcUlu1nwzlWcXufgd0cLwBIJY86CCQsgaxYkjwGTufte0F4BZQeMR8leKNkNxXugdC94nEYdkwVSxkPGFBh6nPHImAqRCd0XRy85WnuU//v0/9hcvJlrJ17L92Z8D6vZGu6whBBCCCFEP9HaPYqSKIruV30Uvvwbv973Aq/ERLLcNoHhx10HOWdDREzvx+NxQel+o4vrse3G8uhWqA6abiJpVEPiOPQ4yDweoof0fqwd5PK4+NOGP/Hfnf9leup0/nDaH0iPSQ93WEIIIYQQoh+QRDEESRR7gNsBn/4OvvwbhUpzQfZQLh1+Dj8944/hjiy0miIo3AKFm4x7Jgs3Q8Xhhu2Jw2HodMic7lv23eRx5aGV/GzVz4iyRPHIqY8wd+jccIckhBBCCCH6OEkUQ5BEsZsd3Qqv3Wa01k29kkdSU1l2aAXvXPoOQ2OHhju69rOXGwljwSYjgSzYBOUHG7YnDIfM44zEceh0o/UxNjUsoTZ1oOIA935yL4eqDnHX8Xdx85SbMamwTpUqhBBCCCH6MEkUQ5BEsZt4PfDFn+GT30JUElz0V8pHzOW8V87jnBHn8NDJD4U7wq6zlze0PPoTyLIDDdvjMn1dVqcZ9ztmTDUG5wnDPId1rjoeXP0gKw6u4LSs03jo5IdIsPW/+y+FEEIIIUTPk3kURc9w1cOr34Kdb8DkS2HBHyF6CM9v+jt2t52bp9wc7gi7R1QSjD7NePjZK4xWVH+X1cJNsGcl4PvixZZgDJjjTxzTpxijr/bwlB3R1mgeOeURpqdO5/frf89Vb13FI6c+wnGpx/Xo6wohhBBCiIFFWhRF59RXwvPfhMNfwHm/gXl3AkaL1rmvnMsJaSfw1zP/GuYge5mzFop2NswP6Z8j0lVnbFcmGDIa0iYZ04KkTTSm7RgyqntHf/XZXLyZH3z6A4rqilg8bTGLpy3GYpLvhoQQQgghhEG6noYgiWIXVB+F/14OxbvwXPQ4ednf4FBpHQUVdj4pfIUvK/7N3MgHifKOxuH24PI0/I4pwGo2YbWYsJoVUVYz0RFmoqxmYmwWYmwW4iKNR3yklfgoq29pIcpqRoWhO2eXeD1QdhCObTWSyGPboWiHUeZvfTTbIGWsMcdj6viGZXJOl+d8rHZW8/Dah3nzwJtMS5nGb075DSPiR3T9vIQQQgghRL8niWIIkih2TvHRXKKWzsdaX8Ivo3/EC2VjcXv9v0NuYnJ+D+5kYsvuIspqxmYxY7UoFEaC59Uat0fj8nhxuL3UuzzYfY+2fhWtZkVCVAQJURYSoqwkRkeQ6F9GW0mMtgbKk6KtJEZFkBBtJc5mwWTqYwmmsw6KdxnJY9EOKNkDxbuh4giBBFKZIGkkDBljzDmZnGO0SCbnQEJWh1ohVx5aya9W/wqX18UPZ/2Qy8Ze1v+SbiGEEEII0a0kUQxBEsX2q6hz8sbmAt7dfJjvFXyfSeowt/IzTMNnM3VYAiNTYhiZHMP26g/509e/5Imzn+DkYSd36DW8Xo3d5aHG4aa63k11vYvqejdV9S6q7May0u6ios5Fld1Fhd1Jpd1Fea1RXuNwt3hskyKQQBpLX0IZZSwTfOUJUVbiIy0k+LYnRFl7vxXTWQel+xoSx5I9ULYfSg+Aq7ahnjnCmPsxaYSRTCb6lkkjjPXI+GaHPlZ7jJ+s+glrCtdwetbp/HTeT0mLTuu1UxNCCCGEEH2LJIohSKLYtpIaB//6/CDPrj5ErdPN03FPcobrc/LOWcLQeVdiDmql82ovlyy/BKvJyksXvtTrrVUuj5eKOn8y6aSizkWFb92fYDZ9Xml3UVXvarUl02pWQV1gLY26wsZHWn3dZBuWsbaGbrNxkRZiIy1Yzd0wRYXWRpffsv1Qur9hWXEYyg+Do6px/agkSMj2PbICD2/8MJ4rWc9fdjxNhCmC/5v1f1ySc4m0LgohhBBCDEKSKIYgiWLL3B4vj3+8nyc/3U+928OF0zL5aczrpG78C5z9IJx8b7N9viz4km+//21+c/JvuHDMhb0ec2d5vZrqejeVdleLj+p6F1X1bqp8iaWxNJ473N42X8NmMREXadx/GRv08N+TGWszB7b5y2IifPdsRliItpkDy2irGUvTxFNrYwqP8kO+xPGQkTxW5UNlHlTkgrO60S5HrFZ+np7OequJOSqGnydMJztpDMQNhZg0Y27IGN+ji/dJCiGEEEKIvkmmxxDtdqiklu++sIlNuRUsmDqUe88ZR87RFfDqX+D4a+Gk74bc7/ldzzMkcgjnjTyvV+PtKpNJGV1No62d2t/p9ga6yfq7zFb5ljUON7UON9UONzX1bmp8y2qHm8LKemqdxvYah5t6V9sJp1+ExURMhJnoCAtREWZiIsxEBZ6PIMo62hggKMlMVLoxUFCCqiPJXUSS6xjxzmPEOIp5pL6Id537eNxUyiXlX3Drwbe5qbIKW9PvjmwJvsQxDWJSIDatIYmMTjZaL6OSIHqIsbRGh2UOSSGEEEII0X0kURQByzfl86NXt2IxKf529fFceFym0Rr11r0wfB4s+HPIBCC/Jp/P8j7jlim3EGGOCEPk4RNhMZEcayM5tmutbm6Pl1qnh1pfclnnX3d6qHO6qXUYyxqHG7vTQ13gYdS1Oz0cq6rH7vJQ7/RQ5zLKmrd4Jvge4wIlylKJLf0tHk/ayhMxI0k/NocxjgQyzFWkmapJ81SSXFlFcmUlSTqXBF1BnLealrhVBA5rPM6IRFwRCbgjEvFEJuG1xYMt3rh/MjIBU2S88YhKwBKdiCU6AWtMItYIGxFmk3SHFUIIIYQII0kUBQAvrs/lvle2MGvEEB69ejpDE6KMLo1v3g3aC5c8CZbQSeCLu18E4MrxV/ZmyAOKxWwiIcpEQlTnWjZb4vFq6l1GUlnv8jSMMus0lvUu/8izJ7Orcj0fFv2Dwux3MUfMIjryaup1Ont8dep99R1uD26ng0hXOTZXFdHuSqK9VUR7qonzVpGoaklw1ZBkryFR1ZBIEUmqmjjsRCtHmzHXayslRGEnEjtR2FUk9SqKelMkDhWF0xSFwxSFyxyFyxSF2xKN2xyF12zDa4lCmyPxWqLA6ltaIo1WTmsUJnMEFosZq1lhMSksZmOaFqvZZKz7yixmhdVkwmxSWM3Kt2x4bglsC13HrFTfG2k3DNxeN3a3nTpXHXXuukbrde467C47To8Tt3bj9hoPj/YE1v3lHq8nsE0pYxRlhWpY9y1NyuiWrZTChAmTyYTVZMVismA1WVtdt5qtRJgjiDRHYjPbsFlsgfVIi7GUeUiFEEIMJgPmqqeUOh94FDAD/9Ja/zbMIfUbL3x1hPtf3crJOSn88/qZRFp90y58/Szs/wgu+IMxomYI9e56Xt37Kmdkn0FGTEbvBS3axWxSgfse2zacBzzfYOmOpfxr67/4qPqHXDH+Cn543O0kRSa16/W01rg8GofbaM10uo1pUMrdHo65vTidDtx1VXjrK9D2KnBUg6MK5ajG5KjC7KrC7KzG7KrF7K7D4qkj1l1HkseO1VtJhMeOzW3Hpu1EaGeHfx4erXBixYkFJ1YcWHFqS6My//O6QB0LTh20PfDcihMzbiy4MRsPbcblW/cqM15lwassaJMVj7KAyYxWFrTJgjZbweRbV8Y6JjOYzCiTGeV7bjJbQJkxByWhZrNvaVKYlMJsImi9Ydlouy959S/95SalfA/fMfzblEL5yrzaTb2uxuGpps5Tid1TRZ2nCrunilp3JbVu39JVSa27GofHjsNrx+Xt+HvkZ1JmzMqMxWTBoiyYTWZMyoRC4dVeNBrQaA0a43lgXWu8ePF6vbi8Ll/drrMoCzaLzUgezZGNkslQiWXwenvq++vYzDYizBFEmCIwd2AaHCGEEKI7DYhEUSllBh4HzgHygK+UUm9orXeEN7K+76X1udz3ylZOG5fKP66b0ZAkVubBuw/AyFNg5i0t7r/y0EoqHBVcPeHqXopY9KQIcwS3Tr2Vi3Mu5olNT/Di7hd5a/9bXDvpWq6ZeA0JtoRW91dKEWFRRFhMxLVYK717gvV6wFkLzhpw2cFdbyz9D7e90XPtsoOzDourHpPbgc3lINbtQPseuB3gcQaWylMLHicmjxPlcaK8xrrJ68SkW56OpfWYfUsP4OrorgovJjyYfUtTYOkJ9VybfPsovFr5thvH0P5yTNgVlFqg1AxFFii3aMosmgqLl3Lf0m5uOdGK9CiiPSaivWaiPCYSPWYivCas3iisOgar12Q8tAmL1xx4btFmLF4TFm1CaYXCt9SmQIuhkQoqCFpvWAZvJ1AHQGujTKNAGTOTek0aD6CVxmsCr/LiReM1KbwmLx40HpPGo7x4TF48SuNWHjxK4zF5cCsvHuXFbfKXe/GoWmpVNVXKGyhzN1o3ll7V+UTVpBVmjJ+XWZuwYCzN+NfNWDAFtln8dfz7YDbKg+ooTJgxjmvC1/rqW5p9z0yB7UZdk/KVaV9dZQ5sM9PwnkHzOxQUoFVwDV9hkzqBZeCfFo4X9Lxh1dSoQLUQR+M6DRW09n+d4P/X91WE8n0hEfiXhnVlPPM226ehrtdXu9H2wJpq/Do6eFuodaNe8HGM128ad8NXI01fM/g8vE2OH6os+FiNte93uv2/+c1rarp2u7tq+kvWtFy1p27nX6c99ULtGfqcW963Pa/f/hjbt297f1qhzqW9r9HOV2glnr5nSGw61y94INxhdMiAGPVUKTUPeFBrfZ7v+Y8AtNYPt7SPjHoKOwqquPjxVcweNYR/3RDUkqg1/PcyOLIabv8ShowKub/WmkVvL6LeXc/rC1+Xe8oGoP0V+/nrxr/yUe5HRFuiWTRhEddPup7kqORwhxZeXo+RVHqc4HGD1wVeN3iaLL2uJtv9Zf7t/rq+Mu01jq09jZftKnOD1xtU5gVttKjleR3kageHvPUc0Q4KtJOjuDmm3VSp5gMpDdGKdK+JNK1I8yqSvZCoIdGrSNSaBI8m0QsJWmPV2vg/Q3sxPulqVNC6sfQ2Ljc+EQMa5a8TXB//Z/Cg9DCwTsPrBOr27euYG3AqRb1SOJSi3uRb+p4H1k3+MhNOBQ6lcAXVcfqWjcsIbHM2qedUCncv/r9s1v60Hkxa+1M3TIBJ40vvjefBUemgpW5WFpTMqdB1mx9DBeoTol6junLdEkL0krEOxauLt4Q7jGYGw6inw4DcoOd5wJwwxdJpty05hYOmct69dVuPv1ad081dz28kMdrKo4umNySJAFtfhv0fwvzft5gkAmwt2cqO0h08MOcBSRIHqDGJY3j0zEfZU76Hf235F09ve5rndj7H5eMu58bJN5Ie002tg/2NyQymKLBGhTsSABweB/nV+RyuOsyR6iPkVudypOoIR6qPUFhfiFc3JIOx1liy40YwLCadGdEZpMekkxGTQXq0sUyLTsNm7sdTovi//AxKOpuvQ0Ni2nSdEHXbWxa0LaiexfeIDhVn0/2abQuxvcV6zet7tAenx4XT68ThduL0OvFor3Hfp/bg1m48vvs/XdrjK/ffF+pbD5T7Ht6GMpf2BG13oyHQNVhrjVdrAt2BfV2C/V2DAy2QQcmgcb8pjcr996AG1/FvD67b0GIY1LrZ6LhBtVXwfsYTU9A9ryZMQfe+Nr0X1tcCrvC1vfr28cVu3CurfC2vRups8r1g4Lg69D22iob6je7DpeE1G5ZBxw/UNbUQr7+eydfaagrE1HDOCqVMoPHFYtRr+h4Zv3q62XU/6CueoHodaaVqWqZD7us/bvPXbvw8VFuov3FEh/qbbbR/871DHy/Un2Hzel6afyEX8pW9oVpWQ7e2hhKy/bdJgFqH3j/064QoDfUSLezbjl0J1WDV1XMOfczeaXls7WvLaFtsL0TQvdqdKCqlYoB6rbWnB+PprFDvfbP3Sim1GFgMMHz48J6OqRM0nl76ZvyXb+7gQEkt/71lTuMRO91O+OhXkDEVZt3a6jGe3/U8MdaYfjVvouiccUnj+N1pv+P26bfz1NaneH7X87yw+wUuybmEayddy6iElr9QEN3H4XFwqPIQ+yr2sb9iv/Go3E9udW6jZDA+Ip4R8SM4LvU4LhxzIcPjhpMdl82I+BEk2hIH9hc7gQxgAJ9jB5iBKN9DCCGE6IgWE0VlfI20CLgGmAU4AJtSqhh4B1iitd7bK1G2LQ/IDnqeBRQ0raS1XgIsAaPrae+E1n4KhbcXPtu8vaWQZV/lcsfpYzgpJ6Xxxo3PGJO2X/MymEyhDwBUOip579B7XDL2EmKsMT0csegrRiWM4tcn/5rbjruNp7c9zWv7XuPFPS9yQtoJXDr2Us4ZcQ7R1ui2DyRa1Z6E0KIsDI8fzrikccwfNZ+R8SMZHjec4fHD27yXVAghhBCiLa21KH4MfAD8CNimtfHpRCk1BDgD+K1S6jWt9X97Psw2fQWMVUqNAvIxEtxvhjekjvMP3tCTahxufv7GNo7LSuDec8Y13uishU9/B8NPhJyzWz3OioMrcHqdXDr20h6MVvRVWXFZ/HTeT7l9+u28sf8NXtv7Gj9Z9RMeXvcw80fN57KxlzE5efLAbrnqBv6EcH/F/kBSeKDyAEeqjwQSQrMyMyJ+RCAhHJM4hpyEHEbEj8Bq7t7pVIQQQggh/FpLFM/WWjcbl09rXQa8AryilOoTn1K01m6l1HeAdzF62vxba709zGF1mKIjI4R1zpOf7Kekxsm/bpiF1dykxXDtk1BbBFc922a3rdf3vc74pPFMHDKxB6MVfV1KVAo3T7mZmybfxMaijby691Xe2v8WL+95mbFJY1kwagGnZp1KTmLOoE4amyaEByoPsL9if7OEcHj8cMYmjeX8UeczJmEMYxLHMDJ+pCSEQgghhOh1LSaKoZJE332KlwBXa60XhKoTLlrrdzC6xPZbChXidufuU1Bh55+fH2Dh9EymZyc23mgvh1WPwtjzYPjcVo+zu2w320u3c//s+wf1h3/RQCnFjPQZzEifwf2z72fFwRW8tvc1/rLxL/xl418YGjOUU4adwqlZpzJ76GyiLAPzjimnx8nByoOBrqL+bqOhEsKcxBzOG3keOYk5jEkcw4j4EUSYI8J8BkIIIYQQhjYHs1FKRQAXYHTlPB+jNfHJHo5rUFKowHDePeHP7+8B4IfnT2i+cdWjUF8JZ/20zeO8vu91rCYrC0Yt6O4QxQAQFxHHleOv5MrxV3Ks9hif53/OZ3mf8eaBN3lxz4vYzDZmZcxi3tB5TE6ZzMQhE/vdfY1VziryqvM4XHW40X2EudW5eHzjfZmVmey47EBCOCaxoYVQEkIhhBBC9HWtDWZzDnA1cB7G/YrPArO11jf1UmyDjlI916JYWGnnta/zuXbuCIYlNmnNqS2FNU/ClMuN0U5b4fK4eOvAW5yRfQaJkYk9FK0YKNJj0rl83OVcPu5ynB4n64+u57P8z/gs7zO+yP8CML4gGZUwisnJk5mUPInJKZMZnzQ+rMmjw+OgoKaA/Jp88qvzyavJI78mn7zqPPJq8qh2Vgfq+hPCMYljOHfkuYEWQkkIhRBCCNGftdai+C7wOXCy1voggFLq0V6JapBSuucGs3l61SE0cMvJIaYx+HopuO1wyvfbPM4neZ9Q4ajgkrGXdH+QYkCLMEdw4rATOXHYidw/+36K64rZUbqD7aXb2VG6g9WFq3nzwJuB+om2RIbGDCUjJoOhMUON9dgM0qLSsFlsWE3Wxg+zFYvJEnhuVmbjyxftpdpZTZWziipHFZWOSiqdlca6s5JKRyVVTqO8wlFBfk0+xXXFjeZmijBFkBmbSVZcFtNSp5EVm0VWXBbZcdmMShglCaEQQgghBpzWEsUZGKOHfqCUOgAswxgoRvQQpXomUayqd/Hc2iNcMHUo2UOatNJ4PfDVUzDyFEif1OaxXtv7GmnRacwbOq8HIhWDSWp0KqdFn8Zp2acFyorqithRuoN9FfsorCmksLaQ3Opc1h1dR62rtkPHVyisJisuryv0JMQ+UZYo4iPiSbAlkGBLYO7QuWTFZZEVm8Ww2GFkxWWREpXim0RbCCGEEGJwaG0wm6+Br4H7lFInYXRDjVBKrQBe881JKLqRqYfmUVy27gg1DjffPnV08417VkJlLpz3UJvHOVZ7jFUFq7hlyi2YTfKdgeh+adFppEWncXr26c22VTurKawtpLiuGKfHicvrwu114/K6Gh4eF27txuUxnju9TqwmKwkRCYFEMMGWEEgM4yPipTVQCCGEECKENgezAdBarwJWKaXuBs7GSBolUexmxjyK3Zspaq1Zti6XWSOTmDIsxCTc65ZA/DAY3/bANG8eeBOv9nJxzsXdGqMQ7REXEUdcRBzjksa1XVkIIYQQQnRJuxJFpdSlwMkY0/x9IQPa9IyemB5j45EKDpTUctvpY5pvLN4NBz6BM38K5tZ/FbTWLN+3nBPSTmB4/PBujlIIIYQQQgjRl7R5041S6u/AbcBWYBvwbaXU4z0d2GCklKnbu56+vCGPKKuZC6YObb7xq3+BOQJOuKHN4+ws28mhqkNcOObC7g1QCCGEEEII0ee0p0XxNGCK1loDKKWewUgaRTfr7hbFepeHtzYXMH9qBrG2Jm91fRVseg4mXwqxqW0e650D72AxWThnxDndGKEQQgghhBCiL2rPMH67geC+htnAlp4JZ3Az0b2jnr67/SjVDjeXz8hqvnHLC+CsgdmL2zyOx+thxcEVnDzsZBJsIe5zFEIIIYQQQgwo7UkUk4GdSqlPlFKfADuAVKXUG0qpN3o0ukHG6HrafX1P39pSSEZ8JHNHJTffuOE/kHk8ZM1o8zgbizZSZC9iwai2B7wRQgghhBBC9H/tSRR/BswHfu57XAD8Cvij7yG6ifKNeOrr5dsldU43n+0p5vwpGZhMTZLP4t1wbBscd3W7jvX2gbeJskQ1mu9OiPbKzc3ljDPOYOLEiUyePJlHH30UgLKyMs455xzGjh3LOeecQ3l5eWCfhx9+mJycHMaPH8+7774bKN+wYQNTp04lJyeHu+++u1v+VoQQQgghRHMtJopKGU1bWutPW3oAn/VapIOA8r0dXt31OxU/3V2Mw+3l3MnpzTduexVQMGlhm8dxeVy8f/h9zhx+JlGWqC7HJQYfi8XCH//4R3bu3MmaNWt4/PHH2bFjB7/97W8566yz2Lt3L2eddRa//e1vAdixYwfLli1j+/btrFy5kjvuuAOPxwPA7bffzpIlS9i7dy979+5l5cqV4Tw1IYQQQogBq7XBbD5WSr0CLNdaH/EXKqUiMKbKuAH4GPhPj0Y4iPhyc7x4MdO1Ce3f3X6UpGgrs0cOabxBa9j2Cow8GeIy2jzOqoJVVDmruGDUBV2KRwxeQ4cOZehQY9TduLg4Jk6cSH5+PsuXL+eTTz4B4IYbbuD000/nkUceYfny5SxatAibzcaoUaPIyclh3bp1jBw5kqqqKubNmwfA9ddfz+uvv878+fNbff2UlBRGjhzZk6cohBBCCNHIoUOHKCkpCXcYXdJaong+cDPwvFJqFFABRAJm4D3gz1rrTT0d4GBi8rUoaq+3fZ2CW+Dxaj7ZU8yZE9KwmJsc6Ng2KN0L8+5o17HeOfAOibZE5mXO63xAQvgcOnSIr7/+mjlz5nDs2LFAAjl06FCKiooAyM/PZ+7cuYF9srKyyM/Px2q1kpWV1aw8lCVLlrBkyRIAYmJiWL9+fU+dkhBCCCFEMzNnzgx3CF3WYqKota4H/g78XSllBVIAu9a6opdiG3T8LYpuj5sIi63Tx9mSV0FFnYvTx6c137jtVVBmmNh2t9M6Vx0f537MwpyFWE3WTscjBEBNTQ2XXXYZf/nLX4iPj2+xXqj7DpVSLZaHsnjxYhYvNkb0HQj/UQshhBBC9Lb2zKOI1toFFPZwLINewz2Kni4d57M9JSgFp+SkNN7g73Y6+jSICTESahMf5X5Evaee+aNa79onRFtcLheXXXYZ11xzDZdeeikA6enpFBYWMnToUAoLC0lLM77YyMrKIjc3N7BvXl4emZmZZGVlkZeX16xcCCH6Go/XQ527jjpXHbXuWuwuO7WuWmpdtdS563B4HLg8Llxe4+H0OAPrLq+r0TaX14Xb68blceHFa/Q+UkYvJKUUJmV8dlAY6wqFUsbDX8df5t8ONKprVmZsFhuR5kgiLZEtL0Os28w2zKau3S4jhOib2pUoit4RaFF0O7t0nE/3FDEtK5GkmIjGGwo2QsVhOO2H7TrOioMryIjJ4Pi047sUjxjctNbccsstTJw4ke9973uB8osuuohnnnmG+++/n2eeeYaFCxcGyr/5zW/yve99j4KCAvbu3cvs2bMxm83ExcWxZs0a5syZw9KlS7nrrrvCdVpCiAGu3l1PhaOi4VFfQbmjPLBe4aigyllFnauOOnddIBG0u+3Y3fZOvabVZCXCHIHVZG14mI2lxWQJJHle7UWjA4Pf+Z9rrQPLpmWhnoPxf7Rbu3F6nDg8jk7FHWGKaDGhjLZGEx8RT1xEXGAZvB4fER9Yj7HGtNhTRAjR+yRR7EOU71tBt6fzLYqVdhebciv4zhk5zTduexVMVpjQ9nyIVc4qviz4kmsmXBP4tlKIzli1ahXPPvssU6dOZfr06QD85je/4f777+fKK6/kqaeeYvjw4bz00ksATJ48mSuvvJJJkyZhsVh4/PHHMZuNb6ufeOIJbrzxRux2O/Pnz29zIBshhAjm8Dgoqitq9Dhae5TS+tJA8ud/tJbsxUXEkWhLJD4inhhrDBnRGURbo4mxxhBt8S2t0UaZJaZhmzWaaEs0UZYoLCZLo2TQoixhT5K82ku9ux6Hx0G9ux67x47D7aDeU4/dbW+8zW1vs57dbaewppDdzt1UO6upcdW0+vomZTISSWsc8bbmiWTTBDMpMokhkUNIikySkdmF6AFhSRSVUlcADwITgdla6/VB234E3AJ4gLu11u/6ymdgjLAaBbwD3KO11kopG7AUmAGUAldprQ/12sl0I/9gNh6Pq9PHWH+oDK+GeWOadDv1emH7a5BzNkQltXmcT3M/xe11c87IczodixAAJ598covzHX744Ychyx944AEeeOCBZuUzZ85k27Zt3RqfEGJgqHHWkF+Tz7G6Y40SweDnFY6KZvtFWaJIiUohKTKJtOg0xiaNJcmWRGJkIom2RJJsSSTYEkiKNJYJtoQBe9++SZkCCW5P8Hg91LhqqHJWUe2sDiyrndVUOapClu+v2x9Yr/fUt3jsKEsUQyKHBB7+JDLU86TIJGzmzo8FIcRg0WKiqJSqBkJ9ulOA1lq3PBpF27YBlwL/aPKak4BFwGQgE/hAKTVOa+0BngAWA2swEsXzgRUYSWW51jpHKbUIeAS4qguxhY1SJtDGYDadtfZgGRFmE8cPT2y8IW8dVOXD2Q+26zjvH36f9Oh0pqZM7XQsQgghRHfRWlNsLya3OrfRI686j9zq3GZJoEIxJHIIadFpZMZkMj11OmnRaaRFp5Eek056dDpp0WnEWmPD3pI3WJhN5kCy3RkOjyOQNFY6KqlwVFBWXxZ4lNeXU1ZfRlFdETvLdlJeX47LG/rL9xhrTKPEMTkyuVEymRqVSmp0KqlRqdIlVgxarY16GtdTL6q13gkhRyxcCCzTWjuAg0qpfcBspdQhIF5rvdq331LgYoxEcSFG6yTAy8BjSimlW2rC6MNM/kTR2/lEcc2BUqZnJxJpbXJj+Y43wGyD8W131at11bIqfxVXjL9Cup0KIYToNVprCmsLOVB5oFkymFed16hFyaRMZERnkB2XzVnDzyI7LpthccPIiM4gPTqdlOiUAdvyN1jZzDZsUTZSolLarozx+1TjqgkkkE0TytL6UsrryymoKWB7yXbK68tx6+afwfytzsHJY0pUCmnRaY3K4yPiJaEUA0pfu0dxGEaLoV+er8zlW29a7t8nF0Br7VZKVQLJQLMZLpVSizFaJRk+fHh3x95lgVFP3Z1LFKvrXWzLr+TOUPcn7n0XRp0Ctrbz/8/zPsfpdXLOCOl2KoQQovtprTlWd4z9FfvZV7GP/RX7A+t17rpAvUhzJFlxWWTFZTEvcx7ZcdmBR2ZMJlazJIKiZUqpwL2Nw+Pb/tyntabKWUVpfSkldSUU2YsoqSuh2F5McV0xxfZidpft5vO6zxv9nvpFmCJIjU5tNalMj04nwZYgCaXoF3osUVRKfQBkhNj0gNZ6eUu7hSjTrZS3tk/zQq2XAEsAZs6c2edaHE3KaAX0dLJFccPhcrwa5oxqMvVF6X4o3Qezv92u47x3+D2SI5OZnjq9U3EIIYQQYHzwLq0vZV/FPvaV72uUFFa7qgP1hkQOIScxh4U5C8lJzGF0wmiGxw8nNSpVPlCLXqOUCnSNHZ0wutW6da66RglkcV0xJfaG5PJA5QHWHl1LtbO62b6R5shA9+eMGKMFPLDuK0+0Jcrvvgi7HksUtdZnd2K3PCA76HkWUOArzwpRHrxPnlLKAiQAZZ147bALTI/RQn/6tmw8UoFJ0fz+xD3vGstx57Z5DLvbzhf5X3DRmItkXiQhhBDt5tVejlQdYWfZTnaW7mRH2Q52l+1udO9goi2RMYljuGD0BYxJHENOYg45iTkkRbY9yJoQfUm0NZoR1hGMiB/Rar16dz3Fdl8S6R9gqfYYR+uOcqz2GF8d/YqiuiI8TebQtpltRgLZSkKZZEuSZFL0qL7W9fQN4Dml1J8wBrMZC6zTWnuUUtVKqbnAWuB64G9B+9wArAYuBz7qj/cnAih/i2InB7PZlFvBuPQ4YmxN3ta970LqBEga2eYxVuWvwu62c/aIzuT5QgghBgOv9nKg4gA7ynYYSWHpDnaV7Qp0x7OarIxLGsdZw89ibNJYchJzGJM4huTIZPlgKwaVSEtkoLt0SzxeD6X1pY0SyGN1xzhae5RjdcfYeGwjRXVFze6fjDBFBBLJ9Jh04/7cJollUmSSjDchOq0zo54C0JVRT5VSl2AkeqnA20qpTVrr87TW25VSLwI7ADdwp2/EU4DbaZgeY4XvAfAU8Kxv4JsyjFFT+yX/H3JnEkWvV7PpSDkLpmU23uCohkOrYO7t7TrO+4ffJ9GWyMz0mR2OQQghxMBUYi9ha/FWtpZsZUvJFraXbA/MiRdliWJ80ngW5ixk4pCJTEqexOjE0TKQjBDtZDaZAyPyTiX0aPMer4ey+rJGCeSx2ob1TUWbOFZ3rNmAiFaTNXTLZEzD+pDIIZJMipDaHPVUKfVL4CjwLMb9gNcAXRoRVWv9GvBaC9seAh4KUb4emBKivB64oivx9BUmk/8exY53PT1YWktVvZvjsxMbbzj0BXhdMLbtgWmcHief5n3KeSPPw2Lqa43NQggheoPb62Z32W42Fm1kS/EWtpZsJb8mHwCzMjMuaRwXjLqAqalTmZI8hVEJo+RWBSF6mNlkNgbHiU5lSkqzj8OA0dJfVl8WSCCP1h1tSCxrj7G5eDPvHX4vZDKZFp3WLIHMiM4IdHOVZHJwak82cJ7Wek7Q8yeUUmuB3/VQTIOY8QfYmXkUvz5SAYS4P3H/x2CNhuw5zfZpanXBampdtTLaqRBCDCJ1rjq2lmxl47GNbCzayObizdjddgAyYjKYmjKVReMXMTV1KpOSJxFliQpzxEKIUEzKREpUCilRKUxOmRyyTiCZDEogg1sptxZv5YPDHzSbf9KfTGbEGMmjP4kcGjM0UCbTgww87UkUPUqpa4BlGF1RrwY8re8iOsPsa8XzeJwd3ndTbjlxNgtjUmMbbzjwCYw4ESy2No/x3uH3iIuIY05G20mlEEKI/qnGWcP6Y+tZf3Q9G4s2srN0J27tRqEYlzSOhWMWMiN9BtPTppMRE2rwciFEf9UomUxuOZksry8PJJD+1kl/Yvn1sa9D3jMZZYkKdG9tmlD6HzHWmN44TdFN2pMofhN41PfQwCpfmehm/u6eLk/Hu55uy69iUmY8JlPQNzmV+VCyG064rs393V43n+Z9yulZp8u8VEIIMYC4PC42F29mTeEa1hauZWvJVjzag9VkZWrKVG6cciPHpx3P9LTpxEd0evgBIcQAYVImkqOSSY5KZlLypJB1/APwBBLJ2qMU1hYGkstV+asosZegmwx3EhcR1yiBTI9Ob9TtNS06jVhrrLRM9hFtJopa60PAwp4PRZh9o566O5goeryaXUer+ObsJkM0H/jEWI4+o81jfF30NZWOSs4Y3nZdIYQQfZdXe9lbvpc1hWtYXbiajcc2YnfbMSkTU5KncPOUm5k7dC7HpR2HTVnAWQuuOqguBuch47m7HjxOcDuMZWDdBR5Hk3Xfdo+/zAXaA143eD2+h9t4aG/DUntB64Z1dFCZppXx9BpTJkCB8j1QRpnyL02gzGAyNzw3mRuXNX3uXzdbwWQBk9V4brIElZl95f4yc0Nds+/hX/fXMUc0Xg8s/eURDeXyQVn0YcED8ExLnRayjsvjoshe1CiZDNw7WXuMbSXbKHeUN9sv2hJtJI++AXj8iWRwmdwz2TvaTBSVUuOAJ4B0rfUUpdQ04CKt9a97PLpBxhxoUexY19MDxTXUu7xMzmzyTfCBjyEmFdJDdy0I9tGRj4gwRXBS5kkdem0hhBBh4PVAfSXYy8FeQX75ftaUbGJNxS7W1eRS5q0HYJSK5GIVzRwdyyynl/hD+2DPFnD+2UgO3fVdiyOQ2EQYtzj4k6NAIuVPwCxBiZRvneBELmi9UdLXBv9sWMFJJ7pxAup/eD2gnUHrHvB6fUvf88A2b0Ny63WDJ2i9k3Mdd5gpRDJptjb8nIPLzDbfexDhW/fXa/LemG0N5Y2WtoZ9A8sW6pllsDvRPlazlWGxwxgWO6zFOg6Po9H8kkV1RcaIrr7HuqPrKK4rbjbPpEVZSI1ObZREZsRkBJJXf1mEOaKnT3NAa89f+z+BHwD/ANBab1FKPQdIotjNLL4unx1tUdxeUAXA5GFBiaLWcPAzGHVamxdbrTUf537MnKFziLZGdyxoIYQQXeesg5pjUFMENUeNZfVRqC2G+gqwVxhJoW/d4aziq8hIPomOYlVUJHlW4/qR6nZzkt3BHJdmjo4gw2KFCC9EREFkNMTHQITvYY2GiFiIiG6+bo0KShoimiQKQUnIYGz18iehXrfRehpoOXUFPXf7WlldTdZdDa2ugXVnw/bAMlS5s3GZv7XX7TSmwgoua9oC3ImxD1qkTG0klJGd3GYztreaxDbdTxLX/s5mtrVrnsmy+jKK6oo4Wne0WWK5p3wPn+d/HhiEK9iQyCHNksf06HTp6tpO7fnritZar2vyA+zcjPCiVSZlvB0dTxQribCYGg9kU3bA+NAxsu0Wwn0V+8ivyeeWqbd06HWFEEK0weOCylyoOAJVBcb/y9XHmieFjqrm+yoTRCdDVBJEJVESm8pnicl8qmtY7SrFrt1EmazMSRjLtSnHMTdjDqNTp6CikowP1qJnKNXQNbUdA8X1CVoHJZDOoO7DziZLR9D2LtZz1Pie14eoU+9r/e0GgcTVFiKxbE8raUutqi2tB39Z0uSLE//SZBmcX6L0kOCpQSYTupec1ppqVzVFtUXNWiX9ieXW4q0hu7r6B+EJTh6bJpSDtatrexLFEqXUGHw3CyilLgcKezSqQcpi6nyL4oSMOKzmoF/gQ18YyxEnt7n/x7kfA3Ba1mkdel0hhBj0vB6oyjcSwfLDxrLicMPz6oLmH4gjYiE23XikT4GcDIhNg9gMX3kaxGWgo4awq2Ivn+R9wme5n7GtdBt4jCkrLhp1GadlncasjFlEWiIDh9Za49Wgve28v68Jhb/Xp3zIHVCUakik+kpu63E3Tx5bTD5DJZtBy6b31IZKah3VrSe3ujsH9Fchuv761/3JZkTjJDPQhdjapG7Th7VJd+KI1vdreu9r4F7ZgTX3qVKK+Ih44iPiyUnKabFecFdXf6tkcEL51dGvKK4rbjaia3BX16ZJpH8U2ZSolAHXOtmeRPFOYAkwQSmVDxwEru3RqAapwD2KHbj/QWvNzsIqzp3UZAjzw6uM+xNTxrZ5jI+PfMzUlKmkRad1KF4hhBiI3B4vdS4PdQ4PtU43dfVuXBV5mEp2EVG+l+jKvUTV5BFdl0dM/TFMQR8ovCiqrKmUWdIptkykOPF0ikwZHDOnU6SSKdFJ1GDD7dG4qry4KzQeb8PDqx24bB/jsm3HG7kDLJWgFdqRjbf2PDw1EznsGMozX8PTuhqtP0TTcKted/N/3jESSNUokVSASSmU8i2DtplCLBvqKEwm43nw/oE6vnWzSTXat2HZeP/g/cz+clPDNnPIbcHlvmP49jGrJs9NRozmwGs3jq95/YbYAscOft7K65ubnF+o/ZXvtYPPPxCL7xj+n6l//z75wdVsMR4RfWS6BK+nSWups3HSGZx4huzm29aAT0FdhQPHqDd6EwS/XqC7sKvhmO0d2KkjlMmXMAYlj+Ym66YWyhvtZ217PZCsNh3YyWr8DgRep6XBoPz1grZ38ne6PV1dA3NN1jZvlTxWe4y95Xv5Iv+LkF1dbWYbKVEpJEcldyq+vqY9o54eAM5WSsUAJq11dc+HNThZfTfcdmQexZIaJ+V1LsZnxDUUag2HVhnzJ7bxh1RUV8S20m3cffzdnYpZCCH6Go9XU1HnpMLuotLuorLORYXd6Vs2lFXajec19W5qnW7sDhfxzmOM8OYyVuUxVuUz1pRPjsonTjV8ICjVcRzSGeTpEeTqmeTpVPJ0KsXmDEotqZiVjQhlwooJq9eERSks2oRVKSwWRYzJhMWssJhMWM0KbaqjTG+k2LueMu8OvDgxE8lQy1SGWk9gaMTxxFiSAkmCwv/h31g3lkBwsuYr7witQaN9SwLZpw6xzRiY1Bj43uv1LbVuXO577tX+lk5fa2eT517fug6sG8cM3q41vkTaSKhdHo0ncDx/ubHur6c1vjoar5fAvsGv6fE2HNujdaBF1tPJFtm+qmkyHpx0hkpIQyXK/iS6caJKo6S8tWMHkuqmSX7T/ZvEEkjSm8TR8CVEe47Tel3V5NyNulZMKgKTKbbRvmalUBZljNlkCnHsFo/X5IuVVuqYlDIG41UKExoTXpTXicnrxuRxojxOlNeF8rqCElJn8wQzcH+s09eCG5SMekPdE+tbepuWucBl921rcpxQ9972yi9101GILQ1JZWDE4qbrlsbJqH/k4uBjmCyYTBZSTBZSTGYmN9o2xOjxEX8CWpmpwcMxj50STz0l3npKPXWUuOsocdVS4qzpnZ9DD2sxUVRKfa+FcgC01n/qoZgGLYvJlyh6238L6J5jRt4+Lj0oUaw4AlV5MOKeNvf/JPcTAM7IlmkxhBB9m9PtpbjGQVFVPUXVDoqqHRRX1XOsykFRdUNZaY2D1j7nx9ksDI1yMcN6mLPUAUZ5jzBMHyadI9gsDQmh3ZZCTdwYKhLnUjRkHN6UCZA6DktcGkMtJkZaTNisZmwWExZfi057VToq+ejIR7x7+F3WFqzFrd0MjRnKVdmXcXrW6czMmCmj9YWZbiGxDE5kPU2S2EBCqrWvTtC2psfzNt7fn6h6vA3bvb5kNzgZDrUtOC5/cqwbPW8t5iav4w1OsBufv39bcELdNJF3e704PU3iDJG86yblwT+fRl8kNPnSoOkXCIOZapII+1v5TcqMScUY203Brf/Nk+emXyKoJkkr/mOagr6kMiljQOOQr60xo7Hiwqq8WHBjxYMVNxY8gef+pdlXbsWNWfvLPFhwYdFu37qxNGsPFm3sYzx3Y9YeTP513Ji1F5M2jmV2uQPbTNqNSTsb1vFg8hr1lPb4lm5M2oNJe1Da49vH06jXSOBnD8T5Hi11dJ1J2736+rrWWhT9mcd4YBbwhu/5hcBnPRnUYGW2dPwexUCimBE0kM3hVcayHQPZfJT7Edlx2YxJHNP+QIUIo5UrV3LPPffg8Xi49dZbuf/++8MdkuhGlXYXR0rrOFRay5GyOg6V1HK4rI7DpbUcq3I0q68UpMTaSIszHlMyE0iLt5EcE0FidAQJ0VaSIjQpdftIKt9KVNEmTAUboWQP1Ps+ZcZlQuZ4SD0TUsdD2kRIGUdU9BCiuvPc/MnhoXdZW2gkh8Nih3HdpOs4d+S5TE6e3De7CA5SSiksZnk/+jLdJIEMlXB6mrR4N01OG5LO4CSeJnWaJq7NXzu4Bb3Z8YKTX/+xm8Xve+5tnAg3SpJDtN433d/fyt80Dk3wa4TYp8nPQjc6bpNeATQ8D67n8Wpc/nIsvn2tTY7V+Oca3EMhEKe35Z4Jjct0832bvUa3/KZhQvsSXeNhJLBeY6n8ZV7fdiNBhme748XDqsVEUWv9CwCl1HvACf4up0qpB4GXeiW6Qcbqnx6jA832e45VkxhtJTU26O70I2sgMgFSJ7a6b62rlnWF61g0YZF8OBH9gsfj4c477+T9998nKyuLWbNmcdFFFzFp0qRwhyY6QGvNkbI6thdUsetoNYdLazlcaiSD5XWN//9LjbMxMjmak3NSyUqKIj0+kvR4G2lxkYGE0BI8kJfXC2X7IX8t5G+E/A1wdEvD9AAxqTBsJky9HIadAJknQPSQHjvXivoKPsr9iPcOvdcsOTxv5HlMSp4k//8K0UlGd1YwI39DonVaByfsQV3pdfPEnaCypl3qg+t7fVlo06Tbn6he/f4AThSDDAeCb5pzAiN7JJpBzmw2kr2OJYo1jEuPa/xBI3cdZM0CU+vD+K4pWIPL6+L0rNM7E64QvW7dunXk5OQwevRoABYtWsTy5ctbTRQLSw/y/X+dzx9vXdlbYYogbo+X/cW1bMuvZHtBFdsLKtlRUEW1w+jKY1KQmRjFiORo5k8dyogh0YxIjmFEcjTDh0QTY2vjMuVxQ95GOPCxMdpz/tfgqDS2WWMg83iYcxsMm2E8ErJ6fNj6FpPDyddx3ghJDoUQorf5v1RAvlTokPYkis8C65RSr2G0Nl8CPNOjUQ1S/sFs3J723aOotWbP0WoWHp/ZUGivgOJdMOXSNvf/LP8zYq2xHJ9+fGfCFaLX5efnk53dMFJZVlYWa9eubVZvyZIlLFmyBACHt55t5PVajIOZ1pr9xTWsO1jOtgIjMdxVWIXDbUwPEWk1MSEjnoXHZzI5M4HJmfGMS48j0tqBYdq1htJ9cOAT43Hw84bEMH2K8X+fPylMHd9rQ8Db3XY+yf2Etw68xZf5X+LWbrJis7h+8vWcO/JcJg2R5FAIIUT/0mqiqIyr2lJgBXCKr/gmrfXXPR3YYGS1+AezaV+L4tGqeqod7sYD2eSvBzRkz251X601n+d9zomZJ2L1zd8oRF+nQ4xcEOrD9+LFi1m8eDEAaSPiqFeDfMSDHmIkhrWsPlDKmgOlrD1QSkmN0QElPtLC5MwErps7gsnD4pmSmcColJjG3UTbq/oYHPy0ITmsyjfKE4fD5Ith9Okw6lSISemmM2sfj9fD2qNrefvA23xw+APq3HWkR6cb3UpHnSfJoRBCiH6t1URRa62VUq9rrWcAG3sppkHLajG6nnpCjK4Uyv6iWgBy0oIGssn9ypgbZ9iMVvfdWbaTYnsxp2ad2rlghQiDrKwscnNzA8/z8vLIzMxsZQ8jkbSb5MN6d6moc/LJ7mI+3FXE6v2llNQYA8xkxEdyythU5o4ewuxRyYxMju58kuSsNbqR+hPDoh1GeVQSjDoNRv/ASA6HjOqOU+qw3WW7Wb5/OSsPrqTYXkycNY75o+azYPQCZqTPwKQ6kQwLIYQQfUx7up6uUUrN0lp/1ePRDHKWDrYo7i825mgZkxqcKK6FtMlgi2thL8NneZ+hUJw87OTOBStEGMyaNYu9e/dy8OBBhg0bxrJly3juueda3ceEol4ZE+jKB/jOOVJax/s7j/H+jqN8dagcj1eTEmvj5Jxk5o5OZt6YZIYP6UJiCOCogb3vwY7XYc974LaDJRKGz4NpVxmJYca0Nu+97imVjkpWHFzBa/teY0fpDiwmC6dlncaC0Qs4NetUbGZb2wcRQggh+pH2JIpnALcppQ4BtRh3gWqt9bSeDGwwslmMgdg9Xk+76h8oriHWZiEtzvcBxesxRvibenmb+36e9zlTUqaQHJXc6XiF6G0Wi4XHHnuM8847D4/Hw80338zkyZNb3UdhRitFTV0l8TFJvRRp/3eguIbXvs7n3e1H2XPM+FJqfHoct502mrMnpnNcViKmrrbUOmpgz0ojOdz7gZEcxqTB8dfAhG8YSaI1susn00le7WVt4Vpe2/caHx7+EKfXyYQhE7h/9v0sGLWAxMjEsMUmhBBC9LT2JIrzu/tFlVK/x5iP0Qnsx7jvscK37UfALYAHuFtr/a6vfAbwHyAKeAe4x9c11oZxH+UMoBS4Smt9qLtj7g0R1o6Nerq/uJbRqTEN3+KX7AFHFWS1fn9iqb2UrSVbuWP6HV2KV4hwuOCCC7jgggvaXd/filhaXSyJYhsq7S7e3lLIyxty2XikApOC2aOG8NNvTOLsiWmMSI7p+os4qmHPu7D9Ndj3AbjrITYdjr/WuN9w+LxeG4CmJfk1+Szft5zl+5ZTUFtAfEQ8l427jEtyLmFicuvTDgkhhBADRZuJotb6sFLqOBoGs/lca725i6/7PvAjrbVbKfUI8CPgPqXUJGARMBnIBD5QSo3TWnuAJ4DFwBqMRPF8jEF2bgHKtdY5SqlFwCPAVV2MLywsvm/Ove28R/FAcQ2zRwXN/5Xvu420jfsTv8j/Ao2W+xPFoOBPFMuqjjIqY1yYo+l7PF7NF/tKeHlDHu9uP4rT7WVsWiw/mj+Bi48fRnp8N7To1VcZyeGO12Hv++BxQGwGnHA9TLoYhs8Ne3JY767noyMf8eq+V1lbuBaFYl7mPO6dcS9nDD9DupYKIYQYdNpMFJVS9wDfAl71Ff1XKbVEa/23zr6o1vq9oKdrAH9fyYXAMq21AziolNoHzPZ1e43XWq/2xbQUuBgjUVwIPOjb/2XgMaWU0qGGR+zjIiz+FsW2E0W700NBZT2jg+9PLNgIEXGQnNPqvp/lfUZqVCoTh8g342LgU74EpLK6NMyR9C2VdS6WfXWEZ748REFlPYnRVhbNyubyGVlMHZbQ9dE6vV5jpNL1/zaSRI8D4obCjBuNlsPsuWG739BPa82Osh28tvc13jn4DtXOaobFDuPO6XeycMxChsYODWt8QgghRDi1p+vpLcAcrXUtgK8FcDXQ6USxiZuBF3zrwzASR788X5nLt9603L9PLoCvhbISSAZKmr6QUmoxRqskw4cP76bwu481IgqlNV7d9j2KR8rqABiRHN1QmL8RMqe3+uHL5XXxZcGXnDvyXBm2XQwKZuVLFGub/ZcwKB0oruHpVYd4eUMedpeHE8ck85NvTOKsiWnYLN3QqldXBpueMxLEsv0QNQRm3mS0HGbPCXtyCFDnqmPFwRW8sPsFdpbtxGa2cc6Ic7gk5xJmZsyUQY+EEEII2pcoKoz7Bf08vrLWd1LqAyAjxKYHtNbLfXUeANzA/4JeqyndSnlr+zQv1HoJsARg5syZfa7F0WKxYgY87UgUD5YYU2OMSvHdM+R2wrFtMOe2VvfbVLSJGlcNpw6TbqdicDD5WhRr7OVhjiS8dh2t4q8f7uWdrUeJMJu4aHomN580ikmZ8V0/uNbGQFpfPQXbXzXuO8yeA6fdB5MWhnVAmmAHKw/y4u4XWb5vOdWuasYljeMnc37C/NHziY/ohp+DEEIIMYC0J1F8GlirlHrN9/xi4Km2dtJan93adqXUDcA3gLOCuonmAdlB1bKAAl95Vojy4H3ylFIWIAEoayu+vshijcCidbvmUTxUaiSKI/2JYtF28Dhh2Amt7vdZ3mdYTBbmZs7tcrxC9AdmkxWAGnu//G+hy4ITxFibhe+ckcMNJ44kNa4b7rlz1sLWl+Grf8HRLWCNgeOuhlm3QMbUrh+/G7i9bj7J/YRlu5extnAtFpOFc0ecy6IJi5ieOl16VgghhBAtaM9gNn9SSn0CnIzReneT1vrrrryoUup84D7gNK11XdCmN4DnlFJ/whjMZiywTmvtUUpVK6XmAmuB62no+voGcANGd9jLgY/64/2JYAz9bwG87Zge41BJLckxEcRHGh+CAwPZZLadKM5Kn0WMtRtGLxSiH7CYjf/mauoHV4tiblkdv125i7e3FBJrs3DXmTnccvIoEqMjun7w4t1G6+HmZeCohLRJcMEfjPkOI/tGy1xxXTEv732Zl/e8TFFdEUNjhnLPCfdwSc4lMi2QEEII0Q7tGcxmLrBda73R9zxOKTVHa722C6/7GGAD3vd9m7tGa32b1nq7UupFYAdGl9Q7fSOeAtxOw/QYK3wPMFo3n/UNfFOGMWpqv2Q1mzFr8NB2oni4tK7x/YkFG417gRJbvveyoKaAA5UHuGzsZd0RrhD9gtViw4OXGldVuEPpFdX1Lh7/eD///uIgZpPiO2fkcOsp3ZAgej2w8w0jQTz0OZgjjG6lM28xRi3tAy1zWmvWH1vPC7tf4MPDH+LWbk7KPImfzPkJp2adijnMI6sKIYQQ/Ul7up4+AQQ3U9WGKOsQrXWLw3JqrR8CHgpRvh6YEqK8Hriis7H0JSaTwqQ1XtV219MjZXWNp8Yo2AyZx7f6YW1VwSoATh52cpdjFaK/sFisWL12ajwDO1H0eDUvrc/lD+/tpqTGyWUnZPGD88aTkdDF+wPdTtiyDL74M5QdML6MOvtBmH4txKZ2S+xdVeOs4c0Db/LCrhfYX7mf+Ih4rpl4DVeOv5Lh8X1v4DIhhBCiP2jXYDbBXTm11l7fvYCiBxiD2XhbreNweyiotDN8iK9F0e2A4p0w7txW9/sy/0syYjIYlTCqm6IVon+I80KtMXDzgLT7aDU/fGULm3MrmDUyiX/fOItpWYldO6izFjYuhS//BlX5MHQ6XPksTFgQ9jkP/fKq8/jfzv/x2r7XqHXVMil5Er888ZfMHzWfSEvfGEBHCCGE6K/ak/AdUErdjdGKCHAHcKDnQhrczFq12fU0v9yO1jQkikU7wOuGjGkt7uPyulhTuIbzRp4ngzeIQSfWq6jFHu4wup3D7eHxj/fzxCf7iIu08uii6Vx0XGbX/sYd1bD2H7Dm71BXCiNOhov+BmPO7DPdSzcXb2bpjqV8eORDTJg4b9R5XDPhGqam9o0BdIQQQoiBoD2J4m3AX4GfYEw78SG+uQhF97NqcKvWE8XDTedQLNxsLIce1+I+W4q3UOOqkW6nYlCK9pqxm5zhDqNbbThczn2vbGFfUQ2XHD+Mn35jEkNiunAfoqvemPvw8z9CXQmMPRdO+b5x/2Ef4Pa6+eDwByzdsZStJVuJj4jnpsk3cfWEq0mPSQ93eEIIIcSA055RT4voxwPE9DcWwN3GPIpHSo1EMdCiWLgFbAmQNLLFfVblr8KszMwZOqebIhWi/4jRNnLVwGhR9Hg1f/toL3/9cC9DE6J4+qZZnDE+rQsHdMGm/8GnvzO6mI4+Hc78GWTN6LaYu6LKWcWre17lf7v+x9HaowyPG84Dcx7gojEXEW2NbvsAQgghhOiU9ox6Og6j22m61nqKUmoacJHW+tc9Ht0gZGlHi2JuWR2RVlPDPGhHtxhzlrUxkM1xqccRFxHXneEK0S/Eq2jKzXVorft11+vCSjv3LNvEuoNlXHr8MH558RRibZ28ZVxr2PYKfPyQMUhN1my45EkYdWr3Bt1JudW5xv2He1+jzl3HrIxZPDDnAU7NOhWTMoU7PCGEEGLAa88njH8CPwD+AaC13qKUeg6QRLEHWLTCrVofzCa3vI6spGjjA6/XA8d2wAnXtVi/1F7KjtIdfGf6d7o7XCH6hXhzEvWmUqodlcRHJoY7nE55f8cxfvDyZpxuL3+68jguPSGr8wfLWw8r74e8ryB9Clz9Aow7L+z3IGqt+broa57d8Swf5X6ECRPzR83nuknXMTF5YlhjE0IIIQab9iSK0VrrdU2+hW97/gbRKRYULtpIFMvsZCdFGU/KDoKr1viw14LVhasBmRZDDF4JEamg95FXtIdJw2eHO5wO8Xg1v12xk39+fpApw+L529UnMColpnMHq8yHD38BW16A2HRY+Hc47mowhbeFzuP18FHuR/x767/ZVrqNBFsCt0y5hUUTFpEW3YVutUIIIYTotPYkiiVKqTEYA9mglLocKOzRqAYxizZhV7rVOrnldcwcmWQ8ObbVWGa0PNrfqvxVJNmS5Bt5MWgNicmEGjh4bGe/ShSr6l3c9dzXfLqnmOvmjuAn35iIzdKJqSmcdfDlX+GLv4D2win/Byd/F2zh7Yru9Dh568BbPL3taQ5VHWJ43HB+OvenXDjmQqIsUWGNTQghhBjs2pMo3gksASYopfKBg8A1PRrVIGbRCncrLYqVdS6q691kJ/kGcTi6DZQZUieErO/VXr4s+JJ5mfPkvh4xaKUnjYEayCvdH+5Q2u1gSS23PvMVh0vreOiSKVwzZ0TnDrR7BbzzA6jMhcmXwNm/gKROHqub1LpqeXnPyyzdvpQiexETh0zkj6f9kbOGn4W5j8zRKIQQQgx27Rn19ABwtlIqBjABduAq4HAPxzYomTHhamUexdxyY8TTLH/X02PbIGUsWENPLr2rbBdl9WXS7VQMasPSJ0IuFFXlhTuUdvlibwl3PrcRk4Jnb5nDvDHJHT9IZT6svA92vgmpE+GmFTDixO4PtgPK6st4budzPL/reaqcVczJmMOvTv4V84bO69eDDAkhhBADUYuJolIqHqM1cRiwHPjA9/z/gM3A/3ojwMHGghmPavkW0LxyY4j/LH+L4rEdkD2rxfqr8lcBMC9zXvcFKUQ/k5E5lniPh9L6onCH0qaXN+Rx3ytbGJMaw7+un8Xw5A5OAeH1wLp/wke/MtbP+jnM+w5YujDHYhcV1BTwzPZneHXvq9R76jlr+FncPOVmpqVOC1tMQgghhGhda30RnwXGA1uBbwHvAVcAF2utF/ZCbIOS0aLY8j2K+RX+RDEK6qug8gikT26x/hf5XzBxyERSolK6PVYh2vKDH/yACRMmMG3aNC655BIqKioC2x5++GFycnIYP3487777bqB8w4YNTJ06lZycHO6++260Nv4eHA4HV111FTk5OcyZM4dDhw61O46UhHhS3FDmKu+uU+t2Wmue/HQ///fSZuaOHsIrt5/Y8SSxYBP880yjJXH4XLhjNZzyvbAlifvK9/HAFw+w4NUFvLj7Rc4fdT7LFy7nL2f8RZJEIYQQoo9rLVEcrbW+UWv9D+BqYCbwDa31pl6JbJCyYMbdymA2eeV1REeYSYy2QtFOozAtdKJY7axmS/EWThp2Uk+EKkSbzjnnHLZt28aWLVsYN24cDz/8MAA7duxg2bJlbN++nZUrV3LHHXfg8Rhdrm+//XaWLFnC3r172bt3LytXrgTgqaeeIikpiX379nHvvfdy3333tTsOk0mR7LZQRG33n2Q30Frzm3d28tsVu/jGtKH8+8ZZxEVa238Ajws+fthIEqsL4fKn4ZqXYciongu6FZuLN3PXR3dxyRuX8P7h91k0YRErLlvBr076FaMTR4clJiGEEEJ0TGv3KLr8K1prj1LqoNa6uhdiGtTMWHC1cqtOfrmdYYlRxv08RduNwvRJIeuuK1yHW7s5MTO89yWJwevcc88NrM+dO5eXX34ZgOXLl7No0SJsNhujRo0iJyeHdevWMXLkSKqqqpg3z+gqff311/P6668zf/58li9fzoMPPgjA5Zdfzne+8x201u2+ty1Jx7HJXIlXe/vUwE5er+Znb2zjv2uOcMO8Efz8wsmYTB24X+/YDnj9NijcDNOugvmPQFRSzwXcig3HNvCPzf9gdeFqEmwJ3HHcHVw94WoS++nclUIIIcRg1lqieJxSqsq3roAo33MFaK11fI9HNwiZMbeeKFbYGRYYyGYH2OIhITtk3S8KviDGGsP01OndH6gQHfTvf/+bq666CoD8/Hzmzp0b2JaVlUV+fj5Wq5WsrKxm5f59srON33WLxUJCQgKlpaWkpDTvVr1kyRKWLFkCQHFxMQAJ5hRcqoqimqNkxGX2zEl2kMer+fGrW3lhfS7fPm00958/of2Dung98OXf4OOHjP8HrnwWJl3UswGHoLXmq6Nf8eSWJ/nq6FcMiRzC92d8nyvHX0m0tYNdZ4UQQgjRZ7SYKGqtZYzyMDArC26lWmz1yCu3Mz070XhStBPSJkKID5Zaa77M/5LZGbOxmjvQhU2IDjr77LM5evRos/KHHnqIhQsXBtYtFgvXXGPMrOO/7zCYUqrF8tb2CWXx4sUsXrwYgJkzZwIwJGokcIADhRv6RKLo9Wrue2ULL2/I4+6zxnLv2WPbnySW7ofXboO8dTDxQljwZ4hN7dmAm9Bas7pgNU9ueZKvi74mNSqV+2bdx2XjLpM5EIUQQogBoD3zKIpeZFbGW+LyurCZbY221TjcVNpdRoui1lC0w/iQGMLhqsMU1BZw85SbezxmMbh98MEHrW5/5plneOutt/jwww8DiVBWVha5ubmBOnl5eWRmZpKVlUVeXl6z8uB9srKycLvdVFZWMmTIkHbHOTR5MpR+xK7crzlxXOi/m96iteaB17fx8oY8vnv2WL579rj27gibl8Hb3wezBS79J0y9IuSXRT1Fa83n+Z/zj83/YEvJFtKj0/nxnB9z6dhLm/2fJYQQQoj+q+/cqCMAMCtjdEKnx9lsW4FvxNNhiVFQWwz2MqNFMYTVhasBmRZDhNfKlSt55JFHeOONN4iObuiGeNFFF7Fs2TIcDgcHDx5k7969zJ49m6FDhxIXF8eaNWvQWrN06dJAq+RFF13EM888A8DLL7/MmWee2aG597KzZxPh1Rwo3d29J9lBWmt+8eYOnl93hDtOH8M9Z41t3471VfDqt4z7ETOnw+1fwrQrey1J1Frz0ZGPWPT2Iu788E5K7CX8bN7PeOfSd7h6wtWSJAohhBADjLQo9jEWky9RdNVDRFyjbfnBiWLRFqOwpUSxYDXDYoeRHRf6/kUhesN3vvMdHA4H55xzDmAMaPPkk08yefJkrrzySiZNmoTFYuHxxx/HbDZ6uz/xxBPceOON2O125s+fz/z58wG45ZZbuO6668jJyWHIkCEsW7asQ7FkjxjLiLVuDtfltV25B/3p/T3858tD3HLyKH5w3vj2JbsFm+ClG6AiF874iTHlhal37g7wai8fHP6AJVuWsLt8N9lx2fzyxF/yjTHfwGqSbu1CCCHEQBWWRFEp9StgIeAFioAbtdYFvm0/Am4BPMDdWut3feUzgP8AUcA7wD1aa62UsgFLgRlAKXCV1vpQr55QN/Inii5XLdD4niN/i2JmYhTs2mUUpjZPFN1eN18d/YrzRp7XoRYXIbrbvn37Wtz2wAMP8MADDzQrnzlzJtu2bWtWHhkZyUsvvdTpWNLjo0l3Wtllqez0Mbrq6VUH+dtH+1g0K5ufLJjY9t+n1rD+37DyfohJhZveMeZH7AVe7eW9w+/xj83/YF/FPkbGj+Q3J/+G+aPmYzHJd4xCCCHEQBeuq/3vtdY/BVBK3Q38DLhNKTUJWARMBjKBD5RS47TWHuAJYDGwBiNRPB9YgZFUlmutc5RSi4BHgKt6+4S6iz9RdDibz0RSUGHHbFKkx0ca9ydGJUFsWrN620q2UeOqkW6nQgQxmRRJ3iRKzOVUO6uJa9Ji39OWb8rnF2/u4LzJ6fz64iltJ4mOGnjru7D1Jcg5Gy5ZAjHJPR6nv4vp45sfZ2/5XsYkjOF3p/6Oc0eci7mXWjGFEEIIEX5hSRS11lVBT2MA/3CGC4FlWmsHcFAptQ+YrZQ6BMRrrVcDKKWWAhdjJIoLgQd9+78MPKaUUjrUEIn9gMVsAy/U1odKFOvJiI/EbFJQvNtoTQzxYXN1wWoUijkZc3ojZCH6jUTrCKCc/cVbmT6s9+YX/WJvCd9/cTNzRg3h0UXHYzG3cXt46X5Ydg2U7IYzfwInfx9MPXtLudaaz/I+4/FNj7OzbCcj40fyyCmPcN7I8yRBFEIIIQahsPUfUko9BFwPVAJn+IqHYbQY+uX5yly+9abl/n1yAbTWbqVUJZAMlIR4zcUYrZIMHz68u06lW1nNkeCFuvqaZtvyK+zG/YlaQ/EumHxJyGOsLlzNpORJMsm1EE0MTToeHJvYduizXksUdx2t4vb/bmBMaiz/vGEmkdY2kq7dK+HVxcY9iNe+CmPOaL1+F/mnuXh80+NsKdlCVmwWD538EBeMukC6mAohhBCDWI99Ra2U+kAptS3EYyGA1voBrXU28D/gO/7dQhxKt1Le2j7NC7VeorWeqbWemZrau3OOtZfVasw/VmdvnigWVtrJTIyEmiKor4DUCc3q1Dhr2FK8RbqdChFC9qhTSfR4+LpgQ6+83rGqem5++iuiIsw8fdMs4iNbGfzF64VPfwfPXwVJI2DxJz2eJK4rXMeNK2/k2x98m2J7MQ/Oe5A3LnmDi8ZcJEmiEEIIMcj12CcBrfXZ7az6HPA28HOMlsLgYTqzgAJfeVaIcoL2yVNKWYAEoKzzkYdXhMWYQsDuaNz11OvVHK2sZ2hilNGaCJA6vtn+Xx39Co/2cGJm73WrE6K/GD1mIhM2ethlOtzjr1XrcHPzf76i0u7ihW/PMwahaomzFl6/HXYsh2mL4MK/gLXnJq3feGwjj296nHVH15EWncZP5vyES8ZeQoQ5osdeUwghhBD9S7hGPR2rtd7re3oR4Mt8eAN4Tin1J4zBbMYC67TWHqVUtVJqLrAWo8vq34L2uQFYDVwOfNRf708EsFliAKhtkigW1zhwebTxYbPYNw9ciBbF1YWribJEcVzqcT0eqxD9TXZyNKmOWNZG2al11RJjjemR1/F4Nfcs28TOwiqeunEWU4YltFy5IheWfROObYNzfw3zvtNjcyNuKd7C45se58uCL0mOTOa+WfdxxfgrZA5EIYQQQjQTrr5Fv1VKjceYHuMwcBuA1nq7UupFYAfgBu70jXgKcDsN02Os8D0AngKe9Q18U4Yxamq/FWUzRmKsdTTueloQmEMxEvbtAlsCxGU02391wWpmpM+QlgEhQlBKkWgahVa72VG0mVk9dJ/i71bu4oOdx3jwwkmcMb75yMQBuV8ZSaK7Hr75Iow9p0fi2VG6g8c3Pc5neZ+RZEvi+zO+z1UTriLK0nOtlkIIIYTo38I16ullrWx7CHgoRPl6YEqI8nrgim4NMIz8iaLdWduovKCiHoChCVFQsgdSxzVrdThae5RDVYe4YtyA+XEI0e0ykuaBdzebD7zfI4niS+tz+cdnB7hu7ghuPGlUyxW3vQKv3Q7xQ+HGt0J2Je+qPeV7+Pumv/PhkQ+Jj4jn7uPv5psTv9ljLalCCCGEGDhktII+JsafKLoaJ4qFlUaLYmaCr+vpuHOb7bu6YDWADGQjRCuGTTiXYZv/xYa8tdzazcdef6iMB17bxkk5yfzswkmhK2kNn/8BPvo1ZM+FRc91+/yIByoP8PdNf+fdQ+8Sa43ljuPu4NpJ1/b63JFCCCGE6L8kUexj4qKHAGB31TUqz6+wExNhJp5qqC2ClOatD6sLVpMalUpOYk6vxCpEfzR1/FjGrlV8bc7Hq72YVPcM/pxfYee2/24gMzGSv39zBtZQcyW6nfDWd2HT/2DqlbDwMbB03/2BBTUFPLH5Cd7Y/wY2s41vTf0WN0y+gQRbK/dICiGEEEKEIIliHxMbkwSAw21vVF5QYSczMQpVsscoaNJNzau9rClcw8nDTkb10EAYQgwEafGRpDgzqYw7xr6yvYxL7nqXzzqnm289sx6Hy8uyxbNIiA4xDUZ9JbxwHRz8FE67H06/v9sGrSm1l/LPrf/kxd0volBcM/Eabp16K0Mih3TL8YUQQggx+Eii2MdERSdg1Zp6T+NEsbCy3jfi6U6joEmiuLtsN+WOcul2KkQ7ZCacBLzK6t2vMe7E+7t0LK9X870XNrPrqDHCaU5abPNKFbnwvyugdC9c/ARM/2aXXtOv2lnNf7b/h2d3PIvT4+TinIu57bjbyIhpPtCVEEIIIURHSKLYx0RGxxLp1Tg99Y3KCyrsTM6MNwaysURCwvBG21cXGvcnzh06t9diFaK/ypl+OcO/foFPD37EDV1MFP/8wR5Wbj/KTxZMDD3CaeEWI0l01cG1r8Do07v0egD17nqe3/U8T217ikpHJeeNPI87p9/JqIRWBs8RQgghhOgASRT7mChbJJFa4/A4AmX1Lg8lNU6GJUZBwW5IHgumxvc/rS5YTU5iDqnRqb0dshD9zszJE5j0RQQfWgqpc9URbY3u1HFe3ZjH3z7ax1Uzs7nl5BBJ2r4P4MUbIDIRbn4X0lsY4KadXF4Xr+19jX9s/gdF9iJOGnYSdx9/N5OSu3ZcIYQQQoimJFHsYyIjLNi0xqmdgTL/HIqZiVGwZTdkzWq0T727no3HNnLVhKt6NVYh+qu4SCtpahoutZlV+97mnIkdn1Jm3cEy7n9lK/NGJ/Ori6c0vzd441J487tGcvjNl4xpMDrJq72sOLiCxzc9Tm51LtNTp/PIqY8wM2Nmp48phBBCCNGa7hnuT3Qbs0kR4VU4vcGJotENNTsW416nJiOebizaiNPrZN5QuT9RiPaaOOUm4j0e3tz83w7vu/dYNd9aup6sIVE8ee0MIixB/5VqDR/+Ct64y+hmetOKTieJWms+yf2EK968gvs/v58oSxSPn/U4S+cvlSRRCCGEED1KWhT7IKtWOHEHnudXGFNlZHvzAQ2p4xrVX12wGqvJyoz0Gb0ZphD92qknnsr72818qQ50qPtpYaWdG5/+igiLiWdumt14hFNXPSy/E7a9DCdcDwv+BOYQI6C2QWvNlwVf8vimx9laspXsuGweOeURzh91frdN5yGEEEII0RpJFPugCG3GqYMSxXI7ZpMitf6QUdCkRXF1wWqOTzu+0/dZCTEYxUdaGW07jY9Mn7J83ZNcfdL32tynuNrBNf9cS5XdxfOL55I9JOhvrqYYln0T8tbBWT+Hk+/t1PQXXx39ise+foyNRRsZGjOUX5z4Cy4ccyFWU8cTTiGEEEKIzpKvpvsgK2YcqiFRzCu3kxEfiblsLygTJI8JbCuxl7C7fLdMiyH6tD/84Q8opSgpKQmUPfzww+Tk5DB+/HjefffdQPmGDRuYOnUqOTk53H333WitAXA4HFx11VXk5OQwZ84cDh061OW4Fsz/EVkuNy/ver7NukVV9Vz7r7UUVtbz9E2zmDIsaBL7ws2w5HQ4ugWueAZO+V6Hk8RNRZu49d1bufndm8mrzuOBOQ/w1iVvcenYSyVJFEIIIUSvk0SxD7JpC3blDTzPK7eTlRQFxbshaRRYbIFtawrXAEiiKPqs3Nxc3n//fYYPb5jSZceOHSxbtozt27ezcuVK7rjjDjweDwC33347S5YsYe/evezdu5eVK1cC8NRTT5GUlMS+ffu49957ue+++7ocW072MGZ7xrHHUs/Kdc+1WO9AcQ2XPvEleeV1PHXjTGaODJrIfsuL8NR5gDZGNp18cYdi2Fayjds+uI3rVlzH3oq9/HDWD3n70rdZNGEREeaIzp2YEEIIIUQXSaLYB9mwUad04HleeR3D/Ili2sRGdVcXrCbRlsjEIRObHkaIPuHee+/ld7/7XaNRQZcvX86iRYuw2WyMGjWKnJwc1q1bR2FhIVVVVcybNw+lFNdffz2vv/56YJ8bbrgBgMsvv5wPP/ww0NrYFbcufJRYj5f/bvw9xyrtjbZprXllQx4X/u0L6pwenl88lxPHpBgbXXZ442549VuQeTws/gQyp7f7dXeX7eauj+7i6revZnvJdu6dcS8rLl3BdZOuI9IS2eXzEkIIIYToCrlHsQ+yqUjqTMaHVKfHS2FVPSMTrbBrP0z8RqCe1prVBauZM3SODHAh+qQ33niDYcOGcdxxxzUqz8/PZ+7cuYHnWVlZ5OfnY7VaycrKalbu3yc7OxsAi8VCQkICpaWlpKSkdCnG7IyRXJ4wl//UrOPhJ77FuLkPkhwTgcPt5aX1eWzNr2T2qCH8+arpxlymAEfWwvI7oHQfnPw9OOMBMLfvv9OtxVtZsnUJn+R+Qpw1ju9M/w7XTLyG2IjYLp2HEEIIIUR3kkSxD4oyR+NRinq3nYIKL1rDxIhi8LohdUKg3v6K/RTbizkx88QwRisGu7PPPpujR482K3/ooYf4zW9+w3vvvddsW6iWQKVUi+Wt7RPKkiVLWLJkCQDFxcWtnwBw18K/8/5/53EoeT2e9/7Jm95TARidGsNvLpnKVbOyMZsUVObDZ7+HDf+BhCy47nUYc0abx9das/7YepZsWcKawjXER8Rzx3F38M2J3yTBltDm/kIIIYQQvU0SxT4o0mK0LFTVlnCkzOiCNoYjxsbUhhFPVxeuBpD5E0VYffDBByHLt27dysGDBwOtiXl5eZxwwgmsW7eOrKwscnNzA3Xz8vLIzMwkKyuLvLy8ZuVAYJ+srCzcbjeVlZUMGTKEUBYvXszixYsBmDmz7fkGIyw2fnjqQ9zz+Q+Zl7GM7ellVB93C2lZOZi0B/auhJ1vwdaXQHthzrfhzJ+ALa7V42qt+SL/C5ZsWcKm4k0kRybzvRnf48rxVxJjjWkzLiGEEEKIcJFEsQ+KjkgANxSV5nG4xJioO73+oDHiadDUGF8WfMnI+JEMje3cZN5C9KSpU6dSVFQUeD5y5EjWr19PSkoKF110Ed/85jf53ve+R0FBAXv37mX27NmYzWbi4uJYs2YNc+bMYenSpdx1110AXHTRRTzzzDPMmzePl19+mTPPPLPFFsXOOHP0fK4t+pr/7n6eCfnvsnDni40r2OLhuKvglP+DpBGtHqvKWcWb+99k2a5lHKo6REZMBj+a/SMuHXup3H8ohBBCiH5BEsU+KDZ6CFTB0bI89hfHE2ezEF2xB4aMAavxIdPpcbLh2AYuzrk4vMEK0QmTJ0/myiuvZNKkSVgsFh5//HHMZjMATzzxBDfeeCN2u5358+czf/58AG655Rauu+46cnJyGDJkCMuWLev2uL43+wfsrTzAT1lH9bQruCZ2LMpkhiGjYeQpYGl9FNLdZbtZtnsZbx94G7vbzrSUaTx08kPMHzkfq1mmuBBCCCFE/xHWRFEp9X/A74FUrXWJr+xHwC2AB7hba/2ur3wG8B8gCngHuEdrrZVSNmApMAMoBa7SWh/q5VPpVokx6VAFpRUFHCgZzui0WFTRTkifFKizuXgzdrddup2KfqPpvIcPPPAADzzwQLN6M2fOZNu2bc3KIyMjeemll3oqPACsJiuPnfUY9312H4/kvsfnmTXcc8I9TBwyMWTrpcvrYnfZbj7L+4z3Dr3H/sr92Mw2Lhh1AVdNuIrJyZN7NF4hhBBCiJ4StkRRKZUNnAP+m+9AKTUJWARMBjKBD5RS47TWHuAJYDGwBiNRPB9YgZFUlmutc5RSi4BHgKt681y6W2ryMCiEsuqj7DlWw1ljomHXAZjWcFpfFnyJWZmZlTErjJEKMfBEWiL50+l/YtnuZTz+9eNc9dZVjEoYxdSUqaRGpWJSJiocFeyr2MeO0h04PA4UihPST+D+8ffzjdHfkAFqhBBCCNHvhbNF8c/AD4HlQWULgWVaawdwUCm1D5itlDoExGutVwMopZYCF2MkiguBB337vww8ppRSujsmWAuTEemjYRscrTxKcbWDk2JrAA0ZUwN1Vhes5rjU42RIfSF6gNlk5pqJ1/CN0d/g3UPv8lHuR6wpWEOZowyP10NSZBLZcdlcNf4qpqVOY0b6DFKiujZNhxBCCCFEXxKWRFEpdRGQr7Xe3KQ71zCMFkO/PF+Zy7fetNy/Ty6A1tqtlKoEkoGSnom+52WmjQOgsMKYcmCK+bCxIWMKAOX15ewo3cHt028PS3xCDBYJtgSuHH8lV46/MlCmte7WQXSEEEIIIfqiHksUlVIfABkhNj0A/Bg4N9RuIcp0K+Wt7RMqpsUY3VcZPnx4qCp9gi0ihiivxquqiY4wk23fCdEpkGBMNr6qYBUazcmZJ4c5UiEGH0kShRBCCDEY9FiiqLU+O1S5UmoqMArwtyZmARuVUrMxWgqzg6pnAQW+8qwQ5QTtk6eUsgAJQFkLMS0BlgDMnDmzT3dNTdQmtMXO+VMysBR+DcNmgO8D6ud5nzMkcgiTU2SgDCGEEEIIIUT3M/X2C2qtt2qt07TWI7XWIzESvRO01keBN4BFSimb+v/27j+4qjq94/j7IYmCQdAlwIIBFKPIgCFCoAFXwF0dEujwu1Om02LrUBfZjqVTp7Pr1HZlaqvF2TqrVaYzoAa1sMJSmDX4syDIgCGkRDaA/FiCBgGJ/BJBMOTpH7libozkEMI99577ec3c4d57vjk8PM/5cvPkfM+J2U3ALUC5ux8EvjCzImvsLmfy7bWNq4D7Ys+nA/+bytcnfqNbVicyO37N/Al94chHkNt405rzDefZ8OkGfnTDj+hgCS+fiIiIiIikgaT6PYruXm1mvwG2A/XAz2J3PAV4kG9/Pcbq2ANgIbA4duObozTeNTXl5Vx9PZ9+dZyM3W8CDv3HALCtbhsnzp7grty7wg1QJEXU1NTQr18/unfvHnYoaeHIkSPKdQIoz4mjXCeG8pw4ynVi7Ny5M+wQLlvojWLsrGLT148Dj7cwrgIY3ML7XwF/cqXiC0vPa3P5v1Mfw9p/hau7Qu+hAKyrXUeGZTCq96iQIxRJDXV1dRQWFlJRURF2KGlBuU4M5TlxlOvEUJ4TR7lOjMLCwrBDuGxau5ikfthzCCcyMjhz4hMo+DPIaOzp36t9j4IeBXS5qkvIEYqIiIiISFSpUUxSPbveCMDh/Gkw+mEAak7UsOvYLn7S9ychRiYiIiIiIlGnRjFJ9bm28eavHw+/D7Ibf5H3W/vfAuDefveGFpdIKnrggQfCDiFtKNeJoTwnjnKdGMpz4ijXiRGFPFsEbhDaJoWFhZ7M67OPf3Wcu5bexcOFD3PfoMabuk5bNY3srGxKS0pDjk5ERERERFKdmW1x9xYvqNQZxSR1Xcfr+EHHH7Dn+B4A9h7fy65juxh347iQIxMRERERkahTo5jEbs+5na2fbQVg6UdLyeqQRfGNxeEGJZLE3njjDQYMGEBeXh5PPPHEd7a7Ow899BB5eXnk5+dTWVkZQpSpr7U879y5k5EjR3L11Vfz1FNPhRBhdLSW61deeYX8/Hzy8/MZNWoUVVVVIUSZ+lrL88qVK8nPz6egoIDCwkLef//9EKKMhtZy/Y3NmzeTkZHBsmXLEhhddLSW57Vr19K1a1cKCgooKChg3rx5IUQZDUGO6bVr11JQUMCgQYMYM2ZMgiO8DO6elo9hw4Z5snth2ws++MXBXnm40oe/PNx/se4XYYckkrTq6+u9f//+vnfvXj979qzn5+d7dXV13JjXX3/di4uLvaGhwTdu3OgjRowIKdrUFSTPhw8f9vLycn/kkUd8/vz5IUWa+oLkesOGDX706FF3dy8rK9Mx3QZB8vzFF194Q0ODu7tXVVX5gAEDwgg15QXJ9Tfj7r77bi8pKfHXXnsthEhTW5A8r1mzxidMmBBShNERJNfHjh3zgQMH+v79+9298TMymQAV/j39ks4oJrGSm0rItExmrp7J+Ybz/HTIT8MOSSRplZeXk5eXR//+/bnqqquYMWMGK1eujBuzcuVKZs6ciZlRVFTE8ePHOXjwYEgRp6Ygee7RowfDhw8nKysrpCijIUiuR40axfXXXw9AUVERtbW1YYSa0oLkuXPnzpgZAF9++eWF53JpguQa4JlnnmHatGn06NEjhChTX9A8y+ULkutXX32VqVOn0rdvX4CUOq7VKCaxntk9eezOx7ijxx3MHzOffl36hR2SSNI6cOAAffr0ufA6NzeXAwcOXPIYuTjlMHEuNdcLFy6kpKQkEaFFStA8r1ixgttuu40JEyawaNGiRIYYGUH/n16xYgWzZ89OdHiREfSY3rhxI0OGDKGkpITq6upEhhgZQXK9a9cujh07xtixYxk2bBilpalzU8rMsAOQi5t480Qm3jwx7DBEkp63cAfn5j/1DzJGLk45TJxLyfWaNWtYuHChrp1rg6B5njJlClOmTGHdunU8+uijvPPOO4kIL1KC5Hru3Lk8+eSTZGRkJCqsyAmS56FDh7J//346d+5MWVkZkydPZvfu3YkKMTKC5Lq+vp4tW7bw7rvvcubMGUaOHElRURG33nprosJsMzWKIhIJubm5fPLJJxde19bW0rt370seIxenHCZO0Fx/+OGHzJo1i9WrV9OtW7dEhhgJl3pMjx49mr1791JXV0dOTk4iQoyMILmuqKhgxowZANTV1VFWVkZmZiaTJ09OZKgpLUieu3TpcuH5+PHjmTNnjo7pNgj6vUdOTg7Z2dlkZ2czevRoqqqqUqJR1NJTEYmE4cOHs3v3bvbt28e5c+dYsmQJEyfGn42fOHEipaWluDubNm2ia9eu9OrVK6SIU1OQPEv7CJLrjz/+mKlTp7J48eKU+KYjGQXJ8549ey6cOaisrOTcuXNqytsgSK737dtHTU0NNTU1TJ8+neeee05N4iUKkudDhw5dOKbLy8tpaGjQMd0GQXI9adIk1q9fT319PadPn+aDDz5g4MCBIUV8aXRGUUQiITMzk2effZZx48Zx/vx57r//fgYNGsSCBQsAmD17NuPHj6esrIy8vDyuueYaXnjhhZCjTj1B8nzo0CEKCws5efIkHTp04Omnn2b79u1xP8GW1gXJ9bx58/j888+ZM2fOha+pqKgIM+yUEyTPy5cvp7S0lKysLDp16sTSpUu15LoNguRaLl+QPC9btoznn3+ezMxMOnXqxJIlS3RMt0GQXA8cOJDi4mLy8/Pp0KEDs2bNYvDgwSFHHoy1tLY2HRQWFro+TEVEREREJF2Z2RZ3L2xpm5aeioiIiIiISBw1iiIiIiIiIhJHjaKIiIiIiIjESdtrFM3sCLA/7DhakAPUhR2EhEK1T1+qffpS7dOT6p6+VPv0lay17+fu3VvakLaNYrIys4rvu6BUok21T1+qffpS7dOT6p6+VPv0lYq119JTERERERERiaNGUUREREREROKoUUw+/xV2ABIa1T59qfbpS7VPT6p7+lLt01fK1V7XKIqIiIiIiEgcnVEUERERERGROGoURUREREREJI4axZCYWbGZfWRme8zs5y1sNzP7dWz7h2Y2NIw4pf0FqP1YMzthZltjj38KI05pX2a2yMw+M7Pff892zfmIClB7zfkIMrM+ZrbGzHaYWbWZ/W0LYzTvIyhg7TXvI8jMOppZuZlVxWr/WAtjUmbeZ4YdQDoyswzgP4F7gVpgs5mtcvftTYaVALfEHn8EPB/7U1JYwNoDrHf3P054gHIlvQg8C5R+z3bN+eh6kYvXHjTno6ge+Ht3rzSza4EtZva2PuvTQpDag+Z9FJ0Ffuzup8wsC3jfzFa7+6YmY1Jm3uuMYjhGAHvc/Q/ufg5YAkxqNmYSUOqNNgHXmVmvRAcq7S5I7SWC3H0dcPQiQzTnIypA7SWC3P2gu1fGnn8B7ABuaDZM8z6CAtZeIig2l0/FXmbFHs3vHJoy816NYjhuAD5p8rqW7/4HEmSMpJ6gdR0ZW7aw2swGJSY0CZnmfHrTnI8wM7sRuAP4oNkmzfuIu0jtQfM+kswsw8y2Ap8Bb7t7ys57LT0Nh7XwXvOfNgQZI6knSF0rgX6xZQvjgf+hcXmCRJvmfPrSnI8wM+sMLAfmuvvJ5ptb+BLN+4hopfaa9xHl7ueBAjO7DlhhZoPdvek16ikz73VGMRy1QJ8mr3OBT9swRlJPq3V195PfLFtw9zIgy8xyEheihERzPk1pzkdX7Bql5cAr7v7bFoZo3kdUa7XXvI8+dz8OrAWKm21KmXmvRjEcm4FbzOwmM7sKmAGsajZmFTAzdmekIuCEux9MdKDS7lqtvZn90Mws9nwEjfP084RHKommOZ+mNOejKVbThcAOd//V9wzTvI+gILXXvI8mM+seO5OImXUC7gF2NhuWMvNeS09D4O71ZvY3wJtABrDI3avNbHZs+wKgDBgP7AFOA38VVrzSfgLWfjrwoJnVA2eAGe6elEsSJDgz+29gLJBjZrXAP9N4kbvmfMQFqL3mfDTdCfwFsC12vRLAI0Bf0LyPuCC117yPpl7AS7G73HcAfuPuv0vV7/FNx6SIiIiIiIg0paWnIiIiIiIiEkeNooiIiIiIiMRRoygiIiIiIiJx1CiKiIiIiIhIHDWKIiIiIiIiEkeNooiISDNm1s3MtsYeh8zsQOz5KTN77gr9nXPNbGY77GeJmd3SHjGJiEj60q/HEBERuQgz+yVwyt2fuoJ/RyZQCQx19/rL3NcY4M/d/a/bJTgREUlLOqMoIiISkJmNNbPfxZ7/0sxeMrO3zKzGzKaa2b+b2TYze8PMsmLjhpnZe2a2xczeNLNeLez6x0DlN02ima01s/8ws3VmtsPMhpvZb81st5n9S2xMtpm9bmZVZvZ7M/vT2L7WA/fEmk8REZE2UaMoIiLSdjcDE4BJwMvAGne/HTgDTIg1i88A0919GLAIeLyF/dwJbGn23jl3Hw0sAFYCPwMGA39pZt2AYuBTdx/i7oOBNwDcvQHYAwxp13+piIikFTWKIiIibbfa3b8GtgEZxJq12OsbgQE0Nndvm9lW4B+B3Bb20ws40uy9VU32Ve3uB939LPAHoE/s/XvM7Ekzu8vdTzT52s+A3pf5bxMRkTSmZSkiIiJtdxYaz+KZ2df+7YX/DTR+xhqNTd7IVvZzBujY0r5j+zrb5P0GINPdd5nZMGA88G9m9pa7z4uN6Rjbp4iISJvojKKIiMiV8xHQ3cxGAphZlpkNamHcDiDvUnZsZr2B0+7+MvAUMLTJ5luB6raFLCIiojOKIiIiV4y7nzOz6cCvzawrjZ+7T/PdJm41sPgSd387MN/MGoCvgQcBzKwncMbdD15O7CIikt706zFERESSgJmtAP7B3Xdf5n7+Djjp7gvbJzIREUlHWnoqIiKSHH5O401tLtdx4KV22I+IiKQxnVEUERERERGRODqjKCIiIiIiInHUKIqIiIiIiEgcNYoiIiIiIiISR42iiIiIiIiIxFGjKCIiIiIiInH+H58ESpjJYvDGAAAAAElFTkSuQmCC\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -572,19 +469,20 @@ "source": [ "sB.reset()\n", "sB.set_constant('amp.alpha', 0)\n", - "dB = sB.run(5)\n", + "sB.set_constant('amp.beta', 0)\n", + "dB = sB.run(t1)\n", "print(abs(-20 - dB['amp.Vm'][-1]))\n", "ax = plot(mB, dB, label='$\\\\alpha$ = 0')\n", "\n", "sB.reset()\n", "sB.set_constant('amp.alpha', 0.4)\n", - "dB = sB.run(5)\n", + "dB = sB.run(t1)\n", "print(abs(-20 - dB['amp.Vm'][-1]))\n", "ax = plot(mB, dB, axes=ax, label='$\\\\alpha$ = 0.4')\n", "\n", "sB.reset()\n", "sB.set_constant('amp.alpha', 0.8)\n", - "dB = sB.run(5)\n", + "dB = sB.run(t1)\n", "print(abs(-20 - dB['amp.Vm'][-1]))\n", "ax = plot(mB, dB, axes=ax, label='$\\\\alpha$ = 0.8')\n", "\n", @@ -592,85 +490,26 @@ "plt.show()" ] }, - { - "cell_type": "markdown", - "id": "d6a73e64", - "metadata": {}, - "source": [ - "## Weerakoon/Lei-style model (1, 2b, 3b, 4, 5b)\n", - "\n", - "\\begin{align}\n", - "5b. && R_f I_\\text{obs} = V_o - V_p\n", - "\\end{align}" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "41558131", - "metadata": {}, - "outputs": [], - "source": [ - "mC = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Compensated model (1, 2b, 3b, 4, 5b)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Vr = -80\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 1\n", - "time = 0 [ms] in [ms] bind time\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 20 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 20 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", - " in [pA]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", - " in [mV]\n", - "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs + (Cp + Cf) * dot(Vp) - I_inj) / Cf : Eq 2b\n", - " in [mV]\n", - "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", - " in [mV]\n", - "I_obs = (Vo - Vp) / Rf : Eq 5b\n", - " in [pA]\n", - "''')\n", - "mC.check_units(myokit.UNIT_STRICT)\n", - "sC = myokit.Simulation(mC)" - ] - }, { "cell_type": "code", - "execution_count": 9, - "id": "df18acad", + "execution_count": 14, + "id": "20af2cd7", "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "0.000232735775895776\n", - "0.0002098663447434035\n", - "0.00029535077337428106\n" + "0.5366696512011373\n", + "0.3000472761212336\n", + "0.10353318512412457\n" ] }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -680,23 +519,26 @@ } ], "source": [ - "sC.reset()\n", - "sC.set_constant('amp.alpha', 0)\n", - "dC = sC.run(5)\n", - "print(abs(-20 - dC['amp.Vm'][-1]))\n", - "ax = plot(mC, dC, label='$\\\\alpha$ = 0')\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0)\n", + "sB.set_constant('amp.beta', 0)\n", + "dB = sB.run(t1)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB, label='$\\\\alpha = \\\\beta = 0$')\n", "\n", - "sC.reset()\n", - "sC.set_constant('amp.alpha', 0.4)\n", - "dC = sC.run(5)\n", - "print(abs(-20 - dC['amp.Vm'][-1]))\n", - "ax = plot(mC, dC, axes=ax, label='$\\\\alpha$ = 0.4')\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0.4)\n", + "sB.set_constant('amp.beta', 0.4)\n", + "dB = sB.run(t1)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB, axes=ax, label='$\\\\alpha = \\\\beta = 0.4$')\n", "\n", - "sC.reset()\n", - "sC.set_constant('amp.alpha', 0.8)\n", - "dC = sC.run(5)\n", - "print(abs(-20 - dC['amp.Vm'][-1]))\n", - "ax = plot(mC, dC, axes=ax, label='$\\\\alpha$ = 0.8')\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0.8)\n", + "sB.set_constant('amp.beta', 0.8)\n", + "dB = sB.run(t1)\n", + "print(abs(-20 - dB['amp.Vm'][-1]))\n", + "ax = plot(mB, dB, axes=ax, label='$\\\\alpha = \\\\beta = 0.8')\n", "\n", "ax[0].legend()\n", "plt.show()" @@ -704,108 +546,60 @@ }, { "cell_type": "markdown", - "id": "dffb20fe", + "id": "831b6edd", "metadata": {}, "source": [ - "## Original Lei formulation\n", - "\n", - "Just to check" + "To be safe, we also test with the Lei model in its original formulation:" ] }, { "cell_type": "code", "execution_count": 10, - "id": "50bd7ce8", + "id": "1b5315d1", "metadata": {}, "outputs": [], "source": [ - "mD = myokit.parse_model('''\n", + "mC = myokit.parse_model('''\n", "[[model]]\n", - "desc: Lei formulation. Should equal (1, 2b, 3b, 4, 5b)\n", + "desc: Lei formulation. Should equal (1, 2b, 3b, 4, 5, 6b)\n", "amp.Vm = -80\n", "amp.Vp = -80\n", + "amp.Ve = -80\n", "amp.Vr = -80\n", "amp.I_obs = 0\n", "\n", "[amp]\n", "alpha = 0.7\n", - "beta = 1\n", + "beta = 0.7\n", "time = 0 [ms] in [ms] bind time\n", + "I = 50 [pA] in [pA]\n", "Vc = -20 [mV] in [mV]\n", - "Cm = 20 [pF] in [pF]\n", + "Cm = 40 [pF] in [pF]\n", + "Cm_est = 40 [pF] in [pF]\n", "Cp = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 20 [pF] in [pF]\n", "Cp_est = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "tau_c = 50e-6 [ms] * (Cf + Cp) / Cf in [ms]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "tau_amp = 50e-6 [ms] in [ms]\n", "tau_sum = 40e-3 [ms] in [ms]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : (2.3) = Eq 1\n", + "tau_c = tau_amp * (Cf + Cp) / Cf in [ms]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", - "dot(Vp) = (Vr - Vp) / tau_c : (2.4) = Eq 3b\n", + "dot(Vp) = (Vr - Vp) / tau_c\n", " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : (2.5) = Eq 4\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", " in [mV]\n", - "I_in = Cp * dot(Vp) + Cm * dot(Vm) - (Cp_est + Cm_est) * dot(Vr) * beta : (2.6)\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "I_in = I + Cp * dot(Vp) + Cm * dot(Vm) - Cp_est * dot(Vr) - Cm_est * dot(Ve)\n", " in [pA]\n", - "dot(I_obs) = (I_in - I_obs) / (Rf * Cf) : (2.7)\n", + "dot(I_obs) = (I_in - I_obs) / (Rf * Cf)\n", " in [pA]\n", "''')\n", - "mD.check_units(myokit.UNIT_STRICT)\n", - "sD = myokit.Simulation(mD)" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "c09d418c", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1.6138095304540911e-09\n", - "7.294968185078687e-09\n", - "2.80776968004659e-05\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sD.reset()\n", - "sD.set_constant('amp.alpha', 0)\n", - "dD = sD.run(5)\n", - "print(abs(-20 - dD['amp.Vm'][-1]))\n", - "ax = plot(mD, dD, label='$\\\\alpha$ = 0')\n", - "\n", - "sD.reset()\n", - "sD.set_constant('amp.alpha', 0.4)\n", - "dD = sD.run(5)\n", - "print(abs(-20 - dD['amp.Vm'][-1]))\n", - "ax = plot(mD, dD, axes=ax, label='$\\\\alpha$ = 0.4')\n", - "\n", - "sD.reset()\n", - "sD.set_constant('amp.alpha', 0.8)\n", - "dD = sD.run(5)\n", - "print(abs(-20 - dD['amp.Vm'][-1]))\n", - "ax = plot(mD, dD, axes=ax, label='$\\\\alpha$ = 0.8')\n", - "\n", - "ax[0].legend()\n", - "plt.show()" + "mC.check_units(myokit.UNIT_STRICT)\n", + "sC = myokit.Simulation(mC)" ] }, { @@ -818,15 +612,15 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 11, "id": "f0b470e2", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA5AAAAK5CAYAAADJmMFuAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOzdd3hUVf7H8feZmfTeSSWB0DuEKigqKGBBrGDBtqKu3f25K7pr27X3rrj2xtpBBVQErBQD0muAQBrpvU45vz8mIJAQgiRzZ5Lv63nyOHPPLZ8Zrrn53nKO0lojhBBCCCGEEEIcjcnoAEIIIYQQQgghPIMUkEIIIYQQQgghWkUKSCGEEEIIIYQQrSIFpBBCCCGEEEKIVpECUgghhBBCCCFEq1iMDuBuIiMjdXJystExhBBCuMDq1auLtNZRRufwFHKMFEKIzqGl46MUkIdJTk4mPT3d6BhCCCFcQCm1x+gMnkSOkUII0Tm0dHyUW1iFEEIIIYQQQrSKFJBCCCGEEEIIIVpFCkghhBBCCCGEEK0iBaQQQgghhBBCiFaRAlIIIYQQQgghRKtIASmEEEIIIYQQolVkGA/hWRwOKN2NrWQn8/Z8T1RgH+K6nU9NXTXzf76Gkb7xjAzpgXdACCt0Cb2STiI2foTRqYUQQrgzhwNy0iE7HaoLIWUcdD/F6FRCCOGWpIAUbq/B5iB9Vy7P/XgW46rKuKGsBDPwYHIiaaW/8O0XUZiwEd5rF7H5a5hYXkmlUtyUnMgpvy2hJOA+kkMa2FF+MzO8e3Bq8nj8kwbTEN0HX78woz+eEEIIozgc6PVzqVv8EH5VWQDYMPP86gYyEkM5I7aaibsfwWvsLdBjIihlcGAhhDCeFJDC/WhNQ8YPPPDzv6msq2Nx3r+obrAzLs6fLEcMb0aMwRHejauDfQnv1Ysz/SPwNptQajG19VY+qq2iprKIC0vWUB4UQUWNjV9yNxAW5cCncCWBO79hh5cXF8Z34WqfSYwecxfdQq3YraVERvY2+tMLIYRwkQ17C+gy/x5ybEH8T91IZfxYLMFdqKq3sXlPKXkb1jPEewuxey/A2vUkvM56CiJTjY4thBCGkgJSuA2rtYalPzzJwPTP6VK3E7+wSPJNcUwdHMdJvaIZkbyUsADvY1jjuAOvtB5DfsVVbN9XwQd7d5K7eynDKpfw2c5onli3nJEh89gct5xXir3onjge774n4ZtyAv7+kW3/QYUQQhirpoT315dz35db6Of/ABdPPoF7Byfg62U+MIvWmg05w3jq57H4b3yf/9vzP0wvjcF05hOooTMNDC+EEMaSAlK4haySGp795DK+8dnOczY7S2Pv5NSTLmVEzwRMpuO/ZUgpRZcQX7qE+EKvaGA0cBeFlfWszSpj9ZZqIvLysZcVEFb+Nu9kf8wroSFcH/M0IweOIDGwnKDALpgtx1LACiGEcDs1JZS+eCq6PIUTUu/kmYsmEOrf9He7UoqBCaE8Pn042/b15paPT+WqgodRP37H0P6X4OdtbmblQgjR8SmttdEZ3EpaWppOT083OkansStzBV+t3sqLv8cQYCphWo8NXH3mP4kLCzQkT3mNlVU7slmzfi7Zpb/yZe61gOLEhP9Q7FvOi/b+BPU9Hf++4/GL6G5IRiFE21FKrdZapxmdw1N4/DHS4WDfq+cQvu9nXkp4ghuuugIvc+s6pLc7NC9+v40Xl2ylW5cI3jkniqguCeBjzPFKCCHaU0vHR7kCKYyhNbsWv8z1e14gzGrm9L5vc+eU8cSFXmJorBB/LyYOSmHioNkA/Kuyjl8zivnt93Eklf2Gb/kqIvK+4ZLNMQQRylmj5zGmewRB3g4sXr6GZhdCCNGy/G+foEv+D7we/NdjKh4BzCbFzRN7MyApnNs++I36t/5CXVQXfK+cB36h7RdaCCHcjFyBPIzHn131APW15ex+4xp6F37De/69iRz/HyaNmGh0rKPSWrOzoIpNa1fw4+7XKK80s7j4UszKRlLqXZxRH8x5cWcSN+wMvOMGoMxye5MQ7k6uQB4bTz5GWot24XhhJL+qwfS/7Uuigv/8Sb8N2eW88caLPOZ4ElvMQPyunAe+wW2YVgghjCVXIIXb2JO7mZu+voira4rZGfUXzr7qIYL9fIyO1SpKKVJjgkg9fSJTmYjN7mBddjk/b97K1sxY4iv2kVLwGEXrn+C8hDjO8Z3MhBP/Rd+4YMxt8BynEEKIP2/+T+kMckRiOvuJ4yoeAQYkhHDT9Tdz96vwUP4T1L59rrOI9A5oo7RCCOG+pIAULpNVUsPVH2QT5efD3m7XcuO596A8eEwti9nEsK5hDOs6GlhMdb2NXzZvYfu6T0ip/YZle715dv3PpIX+QkPkl/zVayRDBp5PTP+TwMvP6PhCCNFp5JXXctfqICb2fpcX0ga1yTq7RQUya9bN3P2KlYfynqFy4f0ETX2sTdYthBDuTApI4RJLV77PQ99bKLSG8e9p3zC6e4TRkdpcgI+FE4YM4IQhA7iS+ylofH4yfd3vbK8zMzzncyJ2f8zH3wfxv5AIzk5+igG9htIzyosAP+mEQQgh2oXW/PDR83jpXtx5Rt82XXVqdCBXXHMbt71qIWPbYN6rbiD8mIabEkIIz+Pxz0AqpR4HzgIagJ3AlVrrssa22cDVgB24WWv9zdHW58nPd7irtSu/4LrNdzOgOojbz/uWPrGd7zkRrTW7cwvYlf4Nq7PnscG0i5V77sWKFyfFPk5BQCGPVfWALoOojEsmqccIEmL7GR1biA5PnoE8Np54jMxd+SlxC6/ii5R7OOfyv7XLNlbvKWXGaytIi/PhrXGVeA+Y2i7bEUIIV+noz0B+B8zWWtuUUo8Cs4F/KKX6AtOBfkAcsFgp1VNrbTcwa6ezd9Nyui+8ntsCwug19aVOWTyC8/nJbvExdIufyQScA1DnldeyLquM334fQmx5OuGlG4kvW8oNpVFkbfOmuuIlescGk+B4i1h/H8Z3m0JMcn/8QqMM/jRCCHeklHoDOBMo0Fr3b5wWDvwPSAYygQu11qWNbcd8ktUT1S97ir06hhPPvb7dtjGsaxhPXziYHR/dhfenn+Ewv4ep71nttj0hhDCSxxeQWutvD3q7Aji/8fVUYK7Wuh7YrZTKAEYAy1taX2VlJcuWLTtkWkJCAqmpqdhsNn7++ecmyyQnJ5OcnEx9fT3Llzddfffu3UlMTKSmpoZVq1Y1ae/ZsydxcXFUVlayevXqJu19+vQhJiaGsrIy1q5d26S9f//+REZGUlRUxMaNG5u0Dx48mNDQUPLz89myZUuT9mHDhhEUFERubi7bt29v0j5ixAj8/f3Jyspi586dTdpHjx6Nj48PmZmZZGZmHpieXbSObtufxht/xpz/OfV2c5PvFmD8+PEAbNu2jby8vEPazGYz48aNA2Dz5s0UFBQc0u7t7c2YMWMA2LBhA8XFxYe0+/n5MXLkSADWrl1LWVnZIe2BgYGkpTlPrqSnp1NVVXVIe2hoKIMHDwZg5cqV1NbWHtIeERHBgAEDAPj1119paGg4pD06Opq+fZ23TP3000/Y7Yeev0iJjWXSZU8DsGTpUpaXV5JSspKwulI2qTrW7G5gd/QSelTUcsX6OQDM7BJLkDWKSvVPesaFE6o/JTEgFnNlKF6BUXj7+h14trSz7nv7jR07FovFQkZGBtnZ2Qema62xaxg5aiS1ddXs2bmL/Lwcau1V1Nmr8PLtCSYL3VL8qazYg6XIQXl5KSWOMqp1DZaAkzBbLHSJKKG6KpOwUm9qqqvI1UVUqQZUwFl4eXkTHrARa00WiRUB1NfVs9tURLUCFXAePr4+hHivQtcXEFfmj9VqJcNUQL2y4PCfhr9/AAFqKRZrOV1KfbHabGwz52Mz+WP3O5vAwEB8HAvxt9USWeKNw2Fni3kfDlMYDv8pBAcHY7bOJ9ihCS0y4QA2mXIxmaNx+E0gNDQUVf8FYXjhW+AAYLMpFy9zHA6/cYRHhGOv/pQoUyDmfCsAm0w5+FiS0L4jiY6Opq7yY7qoEHRBPQ4021QuPpbu4DeImKgw6qq+pgshWAtqsWEnQ+3D16sH+PYlrksotZXfEk0o9fuqsWIjUxXg690LfFKJjw2htupHohzB1ORXUa+sZFOEr3cf8E6iW0oyQ3vEY6qvOq59r4N5C3gBeOegaXcC32utH1FK3dn4vtOcZC3evoKU2o0sTLyFyUH+7bqtMwbG8lrRHaxdtpa+n8zC+7qlEN27XbcphBBG8PgC8jBX4TzTChCPs6DcL7txWhNKqVnALICUlJT2zNdpVFs184vepDzan6dHvknflF5kZGQYHcutmZQiMjSYyFDnkCYTcJ68iOyynG3bV/Px6pV4V2cTbF+LyRbO2lI7P2YX0KXXl0zNqWZ2SSkAExPi6VkZz7b624neupsw02X0112Iq4nC6h3AVu9SArx74x0wkNqAMjLyNxBoCaeyuhqTyQxmExazF2blHDi7Nbe5a61xaI1Dg0ODxvnf4qpqSmvKKC0spqy0iDpbLZW2EpQlEasphMpNG6ksTye4xpeaolIq7OVkm/JRlpOoUV1YU/IZ5ZWLGFwTjqm6nn3mUjZ4F+OwzaCUBL7e8TCljm+5qDSEQGsDG3xr+D6oFmvJjeQ74uj7+1/ICUznmdwGejlszAtWvB3mi3XHHRTpKE5cew6/R+SxfvdeFPBsWAhvhQTTkH4f1fhx4vb72RpSwKo9zuLz4fAwvgr0J3fVcDQmxsU9QVZAKcuycgD4Z2Q4K/z8yFh7GlDPCQlvUeZbwdfZzhMjt0dHst3Lh43rzwTqGZX0AQ5LFR/l7gPgupgoCk0+rN5wBtDAsK4fE6SqeWuf88TJZbEx2Gw+LN84CShhYMrnJDhqeSm/EIC34rsQ2ODHjzsnAEX07j6PAQ01PF7oPLHyUkIccTUBLNkxDsgnucfnjK+t4b6iEgCeSIqnV2Uwi7ePAPKI6fUF51VW8feSMgDuT04krXQz3+YPBrII6vMF15WWc0NZOVbgnpQkRhdt49vC3virbZh7f8zfiku5oqKScpOJ+7omMGrfTr4r7k6kJZ36Hh/yr6ISLqysItdi5v7EeEZk7+H70kQSvZdR1v0dHi4oYmp1DTu8vPh3QizDMnNYVn4x/LaVpy/yYVyijL+6n9b6R6VU8mGTpwLjG1+/DSwD/sGfPMnqafK+fRpv7Uf/M25wyfb+cnIfHi54nPgtV+H/9oUE3PgD+IW5ZNtCCOEqHvEMpFJqMdClmaa7tdbzGue5G0gDztVaa6XUi8ByrfV7je2vAwu01p+2tC1PfL7D3djsDq586ze271nH3RN8OfvEmUZH6rBq6qxs2bWCmqIc/MrLqS/Zy0cNKwjSvdhtmklldRkVgX/j8vJKrqwop1opRiUnMrowjm+LbibYVIju9SR3FpdwSUUVBWYzpybFMzI/hcUl19LFsgvV7RVuK6phfLWDXIvipvgAehQM46fK6XT1Xkt14vv8q7CSE2vq2eZt5tq4cPrkjOSnqnPp6/8DWV0XMicvn9F19azw9eGa2Bj67T2JFdWTGRK0gIyEH3k/dx8D6xtY4u/HLTFR9MiczJrakxge/Clb43/j8+w8Uq1Wvg4I4N7IcHrlX0qWaSS9vD8kM/BXHi/QhDq8+NnPxLwgB4mmO6n17UFI3f8odPzIX2ri8DH5stG7jt+9KunW5X6UXxT20i8pq17JZK9+mMw+ZFJBNpV063YbePlQXbSM6qqtDPPvhTKZybWXUO6oIbHb5ZjMioqiFVhrc+kZ2A1lslBkK6MOO/FJp6EUlJdsxl5fTqx/DAAlVmehFRE9FICKki04bDVE+0YCUNpQhl0pwqOcvUSWl2wFu5VIX+cfoMX1pWDyIiyyj3P+ki2YHQ5CvUOc7XXFKLMfwWE90WhKitbjpRWhXsFoNPl1hVi8gggO64UGivJX4aNNhHmHApqc2n34+IQTHN4XDeTn/kiAyZcwrxA0DvbW5uDvF0tQWF+0htysbwi1BBLmFYLD4WB3bRZBgckEhffFbmsgL+dbwi3BhFpCsGsbu2qzCQ3piX9IL+zWavJyviXKO5xQcxANjgZ212UTHtofv5BeWOvL2Zf7DbHekQSbA6m317OzLpvI8GH4hvQAoHtUIFFBxzcMUEd7BrKxgPzqoFtYy7TWoQe1l2qtw5RSLwArDjtGLtRaf9LMOg+cZE1KShq2Z8+e9v8gbaCuvoHND4+jLKQvp9z2psu2W2+zc/8Lr3Nf6Z3U9TiD4Evfddm2hRCirbR0fPSIAvJolFKXA9cBp2qtaxqnzQbQWj/c+P4b4D6tdYtnV6WAPH4Pv30X/90ykofPG8qFwxONjiMAtKahuoyykjy2FW3DyzsOc2APKqtK2LL9RZLtgcTZvahsqOY7vYMugSdD+GSsNXvZu+9hxtjCSbX5UKrsfO6dS1LAFOxhZ2GuzyC36FlOtMfQVQVRTAPfWfJICTsPU/h4THUZ5Be8S5pXCjE+4ZQrG5sd+XSPP5vAiL7Ya/dSVPAjPYO6EeIbQr3SlDiqSIhLIzAwAm2rxmGtIMgvFLOXP5jM4MFDvwj304kLyA5/kvWr9bnc+MHvvHvlUMb1inXptgsq6nj62cfY5dWDV28+j1B/6ZlVCOFZOnQnOkqpSThvxzlpf/HYaD7wgVLqKZzPd/QAmj4EJtrU25/fzwd8yRW9CrhwuPRC5zaUwjswjOjAMKKTDu7GPpxT+j99yKwnHvIuFTjlkCknH/KuN84+O/4w4ZB33YHTD5ly0iHvIoGhTZb4Q2jjjxDiT8pXSsVqrfOUUrHA/gfJs4GDz/AlALkuT9eO5q/aTnyoH2N6NHcDU/uKDvblgstvYvqrK7jpgzW8dU405shuLs8hhBDtwWR0gDbwAhAEfKeUWquUegVAa70J+AjYDCwCbuhonQO4m707NzN17SvcVOLF/814xug4QgghnCdTL298fTkw76Dp05VSPkqpFDrYSdaCjDU8n3U+/5eyB7PJmLsWhiaF8cDUfozMfAnryydCaaYhOYQQoq15fAGptU7VWidqrQc3/lx3UNuDWuvuWuteWuuFRubs6Kprq8ifezlmpTnn3A/w8w00OpIQQnQqSqkPcXaC00spla2Uuhp4BJiolNoBTGx83+FPsmb/8BYmHIw44VRDc0wfkURd/0uot9kpf/tisNYZmkcIIdqCxxeQwj088MEl3NWlivUj7iG6q3RbLoQQrqa1nqG1jtVae2mtE7TWr2uti7XWp2qtezT+t+Sg+TvmSVatictZxAafwcQnJBmdhpvOn8ALIf9HSNkmyr+4w+g4Qghx3KSAFMdt5a5i1mb3Z5BO5YQpfzU6jhBCiE4sd9tvdHHkU5UyxegoAPhYzFx19V95R00lZNM71K7+0OhIQghxXKSAFMelrsHGnZ9toMbvVB6Y+bnRcYQQQnRyuSs+xqEV3cddaHSUA2JD/Oh1yWMsdIzglfTKVo2vK4QQ7koKSHFc/vPexfQy3ctDU3vj7+3xnfoKIYTwcG+Up/FC0M1ucfvqwUamdiFn4qs8uzuel3/YCVJECiE8lBSQ4k/bsmkduno11sA6xvWKMzqOEEKITi6vvJYFeYFY0mYaHaVZV49N4axBcdQufoT8ty+XIlII4ZGkgBR/isOhKZ/3d+4uruLxs+caHUcIIYRgy69fc7rpN07tFW10lGYppXj0vAFEBPgQkzmP0mUvGR1JCCGOmRSQ4k95f/5TJNt/Y1ffvxLeJcXoOEIIIQSRG17jX94f0rNLkNFRjsjf28JJf3mEZQwl8Id7qM9cYXQkIYQ4JlJAimNWVl3PRwVvcV2XOPpO+4fRcYQQQgisDXWkVv9OVvgolFJGx2lRSlQQatqr5DrCqX3vUnRVodGRhBCi1aSAFMfs+aU7Kc7+C9f1+wcmL1+j4wghhBBkrF6Cv6rHu+cEo6O0ykmDevLjkKcwW6v48fsvjY4jhBCtJgWkOCYZ+0p5+9dMxg85hUljLjM6jhBCCAFA2cZvsGkTqaPcY/zH1rhk6pncmfgef1nVhTV7S42OI4QQrSIFpDgmz8+bzvi4f3HrKclGRxFCCCEOsBRsZId3b0JCI4yO0momk+LBi08kNsSPD995ifK1ciVSCOH+pIAUrbZ56xZSa7YR5BtAdIj7dlAghBCic6mos3JR1W0sHfKc0VGOWai/N69cPJiZ1k+wzLsWa2GG0ZGEEKJFUkCKViuYfx/Xllfy7zPfNDqKEEIIccDqzFIcWjGop2f2Ct43IYy8017F6oCSNy6ChhqjIwkhxBFJASlaZeGvXxJmW8r2xIsI7NLd6DhCCCHEAY5fX+Qx79cYmhhqdJQ/7bQTRvBV6gNE1ewk++2rQWujIwkhRLOkgBRHpbXm07WPcU1sFF3O/pvRcYQQQohDxOV9yyCfPPx8LEZHOS4XXXwVHwZdQULOArJ+m290HCGEaJYUkOKoftxRxIrsa7kybCaRUXL1UQghhPuoqamie8N2yiLTjI5y3LzMJiZe8zA3mu/h0h+CKa+1Gh1JCCGakAJStEhrzfOLtxMUFMvVZ95hdBwhhBDiEBlrfsRb2fDvMdboKG0iOsSPK2deSU5pLU+88ym2nHVGRxJCiENIASla9N3qJXip6/hL/0y8LbK7CCGEcC8V238AIGXoBIOTtJ1hXcO5/6zezMx5gKq3zkdX7jM6khBCHODxFYFS6t9KqfVKqbVKqW+VUnEHtc1WSmUopbYppU43Mqen2vnzc5R62Th1yCCjowghhBBN7K5Q/OI1msDQKKOjtKlLRnfj54EP491QTuGcaVBfZXQkIYQAOkABCTyutR6otR4MfAXcA6CU6gtMB/oBk4CXlFJmw1J6oPVbt3FV6c886RhBcoLnP1sihBCiY3E4NI+XncxXfR43Okq7uPzcs3kv8V7CK7aS//qFYJdnIoUQxvP4AlJrXXHQ2wBgf7/XU4G5Wut6rfVuIAMY4ep8nmzNt49iUXZSzrrL6ChCCCFEE7sLy6moszLEg4fvaInJpLj8iut4PfQWYgp+YffXTxkdSQghPL+ABFBKPaiUygIuofEKJBAPZB00W3bjtOaWn6WUSldKpRcWFrZvWA+xNSuL/wau5K64vvjH9jI6jhBCCNFE0aqPWedzDWnBpUZHaTc+FjPTr7ube/3/ydT0fqze03E/qxDCM3hEAamUWqyU2tjMz1QArfXdWutE4H3gxv2LNbOqZkfl1VrP0Vqnaa3ToqI61jMUf9b7v+WTVDiMqcNvNjqKEEII0Sxb1mp8sNK1W2+jo7SrED8vbrjuJsKDArj9je/IXvyK0ZGEEJ2YR4y4q7VubddqHwBfA/fivOKYeFBbApDbxtE6pLKaBj75vYgzBv6NMdJ5jhBCCDcVWrKevd6p9PTyNjpKu4sO9uWDa0ax+IUbSPj5U/KtFcRM/rvRsYQQnZBHXIFsiVKqx0Fvzwa2Nr6eD0xXSvkopVKAHsAqV+fzRG99/RQnBL7K5cMjjY4ihBBCNKuuvoFkawYV4QOMjuIycaF+nHzdMyw2jSFm5YPkf/Ok0ZGEEJ2QxxeQwCONt7OuB04DbgHQWm8CPgI2A4uAG7TWduNieoYGm4OM/I/YHbmd3vFhRscRQgjRjpRSkxqHuspQSt1pdJ5jsXvrGgJUPV5JnauX8MTIYLrN+oAlahQxyx8gZ6EUkUII1/L4AlJrfZ7Wun/jUB5naa1zDmp7UGvdXWvdS2u90MicnuKnX37k+aKdPBg6FS8vf6PjCCGEaCeNQ1u9CEwG+gIzGofA8ghbiu28ZDubLv3HGx3F5bp1CaPHXz/iB/Mo6lb8lxXbso2OJIToRDy+gBRtq275q1jxYsTptxsdRQghRPsaAWRorXdprRuAuTiHwPIIv5UF8Zr3ZUQn9TQ6iiESo0LofdOn3Bn0CDPf3cC3G/aCQ260EkK0PykgxQFrdu7klYi1fBw3ClOgPP8ohBAdXKuGu3LXoa6q9q5nSBcvlGqu0/XOISY0kDnXT6Zvl0DqP7qGXS9fiG6oNjqWEKKD84heWIVrfL5qJf42X7qPmmF0FCHEEVitVrKzs6mrqzM6ikfx9fUlISEBLy8vo6O4k1YNd6W1ngPMAUhLS2t2OCxXs9nsPFT6f2zzmgycbHQcQ4UFePPhrDEsmDOE5IJXyHnqZKJnfYp3eOLRFxZCiD9BCkgBQGWdlU+3hHPGgLcYJUN3COG2srOzCQoKIjk5uVNfeTkWWmuKi4vJzs4mJSXF6DjuxGOHu8ravZUUVYs5tvP0wNoSP28z0/76MPM+SmXCln9S/cI4Ki58j8jeY42OJoTogOQWVgHAZz9+Q5BtL5eM6mp0FCFEC+rq6oiIiJDi8RgopYiIiJCrtk39BvRQSqUopbyB6TiHwHJ7BRmrAQjrPtTgJO7DZFJMm/4X0if8j0q7N3rupfywOevoCwohxDGSK5ACrTVLMx7Ev3sF/WIuMTqOEOIopHg8dvKdNaW1timlbgS+AczAG41DYLm9+uz1OLQivqcUkIc7edxJ7E7+ngf/t5Av3lnPNWPL+du4GHxDooyOJlrJYa2noa4aW30NNmsDVpsNq82ONSgBjQlTdQGqthi0HbQGhwO0jbroIWjAXJGDub4MZVKYTGbnj9mCIyIVkwJLbRFme11jm8n5Y/HCFBiN2aQw22pROFAmCygzmMygTCC/R0UjKSAF67bv5rbS3aTHjcHLO8DoOEIIIVxEa70AWGB0jmPlV7KFfeYuxPkFGx3FLaUkJvLILVcT+PVmTMtfoGb1AnJO/A/dx18mRUA70FpTU1tHaa2NsjoHNQW7MOWmY6sqxV5bDvWVmK2VfB12OQWOQAaXfstpFZ/i7ajFohvw0la8aWCy/Rny7UHcbPofN1u+aLKdPnVvUIsv/7K8y9WWQ0enc2hFt/r3AXjY8hozLEsPaa/Qfgysfx2A572e4yzzikPa9+kwRtW/CMAbXo9xinntIe27dBxT1TOYTYpX9L8ZqLfjwIQDhQMTO8zdmR3wAGaleKDmAZLsWTiUCY0ZrRQ7vPrw3/DbMZsUNxf/mzB7MfpAu4ld/gP5NvoqTEoxI/chfB01oExoZUabzGQHDmJdl/MwmxQTM5/AhMNZ1JrMoMwUhg4iM2YiaM3QXS//sawyOdtD+pMfPhyzttEj+zMwKTRmUAqtTJQE96EsuDcWew1d875BoQ75X6U0rD/lQT3wtlaSsO+7Jv82ReFDqQxMwae+hLj8pYc8Ta6BgsjhVPsn4lubT2zhz4e0AeyLPIFq3y741+YSW7QcjeOQFeREnUStbxRB1XuIKV51YEmlnf/d02Uidd5hhFbuIKZ0DbmJZzBtTP8mOduKFJCCXUvf5FxrLT1PvcfoKEIIIdxYZWUly5YtO2RaQkICqamp2Gw2fv755ybLJCcnk5ycTH19PcuXL2/S3r17dxITE6mpqWHVqlVN2nv27ElcXByVlZWsXr0arTWvVp9OWkg1acuW0adPH2JiYigrK2Pt2rVNlu/fvz+RkZEUFRWxcePGJu2DBw8mNDSU/Px8tmzZ0qR92LBhBAUFkZuby/bt25u0jxgxAn9/f7Kysti5c2eT9tGjR+Pj40NmZiaZmZlN2seOHYvFYiEjI4Ps7KbjOY4fPx6Abdu2kZeXd0ib2Wxm3LhxAGzevJmCgoJD2qdEe+N3zmUULFhF7x9uYu3yNykccD1eQdEA+Pn5MXLkSADWrl1LWVnZIcsHBgaSlpYGQHp6OlVVVYe0h4aGMnjwYABWrlxJbW3tIe0REREMGOB8TvXXX3+loaHhkPbo6Gj69nUOPfrTTz9htx86DElsbCy9evUCaLLfQfvvez169MAnKJTs3dvZtvZX9uhY8myBhFVtZ0LVfEJ0BYH2ckJ0OaGqmovrH2CdTuUC8zIe95pzYD02baIaP54oGsM+SzyxykqxDgLfOPDyx6bN1Ng0IwK9sVosaOsw5llDCA6PwdvXj7qGBqoqKpkZ7I9DWdD1p/KZtR8xXWKxeHtTWVlNSXkF1wf6oID6+il8bh1OXGwXlFJUlJdRWlHNVYHeODQU1kzmU+swoqIiMKGprKikvM7G+f5eOIDMmgnMs/YnLDQEtJ3a6ipKrN6M8geH1myvGU6ZPQl/Pz8Udhrqasl3hBKqatHAHpVIndkXHy8zSjuwWRvItQZSWFyKQ0OR1YTSZixmhUnbwGGjsLaEn0tycWiYrvfioyoxozFhx6QdrC4080bGEBwaZngtw0/VY8aBubGMXZNZxH9sCZhwkOHzX0zq0P6+XrGdySO2AIKpZr3vQ03+rZ+0ns/z9nPpQjErfO9t0v5v66W8bp9Cd5XD9z5N2/9hvYb/2U9mkMpgXjPtNzbcxFeO0YwxbeQD76bbv6LhDpY5hjDRlM5r3k81ab+g/h5+0705x/Qzz3i/1KT9/rUBbNbJXGr+jv94vcl5W6OZNqb/cf3ea4kUkJ1cRZ2VjJp5/BaYyvCkwUbHEUK4ufHjxzN79mxOP/30A9OeeeYZtm/fzksvNT2oNeeZZ54hPDycmTNn8tZbb3HaaacRFxfXqmW11txyyy0sWLAAf39/3nrrLYYOHUpDQwMTJkxgyZIlWCxyaOvIyuo1Kxq60z3C2+goHmHI8BOo7v8rn82ZzaSS9+mZfiMLIq8mtN9pRkdzC1X1NnbklZORlc2+Bl8y64Pwr9rDVTX/xbS0kFDKiFQOBgPXNtzGN47hnOxdS7wpiyrvCMoCepHvHUqZ1YuTQ6M40d+HCHUCX6r+9Ok/hK4p3SivrGXjpk3ceGCrJ1HDSU3+iP9jENaBwMAmJy9GH2jvAfRgyGEnL6IPtPcEejL4sJMXf9zEPBiAMYedvDjzQLvzpMSJB5288MnL44+HnM5ucvLCXFBw0Oe7HC9vb0aNGQPAhg0bSCgu5u4D7bdj9/Mj7aCTF0llZTze2FrNw6jDTl6kVFXxWmP7Tv5LSEgIAwYOwq41K1b+RpfaWl7GeUfvQj4nPCyMvr17oR020n9bSZLVwfMmL9C+LLK+RURYKN27JaMdDn7//Xd6KR+eMfuhdCyLGuYQERFJ165d0WhWr17DQHMAT1r8wJHM19bXiIqOJiE+HpvNzvr16xlpCSDN7IdZ9+Zr62vExnYhLi6OhgYrGzdsYIIliJPNPpgcg1hoe434hARiYmKoq6tj86ZNTPMKYqrJB5NjBAutr9G1a1eio6Kprqlmy5YtXOYVzCUmL8z2E1loH0b3lO6ER0ZQVVnJtq3bud4SiDZZMDsmsch+Eo/2T2tptz9uSmu36JHbbaSlpen09HSjY7jMm9/N59mcu5gVPIa/njvn6AsIIQy1ZcsW+vTpY9j2X331VVasWMGbb755YNqoUaN4/PHHD/wx0RKbzcbQoUNZs2YNFouF8ePH88QTTxz4Q+FoFixYwPPPP8+CBQtYuXIlt9xyCytXrgTg/vvvJzU1lUsuaf5Z7ua+O6XUaq11+x5pOxB3OEau/H0tb378OVfMvJJRvZMNzeJpdu3YROHns3my9ERyQoZy8wlRTB2Wgq9/oNHR2pXDockpq2VnQSU7i2rI3rePfpnvEly9m0R7NikqD19l5X7rZXxsOYthwRXcbX2W2oBEdHA8lrAEfCOSCEgZTkR0PN4W6YNSdHwtHR/lNG0n9+WOCLrX/Z3LzptgdBQhxJ/Q1rd17b9d7kjOP/98/vnPf1JfX3/gzHZubi42m42TTjqJmJgY1q5dy7nnnsuAAQN49tlnqa2t5YsvvqB79+4sWbKEoUOHYrFY+OSTT0hPT+eSSy7Bz8+P5cuX4+fn1+L2582bx8yZM1FKMWrUKMrKysjLyyM2NpZzzjmH2bNnH7GAFB2Dddt3vOL9DCVBlxodxeN069GPbn+fzw3bC3lm8XZqvvk31d+vYF3KJXSffAuR0V2Mjnhc6qx2dhVWs7OgktqdP2Mv2I5v2Q7C6/bQTeew1TGKR2wz6OLn4J/6Q8q8ulAZ1o2ciFPx7tKbW3udxD3xvRs73brA6I8jhNuSArITyyioYs3eMu6acgJBQa27fUwI0blFREQwYsQIFi1axNSpU5k7dy4XXXQRSinWrVvHli1bCA8Pp1u3bvzlL39h1apVPPvsszz//PM888wz/PLLLweerTj//PN54YUXDrkCedttt7F06dIm250+fTp33nknOTk5JCb+MXRhQkICOTk5xMbG0r9/f3777TfXfBHCOEXbqMWHsNhuRifxWCf1jOLEHpFsXF5B1o8ljNz9EnUvvsaq4JOwDL+SfmMm42MxGx3ziKrqbWTsKydvz3YqszehC7cTULGTHfUhPGs7D4DVPn8jQlVSr3wo9U+iLjSNCT0mcuHIiYQHeINtHxEWHyIM/ixCeCIpIDuxj755gJMTvuPU5HeNjiKE+JNaumK4/xbRI/Hx8TnqFcfmzJgxg7lz5x4oIN944w0qKioYPnw4sbGxgLNzitNOcz5jNWDAgANFYV5eXou34D799NMtbru5xy72D9FhNpvx9vamsrKSoKCgY/5cwjMEVOwiz5JIN5P7FjieQCnFgDGTYcxksrasIn/Jy/QpXMTX39qY+b0Xp/SKYnrkTroNO40uESEuz6e1prCqnr15BRTt2UR17jZKy8p4o2YcueV1fOH9Lyab/ui0qNIcSte4k+gxdgjdowIJrP8EQmLxCUmki6mZW04tPi78NEJ0LFJAdlJ2h8ZU8BOlwQ0kx/U0Oo4QwoOcc8453H777axZs4ba2lqGDh3KsmXL8PH54w8yk8l04L3JZMJmswHOXh/r6uqOuO6jXYFMSEggK+uPwdGzs7MP6YCnvr4eX1/f4/6Mwj1prYlp2ENBqIz/2JYS+4wgsc8I6murSNiWxRkZVvZu+pUx2/9B3S9erDX3pDhsMPb4NIJ6nkj3pAQiA30wmf78kCBaa0prrOSX11JSmEtFfiYVRbkstQ8ms7iac0tfZyrLSFNlB5YpVaFs6n0OqdGBmGqvozBAEda1P5boXgT5hzMAGHBg7lHH8Y0IIVoiBWQntWLdRu6o2MLuuGswW6QnOyFE6wUGBjJ+/HiuuuoqZsyYcUzL9unTh4yMjAPvg4KCqKysPPD+aFcgzz77bF544QWmT5/OypUrCQkJOXDVs7i4mKioKLy8vI4pk/AcRSUlxFHEvogeRkfpkHz8Ahk7uA9jB4P97B5kro6hcvN3hOSn0794Lpbi97ks/U5+cgxkjGUbN3h/TZ1PJHW+kVi9Q1FefuyKnkCdVwjhNZnEVG0FhxVbQz00VOHVUMr73heSW2NiYtV8ZqoFpKgS+ijrgQxvBX5EfGQ48UHdqbBrqqJ6EBLfm/CkvoRFdOdpr/0niFIN+Y6EEFJAdlpZv7zJCUqTdOoso6MIITzQjBkzOPfcc5k7d+4xLTd58mQuu+yyA++vuOIKrrvuulZ3ojNlyhQWLFhAamoq/v7+h/QGu3TpUqZMmXJsH0R4lB0lds6vf4rHB40++sziuJi9/UgePQ1GT3NOaKihfHc6N9oTmVCmCNq9l657ywio30lwbTnmxoHPJ2d2YRfxXGFawLWmdw5Zpw0zP8SdRVxUAoNs3dCVg8kNjscSnkhAVDKhXVJYGDsAzBZguIs/sRCitWQYj8O4Qxfl7a2spoFL3xtGb4cXT1y3xug4QohjYPQwHm1h2rRpPPbYY/To0bZXkc4991wefvjhAwOPH06G8Th+Rh8j3/41k3vnb2LVXacSHSy3KrsNhx3qK8BaBwGRYPaCmhLnj9nL+eMdCD5BoP78ba9CCNeRYTzEIb5et5fQ8hSG9B9kdBQhRCf0yCOPkJeX16YFZENDA+ecc84Ri0fRMZi3L+AvvjuJCpIrzW7FZAa/MDj4BgL/cOePEKLD6TAjoSql/k8ppZVSkQdNm62UylBKbVNKnW5kPncyf30heabbuXjSA0ZHEUJ0Qr169eLEE09s03V6e3szc+bMNl2ncD+98uZzufmbAz3vCiGEcL0OUUAqpRKBicDeg6b1BaYD/YBJwEtKqU7f53dOSTWWorc4t1+QHICFEEJ4lKj6PZQHyPiPQghhpA5RQAJPA38HDn6gcyowV2tdr7XeDWQAI4wI504+WzaH9Qm/Eqb/Z3QUIYQQotVKyitJ0PuwhksPrEIIYSTDCkilVEBbXBFUSp0N5Git1x3WFA9kHfQ+u3Fac+uYpZRKV0qlFxYWHm8kt5a4bSVP5JcxddztRkcRQgghWi1v90YsyoF3F8/uREoIITydyzrRUUqZcN5SegnOvpnrAR+lVCGwAJijtd5xhGUXA12aabobuAs4rbnFmpnWbJezWus5wBxw9jDX8ifxXBl5JYyr+5nyLmMICGzu6xRCiJaNHz+e2bNnc/rpfzxW/swzz7B9+3ZeeuklA5OJjq40dxcAoUn9DE7i+SIjI0lOTjY6hhCijWVmZlJUVNTu23FlL6xLgcXAbGCj1toBoJQKB04GHlFKfa61fu/wBbXWE5pboVJqAJACrGt8ni8BWKOUGoHzimPiQbMnALlt93E8z9dLXyQqWHPqiAuNjiKE8FAzZsxg7ty5hxSQc+fO5fHHHzcwlegMVpjTuLbhLdb2GGZ0FI+XnJxMRx+yTIjOKC3NNaNSubKAnKC1th4+UWtdAnwKfKqU8jqWFWqtNwDR+98rpTKBNK11kVJqPvCBUuopIA7oAaw6jvweTWvNxpIf2BwWygX9JxsdRwjhoc4//3z++c9/Ul9fj4+PD5mZmeTm5jJ27Fgee+wx3n33XUwmE5MnT+aRRx4xOq7bUUr5AmcC43Aem2qBjcDXWutNRmZzd7uLqokKC8XLy9voKEII0am5soD8XCn1ATBPa13d3AzNFZh/ltZ6k1LqI2AzYANu0Frb22r9nmZddjnfZd/Gg6f74+UTYHQcIUQbWbZsWZNpCQkJpKamYrPZ+Pnnn5u0Jycnk5ycTH19PcuXLz+kbfz48S1uLyIighEjRrBo0SKmTp3K3Llzueiii1i0aBFffPEFK1euxN/fn5KSkuP5WB2SUuo+4Gycd+SsBAoAX6AnzrtwfIG/aa3XGxbSjY3LeplR/tE4b1oSQghhFFd2ovMacBawWyn1P6XUOUqpNj2NqLVO1loXHfT+Qa11d611L631wrbclqeZtzYHb7OJM0eNNTqKEMLD7b+NFZy3r86YMYPFixdz5ZVX4u/vD0B4uAwg3ozftNZDtdZ/01p/oLVerLX+Smv9lNb6LJx9BHToy2sNNgcl1Q1ofWzdDWitGV+7mAHsbKdkQgghWstlVyC11vOAeUopP5xnYC8HXlFKLQA+1Fp/56osnY3docnOvI6pCX6E+Mntq0J0JC1dMbRYLC22+/j4HPWKY3POOeccbr/9dtasWUNtbS1Dhw7lvffek7Flj85PKeWjta5vrlFrXYDzqmSH9dE3S/nplx959t678PXxafVyhSWldFEl5IXLGJBCCGE0lw/jobWu1Vr/T2s9DWfvqUOARa7O0ZmsycghVOXh4290EiFERxAYGMj48eO56qqrmDFjBgCnnXYab7zxBjU1NQByC2vzLgGylFLvKKUmt8VQVp6mZ+mPvOr9DHW1Nce0XF7mFgB8o2UMSCGEMJrLC0ilVIxS6ial1C/AF8C3gHSp1o52L/+cx4sKuXvUnUZHEUJ0EDNmzGDdunVMnz4dgEmTJnH22WeTlpbG4MGDeeKJJwxO6H4aT5ymAt8DN+MsJl9WSp1obDLX8bI4a+Y6q+2YlqvI2Q5AWFLvNs8khBDi2LhyHMhrgBlAL+Az4O9a619ctf3OyuHQBO75mgpTKMGpneZvFCFEO5s2bVqT59juvPNO7rxTTlS1RGtdAbwNvK2UigDOB55XSoVrrRNbXtrzKZPzvLXN5jim5crKSinVQUQl9WmPWEIIIY6BK69AjgEeARK11jdJ8egaK3fs4j8JWbydNBDMrux0VwghxJEopcKAc4GLgHCcw1kdz/ouUEptUko5lFJph7XNVkplKKW2KaVOP2j6MKXUhsa255QLHmJVODfhOMZO0b/kRM4Pfg+zf2g7pBJCCHEsXNmJzpX7XyulBgLJB29fa/2Zq7J0Jos37aZHZTRp4y4wOooQQnRqSqkg4Bycd+MMBeYD/wGW6mPtlrSpjTgL0lcP22ZfYDrQD+e4k4uVUj0bh7V6GZgFrAAWAJOAdu2xXJmct7A67MdWQGYWV5MSGdgekYQQQhwjl1+SUkq9AQwENgH772HROG9rFW3I4dAs2KboF/c4o4emHX0BIYQQ7Wk38A3Owm1RG499vAVorifcqcDcxp5fdyulMoARSqlMIFhrvbxxuXdwFrftWkDuS5jE/au9edTS+vGIHQ7N3aX3UBs6AZBjmRBCGM2IexpHaa37GrDdTue3nXuIbfiGKX2vNzqKEEIISNJaH1v3o8cvHucVxv2yG6dZG18fPr0JpdQsnFcqSUpKOq4wtoAYVute2FTr//woKCnlJNNa1nrLOMZCCOEOXN4LK7C88ZYa0c6+Xf4CO5IXEqW/MTqKEEJ0evuLR6XUmUqp35VSpUqpCqVUpVKq4mjLK6UWK6U2NvMztaXFmovSwvTmcs/RWqdprdOioqKOFrNFQVW7Oc/0I46G2lYvU5S1DQDvSBkDUggh3IERVyDfxllE7gPqcR7EtNZ6oAFZOiytNf33bqKbfw2jh1xhdBwhhBB/eAbn84objuXZR631hD+xrWzg4N5dE4DcxukJzUxvV5GFq3jS+xW21P0FiGnVMhV5GQAEx8kYkEII4Q6MuAL5BnAZzof1zwLObPyvaEPrM/OZaF3D6NCTsHj5Gh1HCNHBfP755yil2Lp1q9FRPFEWsLENOs5pjfnAdKWUj1IqBegBrNJa5wGVSqlRjb2vzgTmtXeYA8N4OFrfiU5DUSYAkQlSQAohhDswooDcq7Wer7XerbXes//HgBwd2g+/zOHXAEX48PONjiKE6IA+/PBDxo4dy9y5c42O4on+DixoHF7j9v0/x7NCpdQ0pVQ2MBr4Win1DYDWehPwEbAZWATc0NgDK8D1wH+BDGAn7dyBDvxRQOpjKCD31XmxXvXCN7RLe8USQghxDIy4hXWrUuoD4Euct7ACMoxHW9Jas7ZsMR9GRfBj7/FGxxFCdDBVVVX88ssvLF26lLPPPpv77rvP6Eie5kGgCvAFvNtihVrrz4HPj9D2YOM2D5+eDvRvi+23lqmxgHTYHUeZ8w+f65P4JPpEPmn/YSqFEEK0ghEFpB/OwvG0g6bJMB5taFNuBT9k38Y9p1jx8vI3Oo4Qop3c/+UmNucete+VY9I3Lph7z+rX4jxffPEFkyZNomfPnoSHh7NmzRqGDh3apjk6uHCt9WlHn63jUcpZQNodrS8gs0trGZES3l6RhBBCHCOXF5Ba6ytdvc3O5ttN+9DKm7NOOMPoKEKIDujDDz/k1ltvBWD69Ol8+OGHUkAem8VKqdO01t8aHcTVyruexqRlmn/5tK431wabg3dr/kp2zfnA4HbNJoQQonVcVkAqpf4JvKS1LjlC+ymAv9b6K1dl6qh2b72Lc+PrCA+QAlKIjuxoVwrbQ3FxMUuWLGHjxo0opbDb7SileOyxx5obxF407wbg70qpepzjMe7vjTzY2Fgu4BfKVp2EzeTVqtn35e+jmymPSv82udNXCCFEG3DlFcgNwJdKqTpgDVCI8/mPHjhPKy4GHnJhng5pT1EVdtMWSnwDjI4ihOiAPvnkE2bOnMmrr756YNpJJ53Ezz//zLhx4wxM5jm01kFGZzCKf2UmM83foOp6Ake/ClmUvYMkwDdKxoAUQgh34bJeWLXW87TWJwDXAZsAM1ABvAeM0FrfprUudFWejir9t195qSiHR3rIncJCiLb34YcfMm3atEOmnXfeeXzwwQcGJfIcSqnko7QrpVRCS/N4uoCiDTzg9Tbm2uJWzV+VvwuAsPjU9owlhBDiGBjxDOQOYEdbrU8pdR9wDc4rmgB3aa0XNLbNBq4G7MDNWutv2mq77sq66UsAooeea3ASIURHtGzZsibTbr75ZtcH8UyPK2cvMvOA1fxxJ04qcDJwKnAvkG1YwnamTM7bnB321g3jYS3OBCAiXsaAFEIId2FEL6zt4Wmt9RMHT1BK9QWmA/2AOJydFvQ8aPyrDqeoqp4vA34gx78HfwuS8bKEEMKdaK0vaDw2XQJcBcQCNcAWYAHwoNa6zsCI7c5sMgPg0LpV8+9sCEeZT+CUAOmFVQgh3IXLbmE1wFRgrta6Xmu9G+dAySMMztSuvt+YhbL5ExA7zOgoQgghmqG13qy1vltrPV5r3UtrPURrfbHW+r2OXjwCqP3jQDpady73a1sab8TeC9JBU4sWLVpEr169SE1N5ZFHHjE6jhCig+soBeSNSqn1Sqk3lFJhjdPigayD5slunNaEUmqWUipdKZVeWOi5j2F+t62M3dUPce05rxgdRQghhGjC1FgI6laOA7mvuILEcL/2jOTx7HY7N9xwAwsXLmTz5s18+OGHbN682ehYQogOzOUFpFIqRSn1lFLqM6XU/P0/R1lmsVJqYzM/U4GXge44e3LNA57cv1gzq2r2nhmt9RytdZrWOi0qqnVjU7mbmgYb23f+zsQ+0dKVvhBCCLfUkHwq4+qfpsy/61Hnra238Z39Ss4rfcMFyTzXqlWrSE1NpVu3bnh7ezN9+nTmzZtndCwhRAdmxDOQXwCvA18CrToFqbWe0Jr5lFKvAfvHkcwGEg9qTgByW53Sw3y/bgPVKc8SWfcT8I7RcYQQQogmlG8AWToGG0cfBzK/IJdkVYt3sGee2HWVnJwcEhP/+HMnISGBlStXNplvzpw5zJkzBwBPvttKCGE8IwrIOq31c221MqVUrNY6r/HtNGBj4+v5wAdKqadwdqLTA1jVVtt1N3lrF3BNdTnjhp9pdBQhhBBHoZQ6Gzix8e0PWusvjczjKj7lmVxvno9XXSyHnuNtqjRnJ8mAT1SKK6J5LN1Mh0TN3Yk0a9YsZs2aBUBaWlq75xJCdFxGFJDPKqXuBb4F6vdP1Fqv+ZPre0wpNRjn7amZwLWN69uklPoI2AzYgBs6ag+sNruDrjk/MdpsIbjv+UbHEUJ0cGazmQEDBqC1xmw288ILLzBmzBijY3kMpdTDODt1e79x0s1KqTFa69kGxnIJr7Id/MNrLl/XnHPUeauL9gAQHCMFZEsSEhLIyvqjy4fs7Gzi4uIMTCSE6OiMKCAHAJcBp/DHLay68f0x01pf1kLbg8CDf2a9nmT5jr0EeG+kJH4SwaaO0i+SEMJd+fn5sXbtWgC++eYbZs+ezQ8//GBsKM9yBjBYa+0AUEq9DfwOdPgC0qScxyjdil5YrSXO4TDD46SAbMnw4cPZsWMHu3fvJj4+nrlz5/LBBx8YHUsI0YEZUUBOA7pprRsM2HaHtHTV63wcH8YzPfqRbHQYIUSnUlFRQVhY2NFnFIcLBUoaX4cYmMOlDowD2YpeWLfpRPaZzmBGcEx7x/JoFouFF154gdNPPx273c5VV11Fv379jI4lhOjAjCgg1+E8cBYYsO0OR2vND7lDOD2smLFDrzI6jhDC1d48o+m0fufAiGugoQbev6Bp++CLYcglUF0MH808tO3Kr4+6ydraWgYPHkxdXR15eXksWbLkz2XvvB4GfldKLcXZY/iJdIKrjwDKvP8K5NELyF9svamISGWG9Cx+VFOmTGHKlClGxxBCdBJGFJAxwFal1G8c+gzk2QZk8Xib8yrYWebDtafOxse305zEFkIY6OBbWJcvX87MmTPZuHGjDCF0FEqpF4APtNYfKqWWAcNxFpD/0FrvMzSci5gbH7Nw6KMXkLUluSR2iW3vSEIIIY6REQXkvQZss8P6dvlnTAyfz5jEp42OIoQwQktXDL39W24PiGjVFceWjB49mqKiIgoLC4mOjj6udXUCO4AnlVKxwP+AD7XWa42N5GIp4xha9wrXBPRpcTatNc9W3UFx6TCcX5UQQgh34bIC8qAzr9LTQhvalfsRv0VnEeQnnecIIVxv69at2O12IiIijI7i9rTWz+LsibwrMB14UynlC3wIzNVabzc0oAtYvHwpIRjrUf78KK+uI5oSCoOkN1EhhHA3rrwCKWde21hWSQ03Fe7hMmIICUkyOo4QopPY/wwkOK8Uvf3225jNZmNDeRCt9R7gUeBRpdQQ4A2cd+d0+C/RVJbJ/1n+h2/NlTiHZ25eQV4WPZUdS1jLY0UKIYRwPZcVkHLmte2t+G0VF5hyKOl3ndFRhBCdiN3eIYfUdRmllBcwCeex8FTgB+B+Q0O5iCrP5kbLPP5XO6nF+cr2ZQLgH9XVBamEEEIcC5ff96i13qO1flRrPQS4GOewHltcnaMjWL3jFZ4OCyFkyFlGRxFCCHEUSqmJSqk3gGxgFrAA6K61vkhr/YWh4VxFta4TndqiPQCEdklu70RCCCGOkcsLSKWUl1LqLKXU+8BCYDtwnqtzeLrS6gZybfv4JSAMc5gMsiyEEB7gLmA50EdrfZbW+n2tdbXRoVxK7R/Go+Wr2Nt0Eo/YLyE07si3uQr3YrM7eHFpBr/vLUVrbXQcIUQ7cmUnOhOBGcAZwCpgLjCr0x0828j3Wwv4cd8dzLs+zegoQgghWkFrfbLRGQynWjcO5KaGaNYEnc+dfsGuSCXawM7cQhKX3Mib3w3D1u9cnrhgEP7eRnT2L4Rob668AilnXtvQdxtziAvxZWCSdJsvhBCdnVLqcaXUVqXUeqXU50qp0IPaZiulMpRS25RSpx80fZhSakNj23PKFQN5Nm7iaAWkKtrGgMCqdo8j2k6vhGjODMrg+sRMFm3cxzXvpGO1H328TyGE53FZAam1Pllr/ZrWusRV2+yoahvs1FbdwKiI+2TgbiGEEADfAf211gNxPhoyG0Ap1RdnZz39cHbc85JSan9vry/jfBazR+NPyz3btIX4NEaq99keOLzF2a4pfpJbq55p9ziiDSmFKWEYfew7ePS8gfySUcyT30r/iEJ0RDJ4oAdavmUPfW3FxPmHGx1FCCGEG9Baf6u1tjW+XQEkNL6eirOn83qt9W4gAxjROKRWsNZ6uXY+sPYOcE67BzWZsJt9sXHkk592hybCUUh9QJd2jyPaWPwwKNrOBf2DmTEiiVd+2MkP2wuNTiWEaGNSQHqg7PSvuLO0hFtH/83oKEKITmrfvn1Mnz6d7t2707dvX6ZMmcL27XK1wU1chbOTOoB4IOugtuzGafGNrw+f3oRSapZSKl0plV5YeJzFQHk2dzheJ6o644izFFdUEU0ZjqBm4wh3Fj8U0JCzhnvP6kuvmCD+7+N1VNRZjU4mhGhDUkB6GLtD45O7iGpTMJbkMUbHEUJ0Qlprpk2bxvjx49m5cyebN2/moYceIj8/3+hoHZpSarFSamMzP1MPmuduwAa8v39SM6vSLUxvOlHrOVrrNK11WlRU1PF9iOoiLnIsIKQ+94izlOZnYVIac2ji8W1LuF7iSIgZALZ6fL3MPH7BQIqq6nn6Ozm5JERHIt1jeZjfdu/jufg9bFM9uccs/3xCCNdbunQpXl5eXHfddQemDR482LhAnYTWekJL7Uqpy4EzgVP1H+MoZAMHV2IJQG7j9IRmprevxl5YaWEYj6qCTAB8I6WA9Dg+QXD9zwfeDkwI5ZKRSbz9aybnD0ugX1yIgeGEEG1FrkB6mCWb99K9pCenDrzE6ChCCDdw5aIr+SLjCwCsDitXLrqSL3d+CUCtrZYrF13Jot2LAKhsqOTKRVeyeM9iAErrSrly0ZUsy1oGQFFtUau2uXHjRoYNG9amn0McH6XUJOAfwNla65qDmuYD05VSPkqpFJyd5azSWucBlUqpUY29r84E5rV7UJOz/x6tj9w7515iuanhRvy7yj7msew25w9wx2m9CfP35l9fbMThkPEhhegIOkQBqZS6qbF78k1KqccOmt5s1+WeSmvNt1trIHw2Jwy7yug4Qggh3McLQBDwnVJqrVLqFQCt9SbgI2AzsAi4QWu9//Lf9cB/cXass5M/nptsP6bGO2cctiPOktUQyJeOMUTEyDOQHilvHTyaDDu/ByDE34vZU/qwZm8ZH6/OanlZIYRH8Ph7IJVSJ+PsZW6g1rpeKRXdOP3grsvjgMVKqZ4HHTg9zo59FcTVf8jp3a81OooQwk28OenNA6+9TF6HvPez+B3yPsg76JD3Yb5hh7yP9Its1Tb79evHJ598cjyxRRvTWqe20PYg8GAz09OB/u2ZqwmTBRvmFseBNOVv4GS/LHws5iPOI9xYVG/QDti+CHo6z92fNzSeuav28tiibUzqH0uIn5fBIYUQx6MjXIG8HnhEa10PoLUuaJzebNflBmVsEwtXfMSGxOVQ8/7RZxZCiHZyyimnUF9fz2uvvXZg2m+//cYPP/xgYCrhESK6c1bYPFYGnnLEWdJy3+Nh9YILQ4k2ZfGB7ifD9m+g8VFcpRT3nd2PkpoGnvt+h8EBhRDHqyMUkD2BcUqplUqpH5RS+0cnPlLX5R4rYkc6L+4r5IwRfzU6ihCiE1NK8fnnn/Pdd9/RvXt3+vXrx3333UdcXJzR0YQHMJucPYofiV99ERWW1l0NF26q9xlQkQNZqw5M6h8fwvThzg51MgoqDQwnhDheHnELq1JqMdDciMJ34/wMYcAoYDjwkVKqG8fQRblSahYwCyApKaktIre5/Io6hlYtJzS0F6ERR7xTSQghXCIuLo6PPvrI6BjC09SWcWv1s/xmPg3nIbupEFsR5YE9XJtLtK0+Z8HXf4N1H0LSyAOT/++0nny1Ppf7v9zMO1eNwNl/kxDC03jEFUit9QStdf9mfubhvLL4mXZaBTiASI7cdXlz62+7Ma7ayaKVi1kdWkJNn4lGRxFCCCH+HLuVCXXfEduwp9lmh0MT4SjBFhDt4mCiTfkEwZlPQ9qVh0yOCPTh9ok9+WlHEd9tlnFjhfBUHlFAHsUXwCkASqmegDdQxBG6Ljcq5PFau/MzHosIw6vfyUZHEUIIIf6cxmE8jjQOZElZKUGqFgKbu+lIeJRB0yF2UJPJl47qSo/oQP7z9RbqrB7br6EQnVpHKCDfALoppTYCc4HLG69GttR1uUepqrfxddYFzPD/F12TTjA6jhDCYH+MES9aS74zN9E4jIfSzQ/jUVCjOa/+XipSz3ZlKtFesn6D5S8dMsnLbOLes/qxt6SG13/ebVAwIcTx8PgCUmvdoLW+tPGW1qFa6yUHtT2ote6ute6ltW7/8a3ayQ/bCmmwOzh12KlGRxFCGMzX15fi4mIpiI6B1pri4mJ8fX2NjiIOFJDNn88tqLazWvciOLa7K1OJ9pLxHXwzGwq3HTJ5bI9ITu8Xw4tLM9hXXmdQOCHEn+URneh0dstXPczp8avoG/GZ0VGEEAZLSEggOzubwsJCo6N4FF9fXxISEoyOIUwWqkxBWHXzYzzW5mxmqulnYnxHNtve0d1xxx18+eWXeHt70717d958801CQ0MBePjhh3n99dcxm80899xznH66c4zF1atXc8UVV1BbW8uUKVN49tln3adzmhHXwq/Pw8/PwLSXD2n65xl9OfWpH3h44RaenT7EmHxCiD9FCkg3Z7U70JWryAmqJDBIngkRorPz8vIiJSXF6BhC/DkWb25K/IyiqgaubqY5KHspz3q/RJ3/HS6P5g4mTpzIww8/jMVi4R//+AcPP/wwjz76KJs3b2bu3Lls2rSJ3NxcJkyYwPbt2zGbzVx//fXMmTOHUaNGMWXKFBYtWsTkyZON/ihOAREw9HL47TU4eTaE/tHTfWK4P9ed2I3nlmRwwbBExvaQoVuE8BQefwtrR5e+I4d/lu3keZ+TUCb55xJCCOHZzCZ1xHEgVVU+dXjhGxjm4lTu4bTTTsNicZ7bHzVqFNnZ2QDMmzeP6dOn4+PjQ0pKCqmpqaxatYq8vDwqKioYPXo0SilmzpzJF198YeAnaMaYGwEFPz/dpOmvJ6eSEhnAXZ9voLbBI7upEKJTkorEze1e+SV+qoHYEecZHUUIIYQ4brMKH+KkuiXNtllqCihREeAut2Aa6I033jhwJTEnJ4fExD9GJktISCAnJ4ecnJxDbs3eP705c+bMIS0tjbS0NNfeAh+SACOvbbZnXV8vMw9NG8Dekhqe+X676zIJIY6L3MLqxhwOzeLK91gVHcMzqScaHUcIIYQ4boOrf2G3V0izbf71hVR6dexbGSdMmMC+ffuaTH/wwQeZOnXqgdcWi4VLLrkEaL4XYaXUEac3Z9asWcyaNQuAtLS0P53/Tzn9wSM2je4ewUVpifz3p92cNTCO/vHN7xtCCPchBaQbW5tdhrUujJDoKDB7GR1HCCGEOG52ZcZ0hF5YQ2xFlAT2dHEi11q8eHGL7W+//TZfffUV33///YFiMCEhgaysrAPzZGdnExcXd6BTrcOnuyWtYdtCsHhD6oRDmu6a0ofvtxZwxyfr+eKGMfhYmu9kSQhxZI4jPBrQHuQWVje2aOM+VpTewO0XfGJ0FCGEEKJNODA3O4yH1prLGu7i1+43G5DKPSxatIhHH32U+fPn4+/vf2D62Wefzdy5c6mvr2f37t3s2LGDESNGEBsbS1BQECtWrEBrzTvvvHPgKqbbcdhh6UPw+fVQU3JIU4i/F4+eN4AteRU89Z3cyirE0dRZ7azLKuOj5dt45f2PePnJf/LK/c11TdY+5Aqkm9Ja8/vGpYztNogQP7n6KIQQomNwHOEKZFmNlT32cHwjuxmQyj3ceOON1NfXM3HiRMDZkc4rr7xCv379uPDCC+nbty8Wi4UXX3wRs9l5le7ll18+MIzH5MmT3acH1sOZLc6hPOacDF//DS5485DmU/vEMGNEEnN+3MXJvaIZ1S3CoKBCuJfiqnq25FWyM3M3vxeZ2JRXRVrxfK42L+A8lYdZOa881lkCeYNYl2SSAtJNbcguoSjiRZIIB34yOo4QQgjRJsq9Yqhs8G8yvSg/i+vN80k2RwKdc6iajIyMI7bdfffd3H333U2mp6WlsXHjxvaM1Xa6DIDx/4Al/4Eep8HgGYc0//OMPizfWcTfPlrHwlvHEewrJ9BF5+FwaPaW1LA5r4Jde7Kw712Bf/FGkhsy6GfazVhVwlfeL9I1vhfjYpIIqu5DVfx0glKGYOoyAN/QrjBvhEuySgHpptauWMzNpaWEDrvY6ChCCCFEm3mx539ZvKWAyw+bXpOzhX94zWWrPtOQXMJFTrgNdv0AX90GKeOcvbQ2CvCx8NRFg7ngleX8/eP1vHzp0CN2CiSEJ6uz2tmRX8Xm3DJyM7dhz11LcOlmPm0YyTadxGTzb7zs9TQOFOVByVijx1GTPIyP006DwGhgOHCLYfmlgHRTvjsWcFZDPZbRNxodRQghhGgzZpNqtrOHulLn8BNBUYlN2kQHYrbAea/Dzu8hOL5J89CkMGZP7s1/vt7CnB93ce1J3Q0IKUTbqbPa2bqvko3ZJWzNKmR1XgNV+bt51Pwyk0yZhKgawNnB2MARwwkYPpYewaOgbDKmmH6E+QQa/AmakgLSDe3YV47DspxdISPp6SvdWQshhOg4pmU9QrQ9CJh4yHRbWS4A4TFJBqQSLhUUA4Mb77DK3wzh3cDL90Dz1WNTWLO3lEcXbWVgQiiju8vzkMIz1FntbMmrYGN2GUU716Dz1hFZuYW+KpNz1R7mqsnsSfwrp6UOoG+GBVPceTiSh2GKG4Q5ui+jDvr/gGD33e+lgHRDX/38Pm/E+HBXTF86dmfmQgghOpuk6o0U6aaDyquqfGq1N35BYQakEoaoKoDXJ0KPiXDeG2ByDg6glOKx8wexbV8lN324hs//egKJ4U2fmxXCSPuLxc17Cyje9TvsW09WhZ2PbScCmvW+NxFMNQ1eflSF9cWeeClX9j+Tq1JHNq7Bc/s4kQLSDS3O7s1Yy7mcOW2W0VGEEEKINuVQZkw07YXVqyafElM48fLMW+cRGA0n3gGL74WgWDj9IWj89w/0sfDqZWmc+9IvXPnWb3x63RhC/KVTHWGMOqudzXkVbM4qYl1uDRtyyjmz+A1OVau5SGVjUQ4AssMGcurptzIgIZSg4g8gJB7v8O6EmzrWyIlSQLqZvcU1bNpXy7QzLieomWcDhBBCCE+mlQVzM8N4PO5/G2EBNbxqQCZhoBNugco8WPESKBOc9p8DRWRqdCBzZqZx2esrufa9dN6+agQ+FrPBgUVHt79Y3JaZRfnOdMz564mp3kY/tZvTVC1Pm1+jf0IoY3y9iNRdqU2aRmDyUFTcYBJCu5Kw/yRY6HhDP0d7kgLSzcz/6WNOj36LE+KeNjqKEEII0eYcJi+8sDaZnldlIy4pxoBEwlBKwaRHQDtg+QsQOwgGXnigeVS3CB4/fxC3/m8t//fxep65aDBmk1ylFm2jtsFZLGbs2kXF7tVYCtbzWMUEah1e3GV5n+ssXwNQ4RdDbWR/fJOGkj5hPMriDbhmyAx3JAWkm9mz91NWhRfSJSzI6ChCCCFEmyv370peWRU2uwOL2Xlbl9aaq6vm4K0nA0OMDShcTymY/BjEDYV+5zZpPmdIPPsq6nhk4Va8zIrHzx8kRaQ4ZrUNdjbnlrMxp4wNuZXY96zgjIq59FOZDFMlB+YzDz+dmJ7DGOIbh9Z/QcUOIjgggmADs7sbKSDdSEZ+BdcVbedqlUhYuHRbLYQQonWUUv8GpgIOoAC4Qmud29g2G7gasAM3a62/aZw+DHgL8AMWALdorZuOr9HGlvX9N4/u3cpZds3+uxErykq5wrSQlfZe7b154a6UgsEznK8r98HSB+H0h6FxCIPrTupOg83BU99tx2JSPHLuQExSRIojcBaLZezesZnqPWvwKdxAXO02+qpMXrTOYr3/KM6PMDHYXkpD1FgqUoYRlDwMFTuQmQdGQGja2Zdw8vgCUin1P2D/EScUKNNaD25sa/ag6a5W/LqMS015VAy53egoQgghPMvjWut/ASilbgbuAa5TSvUFpgP9gDhgsVKqp9baDrwMzAJW4CwgJwEL2zuot8V51bHB7sAPZwVZmr+XEMASGtfemxeeIGsl/P4+5K6F6R9AqHNs0JtP7YHN7uC5JRlY7ZrHzh+Il7ljdU4ijl1tg53NOSXs3b6emr1rWFUWwpcl8XQjm8U+fwfAhoXioO7URZ/GY6NOJaLnaJRSwDXGhvdQHl9Aaq0v2v9aKfUkUN74uqWDptvRWvNr9vNsj4zg/iHnGR1HCCGEB9FaVxz0NgDYfyVxKjBXa10P7FZKZQAjlFKZQLDWejmAUuod4BxcUEAO3/Uiz3ttwGqfcGBaZWEWAH5hUkAKoO9UmPEhfHI1vHoinP8GdD8ZgNsm9sTbYuKJb7dTXN3Ay5cMJcDH4/+cFa20/8ri+pwKNmaXMG7nkyTU7aCv2sMwVQ9Al8Cz6HryvxgQN4TyMjPB3YZhie5LjMXH4PQdR4f5P045TyNcCJzSOKnZgyaw3KCILdqUW0GVTWMJSUAFuO/AoUIIIdyTUupBYCbOE6knN06Ox3mFcb/sxmnWxteHT29uvbNwXqkkKSnpuHMG1+UwQO3GanccmFZbmgNAUGTCca9fdBA9T4dZS+F/l8G70+Ci96DPmSiluPGUHkQF+XDX5xuZPmcFr81Mo0uI79HXKTxKTYONLXsLyN2eTt3e3wko3khi/Q5ydBfut95EVJAPt7IZFRpKYcx0QrqlEdJtGKdG9uJU8/4S5y+GfoaOqsMUkMA4IF9rvaPx/ZEOmm5p/rpcfi28nd9mTTj6zEIIITodpdRimn8o526t9Tyt9d3A3Y2Pb9wI3As095CYbmF604lazwHmAKSlpR3/M5JmH7yVlQbbHwVkfVUpdq0I69L1uFcvOpDIHvCXxbDk35A81jlNa1CKi4YnERXkw00f/M6Zz//EixcPZWQ3OQHvqWoabGzdk0vetnSKc3fzblUaOwur+MjrPs4ybQegyhRESVhv+nQdy8oJpxIT7Av69wPDvgjX8YgC8mgHzcbXM4APD16smfmbPfC19dnVY+VwaJavW8WJPfoQFuDt8u0LIYRwf1rr1p5h/AD4GmcBmQ0kHtSWAOQ2Tk9oZnr7s3jjjY2ag65ALgk6mxv0QNYHh7kkgvAgPoEw+VHna1sDvDMV+k2D4VdzSu8YvrjhBK59dzUX/3cld07qzdVjU6RzHTdXXW9jc14FG7LLYetXpOz7hq4NGQxW+xiqNHV481PXL5kyIBaLup2yMG9Cu6URGJpE4OHFohSPhvCIAvJoB02llAU4Fxh20OQjHTSbW3/bnl09Rit37qU45jFGmbsBX7p680IIITycUqrHQXfgnA1sbXw9H/hAKfUUzv4AegCrtNZ2pVSlUmoUsBLnra/PuySs2QdvrDTY/jjcFlTWExEcIH8MipY1VIGXLyy8A9a+D2c+TY/4ocy78QT+7+N1PLhgC0u3FfD4BYOID/UzOq0AKmsb2LFjK8UZv+HIXUdQ2RaSrRlcU/8wZQRxp/9GBqjtlEX2ITPuAsJTRxDafTivBe2/btTT0PyieR5RQLbCBGCr1vrg5zmaPWgaEe5oNv38OVeVV9BvzBlGRxFCCOGZHlFK9cI5jMce4DoArfUmpdRHwGbABtxwUGdy1/PHMB4LcUEHOgANwUlsdKQQcNAVyFNyXmWEKRgY74oIwlP5h8Oln8Gmz2DRXfDaKTDwIoKmPMYrlw7jo/QsHvhyM5Oe/pHZU/owfXiiXI10ofKqOnZuW0fpzt9YUteTXwu8SSv9mse95gBgx0S+VwLl0SN4YVRvevboTXTgZDCZiDQ4uzg2HaWAnM6ht68e7aDpNmob7CRmLmSMxURQ2tVGxxFCCOGBtNZH7L5ba/0g8GAz09OB/u2Zqzn5fa7gsl/78slBBeSImh8pDJQxIEUrKAX9z4PUCfDTk7D7R/AORCnFRUNjGd0tkjs+Wcddn2/gf+lZ/HtqPwYmhBqdusMprqxjY14lu3dtJ3nLq0RUbqW7PZOhjT2h/ux1C72SptK/zxls13FE9RhBWMoQ4rz9kb6WPV+HKCC11lccYXqzB0138vXqdGJ8N1DR9TyCzF5GxxFCCCHa1f5x+xoaC0itNeGOEnL9oo2MJTyNbwhMfAAcdjCZoa4cXhpDUo+JzD33r8zLTuLBBVuY+uIvnDUwjlsn9KBbVKDRqT2OdjjIz9pO/vZ0arPW41W8maiaDN5pOIX/2s8ghhKW+H5Lnl8PMsLPxSdpCLE9R3BvUn848HfteCM/gmgHHaKA9GQ/r32W7+Mi+GjYRPftIlYIIYRoIzG7P+c776fIq1sARFJVUUqQqoOgGKOjCU9kMjv/a62D1FNh7Qeo1W9yTs/JnHbOTF7Y25U3f83m6w15TBsSzzXjutGrS5Cxmd1UXWUp2dvSKd/9Oztr/PikLo2MvBJW6svoouw4tCLX1IWigB6M7D+UUwaPpF9sMAG+l5BqMhkdX7iQFJAGyi2rZWHWOVzVP4U+vc42Oo4QQgjR7nyslaSYcshpqAOgJD+LIMAcIje2ieMQFANnPwen/At++y/89l/8ty/k79f+xJVjT2bOko28m57DJ6uzGdcjkstGdWV8r2i8LZ2v8NHVRRTlZ7OxIY7NeRWkrbmTlKrfidZFpDbOU6GHYosZyqRBSfyqHiU6oTuJvYaREBSCjNYqpIA00Oe/51DvCGDG6XcbHUUIIYRwCZO3DwB2q7OALCsrwUeH4RuR2NJiQrROYBScPBvG/Q0yf4LYgUQBd9vncGfECjYEnMDLub24/t18QgL8OHtQHNOGxDMwIQTVkXoBdjhwoMgpq6U8/WNMmT/gU5ZBZO1uQnQFFY5Yrmx4EoAnArxwBAxmW3hP/BIGEdNzGCcldudk8/7ieoBxn0O4JSkgDeJwaDatu4MLE/xIipDeV4UQQnQOJouzgHRYnZ1t7PbqydT6F1nc4yQjY4mOxuLtvKV1v9RTMdcUM3j3x7xqb8AWGMCvAafyl5UX89avmcQHmRjXO57xvaI5ITWCIF/P6ZeiMnM1FVt/pL4gA1PpLvyrs/C3ljDc9jq1VgePWT7lNHM6maZE9viNoT6sB5Yu/fio/2h6xwYR7Ct/h4pjIwWkQX7Zlk257xZM3tJxsRBCiM7D7OUL/HEFsqDS+d/oYB/DMolOYOCFzp/6Sti5FMvuHzgxOI7fhk3gm025nLlwNLnrw9i4NonndTK1IT3wTxpMavce9IkNJjU6EF8vs+vy2q2gTGAyU5O9gZrN31JfkoWjPAdzZS7+dfu4MeR5NpZauLLhA26xfE6l9mOvjmaXJYGaoDFc2j2WbrHhdI94FbqEMzhA/h8TbUMKSINsX/o+7xXkUX7Rk0ZHEUIIIVxGhcTxg30gddp5hSdp+zu87LOSIJ8pBicTnYJPEPQ92/kDhAAXDo6GyhvolreexJx1nFPzK1TDi1su4I7fpxFGBXO8n6LGKxy7XyTaPxJzYCS1iSfiH9+XCEs9YRWb8fMLwM/fH1+zQgGEJjnHrqwtQ+etw1ZfjbWuClttJfa6aooTJ1BoikFlrSBh8xwsdcV415fiZy3Dz1HF9QFP83NVPGfavuFhr9ep0T7k6XDyiKDcayAh3oozBsQSGnQjS0LvIC4+gZSIAPp5O/+8P9OwL1l0dFJAGiCvvJaB+z6ixD+JiF6TjY4jhBBCuIzqegKXW+/kHq9YACLK1xNv2t2xnj87Tk888QR33HEHhYWFREY671R6+OGHef311zGbzTz33HOcfvrpAKxevZorrriC2tpapkyZwrPPPivf5bHy8oNT7sYE+ABUF0NxBtcHRHO6PZKsnVuIXR6IuS4P/+rNBFVVYirQ/GNbOf+zVzFIZTDP554mq73ZdjML9WhOUBt5y/IgXsDBN8be3lDBUscQRps28U/Lbkp0EGUqiVrLQKz+4YREduG8XgkkBFzJgqC/EB4RTWyoHyNC/PC2mJAbT4VRpIA0wPvfvs7CrrX8O/58xki3x0IIIToRP2/nbYC1VrvzfX0RFV4RMrh4o6ysLL777juSkpIOTNu8eTNz585l06ZN5ObmMmHCBLZv347ZbOb6669nzpw5jBo1iilTprBo0SImT5aT08clIAICIjABqUBq9AgYvfSPdoed+soibqpVnF9noaq8Nz8XJNFQV01DfS0NNo0DRV//3iR4R+HdEMFH9fEo70CUtz/KNwizTwAX+IdyTaAfIf5jCfO/iW7+3vh6meQEgHB7UkC6WIPNwfJdZSSEBjLwhL8aHUcIIYRwKZ/y3fzqcxMr980GUgm2FlEc2NPoWG7jtttu47HHHmPq1KkHps2bN4/p06fj4+NDSkoKqamprFq1iuTkZCoqKhg9ejQAM2fO5IsvvpACsr2ZzPiExJAQQuOQFuFA96MsNLLdYwnhKnL5y8Xmr8tldekQrhz/NYFBsUbHEUIIIVxKmb2IU8WoulK0w0GEoxirfxejY7mF+fPnEx8fz6BBgw6ZnpOTQ2LiH8OcJCQkkJOTQ05ODgkJCU2mN2fOnDmkpaWRlpZGYWFh+3wAIUSnIFcgXcjh0Hz74/OMjhnIST2jjI4jhBBCuJ53IACqoZqyigp260Tqw3sZHMp1JkyYwL59+5pMf/DBB3nooYf49ttvm7RprZtMU0odcXpzZs2axaxZswBIS0s71thCCHGAFJAutGTDVjaFfMVQfkKpS4yOI4QQQriedwAAJms1+XUmzm14gBf6DDE4lOssXry42ekbNmxg9+7dB64+ZmdnM3ToUFatWkVCQgJZWVkH5s3OziYuLo6EhASys7ObTD+azMzMdisiCwsLiYryrJPkktk1PC2zp+UF2Lp1q0u2IwWkC+Uufp3/1udjmvag0VGEEEIIY1h8sGNyFpAV9QB0CfY1OJTxBgwYQEFBwYH3ycnJpKenExkZydlnn83FF1/M7bffTm5uLjt27GDEiBGYzWaCgoJYsWIFI0eO5J133uGmm2466raKiora7XOkpaWRnp7ebutvD5LZNTwts6flBdfdXSAFpIss35bDaRWf4IgYQlyfc4yOI4QQQhhDKX7xPYlMUwLDN37I197/JcTnO6NTubV+/fpx4YUX0rdvXywWCy+++CJms7M325dffvnAMB6TJ0+WDnSEEO1OCkgX0Frz4Xe3kRihuWHSP4yOI4QQQhjqtajZVNbZGFn8IakqFx0RYXQkt5OZmXnI+7vvvpu77767yXxpaWls3LjRRamEEEJ6YXWJ77cUUGYrYEtANP49JxgdRwghhDBUgLeFmnor5socClQEvt5yPruj2N9RjyeRzK7haZk9LS+4LrNqrgevziwtLU235f3O9TY7k5/9Ca1hwU0j8PPxb7N1CyGEOD5KqdVaa+mSspXa6hi59ulzURXZBJntVJhCGHzXkjZIJ4QQoq20dHyUK5DtbM6CufiW/8i9Z/WV4lEIIYQAvLy8iXQU08WWTUVAitFxhBBCHAOPLyCVUoOVUiuUUmuVUulKqREHtc1WSmUopbYppU53dbad+eWsyHmM+q7/44RkP1dvXgghhHBLOiCKeFXEEvtgyqPlArAQQngSjy8ggceA+7XWg4F7Gt+jlOoLTAf6AZOAl5RSZleFqrPaWf3m7TxTtJd/plyCl0+QqzYthBBCuLfgeADut85E9ZtqcBjRGosWLaJXr16kpqbyyCOPNGnXWnPzzTeTmprKwIEDWbNmTauXdcfMV111FdHR0fTv399leeHPZ87KyuLkk0+mT58+9OvXj2effdbtM9fV1TFixAgGDRpEv379uPfee90+8352u50hQ4Zw5plnuirycWVOTk5mwIABDB48uG2G+tBae/QP8A1wUePrGcAHja9nA7MPm2/00dY3bNgwfbwysjP0g89dqB33Buvst6857vUJIYRoH0C6doNjmaf8tMUxUmutd6z6Rmfd002fcedzemdBZZusU7Qfm82mu3Xrpnfu3Knr6+v1wIED9aZNmw6Z5+uvv9aTJk3SDodDL1++XI8YMaLVy7pbZq21/uGHH/Tq1at1v3792j1rW2TOzc3Vq1ev1lprXVFRoXv06OH237PD4dCVlc7//xsaGvSIESP08uXL3Trzfk8++aSeMWOGPuOMM9o9b1tk7tq1qy4sLDymbbZ0fOwI3Z7dCnyjlHoC5xXVMY3T44EVB82X3TitRZWVlSxbtuyQaQkJCaSmpmKz2fj555+bLJOcnExycjL19fUs/vFX/rvyMzISNxPJMHolXIgjK4vExERqampYtWpVk+V79uxJXFwclZWVrF69ukl7nz59iImJoaysjLVr1zZp79+/P5GRkRQVFTXblffgwYMJDQ0lPz+fLVu2NGkfNmwYQUFB5Obmsn379ibtI0aMwN/fn6ysLHbu3NmkffTo0fj4+JCZmdmk23GAsWPHYrFYyMjIIDs7u0n7+PHjAdi2bRt5eXmHtJnNZsaNGwfA5s2bDxlkGcDb25sxY5z/5Bs2bKC4uPiQdj8/P0aOHAnA2rVrKSsrO6Q9MDDwwJmY9PR0qqqqDmkPDQ1l8ODBAKxcuZLa2tpD2iMiIhgwYAAAv/76Kw0NDYe0R0dH07dvXwB++ukn7Hb7Ie2xsbH06tULoMl+B8e27y1fvrxJe/fu3WXfk31P9r0W9j1hjKSBJ7L6y2hG+2WREhlgdBxxFKtWrSI1NZVu3boBMH36dObNm3fgdwzAvHnzmDlzJkopRo0aRVlZGXl5eWRmZh51WXfLHBsby4knntjsccWdM8fGxgIQFBREnz59yMnJcfvvOTAwEACr1YrVakUp1a552yJzdnY2X3/9NXfffTdPPfVUu+dti8xtzSNuYVVKLVZKbWzmZypwPXCb1joRuA14ff9izayq2S5nlVKzGp+fTLdarceVNcBLMSzxBG72Po+eA+5BmzpCjS6EEEK0HW8fX8L/+i2X3XCfS/5gFMcnJyeHxMTEA+8TEhLIyclp1TytWdbdMhulrTJnZmby+++/HziJ2Z6ON7Pdbmfw4MFER0czceJEj8h866238thjj2Eyua6MOt7MSilOO+00hg0bxpw5c447j0dUN1rrIw6eqJR6B7il8e3HwH8bX2cDiQfNmgDkHmH9c4A54OyifP9VicNZLBaO1Abg4+PD+PHjOdIc/v7+LS4fFBTUYntoaGiL7ZGRkS22x8TEEBMTc8T2uLg44uLijtiemJh4yI55uP1XJI4kNTWV1NTUI7b36tXrwBWR5vTt27fFM2n7r8Ycyf6rOUdytHvCj/ZLbf/VqCPZfzXrSFr6t2vtvncksu/JvteSzrzvdTRKqf8DHgeitNZFjdNmA1cDduBmrfU3jdOHAW8BfsAC4JbG25ZcolcXuQLsKZrbLQ4v/I80T2uWbQ/Hk9kobZG5qqqK8847j2eeeYbg4OC2D3mY481sNpsP3KUzbdo0Nm7c2O7PnR5P5q+++oro6GiGDRvW7N077eV4v+dffvmFuLg4CgoKmDhxIr179+bEE0/803k84grkUeQCJzW+PgXY0fh6PjBdKeWjlEoBegBN76MSQgghOgClVCIwEdh70LSWOpR7GZiF8/jYo7FdiCYSEhLIyso68D47O7vJSb8jzdOaZd0ts1GON7PVauW8887jkksu4dxzz/WIzPvtP1m4aNGi9g3cyjxHmueXX35h/vz5JCcnM336dJYsWcKll17q1pmBA/+Njo5m2rRpzT5ackyO9HCkp/wAY4HVwDpgJTDsoLa7gZ3ANmBya9bXVh0ECCGEcH90oE50gE+AQUAmENk4rdkO5YBYYOtB02cArx5tG3KM7JysVqtOSUnRu3btOtCBx8aNGw+Z56uvvjqkA4/hw4e3ell3y7zf7t27XdqJzvFkdjgc+rLLLtO33HKLy/Ieb+aCggJdWlqqtda6pqZGjx07Vn/55ZdunflgS5cudVknOseTuaqqSldUVBx4PXr0aL1w4cKjbrOl46NH3MLaEq31z8CwI7Q9CDzo2kRCCCGEaymlzgZytNbrDrut6UgdylkbXx8+XYgmLBYLL7zwAqeffjp2u52rrrqKfv368corrwBw3XXXMWXKFBYsWEBqair+/v68+eabLS7rzpkBZsyYwbJlyygqKiIhIYH777+fq6++2m0z//LLL7z77rsHhmoAeOihh5gyZYrbZs7Ly+Pyyy/HbrfjcDi48MILXTIsxvHuG0Y4nsz5+flMmzYNAJvNxsUXX8ykScd3w4lyFphiv7S0NJ2enm50DCGEEC6glFqttfaIkeyVUouBLs003Q3cBZymtS5XSmUCaVrrIqXUi8ByrfV7jet4HefzjnuBh3VjHwNKqXHA37XWZzWz3Vk4b3UlKSlp2J49e9r+wwkhhHArLR0fPf4KpBBCCNEZ6CN0KKeUGgCkAPuvPiYAa5RSIzhyh3LZja8Pn97cdg/paO74PoUQQghP1xE60RFCCCE6La31Bq11tNY6WWudjLM4HKq13scROpTTWucBlUqpUcpZdc4E5hn1GYQQQngOuYX1MEqpQqAt7s+JBIraYD2uIFnbhydlBc/KK1nbhydlhbbJ21VrHdUWYdzFwbewNr6/G7gKsAG3aq0XNk5P449hPBYCN+mj/FHQRsfIzrifuYpkbR+Stf14Ut7OlvWIx0cpINuJUirdg56rkaztwJOygmfllaztw5OyguflFU6e9u/mSXkla/uQrO3Hk/JK1j/ILaxCCCGEEEIIIVpFCkghhBBCCCGEEK0iBWT7mWN0gGMgWduHJ2UFz8orWduHJ2UFz8srnDzt382T8krW9iFZ248n5ZWsjeQZSCGEEEIIIYQQrSJXIIUQQgghhBBCtIoUkEIIIYQQQgghWkUKyGOklJqklNqmlMpQSt3ZTLtSSj3X2L5eKTW0tcsakPWSxozrlVK/KqUGHdSWqZTaoJRaq5RKb++srcw7XilV3phprVLqntYua0DWOw7KuVEpZVdKhTe2ufS7VUq9oZQqUEptPEK7O+2zR8vqNvtsK7K60/56tKzutL8mKqWWKqW2KKU2KaVuaWYet9lnxaHkGGlYVnf6fSPHR2Oyus3+2sq87rTPyjHyWGmt5aeVP4AZ2Al0A7yBdUDfw+aZgnNAZgWMAla2dlkDso4BwhpfT96ftfF9JhDpZt/teOCrP7Osq7MeNv9ZwBIDv9sTgaHAxiO0u8U+28qs7rTPHi2rW+yvrcl62LxG76+xwNDG10HAdnf9PSs/Tf7t5BhpXFa3+H1zrNtzg983cnw0Lq9b7LOtyXrYvEbvs25xjJQrkMdmBJChtd6ltW4A5gJTD5tnKvCOdloBhCqlYlu5rEuzaq1/1VqXNr5dASS0Y56jOZ7vx+2+28PMAD5sxzwt0lr/CJS0MIu77LNHzepO+2wrvtcjcbvv9TBG7695Wus1ja8rgS1A/GGzuc0+Kw4hx8j2IcfHdiLHx/Yjx8j24S7HSCkgj008kHXQ+2ya/qMdaZ7WLNuWjnV7V+M8W7GfBr5VSq1WSs1qh3yHa23e0UqpdUqphUqpfse4bFtp9faUUv7AJODTgya7+rs9GnfZZ4+V0ftsa7jD/tpq7ra/KqWSgSHAysOaPHWf7ejkGNk+5PhoHHfZX4+VJxwfwT322VZzt33WyGOk5c8s1ImpZqYdPg7KkeZpzbJtqdXbU0qdjPOXzdiDJp+gtc5VSkUD3ymltjaeoWkvrcm7Buiqta5SSk0BvgB6tHLZtnQs2zsL+EVrffCZLVd/t0fjLvtsq7nJPns07rK/Hgu32V+VUoE4D9K3aq0rDm9uZhG33mc7CTlGtg85PhrHXfbXVnOD/bW13GWfPRZus88afYyUK5DHJhtIPOh9ApDbynlas2xbatX2lFIDgf8CU7XWxfuna61zG/9bAHyO87J3ezpqXq11hda6qvH1AsBLKRXZmmVdnfUg0znsVgcDvtujcZd9tlXcaJ9tkRvtr8fCLfZXpZQXzgPj+1rrz5qZxaP22U5EjpEGZXWj3zdyfDSQm+yvreJG++yxcIt91i2OkdqFD9R6+g/OK7a7gBT+ePi032HznMGhD66uau2yBmRNAjKAMYdNDwCCDnr9KzDJDb7bLoBqfD0C2Nv4Pbvdd9s4XwjOe+oDjPxuG7eVzJEfZHeLfbaVWd1mn21FVrfYX1uT1Z3218bv6B3gmRbmcat9Vn4O/LvIMdK4rG7x+6a123OX3zeN22rp97hb7K+tzOoW++sx5HWLfbY1Wd1pn8VNjpFyC+sx0FrblFI3At/g7MnoDa31JqXUdY3trwALcPZ+lAHUAFe2tKzBWe8BIoCXlFIANq11GhADfN44zQJ8oLVe1F5ZjyHv+cD1SikbUAtM187/I9zxuwWYBnyrta4+aHGXf7dKqQ9x9nYWqZTKBu4FvA7K6hb7bCuzus0+24qsbrG/tjIruMn+CpwAXAZsUEqtbZx2F84/jtxunxV/kGOkoVnd4veNHB8NzeoW++sx5HWLfbaVWcFN9lnc5Bi5v/IXQgghhBBCCCFaJM9ACiGEEEIIIYRoFSkghRBCCCGEEEK0ihSQQgghhBBCCCFaRQpIIYQQQgghhBCtIgWkEEIIIYQQQohWkQJSCCGEEEIIIUSrSAEphBBCCCE8klIqQim1tvFnn1Iqp/F1lVLqpXba5q1KqZltsJ65SqkebZFJCFeScSCFEEIIIYTHU0rdB1RprZ9ox21YgDXAUK217TjXdRJwqdb6mjYJJ4SLyBVIIYQQQgjRoSilxiulvmp8fZ9S6m2l1LdKqUyl1LlKqceUUhuUUouUUl6N8w1TSv2glFqtlPpGKRXbzKpPAdbsLx6VUsuUUk8rpX5USm1RSg1XSn2mlNqhlPpP4zwBSqmvlVLrlFIblVIXNa7rJ2BCY1EqhMeQAlIIIYQQQnR03YEzgKnAe8BSrfUAoBY4o7GIfB44X2s9DHgDeLCZ9ZwArD5sWoPW+kTgFWAecAPQH7hCKRUBTAJytdaDtNb9gUUAWmsHkAEMatNPKkQ7kwJSCCGEEEJ0dAu11lZgA2CmsYhrfJ8M9MJZ9H2nlFoL/BNIaGY9sUDhYdPmH7SuTVrrPK11PbALSGycPkEp9ahSapzWuvygZQuAuOP8bEK4lFwyF0IIIYQQHV09OK/6KaWs+o9OQBw4/x5WOIu/0UdZTy3g29y6G9dVf9B0B2DRWm9XSg0DpgAPK6W+1Vo/0DiPb+M6hfAYcgVSCCGEEEJ0dtuAKKXUaACllJdSql8z820BUo9lxUqpOKBGa/0e8AQw9KDmnsCmPxdZCGPIFUghhBBCCNGpaa0blFLnA88ppUJw/o38DE2Lu4XAu8e4+gHA40opB2AFrgdQSsUAtVrrvOPJLoSryTAeQgghhBBCtJJS6nPg71rrHce5ntuACq31622TTAjXkFtYhRBCCCGEaL07cXamc7zKgLfbYD1CuJRcgRRCCCGEEEII0SpyBVIIIYQQQgghRKtIASmEEEIIIYQQolWkgBRCCCGEEEII0SpSQAohhBBCCCGEaBUpIIUQQgghhBBCtIrF6ADuJjIyUicnJxsdQwghhAusXr26SGsdZXQOTyHHSCGE6BxaOj5KAXmY5ORk0tPTjY4hhBDCBZRSe4zO4EnkGCmEEJ1DS8dHuYVVCCGEEEIIIUSrSAEphBBCCCGEEKJVpIAUQgghhBBCCNEqUkAKIYQQQgghhGgVKSCFEEIIIYQQQrSKFJCdQE1NEctXv0Jx/nqjowghhBBuZc26d8jY/hXYGoyOIoQQHkGG8eiASvI38dii2/CzDuTnirMItv7C9i4f8sT39zFWReFIuwTH0IsJCUkyOqoQQgjhOnYb7yz8G1v3bWZLw31kldSSFPUk4bqGF+dWUd9tIg1jriCy2ylGJxVCCLclBWRHojW7v3mRkJUPsCohktTycJKjAgj3Gk1yZQOr6ooJsqaTs+Y5nt39LnNPeZmE5BONTi2EEEK0u5q8bZS9cymFvgVs8Q3GX9UyoU80XjU3YS/dzmfWDMZnfMd5DauZsXoAN10w1+jIQgjhlqSA7CDsdiuvvXURs7K+J900iH/0eZQJo0ZhNqnGOU7G4dD8uKOQ7xe/R+/yz7l1ATx7cS3xoX6GZhdCCCHa07e/zCF22b+Ja9DEdbmDV865hpgQ/8bWgQAUV9XzwU+/02vbvSzJ6o3fT7u46oQUTAeOo0IIIQCU1troDG4lLS1Np6enGx3jmD343u3MtX/H1VU9uObquQT4eh9xXq01n63J4d75m4jyyiIl7lUeOO15EhJGuTCxEEIYTym1WmudZnQOT+GJx8htufn8deEpdG1Q3DD6XYYNHtLi/EVV9dz56QYWb8nnrJRnGNO1DzMmPeeitEII4R5aOj5KJzodwNu/ZvLaxpOZxgXcdO3HLRaPAEopzhuWwPwbTyApII89uprCuZdBwRYXJRZCCCHaX2l1A395bxMBBTO57qTXj1o8AkQG+vDazGHMPj2FQnsxe7bPp3bpky5IK4QQnkEKSA/37fKPeXvh50zoE8s9l/4Ts8Xc6mW7RQXy3LV30q/mLuIqG6h7/UzqpYgUQgjRAdQ31PLI23eQX17HA5dey4i+I1u9rFKKa0/uy8wTPqVPUW/8fniAiqWPtWNaIYTwHFJAerCy8jJe2nA/QUmv8+T5/bCYj/2fM8Tfi0evncHj0Y8xz+xg6pcXsG/f2rYPK4QQQrjQ0x9ezYKAJcwelcHgxNA/tY4pg1IIuPANPlQjmJnxOp989/e2DSmEEB5ICkgP9vsH9/J0YR7XpF5PSMCf7wjHz9vMvVefyze+19GlzpvdhY42TCmEEEK4VtbOzdyw61v+WhbJFWfedFzrmtA/juCpLxPYEMj6XRqHQ/qOEEJ0blJAeqjNW9Yzet/7VAWezJkn33Dc6wvy9eLxq25ld82T3DSvhOyScrRDCkkhhBCep+DjWzEpM+ef/zpKHX8vqmcMTuXkgR/zzu7xPLJoK3ZbQxukFEIIzyQFpAfSWvP4slk8GRFM1+lt92B/RKAPr81Mw2Yt5+8fn8yrX17RZusWQgghXOGjxS/xn4g9fN/9YqLiu7XZeq8am8LM0V1Zv/5BLnp7ODVVBW22biGE8CRSQHqgZVvzsdf7Yg9LIzima5uuu2dMEI+ePxqvBi/CMpbAnuVtun4hhBCivTgcmu82Z2Fx+HHSOXe16bqVUvzrzL5EBiUQ1VBN6Re3ggyFJoTohKSA9DBaa55dspOddfdw54y57bKN0wfEMazbS4ws96d27hVQU9Iu2xFCCCHa0ndb8vkudywXj/iKkKCoNl+/l9nEPy+9j/4FpxCf8TX1a95v820IIYS7kwLSw3y9fBGq6EtuPLk73pb2++f766QhvBD5T9J1BXd/fLY8DymEEMKtaa1Z9P/s3Xd4HNXVx/Hv3b6r3rss996w3A0YML2YHggdggkkgRRCDQkkIYEkkMALISGBBBJqQu/NQDAYV9y7Ldnqva1W2+/7h4SRjW1kW7uzks7nefRImpmd+a083rNnZ+bOwt8yMsXP6RNyI7ad7CQHEy/4FR8wkjs+v4tduxZFbFtCCBGLpIHsY95YfS9lha9z4rDIbsdiNvHDS87lcdtMVvubqK1ZF9kNCiGEEIfhncUv837iQo7Kfe6Qbmt1MOaMzGLFxFtZ4rDxztLInA0khBCxShrIPmR7eRU/q93Ej/yDSU3r3Wsf9yU/xcU5xz3Azh0/49n1h36bECGEECLS7Iuf54HqRq484VdR2d4PT59PZuuv+cvG+dS7fVHZphBCxAJpIPuQjW8/Sp7u4NRje3dggAM5bWIBx04cxV8WruUfr/6QcCgYtW0LIYQQPVFdU8XM1vdISziOnKzhUdmmzWLi1xfOo80b5DfP/IGt296JynaFEMJo0kD2EW0dfj72/Ie3E4aRPHxmVLd95+ljmZb6Jvc3fcBnH98Z1W0LIYQQ3+Spd+7i38lO0o+5JqrbHZmdwI3H5rDc9DR/+vAWkA9ZhRADgDSQfcSrn3/IkjjNrqGzor7tlDgbF574S35YHs/0RX+D5l1RzyCEEELsSyisWd+6nlfjk8kdGd0PWAG+c8xEZrefwu8rS2j/6I9R374QQkRbv2gglVIFSqkPlVIblVLrlVI3dE1PVUq9p5Ta2vU9xeish+r1rUlYGu7n8pN/a8j2TxqfS1nhL/CHNOUv/kBGZRVCiD6iv9fIT7bWsbD8Fr4z+VmUKfpva0wmxZXn38EnoalYFt1Lc8WKqGcQQoho6hcNJBAEfqK1Hg3MAL6nlBoD3AJ8oLUeDnzQ9XufU9bQxuc76jhzyhBs9njDctxw9rHc7TiVc9U23vvMmEZWCCHEQevXNfLVFSUku6ycNGm0YRmGZydSOv0uvp+ZxvXvLJAPWYUQ/Vq/aCC11lVa65VdP7cBG4E8YD7wRNdiTwBnGhLwMD333u+YOORm5mSVGJojJc7GpON+xtjWJGpaIz8KrBBCiMPXn2ukx+djq/tKTst5LKL3Ru6Jy0+cjtk3i+TmobR7/YZmEUKISOoXDWR3SqkiYDKwBMjSWldBZwEFMg2Mdshc5YtJDYcZP3y20VE4b+oQ2p338fulGdS1ybDlQgjRl/S3Grn409eZ4PcwPLPI6CjYLWa+c+Z9vFp7Gfd/sMPoOEIIETH9qoFUSsUDLwA/1Fq3HsTjFiilliulltfV1UUu4CHYXt3ApW1rucU8A6s9zug4KKW4+6zxmEP1/Pzp41mz/jmjIwkhhOiB/lgj9Zq3uLO+lYvmxcbZt8VFqVw0vZD163/Hvc+eY3QcIYSIiH7TQCqlrHQWxqe01i92Ta5RSuV0zc8Bavf1WK31o1rrYq11cUZGRnQC99CKRf/BrrykFcdOIRqaEc8lM4rYam5gxf9+B+GQ0ZGEEEIcQH+skW0dfjJbP2RH4jQscbEz/s9NJ47C5SpldctafKWLjY4jhBC9rl80kEopBTwGbNRa399t1qvAZV0/Xwa8Eu1sh+u92qc5qSCPlLHHGR1lD9edMINZdedxRc02AksfNzqOEEKI/eivNfLVRU9ycaGTL4ZNNDrKHpJcVk4qfpDfVQbwvPhDuTekEKLf6RcNJDAbuAQ4Vim1quvrFOAe4Hil1Fbg+K7f+4yK5g5qGidzjG06JpvL6Dh7cFjNnHDO9/gsNIaNH99NU5Nc7yGEEDGqX9bItTXJFDcXcvyca4yO8jXnzRjPM0nfxdG2maULf2l0HCGE6FUWowP0Bq31IkDtZ3ZsHbo7CO+ur2aN+wT+dNLRRkfZpzkjMrh98Hd5W/2es976Pj/79ptGRxJCCLGX/lgjQ2HNeyVJHDn8btJSBxsd52tMJsUp37qGH7z0HBvKX+C9lgXEJeUbHUsIIXpFfzkC2S+tX/sv5qRvZ0iGcfd+/CbXnX0+Y2uLafFfaXQUIYQQA8TnG75gOK9w7LDYOjunu7F5yRSlX09RxVxK2mK3jgshxMGSBjJGeQMhNpv+QyjpH0ZHOaC8ZCfTpt7OfzY5+WRzrdw8WQghRMR9sOIvrC/8mALHZqOjHNAP5l/EFs7iZ6+sJxSUAeeEEP2DNJAxauXGrfyxrorLU44yOso3+s6RQxid1s7DH57Iix/ExlDqQggh+q+ZFTv5Va2PSWNOMzrKASU5rdx2yijiPPdx+RPTCMuAOkKIfkAayBhV/cVbDAsEmDv1EqOjfCOH1cxPTp2NJRwmvO5F6Gg2OpIQQoh+qqapjem+1YxMnIkyxf7bmLMm55HmSiHD10z9kseMjiOEEIct9l95B6gt9W/wP2cK9oLJRkfpkXlj8hhuvplzmuvxvPsro+MIIYTopxYufpr3E0yYR/eN8X+UUnz3zD9wcXUKroW/BW+L0ZGEEOKwSAMZg8qbPLyfVMUz6XlgMhsdp8cuP3c+z4TnsWTT02zd+pbRcYQQQvRDK8rf5q70VLImHmt0lB4bk5fCsrG34Q638uzL3zU6jhBCHBZpIGPQJ1vrqdj+M66a9QejoxyUQWlx1E77AXdkpPLop78xOo4QQoh+RmvNp/XXcRw/JamP3Rbjwvmnc3vKWO7vWENT/Raj4wghxCGTBjIGfb6jgfj4DKaMmmF0lIP23RPnMKj+ArY23UwwJCOyCiGE6D2lDR6qWv1MHjXX6CgHLdFh5YTJ95Ja8m3eLXEYHUcIIQ6ZNJAxRmuNr/p2Tkr/F0rt777PsctpM3Pxyd9hVY3iqcWb6PA0Gh1JCCFEP/H+Z09wQtZvmZhab3SUQ3L+7Jkk5B7DvW9vprKu3Og4QghxSKSBjDG7Gtqpd5bQai01OsohO2lcNkcPtfPcxvN54LUrjI4jhBCinyiveJ8vkpsYkpNrdJRDopTil/PHMc71Ry579ST5kFUI0SdJAxljNq5Zzn+rKrlxyIVGRzlkSinumD+Dwe5UZpQuhdpNRkcSQgjRx4XDmjNrKnimJp74hByj4xyy0TmJDM86kpPdbdS827fGOhBCCJAGMua0bfkYgPzxJxic5PAMy4xnxNB7meyB1pd+BFobHUkIIUQfVlJVy1i9lUDebKOjHLbrz7mRMc3jyF31KOGGEqPjCCHEQZEGMsZ86n+XP6Rmo1IHGx3lsH3nxKn81fptXmtfw/ufyKisQgghDt2Hy57kJ1kpeIdOMjrKYUtyWgkd90vWWW3c+9JlRscRQoiDIg1kDClv8lCvwlTE50IfHEBnb3F2C2NOv55n41N5fcvrRscRQgjRh5U0VrPV6mTo2L5z/8cDOWX2ETyePJ23TA3sKF1qdBwhhOgxaSBjyLLSRhZV/IyrT3rF6Ci95tQJheTxCxaW3UFtm9foOEIIIfqozxpPJ9/2TxL72P0f90cpxYLT/kxw+w38Y5XL6DhCCNFj0kDGkC92NhFnMzMyO8HoKL1GKcXNZ5+MN6j4/asfUl29yuhIQggh+pj6Ni8l9W6Ki1KMjtKrJgzK4YTps/n3khLeX/qi0XGEEKJHpIGMIfUV1zMt91bMpr5/+mp3g9PjuHpOHqs6buSONxfIgDpCCCEOyocrXmPs0JvICfa/yyF+dPwIjs16lJs2/JydpZ8YHUcIIb6RNJAxosMfotDbQJayGh0lIr5/3FjGtkzkxqodBDf0vzcAQgghIqd55woGB/2MGTTe6Ci9LslpZd7kH3NDvRvL63fLh6xCiJgnDWSMWL+rhp+0lnNN0lyjo0SE02bm1BN+h/Zl0/HaT8HvMTqSEEKIPmJw1XZ+W9vB8CFzjY4SERccNZeAuoC8+sW0f/GC0XGEEOKApIGMEWXrP8WqQqSNmmV0lIiZNy6Pl3Jv4C+uDh549XKj4wghhOgD/MEweR0bqEkcD6b++bZFKcWsb93M87ZBLFj+c9rd1UZHEkKI/eqfr8R90KLq/3BcQS6mwglGR4kYpRTfPu/brDHlUFpXIafpCCGE+Eartm/i0iJ4NzPT6CgRNSY/hU2DLqdVKZav/9ToOEIIsV/SQMaI2rZcRgdziE8dYnSUiBqUFsfMUY/x0s7beGdDjdFxhBBCxLgNFbWMbslk7IiTjI4ScTec/QPqan7H75dmEgyFjY4jhBD7NCAaSKXUSUqpzUqpbUqpW4zOs7eqlg4+qT+e4nGPGR0lKq4+ZjSjcxJ5+PVneeeT3xkdRwghBqxYr48AGxtTWdt6K0cVX2h0lIhLclr5xfwj2FxRy73PLCAU9BsdSQghvqbfN5BKKTPwMHAyMAa4UCk1xthUe1qxbSe5pnImFSQbHSUqrGYT954zHmfCk/xhyz8JNO8yOpIQQgw4faE+AlTsWs7kPCdK9a9bXO3PyeNzOHPIZzwbWsKbb91kdBwhhPiaft9AAtOAbVrrHVprP/AsMN/gTHtYs+lJ3CP+j3DTG0ZHiZoJ+clMzriZf1TU0/bijUbHEUKIgSjm62OHP0RV4n24wjF5cDRifnL+r/hRZTInrHiacEOJ0XGEEGIPA6GBzAPKuv1e3jVtN6XUAqXUcqXU8rq6uqiGA8ioq+ba5lZGDe//13d0d/38c3jNfD6pu96heuVTRscRQoiB5hvrIxhbIzftKOG7LU0cmTQpqts1Wnayi7RZ9+HXJjY/8x10WK6HFELEjoHQQO7rnJc9hv/UWj+qtS7WWhdnZGREKdbubTO8eSdndyTjcqVHddtGc9ksjD/3Nu5ILuTCVb+ltUVOZRVCiCj6xvoIxtbIms1LOa+tnRPGxNSB0ag446ipPJJ6AVfGVfP0O3KmjhAidgyEBrIcKOj2ez5QaVCWr6lp9WG1lNKcMtroKIaYMzKXcMp3GNWcyfaGgbA7CiFEzIjp+ghQV/EpTSYTKUOnGh0l6pRSnHvhXYxrSWN12RC03PpKCBEjBsI79mXAcKXUYKWUDbgAeNXgTLut2LKW7xa4eCvNbnQUw9x0/nWs9t3OT17ahjcQMjqOEEIMFDFdHwHeU8v4Vl4eOFOMjmKIIZlJTJ/yT57fMZjnl5d98wOEECIK+n0DqbUOAt8H3gE2As9rrdcbm+orW+rDjK6awkmTLzc6imGSnFZ+f95EzG0LueyfU2io32J0JCGE6PdivT66fUHqaucxz3WG0VEMdeXswcwZ7OCNzy/gidevNzqOEEL0/wYSQGv9ptZ6hNZ6qNb6bqPzdLe+1kyD9XJGDTvW6CiGmj0snaNGFtCOl62v3Ahyqo4QQkRcLNfHzdWtbOyYzRETbzA6iqFMJsVvz5uG39JB27bXCNVvNzqSEGKAGxANZCwL1L7ArLTNRseICTeecyWn1h3PjLKP6VjxtNFxhBBCGGjt5kVMc73L8PSBcf/HAylITeCS8X/lkiYvdU9eDqGg0ZGEEAOYNJAGanD7qEl+jcrQ40ZHiQlOm5mZF93JsvBwnvrkDsrKFhsdSQghhEE27vo3GwctJMHSZnSUmHDK7Kn8N/tHNHs38s+XFhgdRwgxgEkDaaCNu2r4Q20NFydOMzpKzJg0KI0lxXfwWJKTxz/4ldFxhBBCGGRWo5d7a7ykpg41OkpMUEpx1qU/5J6koTzVvISG5hqjIwkhBihpIA1Uu3UF4wJ+po2YZ3SUmHLtaWdQ7P8Bz22/js3V8smzEEIMNOGwZkT7TkZYBhsdJaakxtm4/Ki/4Su9lrveqpRbewghDCENpIHKqz7iE6cDZ+Eko6PEFLNJ8fOLrsBld3DzU6+wdNW/jY4khBAiikpqG9kY30B12hCjo8ScueMncP6xJ/Lq6nIeevEOdDhsdCQhxAAjDaSB1oS/4OaMdFRSwTcvPMBkJNh54IJJWF33cfuK3xJo3mV0JCGEEFGyYuMH/CIzhW1p8UZHiUnXzR3GmYMW8qj7Ff776sAepVYIEX3SQBokEAqzsuoqTo+/AWWSf4Z9mT0snZmZP+K+qkaa/3ERBH1GRxJCCBEFZb5RpJdcxMkzrjM6SkwymRS3X/QbrqpN4cxVT+He+pnRkYQQA4h0LgYprW+nMZDOqOGnGB0lpt1w1iX8L/lGMlrW8NkzV8ipOkKIfk8pFaeUMhudw0gba/yYE2eSlS6nsO5PRqKTo854kmqdRu3zF1NVtcboSEKIAUIaSIOs3b6GE9IeJtu0xegoMc1sUlz6neu5O/4Ergmv5b/v3mZ0JCGE6FVKKZNS6ttKqTeUUrXAJqBKKbVeKfV7pdRwozNGm7Xhj8xOeM3oGDHviJFFrJnzMD/KtPOT1y9Fh0JGRxJCDADSQBpka+l7LM4sQ4VKjI4S8xIdVs67+K/Mqh/Ecxtn4fHLDZSFEP3Kh8BQ4FYgW2tdoLXOBI4EPgfuUUpdbGTAaGr1BtiWuIpak9wLuCdOPf4Eptjm4608nhdWVRkdRwgxAFiMDjBQFdW3s7ClnKSz5BTWnhiRncoFpz7OlU8s44dP/Y+fzYujsGD6AR+Tnp5OUVFRdAIKIaKmtLSU+vp6o2P0pnla68DeE7XWjcALwAtKKWv0Yxlj+64KXquoYP2Y042O0mfcevFvuOzxpdz24loSQus5cdqJB1xe6qMQ/VO06qM0kAZJaNmGjWRsiTlGR+kzjhmVyV1njOWtleey4F0vr5zzFvbk/Y9gW1RUxPLly6OYUAgRDcXFxUZH6G0vKaWeBl7RWrfva4F9NZj9Vf2O1Ti0pnDITKOj9BlWs4k/X3QEP3n0p9y04QM8jYs466Rf7Xd5qY9C9E/Rqo9RO4VVKeVQSp2rlHpAKfUfpdSTSqmblFJjo5UhVnj8Qb5w7eCDFLl9x8G6dGYRk9Iv43sNLTT//TzwuY2OJIQQh+tvwOlAiVLqOaXUmUopm9GhjLKh8n3+npRIYtE4o6P0KckuGz+78HbOaHZw/JKHqfvidaMjCSH6qag0kEqpO4HPgJnAEuCvwPNAkM5rO95TSk2IRpZYsLmqlXeSg6xIdBkdpU+66fzvU512G+ltm1j09/n4vC1GRxJCiEOmtX5Fa30hMAh4EbgM2KWUelwpdbyx6aJvq28Lf09OxJYy2Ogofc7grEwuOfsVynQB1levYvXqF42OJIToh6J1BHKZ1voIrfVPtNZPa63f11q/rrW+X2t9OnARMGA+bd1S66Zs62+49JhHjY7SJymluPzya3gk43v81FXNz58+y+hIQghx2LTWHVrr57TWZwEnAJOBtw2OFXUrmm9ijv3Pco/kQzSiMA/v+c9zV1oa319xB2UVMtq7EKJ3RevV2amUsu9vpta6Vms9YE7G31ztxm61MTxPTmE9VFaziau/+0vmeGfxecnpPL+szOhIQghxWJRSWUqpHyilPgVeBt4FphibKrpavQGqWrwMyc0zOkqfNnnMSI4vvp+RdeO5+j/VNLb7jY4khOhHotVAXgSUdV33ePJAv0Fya9kDnJh1D2i5X9PhsFvM/Oo7f6Fo8GxufnEVv3/mWvy+NqNjCSHEQVFKXa2UWgisBEYAN2mth2itb9ZarzI2XXStK93KvNw7Sfe/Z3SUPu+UGSdxxTkPUVLfzo//9juWrH7B6EhCiH4iKg1k1+k4w4APgOvpbCYfUUodFY3txxp/cB1bHPWYzDII7uFyWM389ZIpzB+8lif9i3jisRPR3lajYwkhxMGYBdwDFGitf6C1/tToQEbZsX0xW+PbsVmajI7SL8wels7fLplMves57ltyO5Wr3jc6khCiH4haB6O1bgWeAJ5QSqUB5wL/p5RK1VoPmHM5Pf4gC+rdxKUNmKcccQ6rmd9fdQv3P97A5TWPU/nAPLKve8PoWEII0SNa6yu+/LlrQLkiutVnrfWAGQklqb6Rj3dVEDztPKOj9BtHjcwm5HkA2xvfJ/3lb1Ha+qDRkYQQfVzUr1BXSqUAZwPfAlLpvEnygLGjto0hqgrShhsdpV+xmk3c9J0/8NLI36O8pVz+9FwCQa/RsYQQoseUUo8DjwPn0Hlbj9OB0wwNFWWmhi0EsGBJkxFYe9Mxk48l97J32GQaxssrb8Pd0QxaGx1LCNFHRes2HglKqUuUUm8CG4GpwK+BQq31D6ORIVZs3LGCn2UlsCstzego/Y5SivO//R3eOuJuaszg8QZocPuMjiWEED01Q2tdrLW+TGt9RdfXlUaHiqaPzWt5KC0P5BKPXje4oICM695gpSOLUDjIom31hKWJFEIcgmgdgSwBTgIeofMajwVa64VaD7xXrp21O1hvdWLPKjQ6Sr911elX88uj3saPjWeXlbFi8yJCIRmBTggR8xYrpcYYHcIobl+QcnOIEleK0VH6rZz0dP565SeYTIks39nEmytXUdNQanQsIUQfE62P+Aq11p5IrFgp9Xs6T/PxA9uBK7TWzV3zbgWuAkLA9VrrdyKR4WCU+IrxNf8fM444xugo/dqMEQWkxdkoiGtiaccaOlasZ+qoc7AnphsdTRwkrTXhUACtzIAiFPITDHqxmqyYlCIUDhHUIWy2OJQyEwz5CAV92ExWlFKEdAgN2G1xKJOJLz+3UkoZ+ryE2Icn6GwiqwEfoACttZ5wqCvsSzVye62bz8rv5C8XD6g7l0Sd02YnwWlj3ugsNlQ9w3s7Q8yrLyZ7xDSQ18W+RWtC4WBnXVOdb+n9/jZMyoSl64YHvpAfs9mKxeJEa43P14JFmbGYLGilCBHGYrZhsdikPooei0oD+WXzqJQ6DfgVnQMEdL4b7CyOiYex+veAW7XWQaXUvcCtwM1dn+JeAIwFcoH3lVIjtDb23hnb69wMzYg3MsKAYVKK04qL+Xx9M+M9a1Ar/0F51nTyRs5GmQb0nWR6XTgcxhcI4gtBe0cbjc1biQ+ZsQSDePyt7NINOK2j8ZpzwVdKW3A1430WkkNh6s0Bljk1CR2jqQ8PItW0kQZXKfPbOkgPBdlus/BenIvkptHUhvLId6ylNr6Gi1rbSAqH2WKz8ZHLSXzjRBrDGRQ4v6AmroErWlpxas16u51PnQ4cDVNp00kUupZR5WrhO82tmFF8YbfzhcOKvW0ufuUk07qEZlsjZ7eG0CjWO0yUWhUqeDJamUlkMR2mRo72WEEpNtvCNJjDYDkNpRT2wGcEaWayPw6UYofFh9sEZtdJmBTQsQQVbmVEOBGtTJQpD36TCVviMZgUBNqXYQ55KFApoExU0UbYZMOVPB2loKN1FVYdINOcDEBNqAVlduBM6uwxPC2rsGpNmjkBlKI22IzJEo8zcRQA7pbVODCRbI4HFLXBJqzWRBwJwzrnN6/FoSwkmjvz1websVqTccR3njXR2rQGl8lOnMkJQG2wCYctDZsrD63DtDWvJc7kJM7kQBOmNtiM056J1ZWLDgdpbV5LgtlFnHIQIkxdsBmnIxurM4dwyIu7ZQOJ5jicJjtBHaQ+2ILLmY/VmUko4MHdtpG21nqWLP6YwjHTyElyRnVfj7DHgUuAtUC4l9bZZ2rktlo3AMMypUZGw7i8JFKsJ9O49T2yWxdS07AN+7gTSE7MMDpavxMIhvCFNL5AiIbGLYR97SSEzOiAh5JgLSgnQcc0AqEwgY63SQoFGelXmMNBFsaFcIacVAfmEtYaR9zbDAoEmO3xYlZh/pmUSIbPzg53540NXGnvM87nY5a3cxyIvycnkdfhZHv7bCCMLX0hU71epnp9BIHHk5Mo8MSz3TMDu8mLTl3ErA4v470BPCYTzya6yPWmURWchkO1EnR9yhRviMF+cJsU78ebSfPn02yahIN6fOYljPeZyAqZcZtguSNIsh6OxzIae7gaj17OqICDlLCVNlOYTVYviZaxBG2DMQer6PCvZkg4nnhsuE1BdioPic6JYM9B+Stp926kkGQcJivtBKilncT4SZjtqYR8VXg8O8i1pGJVFtq1jybdTmLCeJQlnqC3Ek9HGTmWFMzKTHvYS0vYQ2LSBJTZgb+jAp+3iixLKiZlwh3uwB3uICl5Apis+D3l+Hx1ZFk7L0Nzhzvo0D4Sk8eDMuFtLyfgbyTdkgJo2kIefNpPfPJ4ALztOwkGWkm3JIPWtITaCRAiLnkcAB1tOwgH3aRZkgBoDrkJAa6ksQB42rZA0EuapbNtagq2EjaZcSV1nrjibtlEU0sNqz54lvacGcweUxSxfTraFxn8ic4BdNb21umrWut3u/36OZ2juwLMB57VWvuAEqXUNmAasPhA62tra+Ojjz7aY1p+fj7Dhg0jGAyyaNGirz2mqKiIoqIifD4fixd/ffVDhw6loKCANnc7eeoWUtodfPTRz3fPHzFiBLm5ubS1tbFixYqvPX706NFkZWXR3NzMqlWrvjZ/3LhxpKenU19fz7p16742f9KkSSQnJ1NTU8PGjRu/Nn/KlCkkJCRQWVnJli1bvjZ/2rRpuFwuysrK2L59+9fmz5w5E7vdTmlpKaWlpV+bP2fOHCwWC9u2baO8vPxr8+fOnQvA5s2bqaqq2mOe2WzmyCOPBGDDhg3U1tbuMd9mszFr1iwA1q5dS0NDw+55fr+fysoKZo0/nrq6SbSsf5Vl7atYunIDIxNPIM5mwmazkZubC0BlZSV+/56nujocDrKzswEoLy8nGAzuMd/pdJKVlQVAWVkZodCe773i4uLIyOgsxjt37mTv3T4+Pp709M6jovv62yUmJpKamko4HGbXrl1fm5+cnExycjLBYHCff9uUlBSSkpIIBAJUVFR8bX5aWhoJCQn4fL6v/e211iQmpxIK+ahqLKGt3YSHVIKBFoLqC4p8kBsK0qG8vJFoJastm52+caRZKmhL3sjJ7R4KAgGqzWa2J8ST1VROdTCeNEs7QVeQUFgTUjYwWUkMB7CaraRawjhJJDcYR60lkw5nHH5ziOEhN6aERNLNZszhbJICQcpsg2h2xBFWfkaFWtBJCWSbzFhCOSQGYIe9iHinC4WXEcFWwkkugsqMNZzFkECYEmsOTpsNpTzkhTwE7WaChLEST0rIQ73ZhcVsAuXFFfbjCQYIEyJsVpiViYA2Yer6O4W0prnVgwbSbR6CZj8Ovw8TGp8J2pSJuupWtNbkxNUSMntJd1ej0KyNt9OqzVSVdO67uUmlmFWAme7NACyPjyOAhYpNRQBkJ28gjgCT3O0AfJoQjzlsYVdZ536WkbKWtHCAce2dJ318mJCAK2SltLSz4KSkriI/GGCUpwOAdxITSAnY2bG9sxFLTFvBMH+A4R2d819NSiTL52CHu/OKB2f6SiZ6fczoenPyQnISBR0utrfPwkQQS/oqpnd4GeLzEUDxYnIiBbUJbPdMx6486LQ1zOnoYIjPT7tSvJyUSH5tGTs6phBvasKfupZjPB0M9vtpNpl4NTGBvNoaSrwTSTZX40lZj/ZUUPLG/VQ6HubIAsdhve7FmF1a61d7c4V9qUZu3vAQswe9yaYlN1CeMHT3fKmRc4HerZF+v5/S0lIsFgtjZl5J6ZqP6Wj/gk+3P8/I8DjyUwtRSkmNPECNDIc1cXEu2gNNtLa30NiRjjcEptAyrLqdcR1hnHj5MA6CmClrmQdAVvInJOggp7V3voZ/lhCPNWSlsnEEFpMiPc6HkzChsI2gyUm8DmI2xZNsDWFS4AgmYNImdthc2OxOhtKK2Z7CaJsZpcAUTMdksrLJ4cDpcDJaNWJxpmJ3mEGbMAXS0CYHmxx2HA47o2nA7ExnpN0M2ooKJBAgnR02K2abmYJwC1ZLOmnmMCqsMIccBLBRbzYTMisSwu0o5UAH/YR1EKsyEQqbCYQVIQVhDV5/gHpPO/EmNyFnEFPAjT2k8Zg1TTYTwdZmqoItpJlraU9wM9bTSHowhN9qojTOQXJtBZUBG7n2EuoT6pnQVkp6KMQ2q5W1cS5SdiZREwqQ79hAbXw1YxrXkhQOs9FmY7nLSUKVi4ZwJgXO1dTE1XN5bSsurVltt7PE6cBREU+rTqbQtYJqVzNXN7diRbPcYWepw4F5VzIdOBgUt4Rqp5trm1sA2OFwsNZuw1+STBAzg+I/pcHu4TstnbeT2+x0st1mpaUkFYDChMW0WTu4rLXznuVrXS6qLBYaSjpP2y9IXIzf7OfCts75X8S5aDZZqClJBiAvaQnKFODcts4P25bGx+HFQmXX/JzkZbT665j0yTWco/7E7F9ccVivewcS7QayDFgXwWsfrwSe6/o5j85i+aXyrmlfo5RaACwAGDw4ciO/VTR7STQ3YjKlRmwbYt8yMjKoGHEySRVLcfuCfNASZHCiYmSqm84P3wcerTUef5D22hoqGrfR6ta0hrPxBb0o2yKG+wIUlnrwmTSfJiWS70liR8dU4s0ac5KXQmUiZI4jbE1lSMiH3ZVGWpIZq8pChYN4kpJoyiogLTGZi/zBbs39kM6vNEjOySHLbievra3b/IKuL8jNy8NqtZLf0kJT05f3hcvjy//Kefn5WCwWmpubaW5u7ppf2PUFBYWFDDKZaGxspLX1y/uDDuv6gsKiIgqB+vp63G531/zOTwqVUuQPGkQ+UFdXR3tXse98jw1Bs5mCggKygJqaGjq6Gi7o/BS4PcdCfn4+xwLV1dV4vV+OCtz5JqIht/ON2cl0vjHzpfnQQDg8D63DlGc5SE9P55igl5raWsYlW9EagsEZqHCInSkJJCcnMSvYTmNTK8OTrGggFCjGpDWlKYkkJSYwO9hGU1M7RUmdL/dB/ySsVsXOlETi4+OZHWihpcVL/u75Y7E5zOxMSSLO5WKOvxG3O0zu7vkjsTut7EpLxul0cbSvgQ6zIjfZAtpEMDASi8tOWUYydoeDuf4mOiwm8lIsaB1HKDAa4myUZ6ZgddiY62uiw2IhP82CDqcQCo4hGG+nMiEFi93KXG8TXquVQrMFrTMJBcYQsmUz5PSfMnxEJqGOfnXf101KqaeB1+g8hRXo1dt4xHSNVG2l+C0+HM6siG1DfJ3ZZKJo0jGs3ZxIdssqVrVmsLU9yOhkN4WpA/doZCisaXW3U91YRlNbLfW+AjwBjZ0laHMLJ7o92FWAt+NcNJos1DYdD8CgRDc+kw+UHbc1g3STJmSyk5phxmZSmENjsJlMbI1PJisnj7PjE/F6fdTX13dt+UQAvEBOTg4n2e207VEjj9ydMTcvj0FWKy171Mhpu+fn5ecz6Gs1cvru+YWFhRTtUSOde6y/qKiIIXSvkUnAcUBnjRw6aBBD6V4jM3fnD5rNDC0oYCjda2RXfU6DdouFIfn5DKF7jRwBjCCcAg02G4Nzc1kAVFRU4Pf7CeuxhPVomuKhw24nJy2FC4M+6hvcBEOaUGgMw0OFVKVbabbbSUtwcVrYR1uciZA2oUOjKQq1sivNidPhIDPOwUmhDtwOO2FlIhwcQ2Gole1pTpwOJ1lOC/PCXtx2F3TNzwu1sjk1HofDQY5dkRTqoMNuQyuFDo4lN9TG5pR4nE4nBXbICPnwODrrZzg4Fh3uYHNKHK44F0OtYfJCATqcnfNDwQmosJfNqXHExcUxyhrCFwrhiet6fGAiSgfYnOokISGB8ZYg/lCY4fFdjw9MIs6czmsjfsaN42b1eF8/FCqa49gopabSeQrrx+xZHO//hse9D2TvY9btWutXupa5HSgGztZaa6XUw8BirfW/u+Y/BryptT7gbUOKi4v18uXLD+JZ9dxH60qY+99JVEz+EXnz74zINsRXiouL2de/ZZs3wOLtDTQ3LqUpvpw54WyKhh9DXGL/HLghEApT19JCi7uFlmACTe0+fN43yQ4EmOJrw6JCPJqURK7XQbnvaBIcZuzWtykKx1FgyUA5k6izhkhPGkRaSh5Wc9Tv/iPEHvb3f/tQKKVWaK2Le2Vlh0kp9Y99TNbfNBJrf6mRH959BuPCm8m4Y3NE1i++sr//Q1prttS4+Xx7NTjfJTtoZkLG0WQNGoXJ1P+ui9Na0+LpoLaxjA6dQVNHEG/r57SrCk5u8+BSfhY5HWy02fA1HovL7iDbvpSQaqWYbJQjiVabCeVwkps1EafNjEmuHxQGilZ9jPYRyLsBN+AAbD19kNZ63oHmK6Uuo/NeWcd1O7pZzpeHMTrlA5UHlbaXNezcBEBS/oAdZC8mJDisnDA2m4raiazf1cjIti2oFVtZ7crDmTOe4QUT+twF5OGwptUboMkToMnjp7nhU6z+FoZ0+EjQbSxMMhMftFDaeiwJDivJjhABq40m51hMrjSOtZtITykgJSmna43f3WP9cjxAiMjTWl9xiI/r8zVSa026v4zWxEIG7jEv4ymlGJmdwJB0O0s3FpDtKSVn58tU7UpmW0o+k4cdQ7yr712j6g2EaHT7aO4I0tS0hZaOjYz2aJJDrZQ4AnzutONoKKbDlEqRK0TYomiKH0ybK418h4NCZxx5E4ZjNluAPY/C7+uTGyH6u2g3kKla6xN6c4VKqZOAm4Gj9xrp9VXgaaXU/XSeozgcWNqb2z5Ya2ve5cWcLO5Ly6Lvvfz2P3mZg8jLvJzWpjpat33OFrUTXbuIRbsSGJWdyOBURXZKasw0k1prOgIhmjwB6hpK6HBXktIRwO5vYpO9GY9JUdbSeWpJXnI5FnOACRYHfnseY6xW4lIyOXXKsK4jiNfssW4Zm1YI4yilfgb8WWvduJ/5xwIurfXrh7DuPlEjq5s7+FVuB3NsTq43KoTYzWqxMXv8GYSCAWq2raCq6QvWhsuoXvYZtqSxDE83MTgzFafNbnTU3YLhMC2eAPWtTTQ0biSxw4/T14qbJlbEBXC1jKI6WECevZLGhDbGmSBoSyPREc90h5Vh04aTGJ+MUsOMfipCxLxoN5DvK6VO2Oui/sP1EGAH3ut6o/+51vq7Wuv1SqnngQ1AEPie0SOw1nkUQVscyVmHPCK7iIDElAwSp57OyZ4WdlSWsK3VxsqdtWxs+5BhWxSFqhBT6mAcGdlkJkW2ofyySWzx+GhqqcLU3o5ub6A2VEmTyUNp61wAChM+o83q5VKvmw5THDUmF06rkxnFBaS4rFjIxWqN251VGkQhYtpa4DWllBdYCdTReabOcGAS8D7wm0Ncd5+okVtrajAH43FljzQqgtgHs8VK1qgZZOrpJJWtptKVy+YaN2Hv/1hW287RnlRCiYVYMwaRmZaNwxbZt5XBUJjWjgCNrXUE2lugvRWft5Yd5lqCnnwqA0NJt5TRmryZk/0eckJmam2J5GobRUXZZGUUEW8vwqxMmMydWeWItxAHL9oN5PeAm5RSPiBAL9zGQ2u934+KtNZ303nabExY0nwKx426HIezf15r19fFu5KYMGwSE4BmdwtrdmSTqZsp9K7HU7Wef7cnkNeegdc+gxS7D6feTkZ8IfFxGVidLpw2KzaLCZNSXV90DnoS1gRC4d3fO/wh3J5m2tsrcQVM4PfQHKih1tRMbdt0PGEbg+KWUONs4+rmFsyAx+6ixmxj7tBEkhISsAaPxm7RqJTBuMxWZnz92UT97yeEODRd1ym+opQaDswGcoBW4N/AAq11x4Ee/w3r7hM1sqzFxOKyu7jvomONjiL2QSnF0MJJDAXmDM9g/Y5qGpu3khWswdlYyhu+ODwVFty+k0mLt5PEZuJtDjISC7E6E7Hb7dgtJmwWE6prfUpBWGuCoc7aGAhp/MEwHn+A1tZS8Pmw+wOE/W5KVCUhfzolHcNxmVoJpi7lSE8H4/1+PMrEuoQEBicrxmdmk2TPJORLJzt1MFZ7Yrdh1YQQvSWqDaTWOubGTI8Wty9IvdvLoHSX0VFEDyTHJ3HUhHMACAd9eKo2M6ZxE6bEwVT5oLV1B1vjtnNG5RrygkHKLBZejXOR0DqMqsBgMq0lEL+d6W5ICYeptGqWxpmwN4+jLpRDvmMNtfG1XNLaRkLXUNNbrQ7GZYEzIQNrcByD/FUExo3CnJjFFKuLKXsc+RxuzB9GCBExWuutwFajcxihpNaNw2oiO9FhdBTxDZRSjBs6C5gFWuNrrSWrYhneQJAWm4OGdh+tto1k+ANMqfkIgGcTEnAG7ZS0HQ1AbtJCsoJhxnjBQog3kkwk+Zxsb58DaBzpnzDR62OS14sGPk9KpMhkY2ZOGgmOLNzNlaSl5aFTCnG5UrjYtPfb2eTo/UGEGICi0kAqpYq01qUHmK+APK3112/S00/sbGhn3NCbaC8fBzxvdBxxEEwWO1kFE8gq+OrU42Awg4amIqwdHTT5Ogj4mhgUrCctJ58htnRCniZqvFZsDgeY7DhMmnzlo3BILnEJeaignQ5PGbbCfHAmMdqeyGiTudtWU4DJUX+uQghhhKbyWxlZuA0dOhZMsXNdnfgGSmFPyqI46bQ9Jre2noHX3UyL10fY10auvwqbLZG8rHQ0UNdox6kUFpMdbbKQqzpITMxk7LBcnDYzLY3jSctOgcQclC2OK832PS8fyT0jus9TCLGHaB2B/L1SygS8Aqzgq+s7hgHH0HlTmV/QOSpcv7SrsoIjfB0UpsvVaP2BxeIgK2PE7t9T6Ly74Vem0/1eS6l8eefBLw3q+hJCCJHZ0YbFDmarNI/9QWJiPomJ+bt/P2rvBYr2HHB47xOXc5KO3OP32BjKTgjxpag0kFrr85RSY4CL6LyRcQ7gATYCbwJ3a629B1hFn9dWtZ1f1jfScdTxRkcRQggRQ5RS92qtb1ZKnae1/o/ReaItGApzUnMLSSl5RkcRQgjRA1G7BlJrvQG4PVrbizW+2u0AODNleGghhBB7OKXrVh63AgOugSxv6qBQVdOQvM/7VQshhIgxJqMDDBRLfR9xXEEuvsScb15YCCHEQPI2UA9MUEq1KqXaun83Olykbdy1kROLUliUKG9JhBCiL5BX6yhp8RQwOpSD3SXXQB6qt99+m5EjRzJs2DDuueceo+MIIUSv0Fr/VGudBLyhtU7UWid0/250vkirbmpjVEs6w4rmGB2lz5L6KISIJmkgo6DDH+J/9ScxcuhfjY7SZ4VCIb73ve/x1ltvsWHDBp555hk2bNhgdCwhhOg1Wuv5SqlBSql5AEopp1Kq39/+qqIji6UNtzD7iIuMjtInSX0UQkRb1BtIpdTZSqn7lVL3KaXOivb2jbCr0UMKTQxKk3tAHqqlS5cybNgwhgwZgs1m44ILLuCVV14xOpYQQvQapdTVwH+BLz9tzAdeNixQlNTWV1KUbNnzNg2ix6Q+CiGiLaoNpFLqz8B3gbXAOuAapdTD0cxghO3VtTDqHnZu+anRUfqsiooKCgoKdv+en59PRUWFgYmEEKLXfQ+YDbQCaK23ApmGJoqCds+dqKQfGh2jz5L6KISItqiNwtrlaGCc1loDKKWeoLOZ7NcaKrdwdXMrk4omfPPCYp+6dpk97OvT6kcffZRHH30UgLq6uojnEkKIXuTTWvu/fG1TSlmAr7/49SNaa45wB5jgchgdpc+S+iiEiLZon8K6GSjs9nsBsCbKGaIuXFfGdc0tzBoy1+gofVZ+fj5lZWW7fy8vLyc3N/dryy1YsIDly5ezfPlyMjIyohlRCCEO18dKqdsAp1LqeDpv6fGawZkiqqUjwCnuJk4zDTE6Sp8l9VEIEW3RbiDTgI1KqY+UUh8BG4AMpdSrSqlXo5wlavwtpQQAkgq+aVGxH1OnTmXr1q2UlJTg9/t59tlnOeOMM4yOJYQQvelmoI7OM3OuAd4EfmZoogjbWd9GgrkRlVz4zQuLfZL6KISItmifwvrzKG8vJiw3reCRogKWxWVgNjpMH2WxWHjooYc48cQTCYVCXHnllYwdO9boWEII0SuUUiZgjdZ6HPA3o/NEy7Zda7i4KIcb4jqQY5CHRuqjECLaotJAKqUeAp7WWn8cje3FEq01tS0TOcmZjNliMzpOn3bKKadwyimnGB1DCCF6ndY6rJRarZQq1FrvMjpPtNR4zEysG8z0aacbHaVPk/oohIimaB2B3Arcp5TKAZ4DntFar4rStg3V5AnwRdvRnHb0GKOjCCGEiG05wHql1FKg/cuJWut+ez5ieXsK6zzfZ/zoE4yOIoQQooei0kBqrR8AHlBKDQIuAP6hlHIAzwDPaq23RCOHESqaOhhm3UC+c7DRUYQQQsS2u4wOEG0tdRuYmOg3OoYQQoiDENVrILXWO4F7gXuVUpOBx4FfQP+9NLCssYWGoU+wfMunnDjlZaPjCCGEiDED+TIPb/Ahtic2ApcYHUUIIUQPRXUUVqWUVSl1ulLqKeAtYAtwTjQzRFt9TRk/q2/khMwjjI4ihBAiNn15mUepUupepdQkowNFy+zWEAva7EbHEEKIPk1rTUtHIGrbi9YgOscDFwKnAkuBZ4EFWuv2Az6wH/DVV3Cpux2dP83oKEIIEfOCoTA1bT4qmjqobO6gouurqrmDlqZtmNrLvnklfcxAvcyj3RdkpqcNR5xc4iGEEAfiC4aobvF21UMvFY1t1DZWUNaeSGVzB9mhv5EZrotanmidwnob8DRwo9a6MUrbjAnupq1UWsxkJ+aijA4jhBAGa/cFdzeFXzaJXzaKHS3rsXXsYrDfT66qZ0v6FkJhJ8vbbyQv2Ukg6TdMtnsoJdXopxERA+0yj+pWLx5bC6EEuam9EGLg0lrT5AlQ2dxBeVNXfayvprlxG9s9g6lo9jLM8g8ybLu4sCnIFNXAOzmaRpMNf+CvDMmIo927kYZwOxAflczRGkTnmEhvQyl1I/B7IENrXd817VbgKiAEXK+1fifSOfa2JbSSEwvyWORKJinaGxdCiCgKhzX1bt/uBrGyq0msaPZS2dxBsG0VieEdjPRBnqpnS+oO3OYwm7x3k5vsJC71YRzhdu6rqgXgBlceKXFZ/P3izhE63190EWn2JD74x+NGPs2IUUpZgZPoPAp5HPAxvTSwTizWyIr6Or5XkMTFdjfjorlhIYSIolBYU9vm7aqHHZQ3eqiu30lr03rWuydS2eJlvPMZ4uI38MO6AFNVPX/KsLLK6aTA+ThjcjNpb6qgKtzIOFsG5pTpnBVnwR+fxvx5cwDwdryP3Z7E1L9F54zHqA6iEylKqQLgeGBXt2lj6CzCY4Fc4H2l1AitdSia2crdszjDlUJiQn40NyuEEL3OGwhR1eLdfeSwvFuTWNnSAe2ryLZuYpzHTL6qZ2fKLnY6O6jz/R95KU6srmfZqRq5u7ySsMnKH1w51LsSWXTpsQAsW3U9Fq2hcC4k5vGA1bHH9ufNubXrp/7VQEb6Mo9YrZE1LX5GV05l9knzo7VJIYTodb5giMrmLxtEDxWNHmrrS2hpXsNm9zh2tSjGx72OPXkJt9f4OUbV8a8UG68mJ3Ks/Q8cNaIQ3eTji4CPQYMG40g9ivlxdqZb7Zx2VDGYLejwQpTpq6FrTt4rg8OZEtXn3C8aSOCPwE3AK92mzafz2hEfUKKU2gZMAxZHK1QwFGZd6yiOKT59j390IYSIRd5AiPKmDsqbPJR1fe/8vYOKJg82/wYKnCs5wm2lUNXjT6pkY7KHJP99jM/LwNnxHu+bd/FMaRnaGsfT8dm0OV386+ppKKuDzVtvw+ttgfPnYorP4ibTnmdmTp10pUHP3HCRvswjJmtkbYeZpS3nMGXsSdHapBBCHLRWb6CzOew6gljZ5KGxvoTm1i/Y1jqckrZ4xrg+JiH9fX5U5+X0cD1vJVi4MyONs1zfJ27i0VhbbHziDpA8eCjO9BM5IT6BEVYrc6fMwOpMpvNzw68U75Uh1vqIPt9AKqXOACq01quV2uMqwzzg826/l3dNi5p6t58xtiVkhP3AiGhuWgghvsYbCO2+xqKsW3NY3uShrLED3VHCkLjPGedRDKaZ+PgKdqS1McL0E0aNmkKc52n+o1fy0K5yUm2pfJCSRa09hV+fOxJXShEVFXdylbsazp+N2ZnKJUrtcXOGkcNPNey5x7JIXuYRyzWysXYtsxK/wMJcIC6amxZCiN3afUHKuupgWaOHskY3LfUlNLeuoKS1gBJPDkX21WRm/4erGzr4VqCe5S4z12Zn8q24M0iYfjkuzyberg8RP3gE9owzmBmfygNmmDHuNFyJecCf+HG3bQ7v+uqr+kQDqZR6H8jex6zb6fzk9oR9PWwf0/R+1r8AWABQWFh4iCm/rrbNi85/kc8q3+MSzuq19QohxL74g+HdDWLnUcSvmsSyRg8d7ZUMj/+UkV7N8HALac4qFmU2MZLzGTFqPmmBhfzLv5SfV1QzVrn4IjWXHY5kbjp6ODmFE2iov5WLWnaSkjcdsyORE9jzxTcvT0abNkJfrZFNTc+zNm8dbe5LSU0d1mvrFUKI7ryBUOe1h121sKzJQ11tNS2tyyhviWezexAZll0Mzn2U81o7uLWjjl02xVn5OVyQMI20YbeRHPLxUqkmvmgU9oxxjEvI4P9MISaPPJOklCHAT7ian+zeZm7XV3/VJxpIrfW8fU1XSo0HBgNffrKaD6xUSk2j89PUgm6L5wOV+1n/o8CjAMXFxfssoIeiptXHj+vbsA6a3FurFEIMYIFQmKpmb7dTS/c81bSprYHRcR9T5A8yMuQmx1bFoux6RoaOYsiIq8kxbecf7s+4tqaO4wKK0tRCVtnj+c6skYwaO4G21jTOqJrB4LzpmOKzmAJM6bb9tPQRpKXL2RSxpq/WyLFuM8e1NZKcVNRbqxRCDEDBUJiqFm/nh6aNnWfYVDa00NS4kvq2IGuaB2PFy9SC33Jku59r2+sxmzzMKipgvr2I+XP+SLazgKfX/4WExOFYM8+nICmPPys/YwYfT1rGUGAoF3D27m0mA3MNer6xoE80kPujtV4LZH75u1KqFCjWWtcrpV4FnlZK3U/nhwDD6RycIGrqW9q40NdMW9qoaG5WCNGHtXgC7Gr0dPtq3/1zVbObYfYl5IfbGBX0kGuu4rO8cgaHxlI49Gby4+Dx+v9xdkMTF7f7aE0qYJHdyVkThzFz+kR83iKO357KkLzpmJIKGKIUD3XbdkJiHqMSo3oWo4igWK+R+d42ionDZO7Tb0WEEBGmtabe7WdXY3u300zbqa/fTJO7gTVNRYTCmiNz7mFMwMOlbc1kqUaOKsxluiWV44ofJz/FyXOrg8TFpZGUPg+VUsQDeBg1aC65+Z1nQJw9ffXubdqBIw16vn1Bv33V1lqvV0o9D2wAgsD3oj0Ca2N9CV/YbRQlRXdkJCFE7Pryk9KdDV81iWVd33c2tBMX3EyeuZbhQQ+DVC1VOVvI0rmkF/6Cggk5PFt1G8e1tXFjYzPhhBw+ssczd2g+p86biA6HmbvuZobkTkWlDifJZOIv3bZtdyQxfux5hj13ETtioUY2qVq2OlJIi+ZGhRAxKRDqvARjZ4OHnY0edjW0s6u+gabGUtY1ZeDxhzgy/c/kmeq5otlNoamOb+elkJbo4toJz5Kf4uSddR1YtIWcwcdiSh3Mr01+CnKKGT6i82rDc6as2mObxxrwPPuLftVAaq2L9vr9buBuY9JAbfM6Ls3N5kHtIeI3whRCxIyWjkC3pnDPJrGxpYYsUzlDQu0Uqhoq0zZitjhIS7iLyYXJLKu/kUHedn5b14A2WVltz2Vkqo1rzu48Ff7IlddTkDYKcoox2Vyd5xV2USYTkydcbMyTFjEvlmqkNxDiqQw3GSYHM4wIIISIOrcvyK6GzjNrvmwUy+sbKWnqvA3G5PgXybZv5/zmAHNNNdydrQnG27lg2H8YlOZi+eYW/CEfw7InYk4t4odWTXzqMKZMHgnABdOW77E9aRAjp181kLGmOjCSGW0nMXHkmUZHEUL0Iq01dW0+Shs8lNa3U9rQ3vWJaWeT6O1opUjVUaSqUYlrweHBbb2DSQXJNKT+BEJu/lZVA8CPXdk44jJ56JLOAWg+WbqAFEcyFB6NSszjkb1udTH9iAXRfrpC9LrGdj/+qjOZXdx7g/IIIYyltabO7WNXg2ePI4lf1se08CfkuVZxfIuJ8aqG6vRGdsWbOSL/Sc6clEdVZQkbQjXMcGVgzTiKbzsdeOLSOWnuGAAum7Voj+0dbcSTFIA0kBFV3ZFEYvy5MrqcEH3Ql01iSX3nJ6UlDe3sbGinpL7zVNOA30eBqiXTsQGHcxelfI/C9HiGOO5ig6mcd8o6xyP5eXwq65xxvHzlLFCK/y25hKC/HU49GVIHc78zBbrdXuHIaT8w6ikLETUNbj+bO2ZQUDTlmxcWQsQMrTUN7X5K69vZUd+++0PUHXXttLdsJNu6lCntMFTVQlIFq1J9jFR3M3LMEKzuDbxMCQ+GPThThxBMKiDTZuWaU0ZjssURCLyG1erava2jDHye4sCkgYygOM/nDLXVocNTY+4GoEKIzkJY2+bbXQC/OqLY2SR6/QGyLWVkOjayzTOXzNQ0JsX/CzVoCS+VV2LXIf6clMRfkhNZduZw7MkFLPzseMbUrkFP/SUqfRg/Tx6ExZW6e5tHTf+Rgc9YiNhQ29zAnPgXcfjj2PcdSIQQRmrpCFDS1SCWdH2V1rfQ3rKO7I4mhlGHw7mdL9IqsbsvJzdjFgXJq3hRLeV35ZUU2NJZlpZHi9PMj88YRVLGKFpb/sjNJgvxCTlAZ4PYvUns3jyK2CYNZATZbW/yuqWGO033GB1FiAGt2eNne52b7XWdn5J2HknsPLLYEQjhUi2EtJWQKY6Z6cvJjnuD++O9jOio4OU4G3dmpPHGzFMZNGIuH3++EvO2rXhnn409fTTfTszm2ylF2JM774hw7Kyb9rjuQl5khfi66tqNrC5Yys7KRJg81+g4QgxIHf4QO+rdlNZ7KKl3U9L1vb6+mrSOMnItJbSlrqe+ZTatzqOZkrSQhQWvcHdVDTO8PlbZk1jtSOVnR+cycsxUmhpTuaDuJPILjsLiSmEmMLPb9hKTCvYXRfQx8t4mQoKhMDOa7FwV8BsdRYgBIRAKU9bo6WoS3eyoa2d7nZsd9e00tn/1/zDDWsMR6e9yuVZMTa6nXJXx/TQrDw66nLlH/ogv1lbzy5UeTHnDMGfO56jEbP5hd5GVPxWAo2f8mKNn/Hj3+pKj/USF6Aes7T7+VVlN2vipRkcRol/TWtPY7mdbbeeHqJ3f3WyraSPQUkZO/Be0BzLZ5pvE6OQK3JkP8BNXE2eG26mwmDktJZdfjm3n9BOOpaV5CEcu8zB4xrGQO5VJ8Zk82+0SjJTUoaSkDjXw2YpokQYyQlo6AhSFfIwh0egoQvQrTe2dRxN31LWzvd7N9tp2dtS72dXgIRjWQJhc2w4m2ho40+EmK2knf8vZzimp85h71F2E2pZx5v/Wc0qTm6EJg0hKncEPbCFGDp6KxWxi6qQreW3Slbu3l9H1JYToPcrdzCSfH50ubzaF6A2hsKa8ydN5tk1tt0axtpmgtwm3TkYR5Mi8e5kZ8PKXQDVmRztT8wu42FrEK+fejN0U5O4XXqBg0HzIP5Lc1GEsTc7bfWppUnIR5x5/v8HPVMQCaSAjpMnjp9rZwkZnHLONDiNEH/PlTYO31rSxtdbNlpo2tta42VrbRpMn8OVS5JrbmJ1Uw+SkxZycNYTBo79HQUIH31l8G5Mbm7m4pRV/fDbPJiYwODOVovQ4QsmzeO/Ef5GVOQFMJtIBGddUiOhq6ijlY6eDGY5E7EaHEaIPCYc15U0dbK5pY3N1K5tr3GytaWNHfTv+YJhJ8W9TpGo4PtjBNeZKrsvrYDRJzD/yFYZlxnPjy7/ApOJxjvw2ZIzkCbuNooIjibNbAAu/uOCd3dtSgNWwZypimTSQEdLkCfBchpdBFqs0kEIcQL3bx5aaNrZ1NYpbuorhV40i5LqaGZPq4aRx0xmaEc/ybd9mpKeRH9XVgAeOScvjOFXPuVN+C8BvKuYzdloxFM3F5kzmsW7bM1tsZGdPiu6TFELsYUtwM/+Xncmn9nhpIIXYhy9vibGl2s2m6la21LTtbhbNgSZGUskoUxmWzDWMsoc5atYjDM2I4+W1P6PZ7+ZUjxUyRnJpnJ2crInMHZkJwLNXrNpjO5Oi/9REPyANZIQ0tftpL7+Y886YYHQUIWJChz/E5po2Nla1srGqlc3VnUcXu1+fmOCwMCIrgdMHfU4OWzk/0EFy6yYujA9gsrj47dlXA1BVkUxKXDZM+TFkjubVlEISUgbvXs/px/w66s9PCNFzbvOFTGtZT0JCntFRhDCcPxhmS00bGypb2VDVyqbqzhrZ4vFRaN1MhmMbJfocRmQlcFzBvexQVfy3vAqA31izqHelcdvJo0ApZuc8QnLSIOga6fTbRj4x0W9JAxkhzZ4AO33jGT54rtFRhIgqrTU1rT42VnUWwi+/l9a3E9ady8TbLYzMtHPJkHYm28rZ7v+EklAVv7rkY5RS/PTft/CKt45rO+KgYCrfT0zGnjZi9zbuuODtPbaZEM0nKIQ4bPX+TGzObLnFlRhw2n1BNla1sq6ihfWVrayvbGVrbRuBUGeBnJjwGbkpn/Giw0c+23k4yc4TSYksPfcurPFZfPDpiZQ2bkIfeQEqexy3JebtcS/h/PwZRj01MYBIAxkhja2NHJP8JB0NDkg9zug4QkREOKwpbWhnTXkL6ypadjeM3U8/zU9xMjrbxWmDyxkz9GjG5KfxweLreax2MddvLccMbE5JpSQxGe13o+wJ3HTCI8TFZUJc5/A1cjNhIfqXdO9LpLtCgLzZFf1Xuy/I2ooWVpc1s7aihQ2VrZQ0tGPRXoarSmY6yjk9eRPvDy7l0mG/ZsK4Y1m56iN+V1aH05GDNecKzk0t5KTkfEz2ZACOm32LsU9KCKSBjJi2thKW52xgTembjBguDaTo+7TuvHB/bUULq8ubWVvewtqKFtq8QQDsFhMjsxM4cWw2I1LasHrf5VR8pNat59nmDdyNi/cSc8lOK2Bk9hHM76jDd8YtuPKmcE36CK4xf/VylJE51qinKYSIglbHQqrNgW9eUIg+IhTWbKt1s6qsiVVlzXyxq5ktNW2EdefI4EmudIbnjeTUwqU85XmSX1bXUuz1sSaQynJLGuNzFYPT48g/8k7OsvwWU1dNzDf4eQmxL9JARoirI8gr5ZWkTpZ7XIm+qaUjwMpdTXyxs4k1FS2sKW/Zfb2i1awYnZPIGRNzmZwbx3DLelZUvci80RdQOHQOny17mGvKXmR4TQMzkoYzu+h4fhmfiCt5CACziq9jVvF1Rj49IYSBrqwz4UpINjqGEIeszRtgxc4mlpU2snJn5xFGty+IGT9HJC/mDGcLJ+RUoX0bODvTyY0Zczj7lItoakxBLVxM2rzrYfBxTEgZzGPdTuW22uMMfFZC9Iw0kJHibWdIIAjxOUYnEeIbaa3Z1ehheWkTK3Y1saK0iS21bWgNJgUjshKYNzqT8fnJTMxPIj/ew78+uJ6ZzY1MW7+eSgL8sSCP1K1xFA49nkmjz+MpVzqjhp4MjkQKgAKjn6QQIiYEQ2Hygh1YzblGRxGixxrb/SwtaWRZaSNLSxpZX9lCWEOmqZni7EVcOCSVUeOuZVyOmQvfvYOZLW0MU+noocfx83gH00Z/C4CU1KH8+NyXDH42QhweaSAjpNVfyWvxLo4yKZKMDiPEXrTWbK1189m2ej7f0ciKXU3UtfmAzpFQjyhM4bQJOUwZlMLEdI2zYhH3rLsHV2MRE2b8mWDAwXOtm0gIxzOt+CpyCqbzYdZI0tNHAeCKz2TC2G8Z+RSFEDHK7QuyIt5PqsPMMKPDCLEfrd4An21rYNG2OpbsaGRrrRvovFzjxNw3OWlwKVe4K3C1bud0Zw42ncjtU+4G4LEjbmFI4RxILkIB5xn4PISIBGkgI6QmvJOnM9J5RQelgeyhO++8k7/97W9kZHQOnPKb3/yGU045BYDf/va3PPbYY5jNZh588EFOPPFEI6P2OV8eYfxsewOfbW9g8fZ66t2dp6PmpziZMyydKYNSKC5KYXhmAmaT4m+vXsrqjzYyq3wroNmUm0O8yQaAxerg428v2X2qjQLSDXpuQoi+pc0b5OF0K0eb2znF6DB9hNTHyAuFNavLm/lkSz2fbK3ji7JmQmFNrq2Do3I+5ciRZZxyzKOMz0/ixqd/xf98TXwvazxMu5S/ZI0is+ir4d4mT7jYwGciRORJAxkh5aH5zPFOoSB3utFR+pQf/ehH3HjjjXtM27BhA88++yzr16+nsrKSefPmsWXLFsxms0Ep+4Y2b4BPt9Xz4aY6Fm2rp6K5A4DMBDtHDs9g5tA0Zg5JoyDZAVVf8NIXv+a57dv4xUUfAlDSVk7QYoJjboPBR/FE7hEoi233+uU6DSHEoWj1BgjvuJaZpx1hdJQ+Repj72tq97NwUy0fbKph0dZ6Wr1BlIK5OVv49tCPuMnTQHzDOu73J/GqPZ5bM8FqMfPL0/5FYmIBdNVEuZupGGikgYyQZr+LjOQj5E12L3jllVe44IILsNvtDB48mGHDhrF06VJmzpxpdLSYorVme52bDzfV8eHmWpaVNhIIaRIcFmYPTee7Rw9h1rB0hqTHoZRizfrneOKdx7itvARTey3VyUlsSUoj2NGExZnC3Re+v8c92tQBti2EED3V4Q9RExxMSupwo6P0eVIfD15Zo4d3N9Tw3oZqlpU2EQprRiY2cFr+R8wcczVzJk5h4WcL+VXFdq5xZZEw/hauGTSTG/KnY7E6gM7rGIUYyKSBjJDc0DtkeXYCTxkdpU956KGHePLJJykuLua+++4jJSWFiooKZsz46l5h+fn5VFRU7PPxjz76KI8++igAdXV1UclsJK01q8tbeGttFW+tq2ZXoweAkVkJXDVnCMeMzOCIQSlYzSbqK1fy+pJfk1L8A1KzJ1JWt563vJVcNmgaBSPP4LtDj+Pa+K9ORJUbfAshIqGlpYx5qX8h0BgCzjA6Tp8h9fHQlda38+rqSt5cW8Wm6jYgzJysLTw4bBdzO1azqXUDl1uzmOcvICVuJifPvJkTwj8mIbHz2GK8sfGFiDnSQEaIyfYZb1vruNPoIDFm3rx5VFdXf2363XffzbXXXssdd9yBUoo77riDn/zkJzz++ONorb+2vFL7Ph62YMECFixYAEBxcXHvho8R4bDmi7Im3lxbzVtrq6hs8WI1K2YNTeeao4cwd2QmeclOAHaUfEDDR5+QvfV9aho3c19eNgWb8jgueyInzLyZE2fftvsTVTnCKISIhobGjSzJKuXY5mVIA/kVqY+9q7bVy+trqnhldSWry5qBMEcVhjn31PFMzW7m4s9u4+jGZuLihzNx5k/4b944Rgw9GegcCE4IsX/SQEaA1prjGhK4o6Pc6Cgx5/333+/RcldffTWnnXYa0PmJallZ2e555eXl5OYOvOHfN1e38cLKcl5ZVUFNqw+b2cRRI9L5yQkjmTc6iySXFQBfRxPgpKVlF2d/fANXtLRyQ/woxhz9c94pmkFuXue9SeX0aiGEETKDDj7cVU7bGLkGsjupj4fPFwzx7voanl9exqfb6glrzZmZNdw1YiW/0h9TYE3iO0d+CsAfa77NpFPmQ8YYLMBIY6ML0adIAxkBHn+IFO0n1SRv0A9GVVUVOTmd98186aWXGDduHABnnHEG3/72t/nxj39MZWUlW7duZdq0aUZGjZrGdj+vrKrghZXlrKtoxWJSzB2ZyW2n5HDsqEwSHJ1NI+46+PwFrt3wKE6TlfsvX0JSUiH3Db+Y8cNOhazxKGDgvq0QQsQMv4f0UBgVL2M395TUxwPbVuvm2aW7ePGLChrb/eQlO7l01HO0+77g95W7wG3l7MGTyBj01Uip8+bcamBiIfq2ftFAKqV+AHwfCAJvaK1v6pp+K3AVEAKu11q/E4087b4gW+JaCLjsnBWNDfYTN910E6tWrUIpRVFREX/9618BGDt2LOeffz5jxozBYrHw8MMP9+sR5rTWLN7RwL8W7+S9DTUEw5pxeYn84vQxnDExl7R4++5lF6/4C/9b/zQ3l6wDHWJm3ghsOcWgNSjFcbNvMfCZCCFiQazVyMqOCp5KjGduv3gHEh1SH78uGArz9vpqnvxsJ0tLG0myNHFSwUJOnfML5owu5Mk3n+bzBheB0x7AOnY+FzlTjI4sRL/R51++lVLHAPOBCVprn1Iqs2v6GOACYCydB17eV0qN0FqHIp3J7QvySbKHVVarNJAH4V//+td+591+++3cfvvtUUwTfW3eAC+urOBfn+9kW62bZJeVy2cVcW5xPqOyE3cvV1r6MfnZk7E4Etleu4r3go1cM2MByZMv49LM0QY+AyFErInFGrnTW8rTaanM6PPvQKJnoNfH7tq8AZ5bVsY/Pi2lormd05JKeLfwM7Z3LOYmRyLnuV/FZPoBl5/2dy43OqwQ/VR/ePm+FrhHa+0D0FrXdk2fDzzbNb1EKbUNmAYsjnQgbyDM5p23cM9ZMkS5+GbVLV4eW7SDp5fsot0fYmJ+En84byKnTcjBYe36JDnoh02vs2z5n7lS1fDgoLM4Zu4vOf/Y33Oh2Y652/0ZhRCim5irkZbUq7F+No2ii+Q+yaLn6tp8/O2Tzlrp9gU5qtBDRsavOKapnhEtFgaNO5OnhxzJuNHnGB1ViH6vPzSQI4AjlVJ3A17gRq31Mjrv6/p5t+XK2c+9XpVSC4AFAIWFhYcdyBsM4dFJJCQNOux1if5re52bRz/ewYtflBPWcNqEHK6cPZiJBcm7lwkGvPzjrQXkli7h1MZqJicXcuPQYiaMuwgAmz3BoPRCiD4i5mqkJ2QlYM6WD75Ej9S2eXn04x38e8lOMk3rODu/mnNPvoPxuYnc8vTDpBafCbNuwW5zMd7osEIMEH2igVRKvQ9k72PW7XQ+hxRgBjAVeF4pNYR935Xg6+NdA1rrR4FHAYqLi/e5zMHwBkLMS3+Y+h1Hw8ibD3d1op/ZXufm/ve28ObaKmxmExdOK+TqI4dQkOravYynrQpXQg5ms42FDWsZm5zBqSf9H5Zhx3GZaWBc3yKE6Jm+ViNVw384KuVzdPh4ud+s2K8Gt49HPtrOv5fsZFR4G8+lvsefXRtYYrZzZ9bdKJOJey/+yOiYQgxIfaKB1FrP2988pdS1wIu682ZIS5VSYSCdzk9TC7otmg9URjRoF28gxNq0nRQ0/g+QBlJ0qmzu4IH3t/LfleXYLSauPXooV84ZTHq3QXGoWs0/Ft7Ek75y3jrvfRwJOTz+rQ9wulKNCy6EiGl9rUY2+D5ncXK5NI9in7yBEP/8rJSHF24j27SUmQUv86fqHST5E7ht7AXETbkCU9f9i4UQxugTDeQ3eBk4FvhIKTUCsAH1wKvA00qp++kcIGA4sDQagbz+EAt3VtI8SW6QLKDDH+LhD7fx6Cc7QMOlMwfxvWOG7dE4btr8KjkrniJpy9tMTEhlftERBMIBHCDNoxDicLxMjNXI09szua1mbTQ2JfoQrTWvr6ninrc20NjSwKyRQ7hs/DjuWv0cJbOuY9KcmxnkSPzmFQkhIq4/NJCPA48rpdYBfuCyrk9a1yulngc20Dl0+feiMbocgM/vJY4wPodcnzaQaa15e101v35jIxXNHZw5KZcbTxxJfoprj+UqK5dz/uLbuLbNx7Vzb+WI6d/lCGeyMaGFEP1NzNVIS9CLGTmCJL6yubqN219ai2nXIvKKnmJedip3Xf4hMJV3JpyMRY44ChFT+nwDqbX2AxfvZ97dwN3RTQQdnhYeSU7kCNqRMeYGpsrmDm59cS0fb6ljVHYCz18zk2mDvzqSWF29ijUb/8sJx/ya3Nxi7h18LrMnXQVJBQdYqxBCHJxYrJGrrPVsszr4TrQ3LGJOhz/Egwu38r/FL/Mz9QYz7Wt4IJxPYf4Ru5eR5lGI2NPnG8hY1O5t4M8pyfw01CIN5ACjtebFlRXc+dp6QmHNL04fwyUzBmExd13r422FT//Ew5ue5AOXnTnNC3AlF3Ly0XcamlsIIaJlubMVt9ksDeQA99n2em55YS254b9TOXg1OfUemHU3N0y9CqxOo+MJIQ5AGsgICNiK8Gz8JWf9/ASjo4go8viD3PzCWl5bXcnUohT+cN5EBqXFARAOBXn5w1uYtupl8t11/GDsfL476/u4kg9/SHwhhOhLqtp/z6hMq9ExhEG8gRD3vLGSt5atwJUyiquPv4F1O35H+jkPQkKu0fGEED0gDWQEeANhQtiIc7i+eWHRL+xsaOeaf61gS00bPz1xJN89eihm01ej5NfWreO3ZW9zRVom1134PJl5RxxgbUII0X8FQmC1xhkdQxhgbXkLTzz9D7Ym/41xg6w8dNlynHYLx03+r9HRhBAHQRrICAi2ruXE7AfZtdNB0eDjjI4jImzJjgaufnI5Sin+ecU0jhqRAYC7rYqPltzHacf9nuzsSTx95B8YNuQEkKHrhRAD2DjrfSS784C/Gh1FRInWmn98sISEj3/DH8wf84JrEFnTrsJpl7ehQvRF8j83Anwd21ic3EBlwxZpIPu5hZtquPbfK8lPcfKPy6dRmOYCrWHdCzz9yR087DIzYfvxFA47keHDTjI6rhBCGK40voSwbjI6hoiSlo4Adz31CMvVX7k7vgHvxBs457hb5TpHIfowaSAjICeYzurSMjhmktFRRAT9b0sdC55cwaicBJ64Yhpp8XZKSj8i+PE9DC9ZzCU5E5k9/XoKh51odFQhhIgZT5a3UZohp/EPBOsqWrj2qRU0tOQwd0gymWfdi2PEaUbHEkIcJmkgI0AHPCiQT9f6sdVlzXz33ysYnpXAU9+ZQZLTSijo59qFPyA/GOTvp/wBZ/GVjDWZjY4qhBAxxUYAzHJrhv7uybf/ygfb/4o1+HP+teA4pgw6z+hIQoheIhdjRUB9qIL7U5Jp0gGjo4gIqG3zctUTy0mLt/GPyyazZtUD6IAPs8XGPVNv4Z6zX4JpV4M0j0IIsQetNX9JdbDa6jY6ioiQcCjMx/+4g+zVd1Lh8PPAWS6mDEr95gcKIfoMaSAjoF418XRiAh600VFELwuFNT96bhXa18rzM3ex6bljuW7LEyxc1Hkv7knjLyI9fZTBKYUQIjb5AkFeTHSxw+w1OoqIgIbmWp77v1M5eueDZFun8uK57zF+tNzSTIj+Rk5hjYAG21Xktl9CXt40o6OIXvbnhVvoCF7DD1ObyPmghcz04dw36hzmzr7N6GhCCBHzglpRvfl3DDpFPmjrbyqbO7jj6bPZkNREdtp3mXvRb1Ey6rgQ/ZI0kBEQDGks3e4BKPqPjqAm1eTCMmgMHPF9zIUzOEFOVRVCiB7xB8MA2MzSWPQ3VrOJNv/VXDe4imNOvsXoOEKICJIGMgIyvE8TMq0gHPoIk1n+xP3JTSeNIhz+DJN8QCCEEAetpaWSeTm/JFB3HnCj0XFEL8pIsPPs9ZdKfRRiAJCPACMgzFZWOJqkeeynpDgKIcShaXPXsjmhjXZfidFRRARIfRRiYJAGMgKOc6fzflmj0TGEEEKImJKkHHyyq4KjXOOMjiKEEOIQySGyCLCEfQSUDbkLpLFKS0spLi7u9fXW1dWRkZHR6+uNJMkcHZI5OjZt2mR0BHGIAr7O0VdNFrvBSQa2SNVH6JuvKZI5Ovpa5r6WF6JXH6WBjIAVzjrWm1381OggA1x9fX1E1ltcXMzy5csjsu5IkczRIZmjI1JvfEXkVbor+HdaKsWqlUlGhxnAIlUfoe++pkjmyOtrmftaXohefZQGMgJKbUFabDajYwghhBAxpcnfxkcuF6NMcp9kIYToq6SBjIAt7XdSnJ5qdAwhhBAipqTlnELpm2kMOXam0VGEEEIcIhlEJwLkPpD924IFC4yOcNAkc3RI5ujoi5lFJ38oBIDNIm8/+qu++P9TMkdHX8vc1/JC9DIrreU0ku6Ki4v14Z7v/N0/zSM9LpFfX/1iL6USQggRCUqpFVpruaiyhw63Rj77zl95d8vfuPqo+5g58eheTCaEEKI3Hag+yimsEeC11dAcbjM6hhBCCBFT2tt3Ue5qR4fbjY4ihBDiEEkDGQE/rwnSkZpndAwhhBAipow35XBVWSWVxxQZHUUIIcQh6vMXISilJimlPldKrVJKLVdKTes271al1Dal1Gal1InRymTHT8gs97jqi95++21GjhzJsGHDuOeee742X2vN9ddfz7Bhw5gwYQIrV67s8WNjLe+VV15JZmYm48ZF94beh5q5rKyMY445htGjRzN27FgeeOCBmM/s9XqZNm0aEydOZOzYsfziF7+I+cxfCoVCTJ48mdNOOy1akQ8rc1FREePHj2fSpElym49uYq1G6oAPAKtd7pTc1/S1+ni4maVGRj6z1MiDE1M1Umvdp7+Ad4GTu34+Bfio6+cxwGrADgwGtgPmb1rflClT9OG66cHh+sG/nnbY6xHRFQwG9ZAhQ/T27du1z+fTEyZM0OvXr99jmTfeeEOfdNJJOhwO68WLF+tp06b1+LGxlFdrrT/++GO9YsUKPXbs2Ijm7K3MlZWVesWKFVprrVtbW/Xw4cMj/jc+3MzhcFi3tbVprbX2+/162rRpevHixTGd+Uv33XefvvDCC/Wpp54a8by9kXnQoEG6rq7uoLcLLNcxUMsi9RVrNfLRf39P//ShwbqicvthrUdEV1+rj4ebWWupkdHILDUyepkPpUYeqD72+SOQgAYSu35OAiq7fp4PPKu19mmtS4BtwLR9PL5XhUMhNtrsVFmtkd6U6GVLly5l2LBhDBkyBJvNxgUXXMArr7yyxzKvvPIKl156KUopZsyYQXNzM1VVVT16bCzlBTjqqKNITY3u7WYOJ3NOTg5HHHEEAAkJCYwePZqKioqYzqyUIj4+HoBAIEAgEECpyI/QfLj7Rnl5OW+88Qbf+c53Ip61tzKL/YqpGtlAmFU2JxaHI9KbEr2or9XHw80MUiOjkVlqZPQy97b+0ED+EPi9UqoM+ANwa9f0PKCs23LlXdO+Rim1oOvUnuV1dXWHFUYrE+Myn+a4GY8c1npE9FVUVFBQULD79/z8/K+9+O5vmZ48NpbyGqW3MpeWlvLFF18wffr0yAbuYZ4DLRMKhZg0aRKZmZkcf/zxfSLzD3/4Q373u99hMkWvRBxuZqUUJ5xwAlOmTOHRRx+NTui+4YfEUI2cOfUupqQ9Q0pi9mGtR0RXX6uPh5vZKFIjpUbuT6zVyD4xiI5S6n1gX9XmduA44Eda6xeUUucDjwHzgH19hLHPe5ZorR8FHoXOIcoPJ6vZpPjNWeMPZxXCIJ1H6/e09ydh+1umJ4/tbYeT1yi9kdntdnPOOefwpz/9icTExK8t29sON7PZbGbVqlU0Nzdz1llnsW7duohfU3M4mV9//XUyMzOZMmUKH330UaQifs3h/p0//fRTcnNzqa2t5fjjj2fUqFEcddRRkQkbY/pSjTx6RAZHj8g4nFUIA/S1+nigPAe7TDRJjZQauT+xViP7xBFIrfU8rfW4fXy9AlwGfHnDxf/w1Sk45UBBt9Xk89WpO0J8TX5+PmVlX30gX15eTm5ubo+W6cljYymvUQ43cyAQ4JxzzuGiiy7i7LPP7hOZv5ScnMzcuXN5++23Ixu4h3n2t8ynn37Kq6++SlFRERdccAELFy7k4osvjunMwO7vmZmZnHXWWSxdujTimWOF1EgRaX2tPh5uZqNIjZQaGYnMEIEaub+LI/vKF7ARmNv183HAiq6fx7LnAAE7iNIgOqJvCgQCevDgwXrHjh27L1Bet27dHsu8/vrre1ygPHXq1B4/NpbyfqmkpCSqAwQcTuZwOKwvueQSfcMNN0Qt7+Fmrq2t1U1NTVprrT0ej54zZ45+7bXXYjpzdx9++GHUBgg4nMxut1u3trbu/nnmzJn6rbfe6tF26f+D6EiNFIetr9XHw838JamRkc0sNTI6mQ+1Rh6oPhpe3A73C5gDrOgqhEuAKd3m3U7nyHKb6RqF7pu+pDgObG+88YYePny4HjJkiP71r3+ttdb6kUce0Y888ojWuvMF+rrrrtNDhgzR48aN08uWLTvgY2M57wUXXKCzs7O1xWLReXl5+u9//3tMZ/7kk080oMePH68nTpyoJ06cqN94442Yzrx69Wo9adIkPX78eD127Fh91113RSXv4WTuLprF8XAyb9++XU+YMEFPmDBBjxkz5qD+/w2ABlJqpOgVfa0+Hm5mqZGRzyw1MjqZD7VGHqg+qs754kvFxcV6+fLlRscQQggRBUqpFVpruXFkD0mNFEKIgeFA9bFPXAMphBBCCCGEEMJ40kAKIYQQQgghhOgRaSCFEEIIIYQQQvSIXAO5F6VUHbCzF1aVDtT3wnqiQbJGRl/KCn0rr2SNjL6UFXon7yCttdyYsId6qUYOxP0sWiRrZEjWyOlLeQda1v3WR2kgI0QptbyvDMwgWSOjL2WFvpVXskZGX8oKfS+v6NTX/t36Ul7JGhmSNXL6Ul7J+hU5hVUIIYQQQgghRI9IAymEEEIIIYQQokekgYycR40OcBAka2T0pazQt/JK1sjoS1mh7+UVnfrav1tfyitZI0OyRk5fyitZu8g1kEIIIYQQQgghekSOQAohhBBCCCGE6BFpIA+SUuokpdRmpdQ2pdQt+5ivlFIPds1fo5Q6oqePNSDrRV0Z1yilPlNKTew2r1QptVYptUoptTzSWXuYd65SqqUr0yql1M97+lgDsv60W851SqmQUiq1a15U/7ZKqceVUrVKqXX7mR9L++w3ZY2ZfbYHWWNpf/2mrLG0vxYopT5USm1USq1XSt2wj2ViZp8Ve5IaaVjWWHq9kfpoTNaY2V97mDeW9lmpkQdLay1fPfwCzMB2YAhgA1YDY/Za5hTgLUABM4AlPX2sAVlnASldP5/8Zdau30uB9Bj7284FXj+Ux0Y7617Lnw4sNPBvexRwBLBuP/NjYp/tYdZY2me/KWtM7K89ybrXskbvrznAEV0/JwBbYvV1Vr6+9m8nNdK4rDHxenOw24uB1xupj8bljYl9tidZ91rW6H02JmqkHIE8ONOAbVrrHVprP/AsMH+vZeYDT+pOnwPJSqmcHj42qlm11p9prZu6fv0cyI9gnm9yOH+fmPvb7uVC4JkI5jkgrfX/gMYDLBIr++w3Zo2lfbYHf9f9ibm/616M3l+rtNYru35uAzYCeXstFjP7rNiD1MjIkPoYIVIfI0dqZGTESo2UBvLg5AFl3X4v5+v/aPtbpieP7U0Hu72r6Py04ksaeFcptUIptSAC+fbW07wzlVKrlVJvKaXGHuRje0uPt6eUcgEnAS90mxztv+03iZV99mAZvc/2RCzsrz0Wa/urUqoImAws2WtWX91n+zupkZEh9dE4sbK/Hqy+UB8hNvbZHou1fdbIGmk5lAcNYGof0/YexnZ/y/Tksb2px9tTSh1D54vNnG6TZ2utK5VSmcB7SqlNXZ/QREpP8q4EBmmt3UqpU4CXgeE9fGxvOpjtnQ58qrXu/slWtP+23yRW9tkei5F99pvEyv56MGJmf1VKxdNZpH+otW7de/Y+HhLT++wAITUyMqQ+GidW9tcei4H9tadiZZ89GDGzzxpdI+UI5MEpBwq6/Z4PVPZwmZ48tjf1aHtKqQnA34H5WuuGL6drrSu7vtcCL9F52DuSvjGv1rpVa+3u+vlNwKqUSu/JY6OdtZsL2OtUBwP+tt8kVvbZHomhffaAYmh/PRgxsb8qpax0FsantNYv7mORPrXPDiBSIw3KGkOvN1IfDRQj+2uPxNA+ezBiYp+NiRqpo3hBbV//ovOI7Q5gMF9dfDp2r2VOZc8LV5f29LEGZC0EtgGz9poeByR0+/kz4KQY+Ntm89W9S6cBu7r+zjH3t+1aLonOc+rjjPzbdm2riP1fyB4T+2wPs8bMPtuDrDGxv/Ykayztr11/oyeBPx1gmZjaZ+Vr97+L1EjjssbE601Ptxcrrzdd2zrQ63hM7K89zBoT++tB5I2JfbYnWWNpnyVGaqScwnoQtNZBpdT3gXfoHMnoca31eqXUd7vm/wV4k87Rj7YBHuCKAz3W4Kw/B9KAPyulAIJa62IgC3ipa5oFeFpr/Xaksh5E3nOBa5VSQaADuEB3/o+Ixb8twFnAu1rr9m4Pj/rfVin1DJ2jnaUrpcqBXwDWblljYp/tYdaY2Wd7kDUm9tceZoUY2V+B2cAlwFql1KquabfR+eYo5vZZ8RWpkYZmjYnXG6mPhmaNif31IPLGxD7bw6wQI/ssMVIjv+z8hRBCCCGEEEKIA5JrIIUQQgghhBBC9Ig0kEIIIYQQQgghekQaSCGEEEIIIYQQPSINpBBCCCGEEEKIHpEGUgghhBBCCCFEj0gDKYQQQgghhBCiR6SBFKIPUEqlKaVWdX1VK6Uqun52K6X+HKFt/lApdWkvrOdZpdTw3sgkhBBCdCf1UYjok/tACtHHKKXuBNxa6z9EcBsWYCVwhNY6eJjrOhq4WGt9da+EE0IIIfZB6qMQ0SFHIIXow5RSc5VSr3f9fKdS6gml1LtKqVKl1NlKqd8ppdYqpd5WSlm7lpuilPpYKbVCKfWOUipnH6s+Flj5ZXFUSn2klPqjUup/SqmNSqmpSqkXlVJblVK/7lomTin1hlJqtVJqnVLqW13r+gSY11V0hRBCiIiT+ihE5EgDKUT/MhQ4FZgP/Bv4UGs9HugATu0qkv8HnKu1ngI8Dty9j/XMBlbsNc2vtT4K+AvwCvA9YBxwuVIqDTgJqNRaT9RajwPeBtBah4FtwMRefaZCCCFEz0l9FKKXSAMpRP/yltY6AKwFzHQVqa7fi4CRdBa195RSq4CfAfn7WE8OULfXtFe7rWu91rpKa+0DdgAFXdPnKaXuVUodqbVu6fbYWiD3MJ+bEEIIcaikPgrRS+SQuRD9iw86P9VUSgX0Vxc5h+n8/67oLG4zv2E9HYBjX+vuWpev2/QwYNFab1FKTQFOAX6rlHpXa/3LrmUcXesUQgghjCD1UYheIkcghRhYNgMZSqmZAEopq1Jq7D6W2wgMO5gVK6VyAY/W+t/AH4Ajus0eAaw/tMhCCCFExEl9FKKH5AikEAOI1tqvlDoXeFAplUTna8Cf+Hrxegv410Gufjzwe6VUGAgA1wIopbKADq111eFkF0IIISJF6qMQPSe38RBC7JNS6iXgJq311sNcz4+AVq31Y72TTAghhDCO1Ecx0MkprEKI/bmFzsECDlcz8EQvrEcIIYSIBVIfxYAmRyCFEEIIIYQQQvSIHIEUQgghhBBCCNEj0kAKIYQQQgghhOgRaSCFEEIIIYQQQvSINJBCCCGEEEIIIXokZhtIpVSpUmqtUmqVUmp517RUpdR7SqmtXd9Tui1/q1Jqm1Jqs1LqxG7Tp3StZ5tS6kGllDLi+QghhBBCCCFEXxezo7AqpUqBYq11fbdpvwMatdb3KKVuAVK01jcrpcYAzwDTgFzgfWCE1jqklFoK3AB8DrwJPKi1fmt/201PT9dFRUWRelpCCCGEEEIIEdNWrFhRr7XO2Nc8S7TDHKb5wNyun58APgJu7pr+rNbaB5QopbYB07qa0ESt9WIApdSTwJnAfhvIoqIili9fHqH4QgghhBBCCBHblFI79zcvZk9hBTTwrlJqhVJqQde0LK11FUDX98yu6XlAWbfHlndNy+v6ee/pQgghhBBCCCEOUiwfgZytta5USmUC7ymlNh1g2X1d16gPMH3PB3c2qAsACgsLDyWrEEIIIYQQQvR7MXsEUmtd2fW9FniJzusba5RSOQBd32u7Fi8HCro9PB+o7Jqev4/pe2/rUa11sda6OCNjn6f6CiGEEEIIIcSAF5MNpFIqTimV8OXPwAnAOuBV4LKuxS4DXun6+VXgAqWUXSk1GBgOLO06zbVNKTWja/TVS7s9RgghhBBCCCHEQYjVU1izgJe67rhhAZ7WWr+tlFoGPK+UugrYBZwHoLVer5R6HtgABIHvaa1DXeu6Fvgn4KRz8Jz9DqAjhBBCCCGEEGL/YvY2HkYpLi7WMgrrwBUIeGh31xBnS8BqcYDFAeZY/ZxFCCGEEEKI3qeUWqG1Lt7XPHlnLAYUHQ6zc9cnJNVvI7l+G5tqN3JLcAfnpZ2JM38BtWUv8+eWv/JQdS1Hd3hZY7NzXU4GN+dfysyZP8TfupZ3V/6FkwuPI2vQkZCYB2pfYzUJIYQQQgjR/0gDKfq91pYyfB2NEDeKhSsX8uvSm/hZfSNntPppMWXhTLfzn9VeVi9eTbLZycTkYbzpmcqioJ0ALQxuLeP/Pgnygw/eZ1bKq6zNXsKMNS+T5Q+wKCmLZ9PS+Nkx95NdMNPopyqEEEIIIURESQMp+ietoXQRTYv/zqne5RzRkcwb5behdZg5aRP5n2sOm4bNojA9gQVpLtLj7SQ5rSQ4rJjUJYQ1BMNh2rxBmtr9NLT7qWjqYGfDIFx1p/EHvZOcwFZsvjVs99Vx4ws7GTsymRTvX9jsXsFvR12OY/R8iJdRfYUQQgghRP8hDaTod97/9F5WrH2Wm6t3YNYuZrvG0ew8hh/PG8HckZmMzjkVi7lnAxBnJgBf6wHHAVDX5mNNeTNxZc0sKWnk8UUlTE8uI5zQiOONnxB+46c8Vzgea95kzj3hT3KqqxBCCCGE6POkgRT9g9aEwpo311Xz+hcfUmYP0G65hrQpF3H99OEUpLp6fZMZCXaOG53FcaOzAPD4gywtKeaTLXVc2byEie7/scK3HFPJR7g/K+X0ibmUbn+WMcNPx+lK7fU8QgghhBBCRJqMwroXGYW176ku+5w73rseZ+tcXq0+mlEZigVzx3LGpIIeH2nsbVprNlW38fa6aj5au4nVtWZSLPWoYb/nfLeXn+afjPmIi6FgmhyZFEIIIYQQMUVGYRX9UzhM+6KHsX70K+qz00gP1fHABZM4fUIuJpOxTZlSitE5iYzOSeRHx49gU3UrLyzfydoNp5HqXYW//jmq1j/NT3PyuXvGzxk15hxD8wohhBBCCNETcgRyL3IEsm+orVnH31+9mlsqNvBR+Ag2Fv+KK06agcsW25+JBENhPtlWz+vLtuApfZyqjGXk659y2pwTGOpcj8PmokBGcxVCCCGEEAaSI5CiX/EHw/zfm3/iHUsr3oTLuOCCX3FsXpLRsXrEYjZxzMhMjhmZSV3bVJ5fXsZTn+/k9X+vYPagX1Bh8/CmbTyuo38MhdONjiuEEEIIIcQe5AjkXuQIZGzbXrKSW97xsqy0gauLQ9x45unYLWajYx2WYCjMB5tqeXHRQpLr/8Ot/qUkKTd3FYzkpImXM6P4OqMjCiGEEEKIAUSOQIq+T2v+/J+LeNa9GmvNNTx44bmcMTHX6FS9wmI2ceLYbE4c+2221pzOHz7egN7wT5bo/xFY9gHWjAuZlBdHKOTDZk8wOq4QQgghhBjA5AjkXuQIZAwKh6h97ge073iOexPHcN38/zKhMMvoVBFV2+rln59u5r9LdlDrtXFa/husd/2PxwZ/iyGzfwy2OKMjCiGEEEKIfupARyClgdyLNJCxJRwK8sa/zuP00oU8Yzubo659mLyU3r+nY6xq9wX5z/Iy3l38T+Ltr/JIww6CtlR2TLuYQTO+jyu+fzfSQgghhBAi+qSBPAjSQMaWh164jr+6P+GiholcveAx0uLtRkcyRDAU5rU1lXz47muc5X6Kewc1kIuDv16xAqtB97oUQgghhBD9k1wDKfqkxdsb+PMXJ3JUZpjvXvMAyXEDs3mEzuskz5qcz/yJ3+Xt9WeSs/AxmlqaOeYPH7FgVhodjfdzwdxfkZRUaHRUIYQQQgjRj8XkoQulVIFS6kOl1Eal1Hql1A1d0+9USlUopVZ1fZ3S7TG3KqW2KaU2K6VO7DZ9ilJqbde8B5VSxt5hXvTIM2/+jJuffI281GR+c9WDA7p57M5kUpwyPofHr7+d75/3U9Lj7bz8v0d4qHklm/46h8C7d0F7g9ExhRBCCCFEPxWrRyCDwE+01iuVUgnACqXUe13z/qi1/kP3hZVSY4ALgLFALvC+UmqE1joEPAIsAD4H3gROAt6K0vMQh2Dj5//k97UvMy09nV9e9japcTajI8UcpRTHjc7i2FGZfLZ9JP9+51nq2l7D/Nkf+fuGf9KSN5kfnfUMJos03kIIIYQQovfE5BFIrXWV1npl189twEYg7wAPmQ88q7X2aa1LgG3ANKVUDpCotV6sOy/2fBI4M7LpxeFoL13B4Ldv4vYqC9fPf5LMRIfRkWKaUorZw9J55HvfJ+uqZ7gt528sNmWxtm4H//fhTlo6Ang7moyOKYQQQggh+omYbCC7U0oVAZOBJV2Tvq+UWqOUelwpldI1LQ8o6/aw8q5peV0/7z1dxKDGplJWPPdtmnQc+ac+zZhCuZ7vYEwtSuWea87jB2e9jc31MH/8YCun//5J5j0zh1f/ezG0VRsdUQghhBBC9HEx3UAqpeKBF4Afaq1b6TwddSgwCagC7vty0X08XB9g+t7bWaCUWq6UWl5XV9cb0cUh+OWLV3JjpoVF0+9h+oQxRsfpsyYVJPPo5bN54/o5HFGYQFF7AmM3vkPw/vHseun71NSsMTqiEEIIIYToo2K2gVRKWelsHp/SWr8IoLWu0VqHtNZh4G/AtK7Fy4GCbg/PByq7pufvY/oetNaPaq2LtdbFGRkZvf9kxDf6dFs9H+y4nOPDp3PuyecbHadfGJubxJ8uP5+fn/8eTxU+zovBWfy9/E3OfPNCSsu2GR1PCCGEEEL0QTHZQHaNlPoYsFFrfX+36TndFjsLWNf186vABUopu1JqMDAcWKq1rgLalFIzutZ5KfBKVJ6E6LFNWz/jx898TnbaIG6/5NfIQLm9a0RWAj+/7HSKr3+KYMqvGFEzkRP+spXbX1rLk29cT2Wl3PdUCCGEEEL0jOocWya2KKXmAJ8Aa4Fw1+TbgAvpPH1VA6XANV1NIkqp24Er6RzB9Yda67e6phcD/wScdI6++gN9gCddXFysly+XN9TR4nHXc9azc8n3OrnlvIUMz0owOlK/V9bo4c8fbWfhqs8JDn6AS1vdXJlzCgnH3wwpg4yOJ4QQQgghDKaUWqG1Lt7nvFhsII0kDWR0bXzsajY0vUHtsB9z7Zk3Gh1nQKls7uDv77xB7sanuUR/zAaHhefyx3LTyX8mNX2U0fGEEEIIIYRBDtRAxuQprGJgqFr5JqPLnidOnch35//E6DgDTm6yk59/61zO+PHT/HXSC/zbMpFl/mrufmMHG6taCQQ8RkcUQgghhBAxRo5A7kWOQEZHW1sNVz5zLBc1amZc/SnZaSnf/CARUQ1uH49/tJYnljXg9vmZNvQOJtnTue3kP0PGCKPjCSGEEEKIKJEjkCLmPLNoEV5MNI67VprHGJEWb+enpxWz6OZjuH5uIXGeVIbVbCH88DRq/vFtNm5+2eiIQgghhBDCYNJAiqirafXy4OfxJJke5YpTv290HLGXZJeNH580kQeueQf3hP/yhJrPkrpFnP/5HTzxyq8IhsLfvBIhhBBCCNEvWYwOIAaWcCjIg89cjiM8n1+fOUdu2RHDEh1WrjxxGt5jp/D8Zys4ctXv+O3G0Ty68SPOHvw2RWkmzjr6TkxWh9FRhRBCCCFElMgRSBFVL7z+c151rOfcEZ9SlB5ndBzRAw6rmUuPnsZDP/gP/3fpkeSlOFlW/wEvbH+Rtt+No/WD+wh5moyOKYQQQgghokAG0dmLDKITOf72Ftx/mMAn9gxO+NFinHar0ZHEIVq1s5H33/0Hs8r+y2TzRs7Oy+XctGO48syH5KiyEEIIIUQfd6BBdOQUVhE1X/z3LqbrZvKP/Js0j33cpEGpTLr6J5Q1Xsuj7z5PesMjvLcO/rtrERdODJNrW86x02/AZJaXGCGEEEKI/kSOQO5FjkBGxradK7jqg0v4Vmsu197wnhyl6mc8/iAvf1HJk4tLyQj+jlUZO/l3TYj8I64mbfYV/H979x0eVZU+cPx7pqb33ggQamhCCKCCAiJFRbEg9o66q7uW3666dlfXtqurq6uydl3FLtgQUIoiRXovoaaS3ibJ1PP7IwMbIEAkmQyB9/M88zD33HvuvPfc5IZ3zrnnEhjh7xCFEEIIIUQLSQ+k8LtPlu8huS6YvmfeJ8njCSjIYuLyIWlclp3K0pzOfD//BZy1C4n++RGe3vgvqsK68vAV32E1yyVHCCGEEKIjk//NCZ/LLa/jrZUBTBzwOsMH9Pd3OMKHlFIM7ZbK0G5/p7i6gQ9+nMOO3GfwVFUz5MkfuWBAMt0t0xmXfQ1RURn+DlcIIYQQQvxGkkAKn3vtkxvoYh7M3Wef6e9QRDuKCwvg8gvO41LPuSzaVoJ1RR6zl//E512+oPzddznXmk308OsJ6jkao8ni73CFEEIIIUQLSAIpfGrVqm/4xrqOsan1JIbf5e9whB8YDYoRPeIY0SOOyro+fPRjEEF7vyOsYiGbv17An5bGcnPSbVwweiqBFqO/wxVCCCGEEEcgk+gcRCbRaVvrnh2PuWEdwTf9TGpCur/DEceRDXuK+WHeSyy3fcfG3N9RZ05kYtocwoL28IeRjxGS2MvfIQohhBBCnJRkEh3hFzvXLqCv7RcWpNzCGZI8ioNkpsWRec1juD2PsmxnOTPX5FOUu4p1uoD7XhvKnsCeLE/Ppk/WhXTvOtLf4QohhBBCCE6SHkil1DjgBcAIvK61fupw20oPZNu5ZloWXRqquP3KJURFRfs7HNEBOFwefl61nJpln9G5eDb3ptYT5bQQEvIWY/vE0zN0Jz27nIYyGPwdqhBCCCHECeuk7oFUShmBl4ExQB7wq1JqptZ6o38jO7FtKihD14XijughyaNoMYvJwKjB2TA4G4frSW5bMY8VOZv5encFCzduIaj737jkWzfnBJ5OWJ9xRPcbQXBwrL/DFkIchstpx+lyoLRCe9zUOWw43S4CgxPxaE119R5cdhvh5hC0201JQxkurYmMHYBHa4qLfkU7aki0ROPxuNlly0cbrMQkj0JrKMibjdntINUaB8DO+nwMlnBikhpHLRTs+YZArUmxxqGArfV7sAbEEZM4AoDcXZ8Tiplka+N1ZFPdTkKDU4hKHA7A7h0fE2kMJsEaCyi21O8mLKQzkXGN/6fK3z2TaEsEMdbGv3O77AWEh6YTHtUTrd0UF/5EpDmccEsYHgWF9hIiwtIICU1DexyUla4lwhpBsCkIN5pKZxWhIYkEBseg3U5qavYQag7BarLiUZp6VwNBQVFYLMF4XA4czmoCTIEYDSY8gBsPJoMVZZT7yYUQvnPC90AqpYYBj2itx3qX7wPQWj/Z3PbHYw+k26OpaXASZDFhMXWMnpe7Pl7NrPVFLLpnFJHBMsOmaB2PR7N8xy5mLXqSnkVbObduI0UWNxcnJzLRNZJTsu7l1C4RxIQESu+kOOk4nS7q6m046uuoqC2jrG4vIWGZOLBQVrKWktIVdDMmopwO9tTnscORR0zibdhVILVFn1Bs+5lJ9iQMLgcrDSWsNVVhCXoGm8dCWP3LVKh13F0WjEG7+CaknlUBLsrr/oVLQxfzE5RZ83itwIYJN89FB7AiwML2Pf/A5dYMSXqEqoBqvs4rBOCuuBi2ma2s2/4sAEPTHsJjquXjgiIAbo6PpdRgZcXOZwAY1Ol+QpWNt4uKAbgyMR63x8ri3Y0Difp1vpdUTz0v7y0B4MLkBEIcgSzMfQKAnl3voa+jjmdLygAYl5JEUn0wP+Y/CkB6tz9zZn0dj5SWAzA8LZmeNaHMKXwIgPgef+aimlr+XF4JwMD0VLIqIpm9914AQnvdyy0VVfy+sgonMLBzGsNK45hdchdBqgpjzye5q7yC66pqqDQYGN4phaHFqcwp+z0xplzs3V7mwdJyJtfUkm8yMi41mSFFGcytuJE0y0Yqur7Lk8WlnGurY5vZzIUpiWQV9GVe1RV0C1hKUecveHFvCSPr6llttXBVUgID84eypO5Cegf+SE7KLP5TUExWg4MlgQHcnhBN36KzWecYR6+Ab8iJncu/C6vo6XCzMNDC47EhZJRMZrseTjfzJ+yIXMi/CupIdmvmBZl5JcpCcsXNFKh+dDX9l13Bi3muyEWkB34INvJhuCKu9s+UG7uQypvsCfiVp/caCUQxN1jzTYiHKMfj2IyxxLtfpcC8midKAkEZmBvkZFGQk2D1TxzGIKId/6JcbeBPFRFoFHOD6tlocWIIfBllMBJS9zx1ehtTaxuT/zkBNeSaPRjCX0QphaX677jde7isPh6N4oeACkqNBkwxzwIKVfEMJlcR5zoSQRn40VyCzWjFFP/Xxt+rkqcJclUyypUIwA/mQlzGMAxxf0EBDcWPE+6qZ7g7Hq0Us015GIxxGOP/DwBb0aPEut0M8TR+uTHLmIvVnIqKuw2ForrwAZLdJgbqxi8nvjHuItTSDRV7EwCVBfeR7gmmr44BYKZxB9HWvhBzNUpBSd6f6KGj6KWjcANfG7YTGzgYYiaj3fWUFTxCbx1NVyJxKDez1U7igk6H6IngLKe46Gn6EkcnwqnDyTx2Exc6GhU5Bm3Pp2TvSwwggSRCqcHOT+whPnw8nvAR6PocSkpeZxCJxBNCBfUsJo/4iEl4QoegbespLX+fITqJGIIoxsYyVUBC5BTcIQPw1P5KWcWnnK5TiCCAQmpZoYpIiL4OV2BPPNU/U171FWfoNEKxkEs1q1Ux8TG34g5Mx1M1l/Lq2YzR6QRgYieVrDOUkhB7F25LPO6Kbyi3LWC8pzNmjGxTFWxSZSTE34/LHI67/DPK65Yw0dMVhWKjKmO7qiQ28a+4DVZcZR9QU7+acz1dAFirSslVNYQnPwlK4Sx9i4aGzYz3pAOwQhVTbHAQktR43XEUv4rHuYsx7jQAlhr2UmmAwKTG6469+EWMjkJGeVIAWBeRzUUTJhMdYm35hb8dnNQ9kEAykNtkOQ8Y4qdYjsmPq3/ltVnTuP28Wzijb7Pn8biyPmcpuZs/49KsqyV5FG3CYFBkZ3QmO2MaAEVlVaxa/BGn53/KypKuvD19NVlhMymL/5n76jJITBtJXL+RxHXqKwmlOG443R6qbDZKK/JxEkKDDqK0bAd5+bNJc4cT6HRRbC9itWs7ISGXUWXsClWzKXF8zqXVIcQ5Haw31zI9wk5A6Q1ssfeib9A7rItby+zcAhLcbn4IDeGJmChitl3PTld3To/+D2vitvPz7lzCPZp14aG8GxVJyPeDKNRJDI9dyProPdxf9CseZebXsCC2Wy0EFlfiMIViNdiwWR2YtBm3MmHCQqA2khYVgMlkIrQujSCPne2RA/EYTMRYquivXJw2LB2TQeEuG4l27OGXzhejDAZ6sJdEg+KCc3tjUFBbdAEGRzFL+nQBZWCopxC70cplWf0xKKgsuBazq5Zl6ekoZeBcVyHaFMx1IwZhUIrSgt9jdTtZ3TMJlOIyey5GcwTXjR4MwN682wj2wKrMOEBxXcNuLAFxXDmm8W/p3tzfEYqVlX0bk5Df1+8iICiFKWc3ri/aM5VIQzArTVEo4M76HEI7O1LAPgAAXntJREFUd+GS2Cw8WpO3/RLiYyJYborG7XHx+4atRCT14ZzYAbhcdRTsGkdEWDRLDJE4tYsb7FuJ6jOYkVF9cTtTKMpdhyklhl9UOPUeO1e4dxDdezhDI3rhboikpGg9DYkJ/EQItZ4GLtK7iO55NgNCu+NpMFBesoXymAR+IohyXcd57lyiug2nZ0g61A2mW+VmCqOHsdgTSDG1jHIVEpV+Cp0CkjDW9SXOtomC8Czs2kyVqiXLVUJkUjfiAqKx1GUQbN9EQWg8No+RBlMt3VwVhMcmEmYKI7g+iU7uMIoDY7F5DDhNNhLd1YSGhGI0WQloCCfaE0iNORK7Bo+qI9RTj9FoxmAA5TZh0UYcyopC49Eu0FDjcNOgnIRqBw6zG5OrFjQ4PQ5sykN5eT0aRbqpghpzHWF1e1Bo6i2aUm0gP7cSj9Z0D8zHYS4joaoIhabCZCRfWdi2qRitoXdkDkZjJV3LtqDQvBsXRIXHytoVeQBkxq8nFBt99i4D4LWEcNzOAFYs2wNAz6QNJLvr6Fe8CIAXkqIItOezZPFuALqkbqCPo45TSqoAeDwllsTqQhZu2wVAavomhjfUM6i0EoD70hLoXl7CvM2j0RpiM7YyyWZjcHlj/dvTkxlYXMnc9acCENJjBymVqxnq/fLils5pDCuoZfaaU7xfXuTQvexXhlXXUGUwcFunFIbusTNnVU/vlxfb6F+6lGE1tRSYjNyRmkz2ds0PFZ28X15sYWjxIoZ5v7y4OyWRQVuszK9KoFvAMoo6b2X03kWc6v3yYkZSAv02zGdRbSR9ghaxu9NWJhb+RHaDnV8CA5iZEEfvtT+ztC6YgaE/sy0lh8vzfybT4WBuUCAz42PJWLWYVfVGhoQvYGNSDjfmLaSz00VxSDBfxUaTvPxXNjucnBo1n3Xx27ljz0Li3G52hobwdUwU0UtWs9vdldOj57M6dif35y4gRGs2hIfxdVQEQYs2UKLjOD12Aauj8nli90IUsCwynFkRobgWbsGmgjk9biFbwot5bM8CAOZFR7AgJIjChTsAxakJi8gPLufBvJ8A+CYmgl8DA9jx0w4AhiQuocpayb35CwH4JC6S7WYrm37aCUBW0jI8phr+VOBdX+ChcuSk4y6BPJKToQfyEmCs1vpG7/JVQLbW+vYm20wFpgKkpaUN2r17t19iPZwv573Eg3te4974G7hi3B3+Dueo7n79bH40FfDf4e/Ru+sp/g5HnODcHs26/Cp+XPwGG8o/4a+FBSSpKl4PD+P9sDDODPoHfbr3oVtEFT1T0rFag/0dsuhgtNbUOdxU1dqoLC9iV+lmPIYEGkzJVFftoTD/bbo6Q4mzu6lylvKdZTdBrjFs84wk1LmYyrB3ubu0ntMaatgQCNcnxtM39zR+qT2PfiGz2Zn6I28X7GWQ3c5PgQH8LiGO/vlns0WPJzPwOwrCvufBEgMJniDWBJr4OsRB58Dfo8OzCKhfQFXd95xt7EGIJZy9Rjs7VRUZna8nKDQJZ81Gamo20ju8J4EBwdiNGodRkxjXh0BrIGYDmEwy3FGIE4n2eJouobVGo9EaDAYT2uPB7XGhlMKgFB6PB5d2YVQmDEYzHo8Ht6segzJgNBjxaA9ujxuj0YLBaAatcbkbMCojBmVoXK+96w0m8Hhwe5wYlAGDMqC1xqM9GAymxi91tQePdmNQBpRS++NTGLzr9y2r/ev3UQZD4/Ep1bjs/Ve0vZO9BzIPSG2ynAIUNN1Aaz0NmAaNQ1jbL7SWyQzrwqf5heQlxPk7lKOqLt/Ln/PX0CNqoCSPol0YDYoBqREMSL0buBuny83GTatwrn2PAdVr+TwX3l6zhtOT/0pJYBUv7o2gKqofeUlpdMo4lYG9z5Q/QCcBj0dT63BRWWunuCIfW4OTOqKprK0lf/c0YuwGkho0roZyvrRuIdAxgLWOi6BhNyrxGW4ur+FSWxXKaOTStGSGFHVlbsVNJFm2UNN1MU+UlzGq1sZmUzAvJkYyyFVIQlgA0SqJEHsINRHd2WJKoMZi4QpzOT1GnMPvEodgcqdTUzGA6KHdqQ6NY2BgIEssVoICorz3sZ0F/GP/cXQDLj7gyDKB3x3hyM/wvoQQJ4uDR94c8hfOYMTI/744MgJmmvR+GQ1gDj3iZ5hM//sytrH+4fe/b5umS4YmJYfEp9QBZQf/jZaRRf53MiSQvwLdlFKdgXxgCnC5f0P6baICQ4h2OMl3Hv+/MJtmPscQ3cCokY/6OxRxkjKbjPTum0Vv73Bvj0ezvaSWH345l+riX3HrYvoVfcaLhigchR+y6ZN/0CM+lG7mf5MaHMWILueR3H0QkZFRfj4S0ZwGp5vqeicVNjtVDW4q6xzk7Z6J0VZDjN2ArqtggV4D7iQ2u6+gqt5JfNidDLU5uKa6hkRqmdg5meyKKGbvvQcDLkJ6fsfNldWcXVmFDTPPpMaT7SkkMyaMCEt3KiqjcUX3Z21yF9yBYfzRUEr3viN5qNMZBJiGU1d1OgmRaRAcS0+DkXkHRDwYuPKAklEHLMXQmAQKIYQQHcMJn0BqrV1KqduA72n8AuRNrfUGP4f1m9hoYGlwEHWuSn+HckS1ddV8YJtBXmh/LuqT7e9whAAa75/sFh9Kt0kP7C9z2O1cs2oGu4ry6JGQzJaiGlawGnOZjT5b3oHv4PKEFOJdXTHF/5X0mGCC6mbQK2kQvXsMISwo0I9H1PFprbE5GpO/qnonRcXbqKkqJKjeg7O2jA221dS5jRSar6Cyzkm46wHindVcWuEiXNdwR4qJMEcQ8/IaJ7vIyHiBwfZ6nvROlPJiahIZ7hKiQ66jS2wwtppALMHRlIQNoyQwgmtNpaT1Gcwdlw4nPMhMRZGFlOjOENGJYHMg8w+JeOEBS4eMrQiX650QQoiTxwmfQAJorb8FvvV3HMeqyFnGPXExXO8oOPrGfvTlom9YE2BkcJcJ/g5FiCOyWK2MGTr5gDK3exX5ezawfucmbLlrCbbPx0ggC7aWMGPlNgJ7vMXUbS8w6Mtatqs47k8MpJMehiHuVhJCwGz7gu5xg0hP7UdEZCwRQRZMxuN/1MCx0FpT73RTXe+ipsFJeU05FZVFmBtcOGor2FOzlUpHOTXBl1LT4MJQ+TJm1y7GV1oIctfwUWQVJUYDP3lnyszqdD9BBhvvFjbOtPlJQhwNykpF1STCg8y4TXW4lJuAiARsAb0Yaq4lJDKdG8YOISLIzN7cu0kMiUYnZKKCophlDW28T2e/xQfE3+ug40mOGO/D1hJCCCFOLCdFAtnRdU8fRfrnG7EOG+vvUI7o821pmGzPMeWaUUffWIjjjNFoJq3zAOg8ALjsgKmaq+tq+XllOeayUtaHFVNXvR2XYQ/2Ohs/bSjCYt9EbbdPeHT7q2TMsbHTaOGipDgyyrLZrq4mJTCfUNMbZOsuJFhSqDdbyDFXEhc7htDI3gQYalGOPGLD0wgKDMNosmA2m7GYjJiNBkxGhdlowGxsnPAAQGvQ6Cbv2T/RgMcDDrcHh9uD09X4r93hwuly4HbYqbfXUNNQgSGgE/UuRWXZOmqqVtPJFQPOenIdu8nzFFJrvY1qp5Gw+vdxq9VMKQ8mwF3L3LAaVgW7WLHzaUAxPOkJ8oMr+DG38Uuu+2OiWBoYSPmOMwgNMJERspFqSwW9lBF7YDhJ5jACrEE8c1E/woPMlOddRiANlA8bQnB4DH+3GLAGxxMaluw9AwcmgD0POnd9kq8/YPnETNuFEEKI44MkkB1AWGgc6+xDGW06fh+YvnLbFtbuKeEv5/bHZDIfvYIQHUhYUAgTTp96QNlpTd5X2waxYn0YISn1bKiooMSWS3/XJpKTehJsjMBTtYKVlmKuLNnIafUNrLRaeTgpnn5LqlhUez59Q+ayK3UubxbuJbOhcSbO2+Jj6b5nDL/WncWAkO+oTJzHY4UOUh2KX4MMvB5txJg7hU2OgWSFfUl13C88UeAg3qVZEGLg9Wgzhp03sNPVg9Oi3mJt/BYW7s4j0uPhnbBQ/h4dSdjW35PvTmV4zL9ZHbuH5bv2YNXwSkQY30ZG0LNkL86AOCKMe9hsKae7sQqXNZR4axiJRs2TE3sQEhxMdeHF1NXlUNRjKNaQSK43u7nOGkBGxhhvC/1yQNv938ENnHnPAYsdZyJzIYQQ4uQjCWQHUFuTx6mRH+CsvgDo7u9wmvX6vKn071zKpAG/+jsUIdpdWHAUI4dce0DZmQcsnQL8H7idYK+hp62YD8u2Ez62Nx5zHIVF0azNcaPCotjqMeByljPJuZsep47kwrC+VBcXsKl4A6aYaJweI0GGOjIMFQwY3IsLwnrSUNqLrZWbIDYRuzITZqhjkKpmyOj+WCJ6YCs+m56lmvzMiVSZgumkbPzeXc6w684iPCKR2opwqqq2wMj+EBjG1QouN1kIC031zgQ644CjuengBuh/1wGLkW3RqEIIIYQ4LrX4OZBKqWCgQWvt9m1I/pWVlaWXL1/u7zAOkLN9NpN+vpvJ6iwevPp5f4dziMrSvcx7K4s1ob145JbZ/g5HCCGEEEII0QpHeg7kYW8VUUoZlFKXK6W+UUoVA5uBQqXUBqXUs0qpbr4KWByoU0gy3+bm09WT5O9QmrVp1qtMslVzzemP+TsUIYQQQgghhA8daa6BeUBX4D4gQWudqrWOA4YDS4CnlFJXHqG+aCNmo5VUlxuj5/ibGsLtcrO74GPWmXvSuc9Qf4cjhBBCCCGE8KEj3QN5ltbaeXCh1roc+Az4TCkls6W0g1p3A7NCgyl1l/s7lEPM+Oltnog3cENgFn39HYwQQgghhBDCpw7bpdVc8qiUClZKXamU+uZw24i2V+Wy8WhMNLns9Xcoh/ipqD+9Cs/iqjH3+zsUIYQQQgghhI8ddUykUsqilLpAKfUxUAiMBl71eWRiv/jYvgwsnYrDMvnoG7ejqnon324ooXvP64mOPD7vzxRCCCGEEEK0ncMOYVVKjQEuA8bSeD/ke0C21vq6dopNeJksQRSbBmAm0N+hHODdrx/kjMjlXNTvLX+HIoQQQgghhGgHR+qB/J7GSXRO11pfqbX+CvC0T1iiqfq6croYXyawYbG/QznAnr2zyQ0von8n6X0UQgghhBDiZHCkBHIQjbOtzlVKzVFK3QAY2ycs0VRdXSkLQzdg8Bw/CeT2zat5tnQ7f7FOxGCUHwshhBBCCCFOBkeaRGeV1voerXVX4BHgFMCilPpOKTW1vQIUEBkQyYLdeWTWJfs7lP3yF7yJWyv6nH2Lv0MRQgghhBBCtJMWPVhQa71Ia30bkAw8DwzzaVTiAAajiSiPB6P2dySNnE4Xz6sfeD6mJ5Hxaf4ORwghhBBCCNFOjvQcyP2UUhcCpwMa+Fkm0mlfTo+HD8NCKeL4eA7kT5u3EGwPJSRlhL9DEUIIIYQQQrSjljzG49/ALcA6YD1ws1LqZV8FpJR6Vim1WSm1Vin1hVIqwluerpSqV0qt9r5ebVJnkFJqnVIqRyn1olJKecutSqmPvOVLlVLpvorbl5x4eDY6kjzz8ZFAfrvZxZrSR7n23Cf9HYoQQgghhBCiHbVkCOsZwFit9Vta67eACcCZPoxpDtBHa90P2Arc12Tddq31AO+r6c13rwBTgW7e1zhv+Q1AhdY6g8aht0/7MG6fCQyI4lz9MLn2a/wdCrX1NrZs+oFxmfEEmGXyHCGEEEIIIU4mLUkgtwBNb3RLBdb6JhzQWs/WWru8i0uAlCNtr5RKBMK01ou11hp4F7jAu/p84B3v+0+B0ft6JzsSZTTSEJhBtSfc36Hw0dwX2J32JkPCf/R3KEIIIYQQQoh21pIEMhrYpJSar5SaD2wEYpVSM5VSM30aHVwPfNdkubNSapVSaoFSari3LBnIa7JNnrds37pcAG9SWkXj8XQoHrcLU/VfSVZz/R0KCduWclNFPeeMuNHfoQghhBBCCCHaWUsm0XmorT9UKTUXSGhm1f1a6xnebe4HXMB/vesKgTStdZlSahDwpVIqE2iuR3HffKVHWtc0nqk0DoElLe34m1VUKQOzLFvJttT5NY6q6irOqFpCYuzZBAaG+TUWceJIT08nNDQUo9GIyWRi+fLllJeXc+mll7Jr1y7S09P5+OOPiYyMBODJJ5/kjTfewGg08uKLLzJ27FgAVqxYwbXXXkt9fT0TJkzghRdeoAMOOBBCCCGEOK4dtgdy31BPrfWCw72AhcfyoVrrs7TWfZp57UserwHOBa7wDktFa23XWpd5368AtgPdaexxbDrMNQUo8L7Po3HILUopExAOh05lqrWeprXO0lpnxcbGHssh+ZQyGPh1Vy5ZFc3l3O3nu7mvsDlQE5o12a9xiBPPvHnzWL16NcuXLwfgqaeeYvTo0Wzbto3Ro0fz1FNPAbBx40amT5/Ohg0bmDVrFr/73e9wu90A3HrrrUybNo1t27axbds2Zs2a5bfjEUIIIYQ4UR2pB3KeUuozYIbWes++QqWUhcZHelwDzAPebsuAlFLjgHuAM7TWdU3KY4FyrbVbKdWFxslydmity5VSNUqpocBS4GrgX95qM71xLgYuBn7cl5B2NBZtwODn0OcWz+SluFjmDTrLr3GIE9+MGTOYP38+ANdccw1nnnkmTz/9NDNmzGDKlClYrVY6d+5MRkYGy5YtIz09nerqaoYNa3xE7dVXX82XX37J+PHjj/g5MTExpKen+/hohBBCCCH+Z9euXZSWlvo7jGN2pARyHI33IH6olOoMVAIBgBGYDTyvtV7tg5heAqzAHG8n6BLvjKsjgMeUUi7ADdyitd7Xm3grjYlsII33TO67b/IN4D2lVA6NPY9TfBBvu/hPRCi5dZV++/yqOidLc2/n8r6lmM2BfotDnHiUUpx99tkopbj55puZOnUqe/fuJTExEYDExESKi4sByM/PZ+jQofvrpqSkkJ+fj9lsJiUl5ZDy5kybNo1p06YBEBwcvL/XUwghhBCiPWRlZfk7hFY5bAKptW4A/g38WyllBmKAeq11pS8D8j5yo7nyz4DPDrNuOdCnmfIG4JI2DdBP/hMeSm9djdbaL/d1zd20lxp3GONOHXf0jYX4DRYtWkRSUhLFxcWMGTOGnj17Hnbb5gYQKKUOW96cqVOnMnXqVKDjX8CFEEIIIdpbS2ZhRWvt1FoX+jp5FId3U/zr/Lr3d7g9/hnGuuTXPzIh7gP6p0T45fPFiSspKQmAuLg4Jk2axLJly4iPj6ewsBCAwsJC4uLigMaexdzc3P118/LySEpKIiUlhby8vEPKhRBCCCFE22pRAin8zxMUSz2BON3tn0DW2OrYpTaiQ3djMMislqLt2Gw2ampq9r+fPXs2ffr0YeLEibzzTuMjXN955x3OP/98ACZOnMj06dOx2+3s3LmTbdu2kZ2dTWJiIqGhoSxZsgStNe++++7+OkIIIYQQou205DEe4jhQtPseBobG4PScTSDGdv3sDYu+4ePCAtac8Zd2/Vxx4tu7dy+TJk0CwOVycfnllzNu3DgGDx7M5MmTeeONN0hLS+OTTz4BIDMzk8mTJ9O7d29MJhMvv/wyRmPj78Mrr7yy/zEe48ePP+oEOkIIIYQQ4reTBLKDmO/eRHpwFE6Xp90/27X+S+oIoO9pF7f7Z4sTW5cuXVizZs0h5dHR0fzwww/N1rn//vu5//77DynPyspi/fr1bR6jEEIIIYT4n8MmkEqpGqC58ZIK0FpreZJ8O/q+qI5P63q0+xBWW309LwWv5ExrP26xyOyrQgghhBBCnMyONAtraHsGIo5MKwMGNE53+/ZAzl27Ao8ngIBOw9r1c4UQQgghhBDHHxnC2kG8EWqmWFUztJ0TyJ/3hLJp71P8d+qYdv1cIYQQQgghxPFHZmHtIL4LNLEnsB5XOz7Gw+PRrNi8mTN7xGE2yo+KEEIIIYQQJzvJCjqIOwZ/zU+F9+Jox0l05q+aS03KY/S1TG+3zxRCCCGEEEIcvySB7CBMliDcGNu1B7Js/VwurLExvN+EdvtMIYQQQgghxPHrWGZhBUBmYW1fK9bcyamRbpzu9pvMJiN3CcN0CCndzmi3zxRCCCGEEEIcv446C6tS6jGgCHiPxkd4XAHIDK3tbHXteixB5nabhXVH/m5C9UZKk6aQ0i6fKIQQQgghhDjetWQI61it9b+11jVa62qt9SvARb4OTBzotfowrikOarfnQM785WUmpSVQ0rNfu3yeEEIIIYQQ4vjXkgTSrZS6QillVEoZlFJXAG5fByYOYjBhwo2rnXogt1YOZGhFb87MvrJdPk8IIYQQQghx/GtJAnk5MBnY631d4i0T7ehDi50fI+vbZQhrncPF3N3hpHR9CLM50OefJ4QQQgghhOgYjppAaq13aa3P11rHaK1jtdYXaK13+SogpdQjSql8pdRq72tCk3X3KaVylFJblFJjm5QPUkqt8657USmlvOVWpdRH3vKlSql0X8Xta+uMbrZZPTjaYQjr3KXfMTToI0Z2Nvv8s4QQQgghhBAdx1ETSKVUd6XUD0qp9d7lfkqpB3wc1/Na6wHe17fez+0NTAEygXHAv5VSRu/2rwBTgW7e1zhv+Q1AhdY6A3geeNrHcfvMnef9yM+5T7TLENZFW95kVfIKuse13yNDhBBCCCGEEMe/lgxh/Q9wH+AE0FqvpTGRa2/nA9O11nat9U4gB8hWSiUCYVrrxVprDbwLXNCkzjve958Co/f1TnY0ZlPjqWqPIawXFxTybLGFhLgePv8sIYQQQgghRMfRkgQySGu97KAyly+CaeI2pdRapdSbSqlIb1kykNtkmzxvWbL3/cHlB9TRWruAKiDal4H7ypyf/48R8c/5fBbWvMK9DHBvJTF2hE8/RwghhBBCCNHxtCSBLFVKdQU0gFLqYqCwNR+qlJqrlFrfzOt8GoejdgUGeD/nH/uqNbMrfYTyI9U5OJ6pSqnlSqnlJSUlv/Vw2sXuio24A4p83gM5Z/HrfBAeREjf0T79HCF8YdasWfTo0YOMjAyeeuopf4cjhBBCCHHCMbVgm98D04CeSql8YCfQqmc7aK3Pasl2Sqn/AF97F/OA1CarU4ACb3lKM+VN6+QppUxAOFDeTDzTaDxGsrKyjssb//4S0IWCbXnMzvRteKtLFrMkMpzL+pzp088Roq253W5+//vfM2fOHFJSUhg8eDATJ06kd+/e/g5NCCGEEOKE0ZJZWHd4E75YoKfW+nQfz8Ka2GRxErDe+34mMMU7s2pnGifLWaa1LgRqlFJDvfc3Xg3MaFLnGu/7i4EfvfdJdjjKaMak3Dh82APpdHv4seB2xgQ9h8Ua4rPPEcIXli1bRkZGBl26dMFisTBlyhRmzJhx9IpH8fnKPO56dyavL9zO2rxKOuglRAghhBCiTRy2B1IpdddhygHQWj/no5ieUUoNoHGo6S7gZu/nbVBKfQxspPEezN9rrd3eOrcCbwOBwHfeF8AbwHtKqRwaex79MflPm5jhLmN5jJkEH94DuSa3khq7i1N7D/TZZwjhK/n5+aSm/m+QQkpKCkuXLj1ku2nTpjFt2jQAWjJkPW/Hu8zzfMCmeefx+Len0zsxjOtOS2fSKcmYjC25C0AIIYQQ4sRxpCGsod5/ewCDaezNAzgPWOirgLTWVx1h3RPAE82ULwf6NFPeAFzSpgH6yV6DZqvFRLQPeyDnLHyQs5J+JSv566NvLMRxprmeweYmXZ46dSpTp04FICsr66j7vXHcjdjfeZuLuu1iSddbefuXnfzp07W8/tNOHpmYybCuHXJeLiGEEEKIY3LYr8+11o9qrR8FYoCBWuu7tdZ3A4M48J5D0Q5+d9GnrM/9u08n0WmoXEVpoI24qDiffYYQvpKSkkJu7v8mas7LyyMpKanV+w0KieNPXS+mS85sLu9l5vs7RvDqlQOxOVxc9p8l3PvZWmx2X09MLYQQQghxfGjJ+Ks0wNFk2QGk+yQacURmo8Fnj/GorLHxp7LtPOLO9sn+hfC1wYMHs23bNnbu3InD4WD69OlMnDixbXaefSNbjQbm/fRXlFKM65PI3LvO4JYzuvLR8lzOefEn1udXtc1nCSGEEEIcx1qSQL4HLFNKPaKUehhYCrzj27DEwb5b8AgD4h/wWQ/kxhULCFENhPRs0QS5Qhx3TCYTL730EmPHjqVXr15MnjyZzMzMttl5VBdeTM3g2b0L0e7GW68DzEbuHd+TD28ait3l4eJXf+HrtQVH2ZEQQgghRMd2xMd4eGc1fZfGSWmGe4uv01qv8nVg4kAVVbuoM1fjdPlmqNw3OW/yn4Q4Xj5lpE/2L0R7mDBhAhMmTPDJvv80/AnCAqNRRuMB5UO7RPPV7adzy3sruO2DVWwtquGOs7pjMDT3GFohhBBCiI7tiD2Q3kdefKm1Xqm1fsH7kuTRDy4Pz+Tz/CLcbvfRNz4GpbYADMQQFN76e8aEOBF16jyKyIT+AGjPgSMBYkKs/PemIUzOSuHFH3O49b8r5L5IIYQQQpyQWjKEdYlSarDPIxFHZmjs9dBuZ5vvuri6ge8LL2Nwr/fbfN9CnEjq68q59Z2hvD/r1kPWWU1Gnr6oHw+e25s5G/dyyauLKamx+yFKIYQQQgjfaUkCOZLGJHK7UmqtUmqdUmqtrwMTB/qxPp/fx8fidNa0+b5/2bQNCw5OlccRCHFEgUFRBKGxbp8PDtsh65VS3HB6Z964djA7S21c/Oov7Cmra/9AhRBCCCF8pCUJ5HigCzCKxmdAnuv9V7SjBpOFQqMVp6fth8X9vPZ+UrrdT7co38zwKsSJ5B8jX2RyWREseuGw24zsEccHNw2hqt7JRa/+wsaC6naMUAghhBDCd46aQGqtdwMRNCaN5wER3jLRjiaMehKX4zXqaPtewj4VRYyssxAYFNnm+xbihJM2BPpews/L/01e3uLDbnZKWiSf3jIMk0Fx6WuLWbKjrB2DFEIIIYTwjaMmkEqpPwL/BeK8r/eVUrf7OjBxqMbnQLbtYzwKS8u43JbD+cEj2nS/QpzIKkf8ibtiInh74cNH3C4jLpTPbj2VuDArV7+5jNkbitopQiGEEEII32jJENYbgCFa64e01g8BQ4GbfBuWONjy1W9jMdyG1bG5Tfe7atlX1Bs14b1Htel+hTiRRcT24PWBf+Ke8z886rZJEYF8esup9E4M45b3V/Dxr7ntEKEQQgghhG+0JIFUQNNnR7i9ZaIdeeyVGKnF7K5q0/3OyfuYkWnJxGSe2qb7FeJE16//NZiDo3HYa6ipzj/itpHBFj64aQind4vlz5+t5d/zc2h8SpIQQgghRMfSkgTyLWCpUuoRpdQjwBLgDZ9GJQ6RHdmb9wv3EuIMbtP95lcNZ5Q9i+DQuDbdrxAnA5ezgSs/GM5jMy876rZBFhOvX53FxP5JPDNrC098swmPR5JIIYQQQnQspqNtoLV+Tik1Hzidxp7H67TWq3wdmDiIyQqAwdN2z5XLLa9jWVk/Jpw6pc32KcTJxGQOYFLsIJI3fA1rP4F+lxxxe4vJwD8vHUBUsIXXf95JeZ2Dpy/qh9nYku/yhBBCCCH876gJpFJqKLBBa73SuxyqlBqitV7q8+jEftvtZfw1IQ5LXV6b7XPhiu8ZHLSQIWlZbbZPIU42l41/DfaeA1/9EXd8b4zxmUfc3mBQPHxeb6KDLfxjzlaq6py8dPlAAi3GdopYCCGEEOLYteRr71eA2ibLNm+ZTyilPlJKrfa+dimlVnvL05VS9U3WvdqkziCl1DqlVI5S6kWllPKWW737y1FKLVVKpfsqbl9TllCchgAaPG33n8yVu95ka9ocksPdR99YCNE8owkueYvvQkO57JvLsNUefaZVpRS3j+7G4xf04cctxVz1xlKq6pztEKwQQgghROu0aBId3WS2B621hxb0XB4rrfWlWusBWusBwGfA501Wb9+3Tmt9S5PyV4CpQDfva5y3/AagQmudATwPPO2ruH2tS9cxJEW+T45zZJvt87zich4psxAWltRm+xTipBSWRMzwPxOJEUddy5/3eOXQTrx8+UDW5lVx6bTF7K1u8GGQQgghhBCt15IEcodS6g9KKbP39Udgh68D8/YiTgaOOE++UioRCNNaL/Ymuu8CF3hXnw+8433/KTB6X+9kR2QyGnC422bSjdKqWrId28gIz26T/Qlxsht8yg28es1yIuMy0Z6WP691Qt9E3rpuMLnldVz0yi/sKrX5MEohhBBCiNZpSQJ5C3AqkA/kAUNo7O3zteHAXq31tiZlnZVSq5RSC5RSw71lyd649snzlu1blwugtXYBVUC0b8P2jfLyHHLKrqSH5ZM22d+i5TOZG2qCroPbZH9CCFBGI/aGKu7873De/+6Wo1fwOi0jhg+nDqXO4ebiV39hfX7bPq5HCCGEEKKtHDWB1FoXa62naK3jtNbxWuvLtdbFrflQpdRcpdT6Zl7nN9nsMg7sfSwE0rTWpwB3AR8opcJo/pmU+7rpjrSuaTxTlVLLlVLLS0pKju2gfMyIgUi3jXBPXZvs79fdX3N/bDRRvYa0yf6E+K0eeeQRkpOTGTBgAAMGDODbb7/dv+7JJ58kIyODHj168P333+8vX7FiBX379iUjI4M//OEP+5+laLfbufTSS8nIyGDIkCHs2rWrvQ9nP5MpEAUYNn4Fq95vcb1+KRF8csswrCYjk19bzI+b9/ouSCGEEEKIY3TUBFIp1V0p9YNSar13uZ9S6oHWfKjW+iytdZ9mXjO8n2ECLgQ+alLHrrUu875fAWwHutPY45jSZPcpQIH3fR6Q2mSf4UB5M/FM01pnaa2zYmNjW3NoPhMeFMO0ohLSbGFtsr91tqkMs91CckKfNtmfEMfizjvvZPXq1axevZoJEyYAsHHjRqZPn86GDRuYNWsWv/vd73C7Gyd6uvXWW5k2bRrbtm1j27ZtzJo1C4A33niDyMhIcnJyuPPOO7nnnnv8dkxGk4XnpvzA5XHZMOM26tcccRT+AbrGhvDF706la2wIN76znHd+2eW7QIUQQgghjkFLhrD+B7gPcAJordcCvn5w4FnAZq31/qGpSqlYpZTR+74LjZPl7NBaFwI1Sqmh3vsbrwZmeKvNBK7xvr8Y+LHphEAdivc5kEbtoLWHUOdwsb6wjm5dR7dFZEK0qRkzZjBlyhSsViudO3cmIyODZcuWUVhYSHV1NcOGDUMpxdVXX82XX365v8411zT+ql988cX88MMPrf49aQ1lDoBL/8uO9CGcs/yvzFrwcIvrxoUF8NHNQxndK56HZ27gkZkbcHs65mVLCCGEECeeliSQQVrrZQeVuXwRTBNTOHTynBHAWqXUGhonxLlFa72vN/FW4HUgh8aeye+85W8A0UqpHBqHvd7r47h9x2hhSlI828LzW/2fyYUrZ3NW7FNkhu1uo+CEODYvvfQS/fr14/rrr6eiogKA/Px8UlNT92+TkpJCfn4++fn5pKSkHFJ+cB2TyUR4eDhlZc3Phjpt2jSysrLIysrCp0PWLUEkTP4v2ZZoeiX9tqHiQRYTr145iBtP78zbv+zi5veWY7P7+rIrhBBCCHF0LUkgS5VSXfHeO6iUupjG+xF9Rmt9rdb61YPKPtNaZ2qt+2utB2qtv2qybrl3CGxXrfVt+3oZtdYNWutLtNYZWutsrbXPZ4/1GaUIV6HUu8NwtTKB3LDta5ZGlpMe47OnsQgBwFlnnUWfPn0Oec2YMYNbb72V7du3s3r1ahITE7n77rsBmu05VEodtvxIdZozdepUli9fzvLly/H1kPWgoBieuuonOnVrHJ67YMlzeNwtSwSNBsUD5/bmr+dn8uPmYia/tpiiKnnMhxBCCCH8qyUZxO+BaUBPpVQ+sBO4wqdRiWYN7vkJj3+zCYfbQ4DZeMz7yS7cy2UNNSRe3XbPlBSiOXPnzm3RdjfddBPnnnsu0NizmJubu39dXl4eSUlJpKSkkJeXd0h50zopKSm4XC6qqqqIiopqwyNpvRVr3uG2LW/xyPbZXHTJZ2AJblG9q4alkxIZxG0frOSClxfx2lWD6J8a4dtghRBCCCEOoyWzsO7QWp8FxAI9gTOB030cl2iGxdR4uhyulj9j7mAut4fOtjVUhPZHGVrSAS2EbxQW/m8gwxdffEGfPo0TOk2cOJHp06djt9vZuXMn27ZtIzs7m8TEREJDQ1myZAlaa959913OP//8/XXeeafxka+ffvopo0aNOmwPpL8M6nc1/0yewPk5S+HNcVCV3+K6I3vG8cktp2I0KC55bTGfLM89eiUhhBBCCB84bAahlApTSt2nlHpJKTUGqKNxQpocYHJ7BSj+58eNFzMi/h80ON3HvI+lG5fwj3jNjuQebRiZEL/dn//8Z/r27Uu/fv2YN28ezz//PACZmZlMnjyZ3r17M27cOF5++WWMxsYe91deeYUbb7yRjIwMunbtyvjx4wG44YYbKCsrIyMjg+eee46nnnrKb8d1WEox+qynMV32ETUVO5n68dmsWd/yGVp7J4Xx1e2nMzg9kj99upaHZqzH6T72L5OEEEIIIY7FkYawvgdUAIuBm4A/AxbgAq31at+HJg7WyWHH4XZgb0UP5Oqc5ayzBHBx14FtGJkQv91777132HX3338/999//yHlWVlZrF+//pDygIAAPvnkkzaNz2e6n03ZpW9RMP8uHLVFv6lqVLCFd67L5pnvtzBt4Q42F9bw0hWnEBca4KNghRBCCCEOdKQEsovWui+AUup1oBRI01rXtEtk4hD/1xDAL1XWVvVAbm4Yjq0kk9MGySM8hPCX9C5j+CJ5MWZrCADzFv+d/j0uICoq46h1TUYDf5nQiz7J4dzz6VomvPAzL0wZwGkZMb4OWwghhBDiiPdAOve90Vq7gZ2SPPqXNgUQgIMGZyt6IHMrGCATcAjhd/uSx+qqXP6y+W3++cn5sGN+i+tP7J/EjNtOIzLIzJVvLOW5OVvleZFCCCGE8LkjJZD9lVLV3lcN0G/fe6VUdXsFKP7n8SA7byXUYHcdWw9kYVkpMeG3002928aRCSGOVVh4Km+f9hR3OQPg3fOpmHkbthYObe0eH8qM207jooEpvPjDNq58fSnF1fKoDyGEEEL4zmETSK21UWsd5n2Faq1NTd6HtWeQolFSUDpmexT2Y+yBXLd+HvEeO4mRx9fjDYQ42fXofi4RU3+CYbfxSN53XP7xGFz2lg34CLKY+Psl/Xn24n6syq1g7D8XMmv9b7u3UgghhBCipeQ5Dh3I2DNfZ07x3cd8D6R51yZe3VvC+dnXtXFkQohWswTB2Ce4bvhj3JA8CpM1FID6uvIWVb8kK5Wvbx9OcmQgt7y/gj9/uoZau8uXEQshhBDiJCQJZAdi9T4H8lhnYbXsXUGJiiEoJq0twxJCtKEBfa9g4tgXAPh11RuM+2gEGxb9HTxH/73PiAvh81tP4/cju/LpijwmvPATy3e1LAEVQgghhGgJSSA7kBnzr6dX13uOqQfS49E8FZnDS/HJPohMCOELEaHJZBNIl7mPw1vjcBasOmodi8nAn8b25OObh6HRXPLaYh79agN1DumNFEIIIUTrSQLZgXQ2BjKsvu6YEsjte8uIqIsmKirLB5EJIXyhW8Y4nr1mKYETX8JdlsM1X0/h359d0qK6WelRfPfHEVw1tBNvLdrF2H8uZFFOqY8jFkIIIcSJThLIDmRCWHceKi/D7vztPQnrChv4qfAexo141AeRCSF8xmCAU67EfstP9I/oTpewTgC4XQ4a6iuOWDXEauKx8/vw8c3DMBkMXPH6Uu75dC0VNkd7RC6EEEKIE5DJ3wGIljNZgwFw2et/c91N2zcSatFkxIW0dVhCiHYQFJbMPZO/2r88c8EDvLz7W97vNZWE7FvBaD5s3ezOUXz3x+H8c+42/vPTDmZvLOLe8T25ZFAqBoNqj/CFEEKIE57WmjqHm3Kbg/LaBmoqiykt2cWeqo3YzVmUOSJwVMz3d5itJglkB/JV/R6eTUtmYkMB0O831V1T/mdOSQaj4VzfBCeEaFed4/pz2u55xH//ICz9DzuybyB18FTM5qBmtw8wG7l3fE/OH5DEQzPWc89n6/hwWS6PX9CHPsnh7Ry9EEIIcfxzuT1U1jspr2mgtLaeqgZNSUUeewu+Ir7eTGh9HZX2fBZZd1JXM54VNafQzfIDFSnf8XJRCac77MwPDOTBhFh67c5np3E8A0K2+vuwWk0SyA4kNTaT3pt/wRFh/E31Gux2JtWUUxI20EeRCSHa24C+VzCgz+WwbQ4N8x7nxk2vkZ3zKU9d/fMR6/VKDOPjm4fxxap8/vbtJia+9DOXDk7lzjHdiQsNaKfohRBCiPbVtHewpLKC6ooinNWV2KoKWF+zAje9ydMDaajdgVL/YGSVYmidjVpLLTenBNO/sC/zqq6ka8AKijt/wfMlJZxVV88GSwBvh8cwOqCSPn3TCXNns7t0NdVdRrMnvDPJIcG8YHKQdf55hEV0QntGMfi9bH83R6v4JYFUSl0CPAL0ArK11subrLsPuAFwA3/QWn/vLR8EvA0EAt8Cf9Raa6WUFXgXGASUAZdqrXd561wDPODd9eNa63d8fnA+NPCUG1j5TRoxKuE31du58Vcuq61iTW/pfRTihKIUdD8ba8ZZPLTseaIDogGoqc5n3vKXGX/a/Zi9Q98PrKa4cGAKo3vF88+5W3lv8W5mri7gljO6cuPwLgRaftuXVEIIIUR72987WGunsHQPVbZ6qnUc5TUNlOQ9Q0KDonOdxmgv4+3IPQTVZPBD+TUEGyox9HiKu8squLa6hiqD4sFOqYwo30qu6klCgJkikw1zUBCWoHTMgWGca6gma+gY/tRjOCGmQZQUdaVbXB8I70RmQDgLVNPbQXoBkw+ItVuT98rQ8aeg8VcP5HrgQuC1poVKqd7AFCATSALmKqW6a63dwCvAVGAJjQnkOOA7GpPNCq11hlJqCvA0cKlSKgp4GMgCNLBCKTVTa33kWSeOc1aT8TfPwrpj81xSlCKpz3AfRSWE8CdlMHDm0Lv3L3/9y5P8be8CerzyOT2yboZB10FgxCH1wgPNPHxeJlcPS+ep7zbxjzlb+WDZHu4a051JpyRjMnb8P3JCCCE6hjqHi7JaB+U2B0WlO6ktz8dia8BVW8LquhXY3aHkeC6h3OYgKehP9G5o4PqqGjpRw7WdEsisCWNOYWO/UXyPJVzorGVUTT02YzivmwJJj7Fw75CeRAaa2JGTSVL3DIpj+hIYEccXZicJsZmEhO173N2kA2IbcMBSGGlxV7RDixy//JJAaq03QeO34Ac5H5iutbYDO5VSOUC2UmoXEKa1Xuyt9y5wAY0J5Pk09mYCfAq8pBp3PBaYo7Uu99aZQ2PS+aHPDszHVq55F1f805iqL+XgH+UjmVk9l2dSkpmf0u3oGwshOrwpZ79I5pq36LFuJsx9hH+tehlHQiZ3XfgZynjoZb9zTDCvXZXF0h1lPPHtJv706VpeWbCdO8/qzjl9E2WiHSGEEL9Zg9NNmc1BeXU9BSXbKa/aS42pF2W1DmqLXsNSX0BWjZkARwWfRxZi9wQw15sAZna5h66uel4sbnz81DvJCUR5grCYLqVXUhgOmwmzIYKa0IHUBMVwjaWKlC79eeK60UQEWagoSyU8NJmAoGgCgE8ODi57+gGLob5vjhPK8XYPZDKNPYz75HnLnN73B5fvq5MLoLV2KaWqgOim5c3UOYBSaiqNvZukpaW1+iB8JS4olotqaqm3/LZeAVvNKLJDS0+ILnPRsXzyySc88sgjbNq0iWXLlpGV9b/nkD755JO88cYbGI1GXnzxRcaOHQvAihUruPbaa6mvr2fChAm88MILKKWw2+1cffXVrFixgujoaD766CPS09MBeOedd3j88ccBeOCBB7jmmmva/ViPJ8pgoN8pN8ApN0DhWqrm3YW9vnx/8piT8z1du4w55JowpEs0M35/Gt9vKOK5OVu5/cNVvDwvhzvHdGdMr3hJJIUQ4iTmcHmoqHNQWmsnf28OZaVbCK8Dd00Jm+o3UOKqIEffQVmtg66WJzCaC3mmuJJMbLyaEEO+0crqHc9gMRkYmLwQzLXc7aijzhTBfFMAHmsoz5zWj+hgC4W7LiTaZMI2cihBEfG8ZbIQHJaCxbov1Vt8QGwHd5HEx/+2ySbFb+OzBFIpNRdo7ma9+7XWMw5XrZkyfYTyY61zYKHW04BpAFlZWc1uczxICevEn8sreSHK2uI65TYHP5cO4d7BPX0YmRDN69OnD59//jk333zzAeUbN25k+vTpbNiwgYKCAs466yy2bt2K0Wjk1ltvZdq0aQwdOpQJEyYwa9Ysxo8fzxtvvEFkZCQ5OTlMnz6de+65h48++ojy8nIeffRRli9fjlKKQYMGMXHiRCIjI/101MeZxH48cPlctLtx6HtR0Wou+vlu7vjBzHX9p0L/KRD4v7ZSSjGuTyJjeifw9doCnp+zlZvfW0G3uBBuPqMrE/snYTHJl1FCCNHRudweKuqclFdVUbg3h7yyLbgCT6es3kNV4cfU1C/mnKpQAhwV/BRcytJgO+t2PokbI8MTn2RXaDk/7ckHYGV0JMuDgkjRRjqlRRBki8buqae802lUhMQwzurCExLO6GvGEmwxYqsdgDUgHLM5iBDgrwcH1+uxAxblL/rxxWcJpNb6rGOolgekNllOAQq85SnNlDetk6eUMgHhQLm3/MyD6sw/hpiOH5bG6fkNLluLqyxePZdBgfPpnyjfxIj216tXr2bLZ8yYwZQpU7BarXTu3JmMjAyWLVtGeno61dXVDBs2DICrr76aL7/8kvHjxzNjxgweeeQRAC6++GJuu+02tNZ8//33jBkzhqioKADGjBnDrFmzuOyyy9rlGDsKZWycGCcivBOPpp7D4B1LYda9bFjwOB+k9uSPI/9OXOIp+7c3GhTnD0jmnL6JfLOukFfmb+f/PlnDP2Zv4cbhXZgyOJVg6/E2iEUIIU5eHo9unFTGZie/eDe5+UuIbTBCbQW767ax3rODWvct7GmIIsnzJgURy/lvXhk9qOen8DBeiIogeLOHYhIYmbCEleF7uLfYhssUwVZLIAnGAKacm0FERBSOihtx1O+i9rQzCY6M556AMB4IisVosnij+eiA2LoeFGtIaGK7tInwjePtr/9M4AOl1HM0TqLTDVimtXYrpWqUUkOBpcDVwL+a1LmGxr7si4EfvbOzfg/8TSm170uLs4H72vFY2lyVglGdUsmu3djiOou2TGNbpxy6Rt/hu8CE+I3y8/MZOnTo/uWUlBTy8/Mxm82kpKQcUr6vTmpq4/dLJpOJ8PBwysrKDig/uE5zpk2bxrRp0wAoKSlp0+PqCAICI7lg9NMwGihcy45f/sbiqnXcZ2kcFrQtZxYhQbEkJg0CwGQ0cP6AZCb2T2L+1hJemb+dv369kRd/2MY1wzpx5bBO8vgPIYTwAa011XVOyitKKSnZxbbitWhrX8rdcVSV/EJx1fucVR1Akr2WHHM5r0e7CMy9mPX2bIaEf8TGpFXMzCugs9PFVyFBvBoTzWm2XLrHpxHnTifYkcOetFOpDEmie5CZh62a0885h7ioeNzukZiMAftvdbje+/qfaw9Ykr8CJxd/PcZjEo0JYCzwjVJqtdZ6rNZ6g1LqY2Aj4AJ+752BFeBW/vcYj++8L4A3gPe8E+6U0ziLK1rrcqXUX4Ffvds9tm9CnY4qKDiOkfZYyh2dW1xnbGklAzAQF93s7Z9CtNpZZ51FUVHRIeVPPPEE559/frN1tD50pLhS6rDlx1qnOVOnTmXq1KkAB9yTeVJK7Md5F01nvMOGydL4uI+/L3qI3c4avjN1QfWbgqfXuRgCI1FKMbJHHCN7xLFidwWvLtjOiz/m8O/52xnbJ4GrhnZiSOeoI7a9EEKczLTW2BxuymrtFFWUkrP9a8LrPQTb6qm05fEjGzF7RrHJPpzAhp+piHmfR0oqOKPBRoXVylNJ8fTPHcrPtReQFbGe3fGFXOBxEGuMoNwaTU+cDBrcg6mppxDoDKWq8mfMWdm44jozITiKcwPCm9z7Pgh4dH9sPQ6K1WAIaq9mER2Qv2Zh/QL44jDrngCeaKZ8OdCnmfIG4JLD7OtN4M1WBXscMZuDsMS/yJr1h/5nvTna4+aUuq1sihrt48jEyWzu3Lm/uU5KSgq5uf+b4yovL4+kpCRSUlLIy8s7pLxpnZSUFFwuF1VVVURFRZGSksL8+fMPqHPmmWce8/GcjPYljwAPjHqBwg2foLbOR8+8jYtX/I0JMadw46QP9m8zqFMk/7k6ix0ltfx36R4+WZ7LN2sL6RYXwpVDOzFpYDJhAWZ/HIoQQrSr+gYH5aVFVJYVsKZoKR6VRK11IGWVeync+wAD6wwMqrXj0pXcmeShe2l35lbcSIJpB7Zu03i0pIxza23kmYw8lZzI6bXbSI4YQ7y5J1V10VTED2F9SGcIDudhSz39z5hAp5RMzIbxKMOz++NIp/HxA/+TBIxo17YQJ4/jbQirOIpgswG7o2X3QK7dtJD8YE1gUl8fRyXEbzNx4kQuv/xy7rrrLgoKCti2bRvZ2dkYjUZCQ0NZsmQJQ4YM4d133+X222/fX+edd95h2LBhfPrpp4waNQqlFGPHjuUvf/kLFRWNj3idPXs2Tz75pD8Pr0NLTR1GauowGKtp2PMLg5Y8QXJo4wiGutpiHvjiQq7rOom+p9xAl9gIHjy3N/93dg++WlvAf5fs5uGZG3h61mbO65fEpIHJZKdHyeytQogOo8HhpKK+8XmE6za8jcVWS2Id6LpSPtZrCHR3YpPnRkpr6jHF/pGLa2q4vbKKBOCy9FSGlscwu/hPBJuchHfZS2+tCTKGU2NJo7+20b3zQMad1Z/IgD5UFjnoPagfDYk9SAmLY6m56UDQwRz8LMKB7dkQQhyBJJAdzOK9U+gXH4DLPfGoD/met+Ez3oiL4V+dDx6YIET7+OKLL7j99tspKSnhnHPOYcCAAXz//fdkZmYyefJkevfujclk4uWXX8boneTllVde2f8Yj/HjxzN+/HgAbrjhBq666ioyMjKIiopi+vTGZzhFRUXx4IMPMnjwYAAeeuih/RPqiFZQisBOp3F/p2/3F+UWLGOts5KGhU/D3CfJ7TyMRbHpTBhyN5Oz0pmclcravEreX7Kbr9cW8NHyXJIjAjl/QBIXDkwmI06etCWEaF8N9bXUlhdTXVbIqsJfsTnduCIupMxmp2jP/xFjr2RSlYtgVxV/SIRIexA/5jfOANql2zROra/jotJyPFrxTEoSaW4ICzTRJSYGQ00q1vBYViZlYg6L5TGLjfShg3i+8xCCLEaUuuCAWA5JADPvbpc2EKKtqebuHzqZZWVl6eXLl/s7jMN6/eUsqmtM3HjHT0cdIvbwFytYvuEbvrz3PswmGU4mxMGysrI4nn/fj0cetwsKVmLY9BXTt8/giSDND2f+m7hOw9my9WsqavIZ3Pdq7JiZs3Evn6/M56dtJXg09E0O54JTkhnfJ4GkiEB/H4oQogOqr6/C5g6g3OZgw6ZPqCrbQh97ELq2hFmezVTgIZfHKbM5iA26kyBVy1tFxQBcnRiH0xPA4t1PYTQoslMfJMnt4LqaKOyWSL4OdRAY2ImU7vcRHWLBWTGbxPBEuif3ISQ8dv+zdIVorY7w/w+l1AqtdbOTRchvQgdzrieKvLoKbHbXURPI1fn1hMSOkeRRCNFmDEYTpGZDajaX6scYnreYuJTGx658sOIF5tQXsPD7xwhKH056QnfuHz6UiItH8dXaIr5Ylcdfv97IX7/eSL+UcMZmJjA2M156JoU4SWmPh9ravXiqq6gpL2J90Rp2V+8kKPkOym1OSvY8SYVjHXeVaUJclfwzWrMiwMrWnMZ7/05LeZXKgGquzSvErk18ERNLuSkQo1HRKyGMKMdggqllSeYgLKGxXBmgCYtO5ZVrTicswIzBMOGAeAYdEuHV7dIOQnQ0kkB2ME5LCCaVj83uPuJ2ldVFJDgepFvcVcCw9glOCHFSUUqRnHrq/uV7zvsvk7fMwBS7AXbM57maFTh2fsGHN6zjhtM708v6LUZLBivLu/L9xr08+/0Wnv1+C11igxmbmcBZveLonxJx1OH5Qojjk8ftoqo6D22KoaIBtubMZWvedwy3R6HqKlju2skCUylW/SR5dQGkGB5nZXgBK3flEg58HBnB++Gh2Gecho0gRsYXYwuyU6ViKQ7uTIoJAoxGrjivN1EhViyOJwmzQtmk/kRERPG3Q64d0/zRDEKc8CSB7GCet5azOg2et7uOuN0vK2ewOKqE7MCCdopMCHGyCwqJI3PQTfu/xn+qYAXlFTlAY0/Dfetf4TRbHX+zaW5NHcK7ySHYTacyr7A30xbu4JX52wkLMHFaRgwjuscyvFsMKZEylbwQ/uK02ygu3Yi13omzqpydpVtZXLGOhNirKCeVioIP2dTwBfeWuEl1VjE7xM0TMZEk5FzDNmcvTot6j7XxW7lidx5Wt5U1oRHYwixYnFWkRUeRyCiS3KuZ3+tGAiLiGRgcSHZoON0uyCYqNBCz8ZwD4jn06/Ck9moKIUQTkkB2MINjR1K9YTm2oySQcXn5LN6dR9nZV7RTZEIIcaDEpEEkJv1vUNh7o1/FlfcrFOdQm7uEvzvs3BZSyQc3XU9xRRnPzbyMBGc/lu/qyYPrY3FhoktsMCO6xZLdOYrB6VHEhlr9eERCdGDOeuw1hewoWUdYWA8c5lR25a1g0YYXON0RQlJdHTudxfw7pILujgtZ7xxNtONT1sT9yPsFRfS3O9gaGMDbCXH0WBTF8rpRDA6vwhPlIdcYR5W1D+4gKxd4HHQeMZiomAxCDA8RZKjFfk5PwiIiudZkOOjx8yf5s3iF6KAkgexg+vS/g/t++plLHUcewmopWkGZTiAtqXM7RSaEEIenDAbS0k6HtNMBCAEWlG8HT+OXYXVV65nnKeDRinXc4apjV3gQ/xcbR2fneUz/9TT++8tmDMpNcnTc/mQyu3MUKZGBKCWPCREnF+3xoAwGnHYby9e/T7RTEVnvpKwyn3drVpIReib1ERdTUbKS+TWPcWd5FefYqtljNjM5JZGsgj7Mq7qSrgErKO68lf7F1ZhsAbgtIYRYzdS5TSRHBBJvPpNuznq2detDZUQ65rAo3ggLI2ViF6JDA7GazjlKpMnt0h5CiPYlCWQHYzW5iTQWUlNfd9httMfD9IAcupi7cGM7xiaEEL9FZFTX/e/T089g8dWrcZflwN712PcsIKJ0CTcN7ccTPcfy+Y9P81TBf3l4LzjXJbJ0fRQfW6zsdY+nS0oGfZPD6Z8aTr+UCGJCpJdSdBylJZswNFQT5fGg68r4NHcBMcHdiEybTGmNjc+XTGZYg2JMrQOcVZyfZOL0ujRWN9xDQ10J1WkvcXdZBddW12AxKOalJFO0dRk/VvQlIdBJz5hQthl78llkKvbAcKYY64gbMILz4vsTGTSQqMCbiYsIIzLIQhezkQOnlcni4GcRCiGEJJAdzIrVf8PV/XuqymOA5nsX9xTlsDjQRJAloX2DE0KIVjAYTRjiekJcT3r0vZjXm6wb3DWL62tXMTDASEhpDkWOzUyPjuBixvBzWR21hf9mybY1nFHYlXprJ+wxcVjjk+nZZQQ9kyJJjw7GaJCeSuEbLnsNJnst1Jezdu9KjEYrmZmTqXe4eePr64iqr2VMgwnqK/k/616SVRyGmOeosDlZY7+KcTYbD5RVoIDn01LIrAljzleNvXd9u1STWm9kY20k9cZEBtTV4KEPPRPCiAiMJNxxOYae3ZkV1ZXg8Fj+ExZCZLCFfwdbCDAbAbmVRQjRtiSB7GBOie3LfRs+xBN++B7IHTUR7Nz2DGdef0o7RiaEEL7TtesY/tB1zP7lS+02huX9QpdOZ6BMFj6Z8w2f7HFxk3khZlcDz9kjeL8qFMeHZmoJ5vSo9wkOyWciQ7BEpVIfFUJkbAqDMkcRZJE/hSc7Z0MNZmcdNFSxvWwjtY5a+ve7EoBv5j9MTcUOzvVE4K6r5EXHDhoMVjJ7v01FnYOftl9CpLOGV/aWAPDXpAQC3QEs/TAUu8tDv86r6OZ0kFVspJIQAqMtFDoCWVNYRGSQmVMChuE2R/J6ek/ModFcG2QgolcnLo9MJDLYQlTQUiKDzYRYTSilOHTQaP92bSshhJC/mh1Mj/hMetbU8knd4RPI1XsqMSg4pVNcO0YmhBDtx2wNpmuThPKSMc9wCc+A1lC7l/PzfqH33nUknzWWzUXVLFtTQ56rhHMKp0Ex/Dk2mrV7Atj80bMkhAUwMPIZrKqSmwyZmMMT2RDkJCQyiVGn/hGDQVFfV05AQATKII8YOd7U1RZTVbWbRFMQ2GvZXrmN3Jo8zjz1HlCKBUueY0vBEq43JuCyVfB2w27WU8/Fp31DTYOL71dMZre7lK/yCwF4OS6GHLOVurmpVNY56Rb1NW5TLaPz66jWwVRFW6jAw3+/WAfA8OjumI0uXgzsjtsaQQ9cGEIS6HVqOuFBZqKDvyEyyEJ1sIXoYAtPBlkICzQ36RE/0z8NJ4QQx0gSyA5GB0ZSZjRgr8s/7DZbc67mvMQ4gq1Hu7ldCCFOMEpBaAJde11I114XAtA3JZxLsr5uXO+wYSvNZcy2hfSsLKSuS3d2ltZRV6rRrnriS2cTXVDD44lx2POt3PpddxLCA4iPuJNITx3PlWuc1mieC4eIoBQuHPMOMaFWZv90L3HGAEbEDIDACDY7q4iI7ExCYuMstC6XHZPp5Lw30+N20WCvwupxY3TZqaorJr96DxmdzsQSGElu7iLWbJ/FWcFpBLhdrK3exYLanUwd8wLW0ETm/Pw3Pts+g+ccoZgabHxgqOXNQA+PDPiESsJYsfZWvmUnK3flooAvIyOYHhZCp5WDKbMbSbfOZHtwMRfsXkq1DqI83Eq11cC1by0DFFlhvYgx7+VRzzm4LWG47C7SCMETH0J4oIUI6xuEBwXz4ylWwgMtXBxkJiLIzBOBFiKCzASY5W+tEOLkIglkB1NvDWZkWgpjGtZyZTPr3fZ6NGUEBES1e2xCCHHcswQTnNSTMUk9D1oxG4CqOicbyqu4JH8zxZWlDOjUhcKqejxlAwlpKGNWPUTVV1NoraCopphR/1gAQEbGLLIb6hlR+hIAt6Um0dsdTqf0dwgLMPN+znmMsdVzb40TbQ7iyigLI8MzufK89wkwGfjLhyM5wxTBOdYkMJp5oj6H4SlnMuK0P+Nxu/jP19cxJDiZAcGpOJWBz6s2MSDtTHr0uhB7QxVf//QYA4KT6RoYR73HxZyqrfRLH0V6l7Ooqy1m/oqX6ReYSIoljGqnjdmVmxmScS6pnUdSXp7DN0ue5YygNNLMIRQ7aphRs5Wxfa4hrctocnMX8d4vf+MyayKdtZktzkpetedye/af6dJjIqvXf8Czv/6dRx2BdHa6WKQaeCzQzaOZfyE85RyWr/wb/yj/lpl5BXR2upgfEswDsdH8buOdVAYMw1b0JjOMy8jak0+C283G0BBej47kp5e+YLO9K5mBm7BF1LEkX+HWQRQFBdLJ5eCPH6+mhnC6B55Cz4AQ/ui+CKwh2J2K/jYj7uAgEmMCCLM8T8/gQD7tYiIswExmgIlhgWb+GGAmLMBEeNBowgPNWE3G9vxJFEKIDksSyA4mKCiOsTXdcBgGN7u+cPNiXt1bzKJBf2nnyIQQouMLDzITHhRDZsrpB615CwC3R1NWa+euWjtltQ7OsTX+W1r1LrXVJdxnseGsrSClciN59jC+2pmD1jA8pjM1DgPv1QUQhB1LQCFLSjw89fD3gIc+XcpJqi2hoHoDRuXiu9RgylbN57VNIwg0NLDUuBrTjnkMqKqhQSkeT0/lgt27UVu7Y3bm8rFtNveVltO1ppZqo5H705K5bNcujFtT0LY1/Lf2cx4vKSOl1kaZ2cSjKUlckVeFe2M8nuqf+NjxC4l7Z5BWV0+JxcKLyQnsnh1MQUAYIQ0LWW7ZxZBdmwm2K/IsZrZGm/jrjKWscwSSqLYQEKVZWqLY5gxllzWEBE8dD3yTz07Hz3SyRjIgtBOv2k/D4w6lstpNd3sd/641YzfuIj5gNN2s/bkrOAmTNRRjQCCnGgMJzrDQ22oi2Ho/QRYTuX1NBFtNDLAaGR5gJizATGiAibDAMYQGmDAbZXixEEK0B6W1bv8PVeoS4BGgF5CttV7uLR8DPAVYAAfwJ631j95184FEoN67m7O11sVKKSvwLjAIKAMu1Vrv8ta5BnjAu/3jWut3jhZbVlaWXr58eRscpe/c9O5ycsvrmHXHiEPWrZv+EH03v8CO69bQpVN6+wcnRAeSlZXF8f77Ljo2j0djc7iobnBRXe9sfHnf1zndNDjc1Du9L4f35V1u2FfmdOJxO1EeJwa3C+2x49K11LlDqfWE4nY7iTDsRjstGDxmwA2WKmrcMdR6YjDTQIJlG7hDwROIG43bVI/NE0O9DsNicBJqqsaogjAbLWBUGAwKg9GKyWTGZDBgMRowGRUmowGzQRFgNmI1GwgwGwkwGQnwvg80N763mo3edd5tzP/bJthqIsRiIshqlKRPCHFS6gj//1BKrdBaZzW3zl89kOuBC4HXDiovBc7TWhcopfoA33PgU2iv2JdsNnEDUKG1zlBKTQGeBi5VSkUBD9P4ECMNrFBKzdRaV/jgeNpVjCmfwoZ84NAE8qXq7wiNTuWZ1E7tH5gQQogDGAyK0AAzoQFmkiMC/R2OEEII0Wp++epPa71Ja72lmfJVWusC7+IGIMDbw3gk5wP7ehY/BUYrpRQwFpijtS73Jo1zgHFtcwT+VWh7HBX1SvMrHZE4TBkY5HlnQgghhBBCiDZ2PI8duQhYpbW2Nyl7Sym1Win1oDdJhMYeylwArbULqAKim5Z75XFgb+Z+SqmpSqnlSqnlJSUlbX0cbW4C6dxWXkO9w31Auc3uYk7+H0nPeMZPkQkhhBBCCCFOZD5LIJVSc5VS65t5nd+Cupk0DkW9uUnxFVrrvsBw7+uqfZs3swt9hPJDC7WeprXO0lpnxcbGHi08v+sV2puxDRWUlJUdUL562xbQHk5JjfBPYEIIIYQQQogTms/ugdRan3Us9ZRSKcAXwNVa6+1N9pfv/bdGKfUBkE3j5Dl5QCqQp5QyAeFAubf8zCa7TgHmH0tMxxsdmcR6i4WavPWkJY7aX/7mkusYnF7PwE4r/RidEB3Hrl27yMpq9v7wA5SUlNARvlwScq46EjlXHYOcp45DzlXHsXnzZn+H0CrH1WM8lFIRwDfAfVrrRU3KTUCE1rpUKWUGzgXmelfPBK4BFgMXAz9qrbVS6nvgb0qpSO92ZwP3tc+R+FZRqIm7kxO4veAXhuFNIF0OxlbtZZ2pJ+GBZv8GKEQHUVpa2qLtOsJsaaKRnKuOQ85VxyDnqeOQc9VxtOTL6+OZX+6BVEpNUkrlAcOAb7zJHsBtQAbwoPdex9VKqTjACnyvlFoLrAbygf9467wBRCulcoC7gHsBtNblwF+BX72vx7xlHV7/bqMZWDAAj2XY/jLHzl+YXFNJn+gL/RiZEEIIIYQQ4kTmlx5IrfUXNA5TPbj8ceDxw1QbdJh9NQCXHGbdm8CbxxjmcSs+Np1V9itJd8TvL1u58iN6YSK27xg/RiaEEEIIIYQ4kR3Ps7CKI+gdvouaoncB0B4PD9X+xM1xaQzqlurnyIQ48UydOtXfIYgWknPVcci56hjkPHUccq46jo5+rpTWzU5MetLKysrSHWH8+B9eG8vP1nwWTV5AQEAkU196EKPBwqu3Pezv0IQQQgghhBAdmFJqhda62Zs1pQeygzoleiKf5heity+iqMbOnIJT6d/nSn+HJYQQQgghhDiBSQLZQXXqOZFwRyB5c+7hpU8mEKKqGdM7zt9hCdHhzJo1ix49epCRkcFTTz11yHqtNX/4wx/IyMigX79+rFy5ssV1RdtpzXm6/vrriYuLo0+fPu0Z8knrWM9Vbm4uI0eOpFevXmRmZvLCCy+0d+gnnWM9Vw0NDWRnZ9O/f38yMzN5+GEZ/eRLrbn+Abjdbk455RTOPffc9gr5pNWac5Wenk7fvn0ZMGDA8T9Lq9ZaXk1egwYN0h1Bdb1D333/PfqRF9P1udP66Mn/munvkITocFwul+7SpYvevn27ttvtul+/fnrDhg0HbPPNN9/ocePGaY/HoxcvXqyzs7NbXFe0jdacJ621XrBggV6xYoXOzMxs79BPOq05VwUFBXrFihVaa62rq6t1t27d5HfKh1pzrjwej66pqdFaa+1wOHR2drZevHhxux/DyaC11z+ttf7HP/6hL7vsMn3OOee0Z+gnndaeq06dOumSkpL2DvuwgOX6MPmS9EB2UKEBZqq6X8xnhQ+zYfvjXHfmcf5NhRDHoWXLlpGRkUGXLl2wWCxMmTKFGTNmHLDNjBkzuPrqq1FKMXToUCorKyksLGxRXdE2WnOeAEaMGEFUVJQ/Qj/ptOZcJSYmMnDgQABCQ0Pp1asX+fn5/jiMk0JrzpVSipCQEACcTidOpxOllD8O44TX2utfXl4e33zzDTfeeKM/wj+ptPZcdSSSQHZgD57Tm35denHb6F6MzUzwdzhCdDj5+fmkpv5v5uKUlJRD/sN6uG1aUle0jdacJ9G+2upc7dq1i1WrVjFkyBDfBnwSa+25crvdDBgwgLi4OMaMGSPnykdae57uuOMOnnnmGQwG+S+/r7X2XCmlOPvssxk0aBDTpk1rn6CPkV+eAynaRlp0EO/fKBdsIY6VbmYW6oO/RT/cNi2pK9pGa86TaF9tca5qa2u56KKL+Oc//0lYWFjbBymA1p8ro9HI6tWrqaysZNKkSaxfv17uM/aB1pynr7/+mri4OAYNGsT8+fN9FaLwau3v1KJFi0hKSqK4uJgxY8bQs2dPRowY4ZtgW0m+jhBCnLRSUlLIzc3dv5yXl0dSUlKLtmlJXdE2WnOeRPtq7blyOp1cdNFFXHHFFVx44YXtE/RJqq1+ryIiIjjzzDOZNWuWbwM+SbXmPC1atIiZM2eSnp7OlClT+PHHH7nySpmx31da+zu179+4uDgmTZrEsmXL2iHqYyMJpBDipDV48GC2bdvGzp07cTgcTJ8+nYkTJx6wzcSJE3n33XfRWrNkyRLCw8NJTExsUV3RNlpznkT7as250lpzww030KtXL+666y4/HcHJozXnqqSkhMrKSgDq6+uZO3cuPXv29MNRnPhac56efPJJ8vLy2LVrF9OnT2fUqFG8//77fjqSE19rzpXNZqOmpgYAm83G7Nmzj+sefRnCKoQ4aZlMJl566SXGjh2L2+3m+uuvJzMzk1dffRWAW265hQkTJvDtt9+SkZFBUFAQb7311hHrirbXmvMEcNlllzF//nxKS0tJSUnh0Ucf5YYbbvDX4ZzQWnOuFi1axHvvvbd/GnuAv/3tb0yYMMFfh3NCa825Kiws5JprrsHtduPxeJg8ebI8IsJHWnv9E+2nNedq7969TJo0CQCXy8Xll1/OuHHj/HYsR6OaG4t7MsvKytLLly/3dxhCCCGEEEII4RdKqRVa62Yf8yBDWIUQQgghhBBCtIgkkEIIIYQQQgghWkQSSCGEEEIIIYQQLSL3QB5EKVUC7PZ3HM2IAUr9HcRJTNrff6Tt/Ufa3n+k7f1H2t5/pO39R9ref47Xtu+ktY5tboUkkB2EUmr54W5kFb4n7e8/0vb+I23vP9L2/iNt7z/S9v4jbe8/HbHtZQirEEIIIYQQQogWkQRSCCGEEEIIIUSLSALZcUzzdwAnOWl//5G29x9pe/+RtvcfaXv/kbb3H2l7/+lwbS/3QAohhBBCCCGEaBHpgRRCCCGEEEII0SKSQAohhBBCCCGEaBFJII8DSqlxSqktSqkcpdS9zaxXSqkXvevXKqUGtrSuOLIWtP0V3jZfq5T6RSnVv8m6XUqpdUqp1Uqp5e0becfXgrY/UylV5W3f1Uqph1paVxxZC9r+T03afb1Syq2UivKuk5/7VlBKvamUKlZKrT/Merne+0gL2l6u9z7SgraX672PtKDt5XrvI0qpVKXUPKXUJqXUBqXUH5vZpmNe87XW8vLjCzAC24EugAVYA/Q+aJsJwHeAAoYCS1taV16tbvtTgUjv+/H72t67vAuI8fdxdMRXC9v+TODrY6krr9a1/UHbnwf82GRZfu5b1/4jgIHA+sOsl+u9/9pervf+a3u53vup7Q/aVq73bdv2icBA7/tQYOuJ8n986YH0v2wgR2u9Q2vtAKYD5x+0zfnAu7rREiBCKZXYwrri8I7aflrrX7TWFd7FJUBKO8d4omrNz6783LfOb22/y4AP2yWyk4DWeiFQfoRN5HrvI0dre7ne+04Lfu4PR37uW+k3tr1c79uQ1rpQa73S+74G2AQkH7RZh7zmSwLpf8lAbpPlPA794TrcNi2pKw7vt7bfDTR+S7SPBmYrpVYopab6IL4TWUvbfphSao1S6julVOZvrCua1+L2U0oFAeOAz5oUy8+9b8n1/vgg1/v2J9d7P5LrvW8ppdKBU4ClB63qkNd8k78DEKhmyg5+tsrhtmlJXXF4LW4/pdRIGv9DcXqT4tO01gVKqThgjlJqs/ebPnF0LWn7lUAnrXWtUmoC8CXQrYV1xeH9lvY7D1iktW767bX83PuWXO/9TK73fiHXe/+T672PKKVCaEzM79BaVx+8upkqx/01X3og/S8PSG2ynAIUtHCbltQVh9ei9lNK9QNeB87XWpftK9daF3j/LQa+oHG4gWiZo7a91rpaa13rff8tYFZKxbSkrjii39J+UzhoOJP83PucXO/9SK73/iHX++OCXO99QCllpjF5/K/W+vNmNumQ13xJIP3vV6CbUqqzUspC4y/wzIO2mQlc7Z2paShQpbUubGFdcXhHbT+lVBrwOXCV1nprk/JgpVTovvfA2UCzM5yJZrWk7ROUUsr7PpvG61VZS+qKI2pR+ymlwoEzgBlNyuTn3vfkeu8ncr33H7ne+5dc733D+zP9BrBJa/3cYTbrkNd8GcLqZ1prl1LqNuB7GmdcelNrvUEpdYt3/avAtzTO0pQD1AHXHamuHw6jQ2ph2z8ERAP/9v5tc2mts4B44AtvmQn4QGs9yw+H0SG1sO0vBm5VSrmAemCK1loD8nPfCi1se4BJwGytta1Jdfm5byWl1Ic0zjgZo5TKAx4GzCDXe19rQdvL9d5HWtD2cr33kRa0Pcj13ldOA64C1imlVnvL/gKkQce+5qvG308hhBBCCCGEEOLIZAirEEIIIYQQQogWkQRSCCGEEEIIIUSLSAIphBBCCCGEEKJFJIEUQgghhBBCCNEikkAKIYQQQgghhGgRSSCFEEKI30ApFa2UWu19FSml8r3va5VS//bRZ96hlLq6DfYzXSnVrS1iEkIIcXKSx3gIIYQQx0gp9QhQq7X+uw8/wwSsBAZqrV2t3NcZwJVa65vaJDghhBAnHemBFEIIIdqAUupMpdTX3vePKKXeUUrNVkrtUkpdqJR6Rim1Tik1Syll9m43SCm1QCm1Qin1vVIqsZldjwJW7kselVLzlVLPK6UWKqU2KaUGK6U+V0ptU0o97t0mWCn1jVJqjVJqvVLqUu++fgLO8ialQgghxG8mCaQQQgjhG12Bc4DzgfeBeVrrvkA9cI43ifwXcLHWehDwJvBEM/s5DVhxUJlDaz0CeBWYAfwe6ANcq5SKBsYBBVrr/lrrPsAsAK21B8gB+rfpkQohhDhpSAIphBBC+MZ3WmsnsA4w4k3ivMvpQA8ak745SqnVwANASjP7SQRKDiqb2WRfG7TWhVprO7ADSPWWn6WUelopNVxrXdWkbjGQ1MpjE0IIcZKSISxCCCGEb9ihsddPKeXU/5t0wEPj319FY/I37Cj7qQcCmtu3d1/2JuUewKS13qqUGgRMAJ5USs3WWj/m3SbAu08hhBDiN5MeSCGEEMI/tgCxSqlhAEops1Iqs5ntNgEZv2XHSqkkoE5r/T7wd2Bgk9XdgQ3HFrIQQoiTnfRACiGEEH6gtXYopS4GXlRKhdP4N/mfHJrcfQe89xt33xd4VinlAZzArQBKqXigXmtd2JrYhRBCnLzkMR5CCCHEcU4p9QXwZ631tlbu506gWmv9RttEJoQQ4mQjQ1iFEEKI49+9NE6m01qVwDttsB8hhBAnKemBFEIIIYQQQgjRItIDKYQQQgghhBCiRSSBFEIIIYQQQgjRIpJACiGEEEIIIYRoEUkghRBCCCGEEEK0iCSQQgghhBBCCCFa5P8BFwT4r0qVzHEAAAAASUVORK5CYII=\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -836,9 +630,10 @@ } ], "source": [ - "for s in (sA, sB, sC, sD):\n", + "for s in (sA, sB, sC):\n", " s.reset()\n", - " s.set_constant('amp.alpha', 0.8)\n", + " s.set_constant('amp.alpha', 0.7)\n", + " s.set_constant('amp.beta', 0.7)\n", " s.set_tolerance(1e-10, 1e-10)\n", "\n", "t = 2\n", @@ -846,27 +641,26 @@ "dA = sA.run(t, log_interval=dt).npview()\n", "dB = sB.run(t, log_interval=dt).npview()\n", "dC = sC.run(t, log_interval=dt).npview()\n", - "dD = sD.run(t, log_interval=dt).npview()\n", "\n", - "ax = plot(mA, dA, label='A')\n", - "plot(mB, dB, ax, label='B', ls='--')\n", - "plot(mC, dC, ax, label='C', ls='-.')\n", - "plot(mD, dD, ax, label='D', ls=':')\n", + "tz = 0.05\n", + "ax = plot(mA, dA, label='A', t_zoom=tz)\n", + "plot(mB, dB, ax, label='B', ls='--', t_zoom=tz)\n", + "plot(mC, dC, ax, label='C', ls=':', t_zoom=tz)\n", "ax[0].legend()\n", "plt.show()" ] }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 12, "id": "f8f9844c", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -878,19 +672,20 @@ "source": [ "fig = plt.figure(figsize=(15, 15))\n", "axes = []\n", - "names = ('A', 'B', 'C', 'D')\n", - "logs = (dA, dB, dC, dD)\n", + "names = ('A', 'B', 'C')\n", + "logs = (dA, dB, dC)\n", "colors = ['tab:blue', 'tab:orange', 'tab:green', 'tab:red']\n", - "ylim0 = {'amp.I_obs': (-6900, 1900)}\n", - "ylim1 = {'amp.I_obs': (-10, 10)}\n", + "ylim0 = {'amp.I_obs': (-5000, 2100)}\n", + "ylim1 = {'amp.I_obs': (-75, 50)}\n", "var = 'amp.I_obs'\n", + "n = len(logs)\n", "\n", - "for i in range(4):\n", - " for j in range(4):\n", + "for i in range(n):\n", + " for j in range(n):\n", " if i == j:\n", " continue\n", - " ax = fig.add_subplot(4, 4, 4 * i + j + 1) \n", - " if i == 3 or i == j - 1:\n", + " ax = fig.add_subplot(n, n, n * i + j + 1) \n", + " if i == n - 1 or i == j - 1:\n", " ax.set_xlabel(names[j])\n", " else:\n", " ax.set_xticklabels([])\n", @@ -916,238 +711,12 @@ }, { "cell_type": "markdown", - "id": "5d1394ea", + "id": "44f4fbea", "metadata": {}, "source": [ - "This shows that:\n", - "\n", - "1. A, B, and C give several results (for these parameters),\n", - "2. A looks to be the odd one out, with B and C looking slightly more similar to each other,\n", - "3. As shown, C is a reformulation of the Lei et al. compensated model." + "We see that the models show very similar output, but a difference between A and B can be detected when the two signals are subtracted.\n", + "As before, the reformulation of B doesn't affect the results." ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "a46a51da", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "9a8e3056", - "metadata": {}, - "outputs": [], - "source": [ - "def plot(m, d, axes=None, label=None, ls=None, altins=False):\n", - " if axes is None:\n", - " fig = plt.figure(figsize=(15, 10))\n", - " t_zoom = 0.05\n", - " \n", - " # Top left: Vm\n", - " ax1 = fig.add_subplot(3, 2, 1)\n", - " ax1.set_ylabel('Vm (mV)')\n", - " \n", - " # Top right: Vo\n", - " ax2 = fig.add_subplot(3, 2, 2)\n", - " ax2.set_ylabel('Vo (mV)')\n", - " ins2 = ax2.inset_axes((0.3, 0.35 if altins else 0.20, 0.65, 0.55))\n", - " ins2.set_xlabel('Time (ms)')\n", - " ins2.set_ylabel('Vo (mV)')\n", - " ins2.set_xlim(-0.005, t_zoom)\n", - " ins2.patch.set_alpha(0.5)\n", - "\n", - " # Middle left: Vp\n", - " ax3 = fig.add_subplot(3, 2, 3)\n", - " ax3.set_xlabel('Time (ms)')\n", - " ax3.set_ylabel('Vp (mV)')\n", - " ins3 = ax3.inset_axes((0.3, 0.20, 0.65, 0.55))\n", - " ins3.set_xlabel('Time (ms)')\n", - " ins3.set_ylabel('Vp (mV)')\n", - " ins3.set_xlim(-0.005, t_zoom)\n", - " ins3.patch.set_alpha(0.5)\n", - "\n", - " # Middle right: V_ref\n", - " ax4 = fig.add_subplot(3, 2, 4)\n", - " ax4.set_xlabel('Time (ms)')\n", - " ax4.set_ylabel('Vref (mV)')\n", - " ins4 = ax4.inset_axes((0.3, 0.20, 0.65, 0.55))\n", - " ins4.set_xlabel('Time (ms)')\n", - " ins4.set_ylabel('Vref (mV)')\n", - " ins4.set_xlim(-0.005, t_zoom)\n", - " ins4.patch.set_alpha(0.5)\n", - " \n", - " # Lower: I_obs\n", - " ax5 = fig.add_subplot(3, 1, 3)\n", - " ax5.set_xlabel('Time (ms)')\n", - " ax5.set_ylabel('Recorded I (pA)')\n", - " ins5 = ax5.inset_axes((0.3, 0.35 if altins else 0.20, 0.65, 0.55))\n", - " ins5.set_xlabel('Time (ms)')\n", - " ins5.set_ylabel('Recorded I (pA)')\n", - " ins5.set_xlim(-0.001, t_zoom)\n", - " ins5.patch.set_alpha(0.5)\n", - "\n", - " kw = dict(color='#aaa', ls='--')\n", - " ax1.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", - " ax1.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax2.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax3.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax4.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " else:\n", - " [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5] = axes\n", - " \n", - " ax1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", - " if 'amp.Vo' in d:\n", - " ax2.plot(d.time(), d['amp.Vo'], ls=ls)\n", - " ins2.plot(d.time(), d['amp.Vo'], ls=ls)\n", - " ax3.plot(d.time(), d['amp.Vp'], ls=ls)\n", - " ins3.plot(d.time(), d['amp.Vp'], ls=ls)\n", - " ax4.plot(d.time(), d['amp.Vr'], ls=ls)\n", - " ins4.plot(d.time(), d['amp.Vr'], ls=ls)\n", - " ax5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", - " ins5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", - " \n", - " return [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5]\n" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "4ed2a552", - "metadata": {}, - "outputs": [], - "source": [ - "mA = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Compensated model (1, 2a, 3a, 4, 5a)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Vr = -80\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 1\n", - "time = 0 [ms] in [ms] bind time\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 20 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cm_est = 20 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "tau_amp = 50e-6 [ms] in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "I_inj = (Cp_est + Cm_est) * dot(Vr) * beta\n", - " in [pA]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) : Eq 1\n", - " in [mV]\n", - "dot(Vp) = ((Vo - Vp) / Rf + (Vm - Vp) / Rs + Cf * dot(Vo) + I_inj) / (Cp + Cf) : Eq 2a\n", - " in [mV]\n", - "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs - Vr) / tau_sum : Eq 4\n", - " in [mV]\n", - "I_obs = (Vo - Vr) / Rf : Eq 5a\n", - " in [pA]\n", - "''')\n", - "mA.check_units(myokit.UNIT_STRICT)" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "id": "11582373", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4oAAAJNCAYAAAB3F4tAAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAADjV0lEQVR4nOzdeXiU5dX48e+Zmez7QoCQhAABhACiRBZ3WlREBZdWcalW2/LT1trWt9b60r61fatUu7ytrdXS1lZbFatVsS6ouC8gAiI7JCGBLED2hewzc//+mElIyMI2M89Mcj7XNVeS5555npMh5OQ89ybGGJRSSimllFJKqU42qwNQSimllFJKKRVctFBUSimllFJKKdWDFopKKaWUUkoppXrQQlEppZRSSimlVA9aKCqllFJKKaWU6kELRaWUUkoppZRSPTisDsAqqampJjs72+owlFJKBcCGDRuqjDHDrI4jVGiOVEqpoWGg/DhkC8Xs7GzWr19vdRhKKaUCQET2Wh1DKNEcqZRSQ8NA+VGHniqllFJKKaWU6kELRaWUUkoppZRSPWihqJRSSimllFKqBy0UlVJKKaWUUkr1MGQXs1E9udyG/fUt7KtpJsJhIyk6nKTocBKiwrDZxOrwlFJKqaOqbWqnuqmd+pYOnC43p2YmEhlmtzospZQKSVooDmEVDa288FkZL31eTv7BQ7S73L2eYxMYER/JGWOSmT02hdljU8hOiUZEi0ellFLBYX1xDX94p4B3d1X2OB4TbmfuKWlcOm0kF04eoTc+lVLqOGihOASV1DTzvy9vZ/WOg7gNnJ6VyM1nZ5OdEkNWcjQdLje1ze3UNnVQ29xOUVUTHxdWs3JTOQDD4yP4wilpXDotnVljknHYdQSzUkqpwDPG8H9v7uahtwtIjgnnji/kMC4tloSoMJwuw1s7D/LGtoO8vHk/0zMT+d9FU5iakWB12EopFRK0UBxC3G7Dk5/sZdlrO7GJcOt54/jSjAzGDos96muNMeypamLtnmo+LvAUjU+vKyE1NpyLp4zk0mkjOSM7We/WKqWUCgiX23DnvzaxclM5X56Rwc8WTSEqvOcw03mTh/Pzyw0rN5Vx/6s7Wfjwh3xl9mjuuXhSr+cqpZTqSQvFIaKxtYPb/rmRDwuqOGd8Kr+4ahqjEqOO+fUiwrhhsYwbFsv1s0bT0u7i3V0VvLx5P89uKOEfa/cyKjGKK08fxRWnjTqm4lMppZQ6Ub9dvZuVm8r5/oUT+NbcnH6nRNhtwpWnZzBv8nB+88ZuHl9TzKfFtfzphhlkpUQHOGqllAodYoyxOgZL5OXlmfXr11sdRkAcanNy02Pr2FRSx/8umsK1MzN9Osewqc3Jm9sP8vxnZXyYX4nbwGlZiVxx2igWTB1JamyEz66llFInQkQ2GGPyrI4jVAR7jnxz+0G+8cR6rsnL5IEvTTuu1767q4LvrNiEMYbfXXsacyem+SlKpZQKfgPlRy0UB7lDbU6++tg6Piup4/fXnsaCqSP9er2DDa2s3FTG8xvL2HmgEbtNODsnlUXT07kwdwSxEdqJrZQKPC0Uj08w58i65nbO/9W7ZCRF8dytZ57Qqqb7qpv5f//cwM4DDfx0YS43zsn2faBKKRUCBsqP+lf7INbhcvO1v3/KZyV1PLTY/0UiwPD4SJacO44l545j54EGXtpUzspN5dz5r88Jd2zh7JxULpg8nC9OSiMtLtLv8SillBpcfvdWPg0tHfxqyewT3voiKyWa5287k28//Rn/s3IbBxta+f6FE3VFb6WU6iZkCkUR+SVwGdAOFAI3G2PqvG33AF8DXMAdxpjXrYozmDz0Vj6fFNXwm6tP5ZJp/i8Sj3TKiHhOmR/PXRdNZOO+Wl7evJ83tx/k7Z0ViMD0zETOn5DG7LHJTM9KJMKhCwsopZTqX2HlIf6xZi/XnJHFKSPiT+pcUeF2Hr3hdH68cisPv1PIgfo2Hrhqqq7krZRSXiFTKAJvAvcYY5wi8gBwD3C3iEwGFgO5QDqwWkQmGGNcFsZquXVFNTz8TgFfmpHBladnWBqLiDBjdDIzRifzP5dOZueBRlZvP8ibOw7y27d2Y1ZDhMPGjNFJzBqTwrSMBHLT40mL1x5HpZRSh/1y1S4iw+zcecEEn5zPYbdx/xVTGR4fyW9X59PQ2sHvrz3thHsqlVJqMAmZQtEY80a3L9cCX/J+vghYYYxpA4pEpACYCawJcIhBo76lg+89s4nM5GjuXZhrdTg9iAiTRsYzaWQ83/7ieOqbO1hXXMOawmrW7qn2FI7eabOpsRFMTo9n4vBYsrx7PI5OjiY9MYpwR2jd8TXG4DbgdLtxu8FlDC734YfbdD48zzUG3N0/AoenExvvOY/t2odHUskRX3uOdA61kiOeL308v9frj3htf8/rcbzPZ/fzXAtHgQ3R6dtBaUSC3jRSsLe6ide3H+D2uTkMi/PdImkiwnfnTSApOpyfvLSNW/7+KctvzNM59UqpIS9UfwveAjzj/XwUnsKxU6n32IAaGxt59913exzLyMggJycHp9PJhx9+2Os12dnZZGdn09bWxpo1vevQcePGkZmZSXNzM+vWrevVPmHCBNLT02lsbGTDhg292idNmsTw4cOpq6tj06ZNvdqnTJlCamoqVVVVbN26tVf79OnTSUxM5AfPrGd/fQtLZ0Wyfs3h72PGjBnExcVRXl7O7t27e71+5syZREdHU1JSQmFhYa/2OXPmEBERQXFxMcXFxb3azz77bBwOBwUFBZSWlvZqP//88wHYtWsX+/fv7zoeBsxNtPM/3zmHxtYOXluzhc/3VrO30UXR/mrWFFTS4T58HgFiwyEuXIj3PhKiwhiTmU5UmJ366oO421uxC9jEszR6dFQkOePG4jawp7CQ5tY2wLMPl8tAeGQUI0aOwul2U1i8j5a2Dpxu6HAbnG6wh0UQE59Au9PNgcpqOpzurjanAWx2bI5w2p1umlpacbkNTgMuAy6356NS6vjZBQqXXXLU33sHDx5kx44dvdo7f++p0Pf4x3tx2ISvzB7tl/PfdGY2cZEO7npuM9f/5RMev/kMEqPD/XItpZQKBUFVKIrIamBEH01LjTErvc9ZCjiBJztf1sfz+/yzXESWAEsAxowZc9LxBqP1xTW8vrOGRePCGJcYekNn4iLDmDI8kjQJ6zrmNoYWE0ba2MnsrW5mw84iDtQ109BuaGw37Gt001zTxoele2np6G/EcRt88tkAV24H6nsccdggzOb5GOEwxNQbwu02Otrc2DE4bBDpAIdNiIkKIzUpAYddqKmswIbBbvP8kWsXISE+luHDhuGwC8VFe7CJYPMWsjaBlKQkRgxPw+12U1iQj0jP3r7hw4aROiwVl9PJ7vz8w71/3o/Dhw8nJTWFtrZ2CgsKur6Hzv8II0aOJCkpiZaWFor2FHW1dbanp6eTkBBPU1Mze/fu7fX6UaMyiI2NpbHxEGVlpT3aDJCVmUV0dBQNDQ2U79/f63/g6NGjiYyMpLaujoMHD/Z698eMGUN4eDg1NTVUVlb2ah87diwORxjV1VVUV1f3as/JycFms1NRWUFdbW2v9gkTJgJw8OAB6ut7/juLzcb4nPEA7N9fTmNjY492h8PBuHHjACgrK+PQoUM92sPDwhkz1vP7pKSkhObm5h7tERERZGdnA1BcXExbW1uP9ujoaDIzMwEo2lNEe0d7j/bY2FhGjfLc+yosLMTpdPZoj4+LY2R6OgD5+fm43e4e7QkJCYwY4fm1umvXLo6UlJREWloaLpeLgm4/O51SU1JISU3F2eGkcE/vG0jDhg0jOTmZ9vZ2ioqKerUPHz6cxMREWltbe/xsdRo5ciTx8fE0NzdTUlLSqz1j1FHv+6ljICLzgd8BduAvxphfWBzScTnU5uTZ9SVcMnWkX6clXHl6BrERDm5/6jOu/tMa/vG1WQzXaRBKqSEqpLbHEJGbgFuBLxpjmr3H7gEwxizzfv06cK8xZsChp8G89PeJMsbwpUfXUFLTzLt3nU90eFDdBwgIt9vQ0uGiud2F0+3G6fIM7XR6h3faxFN8dRZhDpsQZrfhsAthNs/HcIcNh0109TulBpGhvD2GiNiB3cAFeEbdfApca4zZ3t9rgi1HPv5xMT95aRsvfusspmcm+v16HxdU8Y0n1pMUE86TX5/F6JQYv19TKaWsMCi2x/DeDb0bOK+zSPR6CXhKRH6DZzGb8UDvcZ9DwJvbD7Jhby33XzF1SBaJADabEBPhIEbnliilVKeZQIExZg+AiKzAM7+/30Ix2PxrfQnTMhICUiQCnJmTylPfmM1X/7aOqx5Zw9++egZTMxICcm2llAoWobQiyB+AOOBNEdkkIo8CGGO2Af/Ck/BWAd8aiiueOl1uHli1k7HDYrg6z9pVTpVSSgWVUUD3cb3HNJc/WOypPMS28gYWTQ9syKdmJvLsrXOIcNi4Zvka3tlVEdDrK6WU1UKmUDTG5BhjMo0x072PW7u13WeMGWeMmWiMec3KOK3y3IZSCiub+MFFp+geUEoppbo7prn8IrJERNaLyPq+5gpb5eXN+xGBS6YGfj/gnLQ4nv/mmWSnxPD1x9fzzKf7Ah6DUkpZRSuKQaDD5eZ3b+VzelYiF+UOtzocpZRSwaUUyOz2dQZQfuSTjDHLjTF5xpi8YcOGBSy4gRhjeOnzcs7ITrZsm5Th8ZH869Y5nDkuhbv/vYWfrNxKu9N99BcqpVSI00JxEFi19QD761v51twcXYBFKaXUkT4FxovIGBEJBxbjmd8f9HYdbKSg4hCXnZpuaRyxEQ4e++oZfP3sMTy+Zi/X/XktFQ2tlsaklFL+poXiIPD4x8WMTolm7sQ0q0NRSikVZIwxTuB24HVgB/Av7/z+oPfK5v3YBC6e0tfOWYEVZrfxo0sn89C1p7GtvIFLfv8h64trrA5LKaX8RgvFELe1rJ71e2v5yuzR2Gzam6iUUqo3Y8yrxpgJ3vn891kdz7F6d1clM0YnkRobYXUoXRaems6L3zqLmHA7i5ev5Y/vFtDh0qGoSqnBRwvFEPf3j4uJCrPz5bzMoz9ZKaWUChFVh9rYUlbPueODY75kdxNHxLHy9rO5YPJwHly1i8sf/oitZfVWh6WUUj6lhWIIqz7Uxkufl3PVjFEkRIVZHY5SSinlMx/mVwFw3sTgKxQBEqLCeOSGGTx6w+lUNLax6OGPWPbaDlrah9wOXUqpQUoLxRC24tMS2p1ubpqTbXUoSimllE+9t7uS5JhwpqQH90b386eMZPX3zuPLMzL403t7uOi37/PchlIdjqqUCnlaKIYot9vw1Cf7OCsnhfHD46wORymllPIZt9vw/u5KzhmfGhLz7xOiw/jFVdN46huziI1w8P1nP+cLv36XFev26VYaSqmQpYViiFq/t5ayuhau1rmJSimlBpnt+xuobmrnvAnBOey0P2eOS+WVO87mLzfmkRwdzg+f38LcX73LI+8WUlrbbHV4Sil1XBxWB6BOzEuflxEZZmPepOFWh6KUUmqQaWxs5N133+1xLCMjg5ycHJxOJx9++GGv12RnZ5OdnU1bWxtr1qzp1T5u3DgyMzNpbm5m3bp1vdonTJhAeno6jY2NPPH6JwDYKnfz7rsFAEyaNInhw4dTV1fHpk2ber1+ypQppKamUlVVxdatW3u1T58+ncTERA4ePMiOHTt6tc+YMYO4uDjKy8vZvXt3r/aZM2cSHR1NSUkJhYWFvdrnzJlDREQEe/fuxVFRzHdzDVuGR/DynjYeWLWTB1btZMboJGanhzE+spHEiJ736s8//3wAdu3axf79+3u02e12zjnnHAC2b99ORUVFj/bw8HDOPPNMALZs2UJ1dXWP9qioKGbNmgXApk2bqKur69EeGxtLXl4eAOvXr+fQoUM92uMTEpg4eSotHS7WrNtAY3Mr7S5DuxucboiKiSM9M4sOl5vtO3fR3uHEbeh6RMfEkpo2HGOgcM8e3MYNBgyeR1xcHKmpnpsCRUV7AOjsRxYgISGB1NRUjDEUFxXRuWW0eB8pqcmkpqTgcrooKtrjOS7ecwgMT0sjJTmZjo52ivbs6dpzuvMaI0eOIDk5mbbWFvbsKep6XeeHUaNGkZSYSFNTE8XFxRy5ZXVWVhZxcfE0Njawb98+jjRmzBhiYmKor6ujpLS0V/u4ceOIjIyitraG8vLyXu3jx48nPDyC6uoqDhw4gDE92ydOnEhYmIOKispePxvg+b9jt9vYv/9Ar58NgClTcgEoLSuntra2R5vdZmPSpEkAlJSWUl/fc9GmMIeDiRMnArB33z4aGxt7tEeEhzN+/HgAioqLaWpq6tEeFRnJuHHjACgsLKSltef+pDExMYzJzgYgPz+ftvb2Hu1xcXGMzsoCPP93OpzOHu0JCQlkZmQAsGPHDlzunj38SUlJjEr37NO6dVvvXYNSUlIYOWIELreLHTt29mpPS0sjbdgwOpwd7NrV+/fGiBEjSE1Joa29jfz8gl7t6enpJCcl0dLaQmHhnl7tGRkZJCYk0NTURFFxMUeOrzj71AnMnpR1Ur/3BqKFYgjqcLl5ZfN+Lpg8gpgI/SdUSik1uOyudTMiWnoVU6FERJg2zMG0YQ4qm90ciMjglS0HeHiN5w/xETHCuAQ74xJtjEu00eFyE2b3z/frNoa65nbqmjvYXd3OgRonTR3Q1GFo6jB0SCPPFH1OY6uTsspaGludtDgNbS5odRraXE0Yehcwh7UClQO013gfJ9pe5X3054D30Z9S76Mfm/cCe/tv39T7D/gePss/SnvvAqNn+/ajtPcuAHrYtPko7ZuO0r5x4PaNA7W3wfqjtK87Svvao7R/NNDPRhsD/2xUeB/9OdrPTrn30Z8S76M/R/vZKgKKBmjvfVOqu8bwKmZPyhrwOSdDzJG3JYaIvLw8s379eqvDOCHv7Kzg5r9/yl9uzGPeZO1RVEqpoxGRDcaYPKvjCBVW5ki323D6z9/kwsnDefBLp1oSgz/lH2zkzR0H2bi3jk0ltVQd8vSQiEBaXATpiVGkJ0YxMj6SmAgHUeF2osPtRIbZcdgEp9vgchvPR5eb5g4XzW0umtqdNLU5OdTmpL6lg/qWDhpaPJ83tHb06oXqLjrcTnxkGPFRDuIiw4iL9HyMjbATHe4gJtxOdISjK47IMDtRYXYiHDbCOx92z0eHTXDYbNjtgl0Eu01w2ASbTbCJp4A+3OMnh3sHhV4xdn5tMBhvD6TbeD739Ej2Pm6M8fRUGu8xvMcM3uearjbPuTuvY7quafq4flfbcfzZbDi+v7GlV3/RAM/109Rdf51Xnby+fvZSYsJJi488qfMOlB+1OyoErdxURkJUGOeG2NwNpZRS6mj2VB2irrmDvNHJVofiF+OHx3UtQmeMobS2hY37atlT2UR5XQvl9S1sL2/g7R0VtHQc21YbNoGYCAcx4Q5iIx0kRIUxLDaCnGGxxEeFkRgdTmJUGInRYSRFh3uPhZEQFUZ8ZBjhjtDtuVVK+Y8WiiGmpd3FG9sPsmh6uv5iV0opNeh8WuwZmpmXnWRxJP4nImQmR5OZHN1nu9ttaHW6aGl30dLhwukyOOyeHjubDRw2G9Hhnp490a4gpZSPaaEYYlbvOEhzu4uFp46yOhSllFLK5z4triElJpwxqTFWh2I5m02IDncQHa5/rimlAk+7pELMyk3ljIiPZOaYwTkkRyml1NC2vriWvOwk7SFTSimLaaEYQpranLyfX8nFU0dgD4ENiJVSSqnjUdHQyr6a5kE7P1EppUKJjmUIIR/kV9HudHOBrnSqlFJqENq4zzM/8fTRg39+YiCkpqaS7d2DTik1eBQXF1NVNdC2IL6hhWIIeWvHQeIjHZyRrXdalVJKDT5byuqx24Tc9HirQxkUsrOzCdWtwJRS/cvLC8xuTzr0NES43Ia3d1Yw95Q0v23Iq5RSSllpS1kD49NiiQyzWx2KUkoNeVpxhIhNJbVUN7Uzb5IOO1VKKTX4GGPYVlbP1FEJVoeilFIKLRRDxpvbK3DYhPMmDrM6FKWUUsrn9te3Ut3UztQMLRSVUioYaKEYIt7ZWcHMMcnER4ZZHYpSSinlc1vK6gGYoj2KSikVFLRQDAEH6lvZdbCR8yZob6JSSqneROReESkTkU3ex4JubfeISIGI7BKRi6yMcyBbvQvZTB6pC9kopVQw0FVPQ8D7+ZUAnKuFolJKqf79nzHmV90PiMhkYDGQC6QDq0VkgjHGZUWAA9lSVq8L2SilVBDRHsUQ8P7uSobFRXDKiDirQ1FKKRVaFgErjDFtxpgioACYaXFMvRhj2FpWT266DjsdyKpVq5g4cSI5OTn84he/sDocpdQgp4VikHO5DR8WVHHO+FRExOpwlFJKBa/bRWSziDwmIp071o8CSro9p9R7LKgcbGij6lA7U0fpsNP+uFwuvvWtb/Haa6+xfft2nn76abZv3251WEqpQUwLxSC3payeuuYOnZ+olFJDnIisFpGtfTwWAY8A44DpwH7g150v6+NUpp/zLxGR9SKyvrKy0h/fQr92HGgAYLL2KPZr3bp15OTkMHbsWMLDw1m8eDErV660Oiyl1CCmcxSD3Pu7KxGBs3NSrQ5FKaWUhYwx847leSLyZ+Bl75elQGa35gygvJ/zLweWA+Tl5fVZTPrLrgONAEwcrlMs+lNWVkZm5uF/yoyMDD755BMLI1JKDXbaoxjkPiqoYvLIeFJiI6wORSmlVJASkZHdvrwC2Or9/CVgsYhEiMgYYDywLtDxHc2uA42MTIgkIVq3gOqPMb1r976mpCxfvpy8vDzy8vIIdM+wUmpw0UIxiLV2uPhsXx1njkuxOhSllFLB7UER2SIim4G5wPcAjDHbgH8B24FVwLeCccXTnQcamagLtg0oIyODkpLD001LS0tJT0/v9bwlS5awfv161q9fz7BhOm1FKXXidOhpENu4t5Z2l5szx+mwU6WUUv0zxnxlgLb7gPsCGM5x6XC5Kaw4xLnjNdcN5IwzziA/P5+ioiJGjRrFihUreOqpp6wOSyk1iGmhGMQ+LqzGbhPOGJNsdShKKaWUXxRXNdHucmuP4lE4HA7+8Ic/cNFFF+FyubjlllvIzc21Oiyl1CCmhWIQW7OnmqmjEoiN0H8mpZRSg9POzoVstFA8qgULFrBgwQKrw1BKDRE6RzFINbU5+bxE5ycqpZQa3PIrDmETGDcs1upQlFJKdaOFYpD6tLgGp9swRwtFpZRSg1hBRSOZydFEhtmtDkUppVQ3fi0URSRGRHz6m19Evi8iRkRSux27R0QKRGSXiFzky+tZ5ZOiGsLsQt5onZ+olFJq8CqoOESO9iYqpVTQ8WmhKCI2EblORF4RkQpgJ7BfRLaJyC9FZPxJnj8TuADY1+3YZGAxkAvMB/7o6+LUCp8W1TBlVAJR4SH/rSillFJ9crrcFFU1kTNcC0WllAo2vu5RfAcYB9wDjDDGZBpj0oBzgLXAL0TkhpM4//8BPwC67zq7CFhhjGkzxhQBBcDMk7iG5Vo7XGwurWdmtvYmKqWUGrz21TTT4TLao6iUUkHI18tpzjPGdBx50BhTA/wb+LeIhJ3IiUVkIVBmjPlcRLo3jcJThHYq9R4LWZ+X1NHucnOGFopKKRWSRCQSuBTPjdJ0oAXYCrxijNlmZWzBpKDiEAA5aVooKqVUsPF1ofiCiDwFrDTGNPX1hL4KyU4ishoY0UfTUuC/gQv7ellfl+nn/EuAJQBZWVn9hWG5T4trAMjLTrI4EqWUUsdLRO4FFuIZZfMJUAFEAhPwjKyJBP7LGLPZsiCDREGlp1Acp4WiUkoFHV8Xin/GM1/wtyLyDvA08Koxpv1YXmyMmdfXcRGZCowBOnsTM4CNIjITTw9iZrenZwDl/Zx/ObAcIC8vr89iMhisK65l4vA4EqPDrQ5FKaXU8fvUGHNvP22/EZE0IHjvVgZQQcUhhsdHEB95QoONlFJK+ZFP5ygaY1YaY64FRgPPAzcB+0TkMRG54CTOu8UYk2aMyTbGZOMpDk83xhwAXgIWi0iEiIwBxgPrTvqbsYjLbdi4t5YzxmhvolJKhagoEYnor9EYU2GMWR/IgALtmU/38fq2A0d9XlFVE2NTtTdRKaWCka97FAEwxrQAzwDPiMg04HE8RaPPl/A0xmwTkX8B2wEn8C1jjMvX1wmUHfsbONTm1PmJSikVuq7HswL3Kjwja94I5bx0Ipa/v4eJI+K4KLev2SSHFVc1cfHUkQGK6vi1trby8ssv88EHH1BeXk5UVBRTpkzhkksuITc31+rwlFLKr/xSKIrIcOBqPMNQRwLPAjf76vzeXsXuX98H3Oer81tpfdf8RC0UlVIqFBljrhCReOAK4A7gryKyEnjaGPO+tdEFhsNmw+UeeIZHbVM7tc0djEmJCVBUx+fee+/lpZdeYu7cucyaNYu0tDRaW1vZvXs3P/zhD2ltbeXXv/4106ZNszpUpZTyC58WiiLyDeBaYCKeoac/MMZ85MtrDHYb99UxIj6SUYlRVoeilFLqBBljGvCMpnlcRFKALwG/F5FkY0zmwK8OfSJgjrISQFG1Z827ManBWSieccYZ3HvvvX223XnnnVRUVLBv374+25VSajDwdY/imcAvgNXGGLePzz0kbNhby4zROj9RKaUGAxFJAq4ErgGS8WwVNSQcbcW44ipvoTgsOAvFlpYW2traiIjoe7ppWloaaWlpAY5KKaUCx9eL2dxsjHnDGOMWkWkislBErux8+PJag9HBhlbK6lo4LSvR6lCUUkqdIBGJE5GviMirwA7gDODnQJYx5ruWBhcgInL0HsWqJuw2ITMpOjBBHacnn3ySzMxMbrzxRl577TVcriE1zVQppXxbKHYSkceAx4CrgMu8j0v9ca3BZOPeWgDtUVRKqdBWBMwHHgEyjTFLjDFvG3O00mnw8GxwPPC3u6eqiYykKMIdfvlT5KS98MILFBQU8MUvfpGHHnqIzMxMbrvtNt5/f0hMM1VKKf8sZgPMNsZM9tO5B62N+2oJd9jITU+wOhSllFInLssY02x1EFbybHk8sOKqpqCdn9gpPj6em266iZtuuonq6mqee+45vv3tb1NTU0NJSYnV4SmllF/56zbeGhHRQvE4bdhby7RRCUF7d1UppdTRdRaJInKpiHwmIrUi0iAijSLScKLnFZEvi8g2EXGLSN4RbfeISIGI7BKRi7odnyEiW7xtD4kcSwnnGwP1nxpjKK5qIjtIVzw9Um1tLc8//zzPPPMMNTU1XHXVVVaHpJRSfuevHsXH8RSLB4A2PKNQjDFG15DuR5vTxdayBr56VrbVoSillPKN3+JZyGaLj4adbvWe70/dD3pvzC4GcoF0YLWITPDu3fgIsARYC7yKZ0jsaz6IZUBHK0erm9ppancxOiU45ycCNDY28uKLL/L000+zceNGFi5cyI9+9CPmzp1LAOttpZSyjL8KxceArwBbAF399BhsK2+g3eXmdF3IRimlBosSYKuv5iYaY3YAfRUpi4AVxpg2oEhECoCZIlIMxBtj1nhf9wRwOQEoFGHgGYp7qz0jc7OSg7dQHDNmDBdddBG33XYb8+fPJywszOqQgkJJTTNN7U5OGRFvdShKKT/zV6G4zxjzkp/OPSh9tq8OgNOydCEbpZQaJH4AvCoi7+EZXQOAMeY3Pr7OKDw9hp1Kvcc6vJ8fedzvBGGg+rikxlMoBnOP4r59+4iODt74rPLMpyX84Z0Cbp+bw/cvmmh1OEopP/JXobhTRJ4C/kPP5Pi8n64X8jaV1DEyIZLh8ZFWh6KUUso37gMOAZFA+LG8QERWAyP6aFpqjFnZ38v6OGYGON7ftZfgGaZKVlbWUSIdmMjRexRFICNIt8YAuorEl19+mR//+McUFxfjcrkwxiAiNDSc8HTTkHbNGZmU17fw8LsFLJg6ksnp2rOo1GDlr0IxCk+BeGG3YwbQQrEfm0pqmZ6ZaHUYSimlfCfZGHPh0Z92mDFm3glcpxTI7PZ1BlDuPZ7Rx/H+rr0cWA6Ql5d3UsNlPQsT9N++t6aJEfGRRIbZT+YyAfHd736X559/nqlTp+rcRCAzOZqfXJbLG9sOsvz9Qn67+DSrQ1JK+Ylfltc0xtzcx+MWf1xrMKg+1EZJTYsWikopNbisFpHjKhRP0EvAYhGJEJExwHhgnTFmP9AoIrO9q53eCPTXK+lbRymo9lU3B/X8xO4yMzOZMmWKz4rEZ599ltzcXGw2G+vXr+/RtmzZMnJycpg4cSKvv/561/ENGzYwdepUcnJyuOOOOwYc1hsICVFhXHn6KF7deoCG1g5LY1FK+Y9PC0UR+ZGIJA/Q/gURudSX1xwMPi+tA9BCUSmlBpdvAatEpMVH22NcISKlwBzgFRF5HcAYsw34F7AdWAV8y7viKcBtwF+AAqCQAC1kczR7a5qDen5idw8++CALFixg2bJl/OY3v+l6nKgpU6bw/PPPc+655/Y4vn37dlasWMG2bdtYtWoV3/zmN3G5PP+Mt912G8uXLyc/P5/8/HxWrVp1Ut+TL1x+2ijanW7e3HbQ6lCUUn7i66GnW4D/iEgrsBGoxDM3YzwwHVgN3O/ja4a8TfvqsNuEqRkJVoeilFLKR4wxcT4+3wvAC/203YdnTuSRx9cDU3wZx7Hqr8+rpd1FZWMbo0NkD8WlS5cSGxtLa2sr7e3tJ32+SZMm9Xl85cqVLF68mIiICMaMGUNOTg7r1q0jOzubhoYG5syZA8CNN97Iiy++yMUXX3zSsZyM0zITGREfyevbDnDVjIyjv0ApFXJ8Wih6J9qvFJHxwFnASKAB+CewxBjT4svrDRafldQxYXgc0eH+mjKqlFIqUEQk2xhTPEC7AKOMMaX9PSfUeTdP7rOtpNaz4mlmiAw9ramp4Y033vD7dcrKypg9e3bX1xkZGZSVlREWFkZGRkav41YTEeZNTuP5jWW0OV1EOIJ/vqlS6vj4pTIxxuQD+f4492Djdhs+L6njkmnpVoeilFLKN34pIjY88wE3cHh0TQ4wF/gi8BN6bl0xqAw0na9za4zMpKgARXNy5s2bxxtvvMGFFx77dNN58+Zx4MCBXsfvu+8+Fi1a1Odr+iqsRfreZmSg+ZLLly9n+fLlAFRWVh5ryCdk7sQ0/rl2H58W1XL2+FS/XkspFXjahWWx4uomGlqdTM/UYadKKTUYGGO+LCKTgeuBW/CMrmkGdgCvAvcZY1otDNFSpbWewUXBvDVGdw8//DAPPvggERERhIWFHdP2GKtXrz7u62RkZFBSUtL1dWlpKenp6WRkZFBaWtrreH+WLFnCkiVLAMjLyzvuOI7H7LEphNmFDwoqtVBUahDSQtFinQvZnKoL2Sil1KBhjNkOLLU6DqsMtD1GSU0zkWE2UmOPaWtJyzU2NgbkOgsXLuS6667jzjvvpLy8nPz8fGbOnIndbicuLo61a9cya9YsnnjiCb797W8HJKajiYlwcFpWEmsKq60ORSnlB37ZHkMdu89L6okKs5MzLNbqUJRSSimfGGhoZGltCxlJ0UG/J2FxcfGA7caYHj19x+qFF14gIyODNWvWcMkll3DRRRcBkJuby9VXX83kyZOZP38+Dz/8MHa7Z97fI488wte//nVycnIYN26c5QvZdDdnbApby+qpb9FtMpQabPzSo+jdx+nbQHb3axhjFvrjeqFsc2kdU0bF47Brza6UUmrwMP2se1pa10xGCMxPvOuuu3C73SxatIgZM2YwbNgwWltbKSgo4J133uGtt97ipz/9aY+FZo7FFVdcwRVXXNFn29KlS1m6tHdHdF5eHlu3bj2h78PfzhyXwu/eymddUQ0XTB5udThKKR/y19DTF4G/Av8B3H66RsjrcLnZVt7ADbNHWx2KUkop5TMD9RWW1LRwWmZSwGI5Uc8++yzbt2/nySef5LHHHmP//v1ER0czadIkFixYwNKlS4mMjLQ6TMtNz0okwmFjTWG1FopKDTL+KhRbjTEP+encg8auA420Od1M0/0TlVJqUBKRhUDnzurvGWP+Y2U8gdTXHMWG1g7qWzpCokcRYPLkydx3X6/tKVU3EQ47p2clsa5Y5ykqNdj4a7zj70TkJyIyR0RO73z46Voha3NpPQDTdSEbpZQadERkGfAdYLv3cYf32KDX3/TD0hrPiqehsoeiOjYzxySzvbyBhladp6jUYOKvHsWpwFeAL3B46Knxfq28NpfWkRgdRpYmTKWUGowuAaYbY9wAIvI48Blwj6VRBUhfPYqltZ49FEOlR1Edm1ljk/ndW7C+uIYvnKLDT5UaLPxVKF4BjDXGtPvp/IPC56X1TB2VEPQrvymllDphiUCN9/MhM89A+pmlWFbn6VEclaiF4mByelYSYXbhkz1aKCo1mPirUPwcT3Ks8NP5Q15Lu4vdBxv54injrA5FKaWUfywDPhORd/Cs73IuQ6Q3Efpe9bSstoXIMBvJMaGxh2Knl156iffffx+A8847j8suu8ziiIJLZJidUzMS+aSo5uhPVkqFDH8VisOBnSLyKdDWeVC3xzhs+/4GXG6jC9kopdQgIyJ/AJ4yxjwtIu8CZ+ApFO82xhywNLhA6WegTHl9C+mJUSE1kuaee+5h3bp1XH/99QA89NBDfPzxxyxbNiSmmx6zWWOTefS9PTS1OYmJ8Nefl0qpQPLX/+Sf+Om8g8bm0joApmUkWhqHUkopn8sHfi0iI4FngKeNMZusDSk4lNW1htyw01deeYVNmzZhs3nW/7vppps47bTTtFA8wswxKTz8TiEb9tZy7oRhVoejlPIBn656KiJ/EJEzjTHv9fXw5bVC3ZbSetLiIhiRoHswKaXUYGKM+Z0xZg5wHp75iX8TkR0i8j8iMsHi8AKmr8VsyutaQq5QBKirq+v6vL6+3rpAglje6CTsNuGTIt0mQ6nBwtc9inoX9Rh9XlqnvYlKKTWIGWP2Ag8AD4jIacBjeEbc2C0NLAD6Glja5nRR2dhGeogVivfccw+nnXYac+fOxRjD+++/r72JfYiJcDAtI4G1e3SeolKDhU97FPUu6rFpbO1gT1WTzk9USqlBTETCROQyEXkSeA3YDVxlcVgBc2SH4v66VoCQKRRvv/12Pv74Y6699lrWrl3LlVdeyZVXXsmaNWtYvHix1eEFpdljU9hcWkdzu9PqUJRSPuDTQrGTMWavMeYBY8xpwHV4tsvY4Y9rhaKtZQ0YgxaKSik1CInIBSLyGFAKLAFeBcYZY64xxrxoaXAB0tdaNeXerTHSE0NjysX48eP5r//6L7Kzs/ntb39LVlYWixYtYsSIEVaHFrTmjE2hw2X4tLjW6lCUUj7gl0JxqN9FPZotZXWALmSjlFKD1H8Da4BJxpjLjDFPGmOarA4q4I7oUuzcQzEjMdqCYI7fd77zHdasWcN7771HcnIyN998M5MmTeJnP/sZu3fvtjq8oJSX7dlP8ePCKqtDUUr5gK8Xsxnyd1GPxeel9WQkRYXcPlJKKaWOzhgz1xjzZ2OMTydriciXRWSbiLhFJK/b8WwRaRGRTd7Ho93aZojIFhEpEJGHJED7UgjSax/F8rpWRGB4QkQgQvCZ0aNHc/fdd/PZZ5/x1FNP8cILLzBp0iSrwwpK0eEOTstK4uMCXdBGqcHA1z2Kehf1GGwurdNhp0oppY7XVuBK4P0+2gqNMdO9j1u7HX8Ez43b8d7HfP+H2f/Q09TYCCIcobWWT0dHB//5z3+4/vrrufjii5kwYQL//ve/rQ4raJ01LpWt5fXUNLVbHYpS6iT5ejEbv9xFHUxqm9opqWnRYadKKaWOizFmhzFm17E+37sCebwxZo0xxgBPAJf7K74jHbk9Rnl9S8gsZAPw5ptvcsstt5CRkcHy5ctZsGABhYWFPPPMM1x++eVWhxe0zp2QijHwUYEOP1Uq1PlljqK/iMi3RWSXd+jNg92O3+MdVrNLRC6yMsaj2Vzm2X9p2ijtUVRKKeUzY0TkMxF5T0TO8R4bhWcqSKdS7zG/E+m96ml5XQvpIbR38P3338+cOXPYsWNHV49iTEyM1WEFvWkZiSREhfH+7kqrQ1Eq5BljONTmZF91M5tK6nh750Ge21B69Bf6iK/3UfQbEZkLLAKmGWPaRCTNe3wysBjIBdKB1SIywRjjsi7a/m0uqQNgig49VUopdQQRWQ30tazmUmPMyn5eth/IMsZUi8gM4EURyaXv7QyPrN+6X3sJnmGqZGVlHV/gR57riEsbY9hf38p5E9JO6ryB9M4771gdQkiy24Szx6fy7u5K3G6DzRaQabFKhQSny01tcwe1ze1UH2qnpqmdmuZ2ag61e441tVPbdPhjTVM77S53r/OkBijekCkUgduAXxhj2gCMMRXe44uAFd7jRSJSAMzEM1cy6Gwuq2fssBjiI8OsDkUppVSQMcbMO4HXtAGduXGDiBQCE/D0IGZ0e2oGUD7AeZYDywHy8vL6LSiPI66uzxtanDS3uxgZQj2K6sR98ZQ0Xtm8ny1l9ZyamWh1OEr5TZvTRU1Tt6LPW+TVNLX1eby+paPfc8VFOkiOCSc5Jpz0hEimpMd3fZ0UE05Kt49XrQ7M9xdKheIE4BwRuQ9oBb5vjPkUzzCatd2eF7ChNSdic2kdc8amWB2GUkqpQUJEhgE1xhiXiIzFs2jNHmNMjYg0ishs4BPgRuD3gYmp59fl9Z6tMUaGyB6K6uScPzENm8BbOw5qoahCSku7i+puRV5n0Vfd5On1O1wIeh6H2px9nsduE5KiPUVdckw4k9LjSY72fJ4SG97V1ln4JUaHE+4IvhmBQVUoDjTkBk+sScBs4AzgX96EeMxDa3w5rOZEHGxo5WBDmy5ko5RS6riJyBV4Cr1hwCsisskYcxFwLvAzEXECLuDWbovK3Qb8HYjCs6/xa4GKt3siPlDfCsDIhNBZzEaduOSYcPKyk3l920HuvHCi1eGoIayl3UXVobYevXrV3q+P/LymqZ3m9r5nroXbbV29eymx4YxOifZ8HhNOckxE1/HOY/GRYYNi2HVQFYoDDbkRkduA570rt60TETeeIbqlQGa3p/Y7tMbXw2qO1+ZS70I2Oj9RKaXUcTLGvAC80MfxfwN97tdgjFkPTPFzaEe1v6tQ1B7Fu+66i//85z+Eh4czbtw4/va3v5GYmAjAsmXL+Otf/4rdbuehhx7ioos86/Nt2LCBr371q7S0tLBgwQJ+97vfEaAtMU/YxVNG8NP/bKew8hDjhsVaHY4aJFo7Dhd+nT1+ncVe1aHDQz6rvL1/LR19F34RDpunyIsNJyUmgnHDYj2FYGw4qd7Cz9PmKf5iIxxB/3/OH4KqUDyKF4EvAO+KyAQgHKgCXgKeEpHf4FnMZjywzqogB7K5tA67TchN10JRKaXU4NZ9e4wD9S3YBIbFRVgXUJC44IILWLZsGQ6Hg7vvvptly5bxwAMPsH37dlasWMG2bdsoLy9n3rx57N69G7vdzm233cby5cuZPXs2CxYsYNWqVVx88cVWfysDmu8tFF/ZvJ87vjje6nBUkOo+x6+z6OtVAHYO/zzUf49fZ+GXEusp8sYNi/X28EV4j3f29kWQHBtOTLh9SBZ+xyuUCsXHgMdEZCvQDtzk7V3cJiL/ArYDTuBbwbri6eel9YxPiyUqPLQ2G1ZKKaWOx5F/gO2vb2VYXARh9uCbgxNoF154Ydfns2fP5rnnngNg5cqVLF68mIiICMaMGUNOTg7r1q0jOzubhoYG5syZA8CNN97Iiy++GPSF4siEKOaMTeHfG0v59hdy9I/yIaJzVc9qb2HXs/evraunr7MgbOxnjl/nUM+UWE/xNzY1pqsHMLXbUM+UmAhSYsOJ1sLPL0KmUDTGtAM39NN2H3BfYCM6PsYYNpfWcdHkvqZgKqWUUoNLjzmKDa2M0PmJvTz22GNcc801AJSVlTF79uyutoyMDMrKyggLCyMjI6PX8VDwpRkZ/Nezn7N+by1nZCdbHY46AcYYGlqdnsLOW+BVHTpc+B3ZC1jb3N5jNEEnm0ByTASp3p69qRmJpMSEe7/2FHupsYd7/OKG6FDPYBMyhWKo21fTTF1zh67+pZRSatA78s+7A/WtQ2qe2rx58zhw4ECv4/fddx+LFi3q+tzhcHD99dcDPbcT6SQi/R7vz/Lly1m+fDkAlZXWbnp/8dQR/M/KrTy7vkQLxSDS2uHqUeBVHepZ8FX1KP7a6HD1vaxHQlSYp8CLiSAnLZZZ3qIvtVtPX2chmBg1OBZ3GWq0UAyQz3UhG6WUUkNJtwLnQH0rZ+UEaoto661ePfAmZ48//jgvv/wyb731VlfRl5GRQUlJSddzSktLSU9PJyMjg9LS0l7H+7NkyRKWLFkCQF5e3sl8GyctOtzBwunpPL+xjLvnn0JKrM5R9Qe321Df0kFVZ29fUxtVjZ7iz9P71/m5p/jrb0uHyDAbqbERpMRGMCI+ktz0eFJiI7w9fxFd8/yGxUaQFBOuQ8mHAC0UA+TzkjoiHDYmjoizOhSllFLKr7p3eB1qc9LY5mR4vK54CrBq1SoeeOAB3nvvPaKjo7uOL1y4kOuuu44777yT8vJy8vPzmTlzJna7nbi4ONauXcusWbN44okn+Pa3v23hd3B8vnb2WJ5eV8ITa/byvQsmWB1OyGh3urvm+VV27/nzFoNdRaF3DqDT3bvXr/twz5TYcKZnJvbq6UuNPVwERodrWaB60p+IAPm8pI4poxL07otSSqkhofPP1s49FEckaG8SwO23305bWxsXXHAB4FnQ5tFHHyU3N5err76ayZMn43A4ePjhh7HbPYvfPfLII13bY1x88cVBv5BNdzlpscybNJwn1hSz5NyxxEQMzT89+5vrV9WjCOwsANtoaO271y8qzE5qnGdo56jESE7NSPAWfp6ewK7Cz7uJu12He6qTMDT/twaY0+Vma3k9187MsjoUpZRSyu+6/2l6sMFTKGqPokdBQUG/bUuXLmXp0qW9jufl5bF161Z/huVXt38hh8sf/og/vlvAXRedYnU4PuN0ubv27Oss8Kq79fZ55v555/odaqfd5e7zPEnRYV1F3qT0eIZ5t3hIje3sDYxgWGwEqXHa66cCS3/aAmDngUZaO9xM14VslFJKDRGdUxS7ehS1UByypmcmcsVpo/jzB0Vck5dFVkr00V9kkc4N3bv38lX2KgI9hWB/K3yGO2ykxoSTGucp8E4ZEd+t6PMUgDrXT4UCLRQD4LOSOgBOz0qyNhCllFIqAEQE4x18eqChc+ipFopD2Q/mT2T19oPc9uQGnr11TsB6xjqHfFY2tlLZ2L3Q6yz82rt6/Wqa+l/oJSbcTmpcBKmxEYxJjeGM7GRP8RcX0VUUds71060d1GChhWIAbNpXR0pMOBlJuoeUUkqpwU843KNY0dBKXKRDh8wNcSMTonjo2tO45fFP+f6zn/OHa0/32XYJ9S0dFFU1UVR1iH3VLeyraaa8roX99S0caGiltaP3kE+7TUiKDu+a05eVFd1joZeUGE8R2LniZ1S43SexKhVK9Ld2AGwqqWV6ZqLeXVJKKTUkdE93BxpaddipAmDuKWn8cP4pLHttJ/dEbOEnCycf1w0EYwxldS18XlLPlrJ6tu9vYNeBBg42tHU9RwSGx0UyKimKKaMSmDdpOCMSIhkW1znPz9Pzp/v6KXV0Wij6WX1LB4WVTVxx2iirQ1FKKaUCpmuOYkObDjtVXZacO5a6lg4efa+QtUXV/OiSyZwzPpXIsN49dvUtHWwvb2BTSR0b99WyqaSOykZPUeiwCeOHx3HWuFTGD49j3LAYxg6LJTM5igiH9v4p5QtaKPrZJu/8xOmZOj9RKaXUUCFd22NUNLQyPi3V0mhU8BAR7p5/CudNGMZdz33ON55YT1SYnVMzE4iLDMNhEyob2yiva6HcuxASwJjUGM7JSeW0rEROzUxk4og4LQiV8jMtFP1sw95abALTsxKtDkUppZQKiM6hp263oaKxjbQ43UNR9TR7bAqr7zyPNYXVvLWjgu37GyipaabD5WZYXASzxqYwYXgck0bGMS0jkeSYcKtDVmrI0ULRzz7bV8vEEfHEDtENZpVSSg1NxhiqmtpwuY0OPVV9inDYOX9iGudPTLM6FKVUH3TjFj9yuQ2f7atjxuhEq0NRSimlAqZziZAK7yIjaXFaKCqlVKjRQtGPdh9s5FCbkxmjdX6iUkqpoaNz6OlB7x6KafE69FQppUKNjof0ow17awE4PUsLRaWUUidHRH4JXAa0A4XAzcaYOm/bPcDXABdwhzHmde/xGcDfgSjgVeA7xnSuR+pfxtC1bYFuj2GN4uJi8vLyfH7eyspKhg0b5vPz+pPGHBgac2Ds3LkzINfRQtGPPi2uIS0ugqzkaKtDUUopFfreBO4xxjhF5AHgHuBuEZkMLAZygXRgtYhMMMa4gEeAJcBaPIXifOA1fwcqCAZDRaOnR3GYLmZjiaqqKr+cNy8vj/Xr1/vl3P6iMQeGxhwY/rgB1BcdeuonxhjWFdVwxphkRHRDV6WUUifHGPOGMcbp/XItkOH9fBGwwhjTZowpAgqAmSIyEog3xqzx9iI+AVweiFhFDvcopsaGE2bXPzeUUirU6G9uPymtbWF/fSuzxiRbHYpSSqnB5xYO9wyOAkq6tZV6j43yfn7kcb/rvD9a2djKMF3IRimlQpIOPfWTT4trADgjWwtFpZRSx0ZEVgMj+mhaaoxZ6X3OUsAJPNn5sj6ebwY43t+1l+AZpkpWVtZxRN03g6dHUfdQHHyWLFlidQjHTWMODI05MAIVsxaKfrKuqIb4SAcTh8dZHYpSSqkQYYyZN1C7iNwEXAp8sduiNKVAZrenZQDl3uMZfRzv79rLgeUAeXl5J7XgjSAY45mjeMoIzYODjf5hHRgac2BozP3Toad+smZPNTPHpGCz6fxEpZRSJ09E5gN3AwuNMc3dml4CFotIhIiMAcYD64wx+4FGEZktnsnyNwIrAxOsZy/hqkPtDNcVT5VSKiRpoegHpbXN7K1u5qycFKtDUUopNXj8AYgD3hSRTSLyKIAxZhvwL2A7sAr4lnfFU4DbgL/gWeCmkACseAqeMa/VTe243Eb3UAwxq1atYuLEieTk5PCLX/yiV7sxhjvuuIOcnBymTZvGxo0bj/m1wRjzLbfcQlpaGlOmTAlYvHDiMZeUlDB37lwmTZpEbm4uv/vd74I+5tbWVmbOnMmpp55Kbm4uP/nJT4I+5k4ul4vTTjuNSy+9NOjjzc7OZurUqUyfPt13q6IaY4bkY8aMGcZfnlm3z4y++2Wz60CD366hlFLq2AHrTRDknlB5nGyOvP2pjWb03S+b0Xe/bF7bUn5S51KB43Q6zdixY01hYaFpa2sz06ZNM9u2bevxnFdeecXMnz/fuN1us2bNGjNz5sxjfm2wxWyMMe+9957ZsGGDyc3N9Xusvoi5vLzcbNiwwRhjTENDgxk/fnzQv89ut9s0NjYaY4xpb283M2fONGvWrAnqmDv9+te/Ntdee6255JJLgj7e0aNHm8rKyuO+7kD5UXsU/eCjwipSYyMYnxZrdShKKaVUwHWfdKGrnoaOdevWkZOTw9ixYwkPD2fx4sWsXNlztPLKlSu58cYbERFmz55NXV0d+/fvP6bXBlvMAOeeey7JyYFdePBkYh45ciSnn346AHFxcUyaNImysrKgjllEiI31/E3c0dFBR0dHQLaOO9mfjdLSUl555RW+/vWv+z1WX8TrD1oo+pjbbfiooJozx6Xo/olKKaWGpO7T83XV09BRVlZGZubhdZEyMjJ6FSH9PedYXhtsMVvFVzEXFxfz2WefMWvWLP8GfIzxDPQcl8vF9OnTSUtL44ILLgiJmL/73e/y4IMPYrMFplw62XhFhAsvvJAZM2awfPlyn8SkhaKPbStvoOpQG+dNGGZ1KEoppZQlut8oHaaFYsjwjELr6cib3v0951he6w8nE7NVfBHzoUOHuOqqq/jtb39LfHy874M8wsnGbLfb2bRpE6Wlpaxbt46tW7f6J9BjjOdoz3n55ZdJS0tjxowZfovvSCf7Hn/00Uds3LiR1157jYcffpj333//pGPSQtHH3t5ZgQicP1ELRaWUUkNT5582cZEOIsPslsaijl1GRgYlJSVdX5eWlpKenn5MzzmW1wZbzFY52Zg7Ojq46qqruP7667nyyitDIuZOiYmJnH/++axatcq/AR9jPP0956OPPuKll14iOzubxYsX8/bbb3PDDTcEbbxA18e0tDSuuOIK1q1bd9IxaaHoY2/vquDUjERSYvUOqlJKqSHKWynqsNPQcsYZZ5Cfn09RURHt7e2sWLGChQsX9njOwoULeeKJJzDGsHbtWhISEhg5cuQxvTbYYrbKycRsjOFrX/sakyZN4s477wyJmCsrK6mrqwOgpaWF1atXc8oppwR1zMuWLaO0tJTi4mJWrFjBF77wBf75z38GbbxNTU00NjYC0NTUxBtvvOGTlXwdJ30G1aXqUBubS+v43rwJVoeilFJKWUa8lWKaLmQTUhwOB3/4wx+46KKLcLlc3HLLLeTm5vLoo48CcOutt7JgwQJeffVVcnJyiI6O5m9/+9uArw3mmAGuvfZa3n33XaqqqsjIyOCnP/0pX/va14I25o8++oh//OMfXdsgANx///0sWLAgaGPev38/N910Ey6XC7fbzdVXXx2Q7SZO9mcj0E4m3oMHD3LFFVcA4HQ6ue6665g/f/5JxyR9jXUdCvLy8sz69et9es6nPtnHf7+whVfvOIfJ6f4fL66UUurYiMgGY4yPNpYa/E42R9717Oc8u6GUhaem89C1p/kwMqWUUr40UH7Uoac+9OqW/YxJjWHSyDirQ1FKKaUsIzr0VCmlQp4Wij5S0dDKx4VVXDJ1pG6LoZRSakhranMBkBavhaJSSoUqLRR95MVNZbgNXHH6KKtDUUoppSxVdagN0K0xlFIqlGmh6ANut+GpT/YxY3QS44bFWh2OUkopZan6lg4AkqLDLY5EKaXUidJC0Qfey6+kuLqZr8webXUoSimllOUOtTkBiI8KszgSpZRSJypkCkURmS4ia0Vkk4isF5GZ3druEZECEdklIhcFMi5jDH94u4CRCZEsmGrdnjxKKaVUsGjtcAMQHW63OBKllFInKmQKReBB4KfGmOnA/3i/RkQmA4uBXGA+8EcRCVhmeunzcjbsreX2L+QQ7gilt1MppZTyj3anZzGb6DDdrlmp6upqpk+fzvTp0xkxYgSjRo1i+vTpxMbG8s1vftMv1/ztb3/LE088cdLnWbx4Mfn5+T6ISIWiUPoNboDOzQkTgHLv54uAFcaYNqBIRAqAmcAafwe0ubSOH724lVMzE1l8Rpa/L6eUUkqFhA6XZ4/myHC9gapUSkoKmzZtAuDee+8lNjaW73//+367ntPp5LHHHmPjxo0nfa7bbruNBx98kD//+c8+iEyFmlAqFL8LvC4iv8LTE3qm9/goYG2355V6jw2osbGRd999t8exjIwMcnJycDqdfPjhh71ek52dTXZ2Nm1tbfzquff5y5Z2kiKFr4xt44P332PcuHFkZmbS3NzMunXrer1+woQJpKen09jYyIYNG3q1T5o0ieHDh1NXV9f1C6W7KVOmkJqaSlVVFVu3bu3VPn36dBITEzl48CA7duzo1T5jxgzi4uIoLy9n9+7dvdpnzpxJdHQ0JSUlFBYW9mqfM2cOERERFBcXU1xc3Kv97LPPxuFwUFBQQGlpaa/2888/H4Bdu3axf//+Hm12u51zzjkHgO3bt1NRUdGjPTw8nDPP9PyTb9myherq6h7tUVFRzJo1C4BNmzZRV1fXoz02Npa8PM9eouvXr+fQoUM92hMTE5k+fToAn3zyCS0tLT3aU1JSmDp1KgAff/wx7e3tPdrT0tKYPHkyAB988AEul6tH+8iRI5k4cSJAr587OL6fvTVret8D0Z89/dkD/dkb6GdPBdbIxEj2VDYRGxFKf2YoFVjvvvsuv/rVr3j55Ze59957KSoqYv/+/ezevZvf/OY3rF27ltdee41Ro0bxn//8h7CwMDZs2MCdd97JoUOHSE1N5e9//zsjR/ac+vT2229z+umn43B4/v+df/75nHbaaWzYsIHKykqeeOIJli1bxpYtW7jmmmv4+c9/TlNTE1dffTWlpaW4XC5+/OMfc80113DOOefw1a9+FafT2XU+NXQE1a0+EVktIlv7eCwCbgO+Z4zJBL4H/LXzZX2cyvRz/iXe+Y3rOzo6TirW4TE2Lh0Xxs/OjCI1KqjeRqWUUspS//jaLB780jSiw/UPS6WOVWFhIa+88gorV67khhtuYO7cuWzZsoWoqCheeeUVOjo6+Pa3v81zzz3Hhg0buOWWW1i6dGmv83z00UfMmDGjx7Hw8HDef/99br31VhYtWsTDDz/M1q1b+fvf/051dTWrVq0iPT2dzz//nK1btzJ//nwAbDYbOTk5fP755wF5D1RwEWP6rKmCjojUA4nGGCOeHe3rjTHxInIPgDFmmfd5rwP3GmMGHHqal5dn1q9f7/e4lVJKWU9ENhhj8qyOI1RojlTKP7oPPT2yRzEsLIylS5fidruJioqitbUVEeF//ud/SE5OZt68eZx55pmMHTsWAJfLxciRI3njjTd6XGPJkiV84QtfYPHixYCnR/G+++7jrLPO4u2332bZsmW8+eabAJx77rk89NBDREdHc9FFF3H11Vdz6aWXdo20Abj++utZvHgxl112WYDeJRVIA+XHUOoKKwfO837+BaBzZu1LwGIRiRCRMcB4oPf4J6WUUiqEicgvRWSniGwWkRdEJNF7PFtEWryrgm8SkUe7vWaGiGzxrgz+kPdGq1IqCEVERACeXrywsDA6/7vabDacTifGGHJzc9m0aRObNm1iy5YtvYpEoKvI7O/cnZ93P/eECRPYsGEDU6dO5Z577uFnP/tZ13NaW1uJiory+fergl8oFYrfAH4tIp8D9wNLAIwx24B/AduBVcC3jDGufs+ilFJKhaY3gSnGmGnAbuCebm2Fxpjp3set3Y4/gidfjvc+5gcsWqWUT02cOJHKysqu+eIdHR1s27at1/MmTZpEQUHBcZ27vLyc6OhobrjhBr7//e/3WAhn9+7d5ObmnlzwKiSFzOQBY8yHwIx+2u4D7gtsREoppVTgGGO6dx2sBb400PNFZCQQ3zkVQ0SeAC4HXvNXjEop/wkPD+e5557jjjvuoL6+HqfTyXe/+91eRdzFF1/MV77yleM695YtW7jrrru6ejMfeeQRAA4ePEhUVFSvBXPU0BAycxR9TedfKKXU0DHY5iiKyH+AZ4wx/xSRbGAbnl7GBuBHxpgPRCQP+IUxZp73NecAdxtjLj3a+TVHKhXarrjiCh588EHGjx9/Uuf5v//7P+Lj4/na177mo8hUsBkoP4ZMj6JSSik12InIamBEH01LjTErvc9ZCjiBJ71t+4EsY0y1iMwAXhSRXI5jVXDveZfgndaRlaV7AysVyn7xi1+wf//+ky4UExMTj7t3Ug0eQ7ZHUUQqgb0neZpUoMoH4Qw2+r70pu9Jb/qe9KbvSW++ek9GG2OG+eA8lhKRm4BbgS8aY5r7ec67wPeBMuAdY8wp3uPXAucbY/7fMVxHc6R/6HvSm74nvel70jd9X3rzxXvSb34csj2KvviDQUTWD6ahTL6i70tv+p70pu9Jb/qe9KbvyWEiMh+4Gzive5EoIsOAGmOMS0TG4lm0Zo8xpkZEGkVkNvAJcCPw+2O5luZI/9D3pDd9T3rT96Rv+r705u/3ZMgWikoppVSI+QMQAbzpXTZ/rXeF03OBn4mIE3ABtxpjaryvuQ34OxCFZxEbXchGKaXUMdFCUSmllAoBxpicfo7/G/h3P23rgSn+jEsppdTgFEr7KAaj5VYHEKT0felN35Pe9D3pTd+T3vQ9CV36b9ebvie96XvSm74nfdP3pTe/vidDdjEbpZRSSimllFJ90x5FpZRSSimllFI9aKF4gkRkvojsEpECEfmh1fFYTUQeE5EKEdlqdSzBQkQyReQdEdkhIttE5DtWx2Q1EYkUkXUi8rn3Pfmp1TEFCxGxi8hnIvKy1bEECxEpFpEtIrJJRHT39xCh+bE3zZG9aY7sTXNk/zRH9hSo/KhDT0+AiNiB3cAFQCnwKXCtMWa7pYFZSETOBQ4BTxhjdOEEQERGAiONMRtFJA7YAFw+xH9OBIgxxhwSkTDgQ+A7xpi1FodmORG5E8gD4o0xl1odTzAQkWIgzxij+2aFCM2PfdMc2ZvmyN40R/ZPc2RPgcqP2qN4YmYCBcaYPcaYdmAFsMjimCxljHkfqDnqE4cQY8x+Y8xG7+eNwA5glLVRWct4HPJ+GeZ9DPm7VSKSAVwC/MXqWJQ6SZof+6A5sjfNkb1pjuyb5kjraKF4YkYBJd2+LmWI/3JTAxORbOA0PJteD2ne4SObgArgTWPMkH9PgN8CPwDcFscRbAzwhohsEJElVgejjonmR3XcNEcepjmyT79Fc+SRApIftVA8MdLHsSF/x0f1TURi8exx9l1jTIPV8VjNGOMyxkwHMoCZIjKkh2GJyKVAhTFmg9WxBKGzjDGnAxcD3/IO31PBTfOjOi6aI3vSHNmT5sh+BSQ/aqF4YkqBzG5fZwDlFsWigph3jsG/gSeNMc9bHU8wMcbUAe8C862NxHJnAQu98w1WAF8QkX9aG1JwMMaUez9WAC/gGdaogpvmR3XMNEf2T3NkF82RfQhUftRC8cR8CowXkTEiEg4sBl6yOCYVZLyT0v8K7DDG/MbqeIKBiAwTkUTv51HAPGCnpUFZzBhzjzEmwxiTjed3ydvGmBssDstyIhLjXeACEYkBLgR0xcjgp/lRHRPNkb1pjuxNc2RvgcyPWiieAGOME7gdeB3P5Ot/GWO2WRuVtUTkaWANMFFESkXka1bHFATOAr6C5+7XJu9jgdVBWWwk8I6IbMbzB+Wbxhhd6lr1ZTjwoYh8DqwDXjHGrLI4JnUUmh/7pjmyT5oje9McqY5FwPKjbo+hlFJKKaWUUqoH7VFUSimllFJKKdWDFopKKaWUUkoppXrQQlEppZRSSimlVA9aKCqllFJKKaWU6kELRaWUUkoppZRSPWihqJRSSimllFKqBy0UlVJKKaWUUkr1oIWiUkoppZRSSqketFBUSimllFJKKdWDFopKKaWUUkoppXrQQlEppZRSSimlVA9aKCqllFJKKaWU6kELRaWUUkoppZRSPWihqJRSSimllFKqBy0UlVJKKaWUUkr1oIWiUkoppZRSSqkeHFYHYJXU1FSTnZ1tdRhKKaUCYMOGDVXGmGFWxxEqNEcqpdTQMFB+HLKFYnZ2NuvXr7c6DKWUUgEgInutjiGUaI5USqmhYaD8qENPlVJKKaWUUkr1oIWiUkoppZRSSqketFBUSimllFJKKdWDFopKKaWUUkoppXoYsovZhLo2p4t3dlZS0diKTQSHTRg/PI7TMhOx2cTq8JRSSinL7Ktu5oOCStwG7CLERNg5KyeV1NgIq0NTSqmQoYViiNlTeYh/rt3HC5+VUtvc0at9WFwE8yalce3MLKZlJAY+QKWUUsoCLrfh5c3lrFhXwpo91b3aRSBvdBLzp4xk8RmZxETon0BKKTUQ/S0ZQp7bUMrSF7bgNoYLJ4/g6jMymZIej8sYOlyG9cU1vLH9IC9tKufpdSVclDuc7184kfHD46wOXSmllPKbmqZ2vrPiMz7IryIzOYr/umACl52aTkyEA5fbUNnYxls7D/LGtoP878vbeeTdAr41N4frZmUR4bBbHb5SSgUlMcZYHYMl8vLyTCjtEfWL13by6HuFnDkuhd8unk5aXGS/z21s7eCxD4v58wd7aGp3cv2sLH548SRi9e6pUmqIEpENxpg8q+MIFaGUI0tqmlm8fC2VjW3cuzCXxWdkDjgF47N9tfzy9V18XFhNRlIU918xlXMn9LnXtFJKDXoD5UddzCYEPPZhEY++V8h1s7J44paZAxaJAHGRYXxn3nje/8FcbpqTzZOf7OOi/3ufjwqqAhSxUkop5X/1zR3c/PdPaWzt4Nlb53DdrKyjztM/LSuJp74xm39+bRYRDhs3PraOu5/bTENr7+kcSik1lGmhGOTe2nGQ/31lOxflDud/F03BYT/2f7LkmHDuXZjLs/9vDuEOG9f/5RPufWkbrR0uP0aslFJK+Z/Lbbj1nxvYW93E8hvzODUz8bhef/b4VF654xxuPW8cz24o4aL/e591RTX+CVYppUKQFopBrK65nbv/vZnJI+P57TWnYT/B1UzzspN57TvncPNZ2fz942KueuRjiqqafBytUkopFTh/+6iINXuque+Kqcwem3JC54gMs/PDi0/h+W+eRYTDxuLla/j9W/m43ENzWo5SSnWnhWIQu++VHdQ2d/DLL51KVPjJTbaPDLPzk8ty+cuNeZTVtXDpQx+wclOZjyJVSimlAqekpplfv7GbL56SxpdnZJz0+aZnJvLyHedw2anp/PrN3dz42CdUNrb5IFKllApdWigGqTWF1Ty7oZQl545lcnq8z847b/JwXr3jHCaNjOc7Kzbx4xe30ubUoahKKaVCx49XbsUm8L+XT0HEN3sHx0Y4+O0103ngqqmsL67lkoc+0KGoSqkhTQvFIGSM4Zev7yQ9IZLvfHG8z8+fnhjF00tm841zxvCPtXu5+tE1lNQ0+/w6SimllK+tK6rh3V2VfHfeBNITo3x6bhHhmjOyeOGbZxEdbufaP6/lT+8VMlRXiFdKDW1aKAahjwqq2bivjm/OzSEyzD/7O4XZbSy9ZDKP3jCDPZVNXPLQB7y5/aBfrqWUUkr5yu/e2k1qbARfmTPab9eYnB7PS98+mwsnD2fZazv5xhPrqWtu99v1lFIqGGmhGGSMMfzurd2MTIjky3knP+/iaOZPGcHLd5xNVko033hiPfe9sp0Ol9vv11VKKaWO1/riGj4qqObW88b67UZqp/jIMP54/ence9lk3ttdySUPfcjGfbV+vaZSSgUTLRSDzCdFNXxaXMtt548jwuHfJNhpdEoM/77tTG6cM5o/f1DElX/8mIKKxoBcWymllDpWf3ingJSYcK6blRWQ64kIXz1rDM/deiY2G3z50TX8bnU+Tr2hqpQaArRQDDL/XLuXhKgwrs7LDOh1Ixx2frZoCo/eMIOyuhYueehD/vZREW5dIlwppVQQKKlp5t1dlXxlzmiiwx0BvfapmYm8/O1zWHhqOv+3ejdXPbqGPZWHAhqDUkoFmhaKQaSmqZ03th3kytNH+X1ITX/mTxnBqu+ew1k5qfz0P9u58bF17K9vsSQWpZRSqtOKT/dhEwJ+I7VTQlQY/3fNdP5w3WkUVzWx4KEP+MeaYl3oRik1aGmhGESe31hKu8vNtTMDM6SmP2lxkfz1pjzuv2IqG/fVcuFv3ucvH+zRuYtKKaUs0eFy86/1pZw/Mc3nK50er0unpfPG985l5pgUfrxyG9csX8v28gZLY1JKKX/QQjFIGGN4at0+ZoxOYsLwOKvDQUS4blYWr95xDqePTuLnr+zg4t99wIf5VVaHppRSaoh5e2cFlY1tlt9I7TQ8PpLHbz6DZVdOJf9gI5f+/gN+/OJWXRlVKTWoaKEYJDbuq2NPZRPXnGHNkJr+ZKfG8Pebz+DPN+bR7nRzw18/4dZ/bKCoqsnq0JRSSg0Rz64vJS0ugrkTh1kdShcR4dqZWbzz/fO5YfZonvxkL+f/6l3+saaYNqfL6vCUUuqkhUyhKCK/FJGdIrJZRF4QkcRubfeISIGI7BKRiywM84S9tmU/4XYb86eMsDqUXkSECyYP543vncv3L5zAe7sr+cKv3+WbT27g85I6q8NTSqkhbzDnyENtTt7Pr+SSaSNx2IPvz5bE6HB+tmgKr9xxDhOHx/Hjlds498F3WP5+IY2tHVaHp5RSJyz4fuP2701gijFmGrAbuAdARCYDi4FcYD7wRxGxZiWYE2SM4bWtBzh7fCrxkWFWh9OvyDA7t39hPO//YC7fPH8cH+RXsejhj7h2+Vre2VWBS1dIVUopqwzaHPn2zgranW4unjLS6lAGNGlkPCuWzObxW2YyNjWW+1/dyZm/eJsHV+3UReGUUiEpsOtLnwRjzBvdvlwLfMn7+SJghTGmDSgSkQJgJrAmwCGesC1l9ZTVtfCdeeOtDuWYDIuL4K6LTuHW88bx9Lp9/PXDIm7+26ekxkZwydQRXHZqOqdnJWGzidWhKqXUkDCYc+RrW/YzLC6CGaOTrA7lqESE8yYM47wJw/i8pI5H3yvkkfcK+eO7hczMTubSU0dy8ZSRDIuLsDpUpZQ6qpApFI9wC/CM9/NReJJip1LvsQE1Njby7rvv9jiWkZFBTk4OTqeTDz/8sNdrsrOzyc7Opq2tjTVreufYcePGkZmZSXNzM+vWrevVPmHCBNLT02lsbGTDhg1dx5/d1Y5dYPowTwdvXV0dmzZt6vX6KVOmkJqaSlVVFVu3bu3VPn36dBITEzl48CA7duzo1T5jxgzi4uIoLy9n9+7dvdpnzpxJdHQ0JSUlFBYW9mqfM2cOERERFBcXU1xc7PmegJ/PtrOpIoLCjkRWfFrC42v2khwpTBtmZ3yijfFJdoZFCXPnzgVg165d7N+/v8e57XY755xzDgDbt2+noqKiR3t4eDhnnnkmAFu2bKG6urpHe1RUFLNmzQJg06ZN1NXV9WiPjY0lLy8PgPXr13PoUM/9rxITE5k+fTodLjcfrvmEhqZW2l2GDjd0uA0xcQlkjh5Dh8vw+dZttHd04HKD24DLQGxcHMNHpON0G/Lz83G53RjAGDBAfHw8w4alAVBQUNDrvU1MTCQ1NRVj3OzZs4fOElsEBEhJSSE1NQWX03m4XQ4PCRg+PI2U5BTa29vZs6cQEc8ZxPsYmT6S5KQkWltbKSra09XWKTMjg8TEBJqbmikqLuoV3+isLOLi42lsbGDf3n292seOHUNMTCx1dXWUlpb0ah83LoeoqCiqq6spLy/v1T5+wngiwiOoqqriwIEDvdonnjKRMEcYFRUVvX42DDB50iRsdjsH9u+n6oifDfD83wEoKyujtra2R5vNZmPSpMkAlJaWUF9f36Pd4XAwceIpAOzbt5fGxsYe7eHh4YwfPwGA4uIimpp6zt+NjIxk3LgcAAoLC2htbe3RHhMTQ3b2GADy83fT3t5zMYy4uDhGjx4NwM6dO3E6nT3aExISyMz0zG3evn07bnfP1YmTk5JIH+X5ldjX743UlBRGjByJ2+Viex+/N9LS0khLS8PZ0cHOXbt6tY8YMYLU1FTa2trIz8/v1Z6enk5ycjItLS19/l7JzMggITGRpqYmior6+NkbncWXZk846d97Q8ygyZFtTsNbO5o5O91BVWUFw4cPD6kceU0GzE2OYu1+J5vr2/ifldu496VtTEyycUqynfFJdsYl2IhwCOeffz4QvDny1FNPpc3p5qO162hoaqHdBe1ucLkN0XEJZIzOpsNl2LJ1G20dzq786DYQExvHiJEjcblhd2eO9OZHDMTGx5OWNlCOTGBY6rBeORI8eTI1JYWUlBTcrp45sjMHpqWlkZKSgrOjnT17DufAzvP0yJF79nS9tlNGRgZJiQk0NTVTfAI5csyYMcTExFBXX0dpSWmv9nHjxhEVFUVNTc0x5cgjx2+dMnEijrC+cyTApEmTsNnsHDiwv9fPBkBuridHlpeHZo7MyvLkyF27+s6RGRmeHLljR+8cmZSURHq651fitm29f2+kpKQwYsRI3G5Xn7830tLSGDYsDaezg1395MiUlFTa2/vPkUlJybS2tFC4p/fvlYyMDBISEmluaurz77Ozpk1g9qSsk/q9N5CgKhRFZDXQ1yS9pcaYld7nLAWcwJOdL+vj+X2OgRSRJcAS8PynDQbGGNYfdHJKso2EyKD65zhmYTbhjBEOvnf2dFpd8OQ7m3ltWwWf7HfyrrdmSIwQZpduYMywGCI6Gohod5EWLSRGCPaT7HnscBs62tzsq26msa2DrRVtVNY5aXFCc4eh1Wlw2hp5sXQLh1qdlB6s41BbB61OaHUZ2l3Q5mqm/dlyOlz9DZ9tBQ4OEEUbMNCKsFVHaa/wPvqz3/vox9ZSPH//9WNzMVDcf/um3r+cevis9y+3nu07B27fuO0or+/9y61n++ajtG86SvuGgds3DNTeBp8epf2To7SvOUr7hzUDt5/Uz84B76M/5d5Hf0q8j/7s9T768VkR0Du5HW4f+GfPvimfL82eMOBzhoqhmCM3V7lod0HeiNDMjwBp0TYWjgvnwbPPprCqhcff3cr7BbW8WNCBoQObwOg4G6/XbCYrOYawtkOEtblIjbIR7aDrxt+JcBtPjmtpcVFQ0UhDq5PP97dSWe+kpcPQ4oQWp8Epjfyr+HMOtTkpr6jlUJuTVqehzQVtLkO7u5nWFWUDXMnfObLS++jPUXIkQZ4jP9t+lHbNkQO2D+Ec2RBWxexJ/lsNWkJpo1gRuQm4FfiiMabZe+weAGPMMu/XrwP3GmMGHFaTl5dn1q9f7+eIj66g4hDzfvMe/3v5FL4ye7TV4fiUy23YfbCR9cU1rN9by+bSekprm3sVY9HhdhKiwoiPDCM20oHDJtjEU0CKeHrl2p1u2lxu2p1u2p0uWtpdNLW7aG53DlDcHRZutxEb6SA24vAjJsJOdISD6DA7MREOosLtRIV5HpFhNiLC7ESG2Qm324hw2Ah32Aiz2wizC2F2G3ab4LCJ96MNu12wi2Dz3qYUPJ+L95j0+ffaYQbTdYfVGNOtR9JzsPvXnc9ze+eFdv437u8cdDtO9/N2f223t9H08XdkMP+qOJ6/o4727xBM+vp3GIpy0xNO+hwissEYk+eDcILWYMyR//Wvz1m94yAbfjQvKBeyORn1LR1s3FfLhuJaPi2uoaDiENVNPXtL7DYhPtJBvDdHRoXZsdnAJp48KeLZY7Ld6abDZWh3uml1umhq8+TH5vZjW321e16MjQwjNsJOTLijKzfGhNuJCnd05cfIzjzpsBPhzY2dOTLcbsNmw5MXu+VJuze322z95MeBfjUfkfug/zzpNkfkwW45zmBwm8Ov7TzemSO9l+qRU4Fe5+gzxAD/uh6seU8dn9TYcNLiI0/qHAPlx5C5RSci84G7gfM6E6DXS8BTIvIbIB0YD/Qe0xKkPsj33CE7f0LwLPntK3abMGlkPJNGxvOVOdmAp3gsr2thX00ze6ubqTrURkNLB/UtHTS0dtDY6sTlNjjdbtpdnl/4AoQ7bCSEhxFutxHuEKLDHcSEewq8mAgH0eF24iLDiI1wEB/pIDbSQVxkGHHe4jAyLKTWblBKqeMyGHOkMYYP8is5e3zqoCsSARKiwpg7MY25E9O6jjW2drCvppl91c2U1rZ05caGlg4aWp20tLtwG0OH243beHJkmM1GdLiDcIenSIsIs3lyY7id6PBu+THSQVykg7iII3JkuEPXFFBK9SlkCkXgD0AE8KZ3GMZaY8ytxphtIvIvYDue4TbfMsaEzAZGH+RXMSY1hszkaKtDCQi7TchMjiYzOZqzcqyORimlBo1BlyN3HzxERWMb545PtTqUgImLDCM3PcEnvehKKXWyQqZQNMb0W1YYY+4D7gtgOD7R5nSxprCaL+dlWB2KUkqpEDYYc2TniJtzxg++ETdKKRUKBt9YjhCycW8dLR0uTYJKKaXUEd7PryInLZb0xCirQ1FKqSFJC0ULfZBficMmzB6bbHUoSimlVNBo7XDxyZ5qzhlCw06VUirYaKFooQ/yqzg9K4m4yDCrQ1FKKaWCxvriWtqcbs7VETdKKWUZLRQtUt/Swdbyes7MSbE6FKWUUiqorN1Tjd0mzByjI26UUsoqWihaZOO+WoyBmdmaBJVSSqnuPi2uYUp6PDERIbPmnlJKDTpaKFpkQ3EtdpswPSvR6lCUUkqpoNHudLOppI48vZGqlFKW0kLRIp8W15CbHk90uN4tVUoppTptLa+nzenmjOwkq0NRSqkhTasUC3TeLb1+1mirQwl5qampZGdnWx2GUsoPiouLqaqqsjoMFWDri2sAmDFaexRPluZIpQanQOVHLRQtsE3vlvpMdnY269evtzoMpZQf5OXlWR2CssCnxbVkp0QzLC7C6lBCnuZIpQanQOVHHXpqgfXFtQDM0EJRKaWU6mKMYcPeWp2fqJRSQUALRQt8WlzD6JRo0uIirQ5FKaWUChp7qpqoaWrXETdKKRUEtFC0wKaSOmZkaRJUSimluvtsXx0Ap2uOVEopy2mhGGAHG1qpaGxjWkaC1aEopZRSQWVLaR0x4XbGDou1OhSllBrytFAMsM2l9QBMzUi0NhCllFIqyGwpqyd3VAJ2m1gdilJKDXlaKAbYltI67DZh8sh4q0NRSimlgobT5WZbeQPTRumIG6WUCgZaKAbY5rJ6xqfFEhVutzoUpZRSKmjkVxyizelmqk7NUEqpoKCFYgAZY9hSWs9UvVuqlFJK9bClc2qG5killAoKWigGUHl9K9VN7bqQjVJKKXWEzWV1xEU4yE6JsToUpZRSaKEYUFtK6wBdyEYppZQ60pbSeqaMSsCmC9kopVRQ0EIxgDaX1uOwCaeMiLM6FMvcddddnHLKKUybNo0rrriCurq6rrZly5aRk5PDxIkTef31160LUimlVEC1O93s2N845EfcaI5USgUTLRQDaEtZPROGxxEZNnQXsrngggvYunUrmzdvZsKECSxbtgyA7du3s2LFCrZt28aqVav45je/icvlsjhapZRSgZBf0Ui7y03uEJ+fqDlSKRVMtFAMoJ0HGpmcPrS3xbjwwgtxOBwAzJ49m9LSUgBWrlzJ4sWLiYiIYMyYMeTk5LBu3TorQ1VKKRUgO/Y3Agz5raM0RyqlgokWigFSdaiNysa2IT3s9EiPPfYYF198MQBlZWVkZmZ2tWVkZFBWVmZVaEoppQJox/4GIhw2xqTqQjadNEcqpazmsDqAoWLnELpbOm/ePA4cONDr+H333ceiRYu6Pnc4HFx//fWAZ+uQI4n0vaDB8uXLWb58OQCVlZW+ClsppZRFdh5oYOKIOOxDYCEbzZFKqVChhWKA7NjfAMApQ6BQXL169YDtjz/+OC+//DJvvfVWV6LLyMigpKSk6zmlpaWkp6f3+folS5awZMkSAPLy8nwUtVJKBZaIxACtxpghPdnMGMOO/Y1cMGm41aEEhOZIpVSo0KGnAbLjQAPD4yNIjgm3OhRLrVq1igceeICXXnqJ6OjoruMLFy5kxYoVtLW1UVRURH5+PjNnzrQwUqWU8i0RsYnIdSLyiohUADuB/SKyTUR+KSLjrY7RChWNbdQ0tXPKSJ2aoTlSKRVMtEcxQHbsb+SUEYO/N/Fobr/9dtra2rjgggsAz2T9Rx99lNzcXK6++momT56Mw+Hg4Ycfxm4fuqvDKqUGpXeA1cA9wFZjjBtARJKBucAvROQFY8w/LYwx4DpH3EwaAiNujkZzpFIqmGihGABOl5vCikOcOz7V6lAsV1BQ0G/b0qVLWbp0aQCjUUqpgJpnjOk48qAxpgb4N/BvEQkLfFjW2nXAM4dfF3vTHKmUCi4+LxRFJBK4FDgHSAdagK3AK8aYbb6+Xigorm6m3eVmwnBNgkopNYS9ICJPASuNMU19PaGvQnKw233wEMPiIkiMDs2pGU1NTURGRmoPn1Jq0PHpHEURuRf4GJgDfAL8CfgX4MQzpOZNEZnmy2uGgvyDnrulWigqpdSQ9mfgMqBIRJ4RkctFJDSrIx/Kr2hkYgjlR7fbzVNPPcUll1xCWloap5xyCiNHjiQ3N5e77rqL/Px8q0NUSimf8HWP4qfGmHv7afuNiKQBWT6+ZtDbffAQIpCTFmt1KEoppSxijFkJrBSRKGAhcBPwqIi8CjxtjHnT0gAt4HYb8g8eYvHMzKM/OUjMnTuXefPmsWzZMqZMmYLN5rnnXlNTwzvvvMMPf/hDrrjiCm644QaLI1VKqZPj60IxSkQijDFtfTUaYyqACh9fM+jtrmgkMymaqHAdlqKUUkOdMaYFeAZ4xjvK5nE8ReOQSxJldS20dLhCasTN6tWrCQvrPZU0OTmZq666iquuuoqOjiE3glgpNQj5ulC8HvijiKwCngbeGOr7Q4Fn6OmE4aHVm9ja2srLL7/MBx98QHl5OVFRUUyZMoVLLrmE3Nxcq8NTSqmQJSLDgauBxcBI4FngZkuDssjurqkZoZMjr7jiCq677joWLVpETExMn8/pq5BUSqlQ49M5isaYK4Ac4C3gDqBERB4RkXN9dQ0R+b6IGBFJ7XbsHhEpEJFdInKRr67lCx0uN0VVTYwPobul9957L2eeeSZr1qxh1qxZ/L//9/+4+uqrcTgc/PCHP+SCCy5g8+bNVoeplFIhRUS+ISJvAxuBCcAPjDFjjTF3G2M2+egaIZUjdx88BEBOWujkyG984xv85z//YcyYMVxzzTW8+OKLtLe3Wx2WUkr5nM9XPTXGNOAZRvO4iKQAXwJ+LyLJxpiTmoQgIpnABcC+bscm47krm4tnldXVIjIhWHoyi6ua6HCZkLpbesYZZ3Dvvff22XbnnXdSUVHBvn37+mxXSinVrzOBXwCrO/dQ9KVQzJH5BxsZHh9BQlTo9MAtWrSIRYsW0dLSwksvvcTjjz/OrbfeyoIFC7j22mu79kBUSqlQ59Mexe5EJAm4ErgGSMazR9TJ+j/gB4DpdmwRsMIY02aMKQIKgJk+uJZPFFZ675YOC527pS0tLbS19TnNFIC0tDTy8vICGJFSSoU+Y8zNxpg3jDFuEZkmIgtF5MrOhw8uEZI5cnwI9SZ2FxUVxTXXXMMLL7zAG2+8wWeffcb8+fOtDksppXzG19tjxInIV7wruO0AzgB+DmQZY757kudeCJQZYz4/omkUUNLt61LvsaBQWOnZKmvssL7nMQSjJ598kszMTG688UZee+01XK6guPGslFKDgog8BjwGXIVnu4zL8Ow/fDLnDLkcaYyhsLKJcSGUH7s7ePAgv//97znrrLO4/PLLufDCC9mwYYPVYSmllM/4euhpEfA68Aiw6ng3DhaR1cCIPpqWAv8NXNjXy/o4Zvo4hogsAZYAZGUFZpeOwopDjEyIJCbC56N8/eaFF16goaGBF154gYceeoivfe1rLFq0iGuvvZZzz/XZdFOllBqqZhtjJh/viwZbjqxobONQm5NxIbZ11J///Geefvppdu3axZVXXsmDDz7IWWedZXVYSinlc76uXrKMMc0n+mJjzLy+jovIVGAM8LmIAGQAG0VkJp67o93nPmYA5f2cfzmwHCAvL6/PROlrhZWHGDcstJIgQHx8PDfddBM33XQT1dXVPPfcc3z729+mpqaGkpKSo59AKaVUf9aIyGRjzPbjedFgy5GFFZ6pGaGWIz/++GN++MMfMm/evK49FJVSajDyaaHYWSSKyKXA/wLZePaFEk+ziT/B824B0jq/FpFiIM8YUyUiLwFPichv8EzUHw+sO4lvw2eMMeypbOLK04NilM8Jqa2t5fnnn+eZZ56hpqaGq666yuqQlFIq1D2Op1g8ALRxOEdOO5GThWqO7JzDH2qF4t/+9reuzzdv3kxxcTFOp7Pr2JVX+mK6qVJKWc9f4yF/i2chmy3GGL/elTTGbBORfwHbASfwrWBZza2ysY3GEBxW09jYyIsvvsjTTz/Nxo0bWbhwIT/60Y+YO3cu3rvVSimlTtxjwFeALYDPVz/tLphzZGFlEzHhdobHR1gdygm55ZZb2Lx5M7m5uV09iyKihaJSatDwV6FYAmz1V5FojMk+4uv7gPv8ca2TURCid0vHjBnDRRddxG233cb8+fP9snHwr371K+666y4qKytJTfVs97Vs2TL++te/Yrfbeeihh7jooqDa7ksppXxlnzHmJX+dPFRyZGHlIcalxYbsDci1a9eyfftxjR4+ZpojlVLBwF+F4g+AV0XkPTzDagAwxvzGT9cLSqG44inAvn37iI6O9tv5S0pKePPNN3sslrB9+3ZWrFjBtm3bKC8vZ968eezevRu73e63OJRSyiI7ReQp4D/0zJHPWxdS4BVWHGLmmGSrwzhhc+bMYfv27UyefNzrEg1Ic6RSKlj4axb2fUAzEAnEdXsMKUWVTUSF2RkRH2l1KMels0h8+eWXOe2000hKSiI+Pp64uDji409ommkP3/ve93jwwQd73EVeuXIlixcvJiIigjFjxpCTk8O6dUExjUYppXwtCk+BeCE+2h4j1LR2uCivb2VMamiNuOnupptuYs6cOUycOJFp06YxdepUpk07oWmmPWiOVEoFC3/1KCYbY/papntIKa5uIjs1JmSH1Xz3u9/l+eefZ+rUqT77Hl566SVGjRrFqaee2uN4WVkZs2fP7vo6IyODsrIyn1xTKaWCiTHmZqtjsFpxtWfEzZgQG3HT3S233MI//vEPpk6d6rPVTzVHKqWCib8KxdUicqEx5g0/nT8kFFc1ccrI0O1IzczMZMqUKcddJM6bN48DBw70On7fffdx//3388YbvX8s+prO2t91ly9fzvLlywGorKw8rtiUUsoqIvIj4I/GmJp+2r8ARBtjXg5sZIFXXOUtFFNCt1DMyspi4cKFx/06zZFKqVDhr0LxW8APRKQN6OAkt8cIRU6Xm301zVw8ta+9kUPDgw8+yIIFCzjvvPOIiDi8Kt2dd9454OtWr17d5/EtW7ZQVFTUdae0tLSU008/nXXr1pGRkdFjf8bS0lLS09P7PM+SJUtYsmQJAHl5ecf1PSmllIW2AP8RkVZgI1CJZ4rGeGA6sBq437LoAqioyrPlcnaq/+bD+9spp5zCddddx2WXXdYjRx5t1VPNkUqpUOGXQtEYE7rdaD5SWtuC023IDuG7pUuXLiU2NpbW1lba29tP+nxTp06loqKi6+vs7GzWr19PamoqCxcu5LrrruPOO++kvLyc/Px8Zs6cedLXVEqpYGGMWQmsFJHxwFnASKAB+CewxBjTYmV8gVRc1URqbARxkb5fVTtQWlpaiIiI6NEDeDLbY2iOVEoFG58WiiKSbYwpHqBdgFHGmFJfXjcYFXUOq0kN3UKxpqamzyEw/pCbm8vVV1/N5MmTcTgcPPzww7qam1JqUDLG5AP5VsdhpaKqJsaEcG8iwN/+9reAXUtzpFLKCr5e9fSXIvJvEblRRHJFJE1EskTkCyLyv8BHwCQfXzModRaK2SFcKM6bN8+vhWJxcXHX/lDg6cEsLCxk165dXHzxxX67rlJKKWsVVTeF7Iibn//859TU9DnNFIC3336bl18++WmmmiOVUlbzaY+iMebLIjIZuB64Bc+wmmZgB/AqcJ8xptWX1wxWxdVNxEU6SIkJtzqUE/bwww/z4IMPEhERQVhYGMYYRISGhgarQ1NKKRWiDrU5qWxsC9kbqVOnTuWyyy4jMjKS008/nWHDhtHa2kp+fj6bNm1i3rx5/Pd//7fVYSql1Enz+RxFY8x2YKmvzxtqiqo8d0tDdWsMgMbGRqtDUEqpQUNEHjDG3C0iXzbGPGt1PFbZWx3aUzMWLVrEokWLyM/P56OPPmL//v3Ex8dzww03sHz5cqKioqwOUSmlfMJfq54Oeftqmpk6KsHqME5IcXEx2dnZ/bYbYygrKyMjIyNwQSmlVOhb4N0i4x5gCBeKnhVPs5JDe47i+PHjGT9+vNVhKKWU32ih6AdOl5uy2hYumTrS6lBOyF133YXb7WbRokXMmDGja1hNQUEB77zzDm+99RY//elPtVBUSqnjswqoAmJEpAHv1lEMsS2kOgvF0SmhXSgqpdRgp4WiH5TXteJ0m5BNgs8++yzbt2/nySef5LHHHmP//v1ER0czadIkFixYwNKlS4mMjLQ6TKWUCinGmLuAu0RkpTFmkdXxWGVfTRPJMeEhvTWGUkoNBX4rFEXkSuBsPHdLPzTGvOCvawWbvTWe+RdZyaE5/wJg8uTJ3HfffVaHoZRSg44xZpGIjAbGG2NWi0gU4DDGDImJ4Xurm0N62Ondd9/NAw88wLPPPsuXv/xlq8NRSim/8fX2GACIyB+BW4EtwFbg/4nIw/64VjDaV6PDapRSSvVNRL4BPAf8yXsoA3jRsoACbG91c0jnx1dffZWOjg6WLVtmdShKKeVX/upRPA+YYowxACLyOJ6icUjYV91MuMPGiHgdnqmUUqqXbwEzgU8AjDH5IpJmbUiB0e50s7++hdHJo6wO5YTNnz+f1NRUmpqaiI+P79o6SreQUkoNNn7pUQR2AVndvs4ENvvpWkFnb3UzmUlR2GyhuzWGUkopv2kzxrR3fiEiDjzTNAa90tpm3AayUkJ3asYvf/lL6uvrueSSS2hoaKCxsbHHR6WUGiz81aOYAuwQkXXer88A1ojISwDGmIV+um5Q2FvTzOgQToLdPf/883z44YeICGeffTZXXHGF1SEppVSoe09E/huIEpELgG8C/7E4poDYO4imZqxcuZK9e/eSn5/PvHnzaGlpwel0EhcXZ3VoSinlE/4qFP/HT+cNesYYSmqamTUm2epQTto3v/lNCgoKuPbaawH405/+xOrVq3n44SEz3VQppfzhbuDreKZk/D/gVeAvlkYUICU1g2MPRYA///nPLF++nJqaGgoLCyktLeXWW2/lrbfesjo0pZTyCZ8WiiLyB+ApY8x7vjxvKKlt7uBQm5PMQZAE33vvPbZu3YqIZwjtTTfdxNSpUy2OSimlQpeI2IDNxpgpwJ+tjifQSmqaiXDYSIuLsDqUk/bwww+zbt06Zs2aBcD48eOpqKiwOCqllPIdX89RzAd+LSLFIvKAiEz38fmDXufd0sykKIsjOXkTJ05k3759XV+XlJQwbdo0CyNSSqnQZoxxA5+LSNZRnzwIldS0kJEU1XUDMpRFREQQHh7e9bXT6RwU35dSSnXyaY+iMeZ3wO+8+0MtBv4mIpHA08AKY8xuX14vGJXUegvFQdCjWF1dzaRJk5g5cyYAn376KXPmzGHhQs8U05deesnK8JRSKlSNBLZ55/E3dR4c7PP3wZMjB0N+BDjvvPO4//77aWlp4c033+SPf/wjl112mdVhKaWUz/hljqIxZi/wAPCAiJwGPAb8BLD743rBpKSmBRgcheLPfvYzv5z397//PX/4wx9wOBxccsklPPjggwAsW7aMv/71r9jtdh566CEuuugiv1xfKaUs9lOrA7BKSU0zp2clWR2GTzzwwAP85S9/YerUqfzpT39iwYIFfP3rXz/p82qOVEoFC78UiiISBszH06v4ReA9hkhiLKltJik6jNgIf60T5H+333471113Heedd57Pz/3OO++wcuVKNm/eTERERNd8ju3bt7NixQq2bdtGeXk58+bNY/fu3djtg/7eglJqiBjq8/jrWzpoaHWSmRz6UzPcbjfTpk1j69atfOMb3/DZeTVHKqWCiU/nKIrIBSLyGFAKLMGzkts4Y8w1xpgXfXmtYFVSE/rDasaPH89//dd/kZ2dzd13382mTZt8du5HHnmEH/7wh0REeBYySEvz7DG9cuVKFi9eTEREBGPGjCEnJ4d169YNdCqllAo1Q3oe/+E5/KGdIwFsNhunnnpqj3n8vqA5UikVTHy9mM1/A2uAScaYy4wxTxpjmo72osGktLYl5JPgd77zHdasWcN7771HcnIyN998M5MmTeJnP/sZu3ef3DTT3bt388EHHzBr1izOO+88Pv30UwDKysrIzMzsel5GRgZlZWUndS2llAomxpjfGWPmAOcBNXjm8e8Qkf8RkQkWh+d3pYNoDj/A/v37yc3N5Ytf/CILFy7sepwMzZFKqWDi68Vs5vryfKHG7TaU1bZwYe5wq0PxidGjR3P33Xdz991389lnn3HLLbfw05/+FJfLNeDr5s2bx4EDB3odv++++3A6ndTW1rJ27Vo+/fRTrr76avbs2YMxptfz+1s9bvny5SxfvhyAysrKE/jOlFLKOkN1Hn/XHP4Qv5na6Sc/+ckJvU5zpFIqVITuRLogdLCxlXaXe9AkwY6ODlatWsWKFSt46623OO+8844pMa5evbrftkceeYQrr7wSEWHmzJnYbDaqqqrIyMigpKSk63mlpaWkp6f3eY4lS5awZMkSAPLy8o7zu1JKKWsN1Xn8JbXNxEU6SIgOszqUk3Ky8/g1RyqlQoWvh54OaaW1g2PF0zfffJNbbrmFjIwMli9fzoIFCygsLOSZZ57h8ssvP6lzX3755bz99tuAZ4hNe3s7qampLFy4kBUrVtDW1kZRURH5+fld23IopYaW1g4XB+pbrQ7D54b6PP7S2hYyBsGNVH/O49ccqZTqj9ttqG/pYF91c8CuqT2KPtQ5/yIjKbRXdLv//vu57rrr+NWvfkVycrJPz33LLbdwyy23MGXKFMLDw3n88ccREXJzc7n66quZPHkyDoeDhx9+WFdzUyrEtTld1Dd3UNfSQW1TO3UtHdQ1t1PX3EFtcwf1Le3UNnVQ1+I55jneTpvTDUCqxfH7wX8DTwHfN8bUWB1MoJXWNjM6JcbqME7ad77zHb7zne+wd+9eVqxYwc0330xrayvXXnstixcvZsKEE59uqjlSqcHPGMOhNmdX3qtraffkxGbPR8/xnjmz83O3dxR6oPKj9DXufSjIy8sz69ev9+k5f/9WPr9+czc7/3c+kWH6CzwQ8vLy8PW/o1KqJ5fb0NDiKeJqm7sXez0/1nkLv3rvc5vb+5/PHGYXEqPDSYwKIyk6nIToMJKiwzzHosNIjArnN9+60mf/v0VkgzFGx+EdI1/nSGMMU37yOlefkclPLsv12XmDRec8/s2bNx91Hn8gaY5Uyr9aO1w986A3T3q+7ln41Ta3U9/i+drp7r/+io1wkBAVRmL0Efkxypsfo8P5xf+7PCD5UXsUfaisroXU2AgtEpVSQckYQ0uHy5PEmroXeYd7+TqTWW23O5r1LR30d0/RJnQr7sIYmRDJpJHx3gQXRkJ0+BEJzpP4osPt/S7G0ek3fngPlDXqmjtoancNiqGnnU50Hr9SKvh0Dus82g3RIwvClo7+bwxFhtlIig7vuik6cURcrxukiVFhJMV4jiVGh5MQFUa44+gzA3/hy29+ACFVKIrIt4HbASfwijHmB97j9wBfA1zAHcaY162IzzP/IrSHnSqlQkNnL19N513Lpp6JrHvR1/1Yu3dYZ19iwu0kRoeTFONJYpnJ0d4iL6zreGJ0OEndir+4SAc228AFnwqMYM6RnXP4RyWGfo588803efrpp3nllVeYOXMmixcvZvny5cTEhP6wWqUGg9YOlyfnNfXdw9dX8XesN0STosNJT/TcEE2K9hZ53uOdo2E6c+hg6DgKmUJRROYCi4Bpxpg2EUnzHp+MZ+W4XCAdWC0iE4wxAR/7UVbXwuT0+EBfVikV4tqcnqErNU3tvRJYX8eOltTsNukaxpkUHUZmcjTTMhK67mx2b+tMcolR4cd0F1MFp2DPkWV1g2MOP/h3Hr9S6jBjDA2tzh4FXm1Te6/RL0cea+3o/4ZodLi9q6hLig5nVGLU4ZufR9wQ7ez5G8o3REOmUARuA35hjGkDMMZUeI8vAlZ4jxeJSAEwE1gTyODcbkNZXQsXTh4ceygqpY6fMYamdlfXsM7DvX3t1HQbqlLX3E5Nt6GfA83lO5akltTVyxdOYkwYcRGOow7rVINOUOfIzh7FwVAovvPOO1aHoFTIcbrcPYZ21jZ1K/Sa26lr6pYzu+VLVz9z+WwCCd5CLikmnFGJkeSmx3e7Edo7TyZEhQ2KXr5ACqVCcQJwjojcB7TiWTXuU2AUsLbb80q9xwKqqqmNdqebUYMgCSqlPDd/Glud1Da39xreWdvcTk1T74KvrrmDdlffdzKlW1JLjA5jeHwkp4zoPXQlqVtSS4wOI8KhSU0dk6DOkWV1LUSH20mICu09FJVS0O50dxVynhzYmSd7joLpamtqp6HV2e/5wu02EqPDSPbmwvFpsSRGh5PclQsPF33JMZ7P4yPDhmwvXyAFVaEoIquBEX00LcUTaxIwGzgD+JeIjAX6+inp8/aDiCzBs3cVWVlZvgi5S9kgmn+h1GBz5Hy+mqaeQ1gO39k8/HVdS/93MrsP7UyODmd0SjSnZSX2GM6ZdMTnCVFh2DWpqZMQ6jlyVGKU9nQrFWS6r9rZmRNruj7vlie73Rg91NZ/0dc5CqbzhmdW51z3Xvnx8HOOZXEzZY2gKhSNMfP6axOR24DnjWc/j3Ui4sazjUgpkNntqRlAeT/nXw4sB8/S376KGzx3SwHStVBUyq+6F321TYcTV/fE1rMQ9BR9/c3n6+tOZpL3jmVnMuts83wMJz5Sh3aqwAv1HKkjbpTyr+6LuBwu7LrlxG7FXmfP30BTH+IiHCTGhJHszYXjhsV6cmF0OIkx4d7jh4u/xGgd2jnYBFWheBQvAl8A3hWRCf+/vTsPj7I8+///PmeyEsJOIBAkbCqEsEaEuisioA9WrRZXWm2p2tbtsVp/drHPt1ZrF5dKtVi1Lm3RWitWlCruWhQIggIquyQh7CRsWSfX74+Z7AmEzJrk8zqOOTJzz33fc86SXDnnvBYgAdgFvAz8zcx+j3+g/jBgSaSD2xpIFNUQirRcVZVjX2ltg7XnYG11rzYRrG3gqit9zSZ9cR5/wxVI9Iand6npplLzLWbdJDAlgRR9kyntw0vEcBtZUFTCmAHdIv2wIm1WvUnODjbdJu6pqfodebx7alJczZedvTon1O/eGUj66nbt7NZJE5xJ20oUnwCeMLNVQDkwK/DN6Wozex5Yg39K8O9HZcbTvSWkJsbRJUnjL6Rjqp6drH6DVr87Z21CWDtYvbk1ZxO8nppuKT1SEmqmoq5OBKsbvB7qviICMdxGHiyrpOhQhXrcSIdV4auqSejqtYN12su63Tv3HiznYAuTvt6dEzm2T2qdL0lrx/bVrfTFe5X0ydFrM4mic64cuKKZ++4G7o5sRPUVFJWqmijthnOOQ+W+BoldbcWvYSJYPbFLZTNZX7zX6nXhPK5var1unT1S6lf6eqQo6RM5GrHcRhYWt58ZT0V8gYXZm0v49tTp9ln9c/9hJnLpnBhH90D3zh4pCQzt3blmPF+PzgkNvhz1t5FK+iRS2kyiGOu2FpXo21KJWdVdWHYfaJj41V4aJoLNLczun8il9hvLIb07M35g7e3apC/Q0KUk0FnLNYh0WAVFpYDG8Evscc5xoKySvQcr2H2wrFE7uOdAnQSwBcMfkuO99b78zOzZqd6wh+oeMD3qVPo0s7XEMiWKIbK1uIRxA7tFOwwJowpfFau37mPTrgMcKvfRKcFLWmoSA3t2ol/X5IhO03yovJLdB8rZdaCM3Qf8id7ug+XsOVjGnoMV/p+H/D/3Hjz8DGVdk2sncunfLYmR/brUNHI96iR+PQK3O/LCsyJy9LZqsjeJkOrJXPxVvkDyF1jHtmFvmOovSCt8zfeE6VHzpad/+ENNda/OuPeaxLBTAskJSvqkfVGiGAIaf9G+lVb4mPveRp7672Z2Hyxvcp9OCV6G9Unl2LTODE3rTGavFI7p0Ym01ES6d0o4bGJVVeU4UF5J8aGKmu4s1Ynf7kAiuPtgGbvqJIYlFU2PXUiM89AzJcHfXSUlkUE9O9EjJbHeYPWapC8lgW7J8cSpC4uIhNHWohI8Bn1SE6MdirQR1ZW+okMV/ktJnUXY605w1mD8e3OTuVSvY1v9hecxPToxZkA3uqck0LNOwlfzBWlnTXQmAkoUQ6J6/IXWUGx/CotL+PaTS/li237OOj6NC8dlcHx6Kp0T4zhYVsm2faVs2nWQddsP8OW2/bz95Q7+kZtf7xweg5SEODoleonzePB4wOdzlPscpRW+w1b74jz+bzR7dU6kZ+cEBvVKqXe7VyAh7JmSQM/OCXRK0K+0iMSWgqIS+nRJ0pdSHZhzjr2HKigsLmHH/jJ27q/uDVNWM8a9eimj4kMVh13HFvzLNlSPa6+ewbPul6D+YQ/+L0m7ddKXoiKtpf8qQ6B6/EV6VyWKR7JixQquvfZaSktLiYuL449//CMTJkwA4J577uHxxx/H6/Xy0EMPcc4550Q11qJD5Vz+2Mfs2F/Gk98+gTOOS2u0z+DenfnakF71thWXVLBl9yHy9h5i5/4ydh0o40BZJQfLKvFV+RtMr8eIj/OQFOelc6KXzklxdEtOoGuneP+3mykJ9EpJpEuyxvaJSNumMfwt15bayObs2FfKirwiVm/dx7od+9m48yBb9hxqstqXFF+7pFG3TvGkd0uma3I83TvF0zW5NsnrHugB000zeIpElBLFECisGX+RFOVIYt9tt93Gz3/+c6ZNm8arr77KbbfdxjvvvMOaNWuYN28eq1evZuvWrUyePJm1a9fi9Uanv79zjv99fiX5e0v423dPJCezR4uP7ZocT3ZGV7IzuoYxQhGRtqGwuJRRGd2iHUab0FbayLpKyn28v24nb3+5k/9u2MVXuw8B/u6emT1TGNI7ha8N6UVG92TSuyaR1iWJtNREenVO1Jg+kRinRDEEasZfdFGieCRmxr59+wAoLi6mX79+AMyfP5+ZM2eSmJjIoEGDGDp0KEuWLGHSpElRifPllVt584sd/PS8EUeVJIqISK2qKkdhUSlTs9Q+tkRbaSPLK6t458sdzF+5lTc/305pRRWdE+OYOLgnV04cyNhjujEivasSQZE2ToliCGwtLiUtNUldIVrggQce4JxzzuHWW2+lqqqK//73vwAUFBQwceLEmv0yMjIoKCiISoylFT5+/doXjOzfhW99LTMqMYiItAe7D5ZT7qtS19MWagtt5LMffcX9b6xl98FyeqYkcPH4AUwd2ZcJg3ro/yCRdkaJYggUFpeQrm6nNSZPnsy2bdsabb/77rt58803uf/++7nooot4/vnnueaaa1i0aBGuiUWJmhubN3fuXObOnQvAzp07Qxs8sK+kgmP7pvKdkwfj1TIQIiKtVj3ZW3pXtZHV2nob2SU5ngmDevCN8RmcemxvJYci7ZgSxRAoLCrl+PTUaIcRMxYtWtTsfVdddRUPPvggABdffDHf+c53AP+3o3l5eTX75efn13S5aWj27NnMnj0bgJycnFCFXSOtSxJ/+faEkJ9XRKSj2RqY7E0VxVptvY2cMbofM0Y3/dgi0r7oa6AgOefYWlyiGU9bqF+/frz77rsAvPXWWwwbNgyAGTNmMG/ePMrKyti0aRPr1q2rmelNRETaJlUUj47aSBGJJaooBqnoUAWlFVVqBFvoscce48Ybb6SyspKkpKSa7jFZWVlccskljBgxgri4OObMmRMTs7mJiEjrFRaXkhDnoUdKQrRDaRPURopILLGm+r13BDk5OW7ZsmVBn2f11mLOfegD/nj5OKZnp4cgMjkavXr1IjMzMyzn3rlzJ7179w7LucNFMUeGYo6ML774ggMHDoTkXGaW65wLfT+8dipUbeQP//4Jn+UX8c6PzghBVHK0wtVGtsW/J4o5MhRzZESqfVRFMUjbiv3jL1RRjI5du3aF7dw5OTmE4h+lSFLMkaGYIyMc46sksgqLNDQjmsLVRrbVvyeKOfwUc2REqn3UGMUgFRZroL6IiEhTCotL6asvUkVE2iQlikEqLC4hzmP06pwY7VBERERihq/KsW1fqXrciIi0UUoUg1RYXEpaaqLW22uHqqcXb0sUc2Qo5shoizFLrV0HyvBVOSWK7VBb/N1UzJGhmCMjUjFrMpsgXfbYR5RW+Hjx+pNCEJWIiISDJrM5OqFoI1fkFfH1OR/y2FU5nD2iT4giExGRUDpc+6iKYpAKi0s1UF9ERKSBbVpDUUSkTVOiGATnHIXFJWoE25iFCxdy3HHHMXToUO69995G9zvnuOGGGxg6dCijRo1i+fLlLT42FmO++uqrSUtLY+TIkRGLF1ofc15eHmeccQbDhw8nKyuLBx98MOZjLi0tZcKECYwePZqsrCx+/vOfx3zM1Xw+H2PHjuW8886LVMhBxZyZmUl2djZjxozRrKgxrnqyN01m07aojYwMtZGxHXO1SLeRMdc+Ouc65GX8+PEuWHsPlrmBt7/iHntvQ9DnksiorKx0gwcPdhs2bHBlZWVu1KhRbvXq1fX2WbBggZs6daqrqqpyixcvdhMmTGjxsbEWs3POvfvuuy43N9dlZWWFPdZQxLx161aXm5vrnHNu3759btiwYTH/OldVVbn9+/c755wrLy93EyZMcIsXL47pmKv97ne/c5deeqk799xzwx5vKGIeOHCg27lz51E/LrDMxUDb01YuoWgjf/XqGjfszlddVVVV0OeSyFAbGRlqI9VGhiPecLSPqigGYds+fVva1ixZsoShQ4cyePBgEhISmDlzJvPnz6+3z/z587nqqqswMyZOnEhRURGFhYUtOjbWYgY49dRT6dGjR9jjDFXM6enpjBs3DoDU1FSGDx9OQUFBTMdsZnTu3BmAiooKKioqMAv/BFfBfjby8/NZsGAB3/nOd8Iea6hilrajsKiUPl0SI/K7IKGhNjIy1EaqjQxHvOGgRDEI1d1q1PW07SgoKGDAgAE1tzMyMhr9gW1un5YcG2sxR0uoYt68eTOffPIJJ554YngDbmE8h9vH5/MxZswY0tLSOPvss9tEzDfddBP33XcfHk/kmoJgYzYzpkyZwvjx45k7d25kgpZW2bavlPQuGsPflqiNjAy1kWojwxFvONpHJYpB2FYz/kINYVvhr7DX1/Bbreb2acmx4RBMzNESipgPHDjARRddxAMPPECXLl1CH2QDwcbs9XpZsWIF+fn5LFmyhFWrVoUn0BbGc6R9XnnlFdLS0hg/fnzY4mtKsK/zhx9+yPLly3nttdeYM2cO7733XngClaBtKy5Vj5s2Rm1kZKiNVBvZlFhsH5UoBqGwuBQzSEtNjHYo0kIZGRnk5eXV3M7Pz6dfv34t2qclx8ZazNESbMwVFRVcdNFFXH755Vx44YVtIuZq3bp14/TTT2fhwoXhDbiF8TS3z4cffsjLL79MZmYmM2fO5K233uKKK66I6ZiBmp9paWlccMEFLFmyJOwxy9FzzrFtnxLFtkZtZGSojVQbGep4IUztY3ODF9v7JdiB+lVVVe7ch95zOb98I6jzSGRVVFS4QYMGuY0bN9YMFF61alW9fV555ZV6A4VPOOGEFh8bazFX27RpU0QH6gcTc1VVlbvyyivdjTfeGLF4g415x44dbu/evc455w4dOuROPvlk9+9//zumY67r7bffjthkNsHEfODAAbdv376a65MmTXKvvfZaix4XTWYT0TZy9wH/ZG+Pv78xqPNIZKmNjAy1kWojQx1vuNrHqDdG0boE2wi+vKLADbz9FTfw9leCOo9E3oIFC9ywYcPc4MGD3S9/+UvnnHOPPPKIe+SRR5xz/j/C119/vRs8eLAbOXKkW7p06WGPjfWYZ86c6fr27evi4uJc//793Z///OeYjvn99993gMvOznajR492o0ePdgsWLIjpmFeuXOnGjBnjsrOzXVZWlvvFL34RkXiDibmuSCaKwcS8YcMGN2rUKDdq1Cg3YsSIo/odVKIY2TZydUGxG3j7K27Bp1uDOo9EntpItZGhjlltZPjjDVf7aP77O56cnBy3bNmyVh//+zfW8tCb6wDYfO+5oQpLRETCwMxynXNaeLGFgm0j3/piO1f/ZRkvXv81xh3TPYSRiYhIKB2ufdQYxVZKjvdGOwQREZGYtLVIs4KLiLR1ShRbqXoCmxvPGhblSERERGLL1qIS4jxGWqoSRRGRtkqJYivFef1T0f7P6OjNmiUiIhKLduwvo3dqIl5P9JYgEBGR4ChRbKXqoZ1qA0VEROrbV1JBl6T4aIchIiJBUKLYSg5/phjNBVtFRERiUUmFj06JGssvItKWtZlE0czGmNlHZrbCzJaZ2YQ6991hZuvN7EszOycS8VRV+X+qoijit3v3bsaMGcOYMWPo27cv/fv3Z8yYMXTu3Jnrr78+LI/5wAMP8PTTTwd9npkzZ7Ju3boQRCQSHbHWRpZW+EiMazP/YoiEldpHaavioh3AUbgP+IVz7jUzmx64fbqZjQBmAllAP2CRmR3rnPOFM5iqQN9TjyqKIgD07NmTFStWAHDXXXfRuXNnbr311rA9XmVlJU888QTLly8P+lzXXXcd9913H4899lgIIhOJiphqI8srq+jaKSGcDyHSZqh9lLaqLX3d54Augetdga2B6+cD85xzZc65TcB6YEITx4c8GBE5snfeeYfzzjsP8DeQs2bNYsqUKWRmZvLiiy9y2223kZ2dzdSpU6moqAAgNzeX0047jfHjx3POOedQWFjY6LxvvfUW48aNIy7O/33X6aefzs0338ypp57K8OHDWbp0KRdeeCHDhg3jJz/5CQAHDx7k3HPPZfTo0YwcOZLnnnsOgFNOOYVFixZRWVkZiZdEJBxiqo0s9zkSvG3pXwyRyFP7KLGuLf0Vvwn4jZnlAb8F7ghs7w/k1dkvP7AtvAKZogqKIkdnw4YNLFiwgPnz53PFFVdwxhln8Nlnn5GcnMyCBQuoqKjghz/8IS+88AK5ublcffXV3HnnnY3O8+GHHzJ+/Ph62xISEnjvvfe49tprOf/885kzZw6rVq3iL3/5C7t372bhwoX069ePlStXsmrVKqZOnQqAx+Nh6NChrFy5MiKvgUgY3EQMtZEVvirivWogRY6G2keJNTHV9dTMFgF9m7jrTuAs4Gbn3D/N7BLgcWAy0FRL1GTBz8xmA7MBjjnmmKBiHXtMN34xI4tu6lojclSmTZtGfHw82dnZ+Hy+msYoOzubzZs38+WXX7Jq1SrOPvtsAHw+H+np6Y3OU1hYyPDhw+ttmzFjRs25srKyao4bPHgweXl5ZGdnc+utt3L77bdz3nnnccopp9Qcm5aWxtatWxs1riKxoi21kT84YyhdO2nWU5GjofZRYk1MJYrOucnN3WdmTwM3Bm7+A/hz4Ho+MKDOrhnUdrlpeP65wFyAnJycoHqPDuuTyrA+qcGcQqRDSkxMBPzfUsbHx9fMHOzxeKisrMQ5R1ZWFosXLz7seZKTkyktLW323NXX65772GOPJTc3l1dffZU77riDKVOm8LOf/QyA0tJSkpOTQ/Y8RUKtLbWRXx8b/o49Iu2N2keJNW2p6+lW4LTA9TOB6imYXgZmmlmimQ0ChgFLohCfiITAcccdx86dO2sawoqKClavXt1ov+HDh7N+/fqjOvfWrVvp1KkTV1xxBbfeemu9gf5r164lKysruOBFokdtpEg7p/ZRIi2mKopH8F3gQTOLA0oJdI9xzq02s+eBNUAl8P1wz+YmIuGTkJDACy+8wA033EBxcTGVlZXcdNNNjRqpadOmceWVVx7VuT/77DN+9KMf1Xxb+8gjjwCwfft2kpOTm+zCI9JGqI0UaefUPkqkmXMdc/7OnJwct2zZsmiHISJBuOCCC7jvvvsYNmxYUOe5//776dKlC9dcc02IIpNYY2a5zrmcaMfRVqiNFGnb1D5KSx2ufWxLXU9FROq59957m5wa/Gh169aNWbNmhSAiERGR6FP7KKGgiqKIiLR7qigeHbWRIiIdw+Haxw6bKJrZTuCrIE/TC9gVgnDaG70ujek1aUyvSWN6TRoL1Wsy0DnXOwTn6RDURoaNXpPG9Jo0ptekaXpdGgvFa9Js+9hhE8VQMLNl+oa6Mb0ujek1aUyvSWN6TRrTa9J26b1rTK9JY3pNGtNr0jS9Lo2F+zXRGEURERERERGpR4miiIiIiIiI1KNEMThzox1AjNLr0phek8b0mjSm16QxvSZtl967xvSaNKbXpDG9Jk3T69JYWF8TjVEUERERERGRelRRFBERERERkXqUKLaSmU01sy/NbL2Z/Tja8USbmT1hZjvMbFW0Y4kVZjbAzN42s8/NbLWZ3RjtmKLNzJLMbImZrQy8Jr+Idkyxwsy8ZvaJmb0S7VhihZltNrPPzGyFmWlRvzZC7WNjaiMbUxvZmNrI5qmNrC9S7aO6nraCmXmBtcDZQD6wFLjUObcmqoFFkZmdChwAnnbOjYx2PLHAzNKBdOfccjNLBXKBr3fwz4kBKc65A2YWD3wA3Oic+yjKoUWdmd0C5ABdnHPnRTueWGBmm4Ec55zWzWoj1D42TW1kY2ojG1Mb2Ty1kfVFqn1URbF1JgDrnXMbnXPlwDzg/CjHFFXOufeAPdGOI5Y45wqdc8sD1/cDnwP9oxtVdDm/A4Gb8YFLh/+2yswygHOBP0c7FpEgqX1sgtrIxtRGNqY2smlqI6NHiWLr9Afy6tzOp4P/cZPDM7NMYCzwcZRDibpA95EVwA7gDedch39NgAeA24CqKMcRaxzwupnlmtnsaAcjLaL2UY6a2shaaiOb9ABqIxuKSPuoRLF1rIltHf4bH2mamXUG/gnc5JzbF+14os0553POjQEygAlm1qG7YZnZecAO51xutGOJQSc558YB04DvB7rvSWxT+yhHRW1kfWoj61Mb2ayItI9KFFsnHxhQ53YGsDVKsUgMC4wx+CfwV+fci9GOJ5Y454qAd4Cp0Y0k6k4CZgTGG8wDzjSzZ6MbUmxwzm0N/NwB/At/t0aJbWofpcXURjZPbWQNtZFNiFT7qESxdZYCw8xskJklADOBl6Mck8SYwKD0x4HPnXO/j3Y8scDMeptZt8D1ZGAy8EVUg4oy59wdzrkM51wm/r8lbznnrohyWFFnZimBCS4wsxRgCqAZI2Of2kdpEbWRjamNbExtZGORbB+VKLaCc64S+AHwH/yDr593zq2OblTRZWZ/BxYDx5lZvpldE+2YYsBJwJX4v/1aEbhMj3ZQUZYOvG1mn+L/h/IN55ymupam9AE+MLOVwBJggXNuYZRjkiNQ+9g0tZFNUhvZmNpIaYmItY9aHkNERERERETqUUVRRERERERE6lGiKCIiIiIiIvUoURQREREREZF6lCiKiIiIiIhIPUoURUREREREpB4liiIxwsx61pkifJuZFQSuHzCzP4bpMW8ys6tCcJ55ZjYsFDGJiIg0pDZSJPK0PIZIDDKzu4ADzrnfhvEx4oDlwLjA2mfBnOs04Arn3HdDEpyIiEgz1EaKRIYqiiIxzsxON7NXAtfvMrOnzOx1M9tsZhea2X1m9pmZLTSz+MB+483sXTPLNbP/mFl6E6c+E1he3QCa2Ttmdr+ZvWdmn5vZCWb2opmtM7NfBvZJMbMFZrbSzFaZ2TcD53ofmBxoWEVERCJCbaRI+ChRFGl7hgDnAucDzwJvO+eygRLg3EBD+AfgG8658cATwN1NnOckILfBtnLn3KnAo8B84PvASOBbZtYTmApsdc6Nds6NBBYCOOeqgPXA6JA+UxERkaOjNlIkRJQoirQ9rznnKoDPAC+BhihwOxM4Dn/D9YaZrQB+AmQ0cZ50YGeDbS/XOddq51yhc64M2AgMCGyfbGa/NrNTnHPFdY7dAfQL8rmJiIgEQ22kSIioBC7S9pSB/xtKM6twtQONq/D/Thv+BmzSEc5TAiQ1de7AucrqbK8C4pxza81sPDAduMfMXnfO/V9gn6TAOUVERKJFbaRIiKiiKNL+fAn0NrNJAGYWb2ZZTez3OTD0aE5sZv2AQ865Z4HfAuPq3H0ssLp1IYuIiESE2kiRFlJFUaSdcc6Vm9k3gIfMrCv+3/MHaNxAvQY8c5SnzwZ+Y2ZVQAVwHYCZ9QFKnHOFwcQuIiISTmojRVpOy2OIdGBm9i/gNufcuiDPczOwzzn3eGgiExERiS61kdLRqeupSMf2Y/wD9oNVBDwVgvOIiIjECrWR0qGpoigiIiIiIiL1dNgxir169XKZmZnRDkNERERERCQqcnNzdznnejd1X4dNFDMzM1m2bFm0wxAREREREYkKM/uqufs0RlFERERERETqUaIoIiIiIiIi9ShRFBERERERkXqUKIqIiIiIiEg9HXYyG4kdFb4qvtp9iPU7DrB+x352Hywn3ushzmPEez3Ee404r4cEr4dBvVMY2a8rvVMTox22iIiIiEi7pURRoiJ/7yFe+2wbr64qZFVBMRW+2vU8UxK8VFY5Kqscvqqm1/lMS00kq18XRvbvSla/LowZ0J2+XZMiFb6IiIiISLumRFEiprTCx3NL83jxkwJW5hUBkNWvC9ecPJhhaZ0Z1qczQ3p3JiWx9mNZFUgYK6uqKCn3sW7HAVZv3cfqgmJWb93He+t21SST2f27MmVEH84Z2ZdhaZ0xs2g8TRERERGRNs+ca7pi097l5OQ4raMYGZW+Kv65PJ8HF61ja3EpI/t34dzsfkzP7svAnilBnbu0wscX2/azeMNu/rN6GysCCeigXilMGdGHKVl9GTugGx6PkkYRERERkbrMLNc5l9PkfUoUJZwWrtrGff/5go07DzJ6QDduP+c4vja0V9geb/u+Ul5fs53XV29j8YbdVFY5BvbsxCU5A7h4fAZpXdQ9VUREREQElCg2SYlieJWU+/jZ/FX8IzefY/t05tYpx3H2iD4R7Q5aXFLBojXbeX5ZHh9v2oPXY5x1fBozJwzgtGPT8KrKKCIiIiIdmBLFJihRDJ912/fz/b8tZ92OA/zwjKHccNYw4rzRXYll484DPLcsj3/m5rPrQDnpXZO4JGcAl594jKqMIiIiItIhKVFsghLF8Phnbj4/eWkVnRK8PDBzDKcM6x3tkOopr6zizc+38/eleby/bidxHuN/RvXj2ycNIjuja7TDExERERGJGCWKTVCiGHqPvruBe1/7ghMH9eChS8fSJ8YrdZt3HeQv/93MP5blcbDcxwmZ3fn2SYOYMqJP1CugIiIiIiLhpkSxCUoUQ+uRdzbw64Vf8D+j+3H/JaPbVKK1r7SC55fm8dTizeTtKaF/t2RmfW0gMyccQ5ek+GiHJyIiIiISFkoUm6BEMXTacpJYl6/K8ebn23n8g018vGkPnRPjmHnCAL598iD6d0uOdngiIiIiIiGlRLEJShRDo70kiQ19ll/Mnz/YyCufFgIwPTud754yiFEZ3aIbmIiIiIhIiChRbIISxeC9kJvPrf9Y2e6SxLoKikr4y4eb+PuSPA6UVTJhUA+uOXkQk4f30fIaIiIiItKmKVFsghLF4KwqKOaiR/7LuGO688w1E9plkljX/tIKnluax5MfbqagqISBPTvxra9lcnHOADonxkU7PBERERGRo6ZEsQlKFFtv78Fy/ufhD/BVOf79w5Pp1Tkx2iFFTKWviv+s3s4TH24i96u9pCbG8c0TBjDra5kM6NEp2uGJiIiIiLTY4RJFlULkqPiqHDc+t4Id+8p4/tpJHSpJBIjzejh3VDrnjkpnRV4Rj3+wiSf/u5knPtzE2SP6cNWkTL42pCdm6pYqIiIiIm2XKopyVH7/+pc89NZ6fnVBNpedeEy0w4kJhcUlPPXfr3hu6Rb2HqpgcO8UrjhxIBeNz6BrspbXEBEREZHYpK6nTVCiePTeW7uTq55YwiU5Gfz6olGqmjVQWuHj1c8Keeajr/hkSxFJ8R6+PqY/V0wcyMj+XaMdnoiIiIhIPUoUm6BE8egcKq9kyv3vkRjnYcENp5AU7412SDFtVUExz370FS+tKKC0oorj+6Zy0bgMzh/Tj7QuSdEOT0REREREiWJTlCgenV+9+jlz39vI89+bxIRBPaIdTptRXFLB/BUFvLi8gBV5RXgMTh7Wm4vG9WfKiL4kJyjhFhEREZHo0GQ2EpRVBcX8+f2NXDrhGCWJR6lrcjxXTcrkqkmZbNh5gH8tL+BfnxRw47wVpCR4mZadzoXj+jNxUE88WpdRRERERGKEKopyWJW+Kr7+xw/Zvq+MRbecpslZQqCqyrFk8x5eXJ7Pq59t40BZJf26JnH+2P5MGdGH0RndlDSKiIiISNip62kTlCi2zJ/f38gvF3zOnMvGce6o9GiH0+6UlPt4fc02/vVJAe+t3UmVg54pCZx+XBpnDU/jlGG9SE1Sci4iIiIioaeup9IqeXsO8bvX13LW8WlMz+4b7XDapeQEL+eP6c/5Y/pTdKicd9fu5M3Pd7Do8+38c3k+cR5jwqAenHl8GmcN78OgXinRDllEREREOoB2U1E0s6nAg4AX+LNz7t7D7a+K4pH98O+fsGjNdhb972n075Yc7XA6lEpfFcu3FPHmF9t5+4sdrN1+AIBBvVI4/bjeTMjswbiB3emjGVRFREREpJXafddTM/MCa4GzgXxgKXCpc25Nc8coUTy8NVv3Mf2h9/nBGUO59Zzjoh1Oh5e35xBvf7mDNz/fweKNuymvrAKgf7dkxh7TjXHHdGfcwO6MSO9CQpwnytGKiIiISFvQEbqeTgDWO+c2ApjZPOB8oNlEUQ7vt69/SZekOL576uBohyLAgB6damZPLav0sWbrPpZvKWL5lr0s/2ovr3xaCEBinIfs/l0ZN7A7YwZ0Y1haZwb2TFHyKCIiIiJHpb0kiv2BvDq384EToxRLq23YeYA9B8s5ITO6S1As27yHt77YwW1Tj9MspzEoMc7L2GO6M/aY7lzDIAC2FZfWJI3Lt+zlLx9uptznrzp6PcYxPToxuFcKQ9I61/wc0rszPVISovlUpAHnHL4qR2Xg4vM5Kqqqarf5qvzbqxyVPv9PX+CYquqfDbZVVUGVc7jA+Z2DKtfUNkeVq73tqL4diA1XJ87qbfWCr73azPOrN5evWbP31b3LsJrbVud+o3Zj7Xarv4/VnqPReRvu22Afq7e/1dmv9vhGMTV4POo9j/qP3ZLnTTP3NTyuqQ0Njw+1hvGEUrg7OrlmP6EhewARkUY6J8UxKqNbtMM4Ku0lUWyqyWr0p9rMZgOzAY455phwx3TU/vDmOnK37OX9286MWgzOOe77z5f06pzIt76WGbU45Oj07ZrE9Ox0pmf7Z6Ytq/Tx5bb9bNh5gI07D9b8fH/9rppuqwDdOsUzqFcKfVKTSOuSSO/OiaR1SSQtNYneqYmkpSbSIyWBOG/7rUg65yirrKKsooqySh+lFVWUVvpqbpdVVlFa4f9ZVrO9/rbyyir/xRc4j6+qdltge3llFRW+6os/6Sv3uZptlT5Xk9yLiIhI+zJmQDde+v5J0Q7jqLSXRDEfGFDndgawteFOzrm5wFzwj1GMTGgtlxjnrfdPfDS8v24XSzbt4RczsuiU0F4+Hh1PYpyXURndGn1z5atybC0qYX2dBPKr3f6fizfuprikotG5PAY9UhLp1TmBzolxpCTG0Tkxjk4JXlIS40hJDPxM8N/XKcGL12PEeQxP4KfXY3jNiPMaXo8HrxkeDzXVLp/zV8KqnD9G5+pXxcoraxOq6oSr3OfqJV/llY0TuLJ6SV/tfQ1/BsPrMRK8HhLiAhevh8TA9eqfSfEeUpPiSPB6iI/zEO8x4pu5Huf1EOc14j0e/+voNeI8nprXsfq21wMe82/zBF5fr8dqtnk94PV48Jh/v+qqmSdwnL9i5t/uMcNjtdU7q3OMp5nqFw2rZzSsiNVX9w9uw4pRvQpPg6sNK5r+amf1eVy9c9Xdt2HV0zlX53rtPfXP18TxTWxr6eM18ZQanKNxlbbh/o2PqX/uluwfamGvyNG2K6LQ9LfXItKxpSS2vf+r217ETVsKDDOzQUABMBO4LLohHT2Px98lLFqcc/zmP1/Sv1syMycMOPIB0uZ4PcaAHp0Y0KMTZzQxR1FphY9dB8rYsb+MnfsDP/eVsvNAGTv3l3OovJKiQ+Xk7z3EoXIfB8oqOVhWGdXPLfifV7zXSIzzkhTvITHOS2Kch8R4D0lxXhLjPXRJjvdvi/OQFO8lKb56n9pjkursnxQX2CfeEziu9pw11+M87braKiIiIh1Xu0gUnXOVZvYD4D/4l8d4wjm3OsphHTUza/RNcST9Z/U2Piso5rcXjyYxzhu1OCR6kuK9ZHTvREb3Ti0+prrr5sGySg6W+ThUUUmlz18JrB5P1/BSGagU+qtf/s9+dUXMjEDFsbY65k8CPcQHKnfxXv+lepvXo+/vRUREREKpXSSKAM65V4FXox1HMDwW/i5DzXHOMeftDQzulcIFY/tHJwhpk8yspkLXs3O0oxERERGRUFCfqRjiMcMXpUxx6ea9fFZQzNUnD1J1RkRERESkg2s3FcX2wIheRfHxDzbSrVM8F43LiE4AImHSq1cvMjMzox2GiIiIdCCbN29m165d0Q4jKEoUY0i0xih+tfsgr6/ZzvWnDyE5QWMTpX3JzMxk2bJl0Q5DREREOpCcnJxohxC0Fnc9NbMUM1MWEWbRKCg++eFm4jzGVZMyo/DoIiIiIiISa5pNFM3MY2aXmdkCM9sBfAEUmtlqM/uNmQ2LXJgdg8cs4pnivtIK/rEsj/NG9aNPl6TIPriIiIiIiMSkw1UU3waGAHcAfZ1zA5xzacApwEfAvWZ2RQRi7DDM/IuPR9JzS/I4WO7jmpMHRfRxRUREREQkdh1ujOJk51xFw43OuT3AP4F/mll82CLrgIzIFhQrfVX85b+bmTCoByP7d43gI4uIiIiISCxrtqLYVJIYGKd4hZktaG4faT2L8DqKC1dvo6CohO+omih1HDx4EJ/PF+0wRERERCSKjjiZjZklmNnXzex5oBA4C3g07JF1QGaGi2BN8an/bmZgz06cNbxPxB5TYk9VVRV/+9vfOPfcc0lLS+P4448nPT2drKwsfvSjH7Fu3bpohygiIiIiEXa4yWzONrMngE3AN4BngD3OuW875/4dqQA7kkiuo7h+xwGWbt7LpROOweuxyDyoxKQzzjiDDRs2cM8997Bt2zby8vLYsWMH77//PhMnTuTHP/4xzz77bLTDFBEREZEIOtwYxf8A7wMnO+c2AZjZgxGJqqOK4KSn/1iWh9djXDiuf4QeUWLVokWLiI9vPNy4R48eXHTRRVx00UVUVKiXuYiIiEhHcriup+Pxz266yMzeMLNrAK2jGEaRWh6jwlfFP5fnc9bxaaSlakmMjq6pJPHgwYM8++yznHvuuc3uIyIiIiLt1+Ems/nEOXe7c24IcBcwFkgws9fMbHakAuxIjMgsj/Hm5zvYdaCcb54wIOyPJW1HeXk5L730Epdccgnp6em8+eabXHvttdEOS0RERESi4IiT2QA45z50zv0A6A/cD0wKa1QdlEVoqOBzS7fQp0sipx3bOzIPKDHtjTfe4Oqrr2bQoEG88MILXHnllfTo0YMnn3yS//mf/4l2eCIiIiISBYcbo1jDzC4ETsbfMfID59y3wxpVBxbuemJhcQnvrt3J9acPJc7bou8JpJ0755xzOOWUU/jggw8YNMi/VMqNN94Y5ahEREREJJqOmCia2R+BocDfA5u+Z2aTnXPfD2tkHZBhuDB3PX1xeQFVDi7OyQjr40jbkZuby7x585g8eTKDBw9m5syZWkdRREREpINrSUnpNOAc59yTzrkngenA6WGNqoMK91w2zjle+qSAEzK7M7BnShgfSdqSsWPH8utf/5oNGzZw11138cknn1BeXs60adOYO3dutMNrZOHChRx33HEMHTqUe++9N9rhiIiIiLRLLUkUvwSOqXN7APBpeMLp2MK9juLnhftZt+MAM8ZoSQxp2kknncTDDz9MQUEBN998M4sXL452SPX4fD6+//3v89prr7FmzRr+/ve/s2bNmmiHJSIiItLutGSMYk/gczNbErh9ArDYzF4GcM7NCFdwHU6YZ7OZv6KAOI9xbnZ6WB9H2q4XX3yRDz74ADPj5JNP5sknn4x2SPUsWbKEoUOHMnjwYABmzpzJ/PnzGTFiRJQjExEREWlfWpIo/izsUQjgryiCv4uohThprKpyvLxyK6cd25seKQkhPbe0D9dffz3r16/n0ksvBeBPf/oTixYtYs6cOVGOrFZBQQEDBtQu65KRkcHHH38cknNf9Mh/SY73cuWkgUwZ0Sfkv4MiIiIibUmziaKZmfN793D7hCesjqn61XQu9MXFJZv3UFhcyh3Th4f2xNJuvPvuu6xataomQZo1axbZ2dlRjqq+piZ7aurP0Ny5c2vGV+7cubNF5z62TyqLN+zie8/kcvmJx/D/zh+Jx6M/cSIiItIxHW6M4ttm9kMzqzs+ETNLMLMzzewpYFZ4w+tYLFBTDMcwxfkrCuiU4GXy8LQwnF3ag+OOO44tW7bU3M7Ly2PUqFFRjKixjIwM8vLyam7n5+fTr1+/RvvNnj2bZcuWsWzZMnr3btl6ofdcmM2iW07je6cN5q8fb+GXCz4PWdwiIiIibc3hup5OBa4G/m5mg4AiIAnwAq8D9zvnVoQ7wI6ktqLoqO2IGryySh+vfraNc7L60imhRUtnSge0e/duhg8fzoQJEwBYunQpkyZNYsYM/zDkl19+OZrhAXDCCSewbt06Nm3aRP/+/Zk3bx5/+9vfQnb+OK+HO6YNp7yyiic+3MToAV05X5M/iYiISAfUbNbgnCsF/gj80czigV5AiXOuKEKxdTg1YxRDfN4P1u2iuKSCGWMaV15Eqv3f//1ftEM4ori4OB5++GHOOeccfD4fV199NVlZWSF/nDunD+fT/GJ++tIqThzUk75dk0L+GCIiIiKxrEXlJedcBVAY5lg6vLpjFEPptVXbSE2K46QhvUJ7YmkXqidPOu200w67T6yYPn0606dPD+tjxHk9/O7i0ZzzwHv8vwVrmHPZuLA+noiIiEisack6ihIh4ZgbqMJXxRtrtnP28D4kxOntlsbOOOMM/vCHP9QbnwhQXl7OW2+9xaxZs3jqqaeiFF30ZPZK4frTh7Lg00L+u2FXtMMRERERiShlDjHIhbDz6Ucbd1NcUsHUkX1Ddk5pXxYuXIjX6+XSSy+lX79+jBgxgsGDBzNs2DD+/ve/c/PNN/Otb30r2mFGxfdOG0y/rknc+9oXMVVVFREREQk3zWwSg0L5/+hrq7bRKcHLqce2bOZH6XiSkpK4/vrruf7666moqGDXrl0kJyfTrVu3aIcWdUnxXm6Zchy3/mMlC1dtY1p2erRDEhEREYmIZiuKZrbfzPY1cdlvZvuCeVAz+42ZfWFmn5rZv8ysW5377jCz9Wb2pZmdU2f7eDP7LHDfQ9VrOJpZopk9F9j+sZllBhNbNIW656mvyvH66m2ccVwaSfHe0J5c2qX4+HjS09OVJNZxwdj+DO6dwoNvrqOqSlVFERER6RiaTRSdc6nOuS5NXFKdc12CfNw3gJHOuVHAWuAOADMbAcwEsvAvz/FHM6vOcB4BZgPDApepge3XAHudc0OB+4FfBxlb1NSsoxii/0WXbd7DrgPl6nYqEgSvx7jxrGF8sW0/r6/ZHu1wRERERCIiKmMUnXOvO+cqAzc/AjIC188H5jnnypxzm4D1wAQzSwe6OOcWO/9AoaeBr9c5pnqmjReAs6qrjW1NzaynIRqj+NqqbSTEeTjj+LSQnE+kozo3O52BPTvxyDvrNVZRREREOoRYmMzmauC1wPX+QF6d+/ID2/oHrjfcXu+YQPJZDPQMY7xhE8rs1jl/t9NTh/Wmc6KGoooEI87r4XunDmFlfjGLN+yOdjgiIiIiYRe2RNHMFpnZqiYu59fZ506gEvhr9aYmTuUOs/1wxzQV02wzW2Zmy3bu3NnyJxNhoShYrCncx9biUqaM6BP8yaRdS01NpUuXLo0u1dvF78Jx/emZksDjH2yKdigiIiIiYRe2UpNzbvLh7jezWcB5wFmuti9XPjCgzm4ZwNbA9owmttc9Jt/M4oCuwJ5mYpoLzAXIycmJuf5jtV1Pg/fm5zswQ91O5Yj2798f7RDahKR4L1dOGsgDi9axYecBhvTuHO2QRERERMKmNbOe7gvBrKdTgduBGc65Q3XuehmYGZjJdBD+SWuWOOcKgf1mNjEw/vAqYH6dY2YFrn8DeMu10UFEtZPZBB/+m1/sYHRGN3qnJgZ9LhHxu2LiQBLiPKoqioiISLt3xFlPgQeAH+MfC5iBP8H7ZZCP+zCQCrxhZivM7NHAY64GngfWAAuB7zvnfIFjrgP+jH+Cmw3Ujmt8HOhpZuuBWwKxtkmhqiju3F/GyrwizlI1USSkenVO5MKx/flnbj57DpZHOxwRERGRsGlJ19NznHMn1rn9iJl9DNzX2gcNLGXR3H13A3c3sX0ZMLKJ7aXAxa2NpT16+4sdAJw5XImiSKhdffIg5i3N4+9LtvD9M5r9UyYiIiLSprVkMhufmV1uZl4z85jZ5YDviEdJqwXb8/TNL7aT3jWJEemaiEQk1I7tk8pJQ3vy7EdfUemrinY4IiIiImHRkkTxMuASYHvgcnFgm4SYhaDvaVmlj/fX7eLM49NqzydyGM3Nelp9kca+9bVBFBaX8vqa7dEORURERCQsjtj11Dm3Gf+i9hJm1WmdCyJT/HjjHg6V+zhL3U6lhapnPf3Zz35G3759ufLKK3HO8de//lUzojbjzOPTGNAjmb98uJnp2enRDkdEREQk5I5YUTSzY83sTTNbFbg9ysx+Ev7QOp6agmIQFcU3P99OUryHrw3pFZqgpMP4z3/+w/XXX19TYbzuuuv45z//Ge2wYpLXY8yalMmSzXtYVVAc7XBEREREQq4lXU8fA+4AKgCcc58CM8MZVEcVio6i767dydeG9CIp3huCs0lH4vV6+etf/4rP56Oqqoq//vWveL3BfY5+9KMfcfzxxzNq1CguuOACioqKANi8eTPJycmMGTOGMWPGcO2119Yck5ubS3Z2NkOHDuWGG26oWS6mrKyMb37zmwwdOpQTTzyRzZs3BxVbsC7OGUByvJenF0c3DhEREZFwaEmi2Mk5t6TBtspwBCN+rS0obtl9iM27D3HqMFUT5ej97W9/4/nnn6dPnz706dOHf/zjH/ztb38L6pxnn302q1at4tNPP+XYY4/lnnvuqblvyJAhrFixghUrVvDoo4/WbL/uuuuYO3cu69atY926dSxcuBCAxx9/nO7du7N+/Xpuvvlmbr/99qBiC1bX5HguGNef+Su2sldLZYiIiEg705JEcZeZDSGQv5jZN4DCsEbVQVVPPuNa2ff03XU7ATj12N4hi0k6jszMTObPn8+uXbvYuXMnL730EpmZmUGdc8qUKcTF+YdCT5w4kfz8/MPuX1hYyL59+5g0aRJmxlVXXcVLL70EwPz585k1axYA3/jGN3jzzTdb/bsSKrMmZVJWWcW8pXlRjUNEREQk1FqSKH4f+BNwvJkVADcB14UzqI4q2ElP31u7k4zuyQzqlRKymKTjWLt2LWeddRYjR/qXK/3000/55S9/GbLzP/HEE0ybNq3m9qZNmxg7diynnXYa77//PgAFBQVkZGTU7JORkUFBQUHNfQMGDAAgLi6Orl27snv37pDF1xrH9U1l0mAtlSEiIiLtzxETRefcRufcZKA3cLxz7uTATKgSYjWznrYiU6zwVbF4w25OGdZby2JIq3z3u9/lnnvuIT4+HoBRo0Yxb968Ix43efJkRo4c2egyf/78mn3uvvtu4uLiuPzyywFIT09ny5YtfPLJJ/z+97/nsssuY9++fU1WCA9XaW/usz537lxycnLIyclh586dR37yQZj1tUwKikpY9LmWyhAREZH2o9nlMczslma2A+Cc+32YYuq4ql/bVtQUP9lSxIGySk47VuMTpXUOHTrEhAkT6m2r7jZ6OIsWLTrs/U899RSvvPIKb775Zs3fj8TERBITEwEYP348Q4YMYe3atWRkZNTrnpqfn0+/fv0Af3UxLy+PjIwMKisrKS4upkePHk0+5uzZs5k9ezYAOTk5R3wOwTh7RB8yuifzxIebmTpSS2WIiIhI+3C4imJq4JKDv6tp/8DlWmBE+EPreGpqI62oKL63didej/G1oUoUpXV69erFhg0bapK5F154gfT04BKfhQsX8utf/5qXX36ZTp061WzfuXMnPp8PgI0bN7Ju3ToGDx5Meno6qampfPTRRzjnePrppzn/fP8yrjNmzOCpp56qie3MM8+Miep5zVIZm/awequWyhAREZH2odlygXPuFwBm9jowzjm3P3D7LuAfEYmugwlmjOJ763YydkA3uiTFhzQm6TjmzJnD7Nmz+eKLL+jfvz+DBg3i2WefDeqcP/jBDygrK+Pss88G/BPaPProo7z33nv87Gc/Iy4uDq/Xy6OPPlpTHXzkkUf41re+RUlJCdOmTasZ13jNNddw5ZVXMnToUHr06NGibrGRcskJA7h/0Vqe/HAzv714dLTDEREREQnakfuVwTFA3bnfy4HMsETTwRnV3XqP7rg9B8v5rKCYm846NgxRSUcxePBgFi1axMGDB6mqqiI1NTXoc65fv77J7RdddBEXXXRRk/fl5OSwatWqRtuTkpL4xz9i8zuqrsnxXDQug+eW5nH71OPpnZoY7ZBEREREgtKSRPEZYImZ/Qt/sesC4KmwRtVB1VYUjy5T/GD9LpyDUzU+UVrh978//HDjW25pcriyNPCtkzJ55qOveOajr7jlbH1pIyIiIm3bYRNF8w8Aehp4DTglsPnbzrlPwh1YR9TaWU//u34XqUlxjMroFuqQpAPYv38/AF9++SVLly5lxowZAPz73//m1FNPjWZobcqQ3p2ZPLwPzyzezHWnDSE5wRvtkERERERa7bCJonPOmdlLzrnxwPIIxdRhtXaM4n837Gbi4J54PdGf2EPanp///OcATJkyheXLl9d0Ob3rrru4+OKLoxlam/O90wZz8aPbeSE3jysnZUY7HBEREZFWO+I6isBHZnZC2CORmjGKRyN/7yG27DnE14b0DENE0pFs2bKFhISEmtsJCQls3rw5egG1QTkDuzNmQDf+/MEmfFWtmZZKREREJDa0ZIziGcC1ZrYZOIi/h6Rzzo0KZ2AdWVMLizdn8YbdAHxtiMYnSnCuvPJKJkyYwAUXXICZ8a9//YtZs2ZFO6w2xcz43qmDue6vy1m4ahvnjtK6iiIiItI2tSRRnBb2KMSvuuvpURQiFm/YTc+UBI7t0zk8MUmH4JzjqquuYtq0abz//vsAPPnkk4wdOzbKkbU9U7L6MrhXCg+/vZ7p2X1jYq1HERERkaN1xETROfeVmY2mdjKb951zK8MbVsd0tP9OOuf84xOH9NQ/oxIUM+PrX/86ubm5jBs3LtrhtGlej3H9GUO59R8refvLHZx5fJ9ohyQiIiJy1I44RtHMbgT+CqQFLs+a2Q/DHVhHVJ3stbSiuGnXQbbtK9X4RAmJiRMnsnTp0miH0S6cP6YfGd2TeejN9UfVlVxEREQkVrRkMptrgBOdcz9zzv0MmAh8N7xhdUw1y2O0cN7TxRs1PlFC5+2332bixIkMGTKEUaNGkZ2dzahRGorcGvFeD9eeNoQVeUV8uH53tMMREREROWotGaNogK/ObR9H30tSWsCOcozifzfsJr1rEpk9O4UvKOkwXnvttWiH0K5cnJPBnLfX87s3vuSkoeoeLiIiIm1LSyqKTwIfm9ldZnYX8BHweFij6qCOZh3FqirHRxt2M0njEyVEBg4cSFFREf/+97/597//TVFREQMHDox2WG1WYpyXG88axidbinjz8x3RDkdERETkqBwxUXTO/R74NrAH2At82zn3QJjj6pCq11FsyZimdTsOsPtgOZMGa3yihMaDDz7I5Zdfzo4dO9ixYwdXXHEFf/jDH6IdVpt20fgMBvVK4bevf0mV1lUUERGRNuSIXU/NbCKw2jm3PHA71cxOdM59HPboOpijqSh+vMk/7mmiEkUJkccff5yPP/6YlJQUAG6//XYmTZrED3+ouataK97r4eazj+WGv3/C/JUFXDA2I9ohiYiIiLRIS7qePgIcqHP7YGCbhElLxih+vGkP/bomkdE9OfwBSYfgnMPr9dbc9nq9mrEzBM7LTmdk/y7ct/BLDpVXRjscERERkRZp0WQ2rs5/i865KjNryXFylGrHGh7+n3PnHB9v3MMpw3ppfKKEzLe//W1OPPFELrjgAgBeeuklrrnmmihH1fZ5PMbPzsvikj8tZu57G7lp8rHRDklEREQOwzlHWWUVZRVVlFb6KK3wUVpRRVml/6f/to/SyirK6v6ss1970JKEb6OZ3UBtFfF6YGMoHtzMbgV+A/R2zu0KbLsD/5IcPuAG59x/AtvHA38BkoFXgRudc87MEoGngfHAbuCbzrnNoYgv0mrSxCMUcTbtOsiuA2VMGNQj7DFJx3HLLbdw+umn88EHH+Cc48knn2Ts2LHRDqtdmDCoB+eOSufRdzdwSc4A+nVTTwAREZGWqE7aSit8NT+bS9ZKK3yBhK1BUldZva32uLpJYMPzllVWtTpej0FSvJf2sCZBSxLFa4GHgJ/gL3W9CcwO9oHNbABwNrClzrYRwEwgC+gHLDKzY51zPvyJ6mz8s66+CkwFXsOfVO51zg01s5nAr4FvBhtfNLR0jOLHm/YAcKISRQmhjz76iKysLMaNGwfA/v37+fjjjznxxBNbfc677rqLxx57jN69ewPwq1/9iunTpwNwzz338Pjjj+P1ennooYc455xzAMjNzeVb3/oWJSUlTJ8+nQcffBAzo6ysjKuuuorc3Fx69uzJc889R2ZmZnBPOoLumHY8i9Zs52fzV/PYVePVG0BERNqkSl9VTVJWP7mqm8DVT9BqErgmjmuY4NWt4AWbtHk9RlKch8R4L0lxHpLivf7r8R4S4zz0SEkgKc5/OyneS2KDfZLivCQGfibFN97Pfx5v4Bj/fvFew8zIefUnIXzVo+OIiaJzbgf+5C3U7gduA+bX2XY+MM85VwZsMrP1wAQz2wx0cc4tBjCzp4Gv408UzwfuChz/AvCwmdXrLttW1M56evj9lmzaQ6/OiQzqlRKBqKSjuO6661i+fHnN7ZSUlEbbWuPmm2/m1ltvrbdtzZo1zJs3j9WrV7N161YmT57M2rVr8Xq9XHfddcydO5eJEycyffp0Fi5cyLRp03j88cfp3r0769evZ968edx+++0899xzQcUWSRndO3HrlOO4+9XPeXnlVs4f0z/aIYmISBvnnKPcV+WvltVJtErKffWSsIYVtHoJXWWd+xskd011uawMYhbv6kSrXnIWSOK6dUpo/v4mkrXaJK02Wau7LSneS7y3JdOxSHNaMuvpsfireX2ccyPNbBQwwzn3y9Y+qJnNAAqccysbfKveH3/FsFp+YFtF4HrD7dXH5AE45yrNrBjoCexqbXzRUltRPPwv4JJNezhxUA9VJCSknHP1PlMej4fKyvBMvjJ//nxmzpxJYmIigwYNYujQoSxZsoTMzEz27dvHpEmTALjqqqt46aWXmDZtGvPnz+euu+4C4Bvf+AY/+MEPGsUc664+eRALPivkrpdXc9LQXvTqnBjtkEREJISaStxKahKuxolbSd3qWt2xcBW+Ju6rHQdXUmd7a0sjcR5rMrmq3tYtOb62UtYgeaub0CXWPS6u/jnq7pMY52lTbba0rOvpY8CPgD8BOOc+NbO/AYdNFM1sEdC3ibvuBP4/YEpThzWxzR1m++GOaSqm2QS6zR5zzDFN7RJVLRmjuLWohIKiEr5zyqCIxCQdx+DBg3nooYe47rrrAPjjH//I4MGDgz7vww8/zNNPP01OTg6/+93v6N69OwUFBUycOLFmn4yMDAoKCoiPjycjI6PRdoCCggIGDBgAQFxcHF27dmX37t306tUr6BgjxesxfvONUZz70Af8fP5q5lw+LtohiYi0exW+Jsa1HabyVtoowYtM4hbvtUBS1SAhi/PSOTGOnimNtyfFe0lOqNsVsuF99attdRO6OFXb5Ahakih2cs4tafANwBHLDM65yU1tN7NsYBBQXU3MAJab2QT8lcIBdXbPALYGtmc0sZ06x+QHZmPtCuxpJqa5wFyAnJycmOuaWlNRPExkSzf7n9oJmRqfKKH16KOPcsMNN/DLX/4SM+Oss85i7ty5Rzxu8uTJbNu2rdH2u+++m+uuu46f/vSnmBk//elP+d///V+eeOKJJpfdMLNmtwOHva+huXPn1sS+c+fOIz6HSBrWJ5UbJw/jN//5kumfFnLuqPRohyQiElFVVbWTk9Qma4Hkq7y262NJnet1k7iSeklf3UlNas9V3VWypMKHr5VdJeM8RnJ848QtOb75xC05wdtgDFvD+5S4SdvRkkRxl5kNIVClM7NvAIWtfUDn3GdAWvXtwPjDHOfcLjN7Gfibmf0e/2Q2w4Alzjmfme03s4nAx8BVwB8Cp3gZmAUsBr4BvNUWxyf6Bf4hPkzX02Wb99I5MY7j+6ZGKijpINLS0pg3b95RH7do0aIW7ffd736X8847D/BXCvPy8mruy8/Pp1+/fmRkZJCfn99oe91jMjIyqKyspLi4mB49mv7CZPbs2cye7Z9zKycn56ifU7jNPnUwr6/Zzu3//JTj+qYyNK1ztEMSkQ6ueoKS6gpbWaWPkvImqm7ljZO7kvLaiUxqk7vGFbzqilxrJyepnk0yKd4bSOA8Nd0hkxO8dG3QVTK54di2hPpdI5MbJICJdRI9JW4iLUsUv4+/Cne8mRUAm4DLwxGMc261mT0PrMFftfx+YMZTgOuoXR7jtcAF4HHgmcDEN3sIz8Q7EdHSiuLYY7rpj5eE3Nq1a7nuuuvYvn07q1at4tNPP+Xll1/mJz9p/axdhYWFpKf7K2b/+te/GDlyJAAzZszgsssu45ZbbmHr1q2sW7eOCRMm4PV6SU1N5aOPPuLEE0/k6aef5oc//GHNMU899RSTJk3ihRde4Mwzz2yzYx3ivR4euXwcMx7+gNlPL+OlH5xEl6T4aIclIjHEOUeFz9VW2RqMdStpkIg1vN1wW0mdyUxKapK96mUFfFT4Wvcde3V3yaSE2mpb9bi0LsnxpKUmNpGUVSdq9W8n1Uvwas9XXdFL8GqMm0gktWTW043AZDNLATxACf7lJ74KRQDOucwGt+8G7m5iv2XAyCa2lwIXhyKWaDvSn77iQxV8uX0/07PVVU1C77vf/S6/+c1v+N73vgfAqFGjuOyyy4JKFG+77TZWrFiBmZGZmcmf/vQnALKysrjkkksYMWIEcXFxzJkzB6/XC8AjjzxSszzGtGnTmDZtGgDXXHMNV155JUOHDqVHjx6tqn7Gkn7dkplz2Tgu//PH3DxvBY9dlYPHo3+ARGJZdfJWEpjKv6RBpax6e8Nt9RK6cl9N5a6sTtJWXY2r3VbV6i6TdafuT26QiPVISSC5W3PJWd3ErH5y11Sip6qbSPvWbKJoZl3wVxP741/CYlHg9q3ASuCvkQiwI6kdi9X0/cu37MU5yMnsHsGopKM4dOgQEyZMqLctLq4lnQ6a98wzzzR735133smdd97ZaHtOTg6rVq1qtD0pKYl//OMfQcUTa04c3JOfnjeCn7+8ml//5wt+PPV4fVsu0goNu01WJ2h1k7J621uYvNV0r6xzbGtXBqibtNWtkiXH+ytv1V0pG1bT6lfgGs9Mmdzg/sQ4j750EpGQONx/gc8Ae/GP/fsu/jUPE4CvO+dWhD+0jsdzhOUxcr/ai9djjBnQLXJBSYfRq1cvNmzYUJOovPDCCzXdRiV8rpo0kC+37+dP724k3uPhf6ccq2RR2oWaZQLK61fX6nWfLK+qU3Wr3yWybtWu9nj/GLn61brWd5usWy2rTt6qu0NWj3drmJDVjGFrlPjV7zZZN6nTsgAi0hYdLlEc7JzLBjCzP+Nfl/AY59z+iETWAVW3Ic19W5n71V5GpHehU0JwVR6RpsyZM4fZs2fzxRdf0L9/fwYNGsRf/6qOA+FmZvzy/JFUVTkefns9gJJFCavq6lt1YlZWJzErbZTINVeRa1iNq199qz5Ha6pvXo/RqXrikQbJWLfkeJK7JNVMXlK3klavm2SCt94MlNXnqd5evU2/ZyIizTtcxlFRfSUw6+gmJYnhZTS/DECFr4oVeUV884QBje4TCYXBgwezaNEiDh48SFVVFcnJyTz33HMMHDgw2qG1ex6P8asLsjGDh99ez6FyHz+edjwJcRr701E4V7tcQHWXyXoTkZTXVtaaGhtXM6tkua/xthBV3xLj/MlZwzFvyS2oviUH1nOrnvQkuWHlLaH2mHiNeRMRiQmHSxRHm9m+wHUDkgO3DXDOuS5hj66jqel62tgXhfspqfAxfqDGJ0po7du3jzlz5lBQUMD555/P5MmTmTNnDr/97W8ZPXo0l18elkmOpQGPx7j769kkxnl54sNNfLxpNw98cwzD+mgpnGiqXu+tXtfJOlW45rpT1u1KWa/rZIPqW0mdba1Z2KmpGSeru1B27ZRA3zqVtOqp/5MbJHP1uksmeJqo0mncm4hIR9Rsouic80YyEAHPYRYWX/bVHgAlihJyV155Jd27d2fSpEk89thj3HfffZSXl/PSSy8xZsyYaIfXoXg8xl0zspg0pCd3vPgZ5/3hA3487XhmTcrUP+l1NF99q19VazQWrsF6b/W7WdZf761239at95YQ5yGpQQWu+nrd6ltyQm1yl1Snu2TDYxouG5CcoBknRUQkvDTYLYZU/xvY1LfKuV/tJb1rEv26JUc0Jmn/Nm7cyGeffQbAd77zHXr16sWWLVtITVUlK1rOyerLuGO68+N/fsov/r2GRZ9v57cXjya9a9v+/a+qcuwvraSopJzikgr2lVSyr7SCfSUV7CutYH9pJQfKKjlY5v95oMzHwcDtkgofB8t8lJRXcqgiNNW36rFqyfFeUpPi6F2z3lttUpZYU1nz1OtK2dy4uOpEzqvEXkRE2jglijHEDtP19JMtRYxTNVHCID6+dqF3r9fLoEGDlCTGgN6pifx5Vg7zlubx/15Zwxm/fYfp2elcOuEYcgZ2j5lJOErKfezYX8r2fWXs2F/Krv1l7D5Yzq4D5ew+4L++92A5RSUVFB0qP+zkJmaQkhBH58Q4UhK9gZ9xdO/UiZREL50SvHRKiKNTQoOqWxPVt6bGyCl5ExERaTklijGkdjKb+tu3FZdSUFTC1ScPikJU0t6tXLmSLl38Q46dc5SUlNClSxecc5gZ+/btO8IZJFzMjEsnHMPXhvTkT+9t5OUVW3lxeQFDeqcw84RjuHBcf3p2Tgzb4+8rraCwqJTC4hK2FZeytbiUbcUlFBaXsq24lO37StlXWtnoOI9Bj5QEeqYk0iMlgeH9utAtOZ7unRLo1sn/s2tyPF2S4+mSHEdqUjxdkuJISYhTF1sREZEYoUQxhtSso9ggU/xky14Axh3TLcIRSUfg8/miHYIcwcCeKfzqgmzunD6cBZ8VMm/JFu5+9XN+9drnDOzRieP6pnJ83y4c3zeVY/um0jWweHfDLpCVvioOlvs4VO7vzrn3UAU795exY18pOw+UsXN/Gdv2lVFY5E8GD5TVTwLNIC01kb5dkxnSuzMnDe1F79RE+nRJIi01kbQuifTunEi3Tgmq3omIiLRxShRjSTPrKC7fspeEOA9Z/bpGPiYRiRkpiXFckjOAS3IGsHb7fhau2sYX2/bxxbb9vLFme5PdOqvH5ZX7qg47MYvXY/TqnEBaahKDeqVw0tBepHdNIr1bMv0CP9NSE7V0gYiISAehRDGG1HQ9pWFFsYiR/bpoTTURqXFsn1SOrbN0RmmFj3XbD7Bux34OlFU2WqYhIc5DSoJ/7F9KYOxft+T4mipg904J6vYpIiIiNZQoxpCa/9Hq5InllVV8VlDMFRO16LmINC8p3kt2RleyM9TzQERERIKnElUMqZ7FsG73sS+27aOssoqxGp8oIiIiIiIRokQxhtQuj1GbKa7IKwJgzIBukQ9IREREREQ6JHU9jSG1s57WbluxpYjeqYn079a2F9oWiZbNmzeTk5PTon137txJ7969wxyRhILeq7ZB71Pbofeq7dB71TZ88cUX0Q4haEoUY0p119PaTPGTvCLGDOgWM4tri7Q1u3btavG+OTk5LFu2LIzRSKjovWob9D61HXqv2g69V21DS7+kjmXqehpDaiqKgdtFh8rZtOugup2KiIiIiEhEKVGMIdVVQxeoKK7MLwZgrBJFERERERGJICWKMaThGMUVW4owQ9Pdi0TI7Nmzox2CtJDeq7ZB71Pbofeq7dB71Ta0h/fJnHNH3qsdysnJcbHWv3tVQTHn/eEDHrsqh7NH9OHqvywlb88h3rjltGiHJiIiIiIi7YyZ5TrnmhxQqYpiDKpyDuccK/OKGJXRLdrhiIiIiIhIB6NEMYZ4asYoQkFRCbsPljNmgLqdigRr4cKFHHfccQwdOpR777230f3OOW644QaGDh3KqFGjWL58eYuPldAK5r26+uqrSUtLY+TIkZEMucNq7XuVl5fHGWecwfDhw8nKyuLBBx+MdOgdSmvfp9LSUiZMmMDo0aPJysri5z//eaRD73CC+fsH4PP5GDt2LOedd16kQu6wgnmvMjMzyc7OZsyYMbE/M6oLVK862mX8+PEu1nxeWOwG3v6KW/DpVrfg061u4O2vuBVb9kY7LJE2rbKy0g0ePNht2LDBlZWVuVGjRrnVq1fX22fBggVu6tSprqqqyi1evNhNmDChxcdK6ATzXjnn3Lvvvutyc3NdVlZWpEPvcIJ5r7Zu3epyc3Odc87t27fPDRs2TL9XYRLM+1RVVeX279/vnHOuvLzcTZgwwS1evDjiz6GjCPbvn3PO/e53v3OXXnqpO/fccyMZeocT7Hs1cOBAt3PnzkiH3SxgmWsmX1JFMYZ4rXYdxZV5RSR4PRyfnhrlqETatiVLljB06FAGDx5MQkICM2fOZP78+fX2mT9/PldddRVmxsSJEykqKqKwsLBFx0roBPNeAZx66qn06NEjGqF3OMG8V+np6YwbNw6A1NRUhg8fTkFBQTSeRrsXzPtkZnTu3BmAiooKKioqtKZzGAX79y8/P58FCxbwne98JxrhdyjBvldtiRLFGGI1iSKszC9ieHoqiXHeKEcl0rYVFBQwYMCAmtsZGRmN/iltbp+WHCuhE8x7JZEVqvdq8+bNfPLJJ5x44onhDbiDCvZ98vl8jBkzhrS0NM4++2y9T2EU7Ht10003cd999+Hx6F/7cAv2vTIzpkyZwvjx45k7d25kgm4lfZpiSPXyGJW+KlYV7NNENiIh4JqY2bnht+LN7dOSYyV0gnmvJLJC8V4dOHCAiy66iAceeIAuXbqEPkgJ+n3yer2sWLGC/Px8lixZwqpVq8ITqAT1Xr3yyiukpaUxfvz4sMUntYL9vfrwww9Zvnw5r732GnPmzOG9994LT6AhELVE0cx+aGZfmtlqM7uvzvY7zGx94L5z6mwfb2afBe57yAKvtpklmtlzge0fm1lmFJ5OSFRPZrNuxwEOlFUyekC36AYk0g5kZGSQl5dXczs/P59+/fq1aJ+WHCuhE8x7JZEV7HtVUVHBRRddxOWXX86FF14YmaA7oFD9TnXr1o3TTz+dhQsXhjfgDiyY9+rDDz/k5ZdfJjMzk5kzZ/LWW29xxRVXRCz2jibY36vqn2lpaVxwwQUsWbIkAlG3TlQSRTM7AzgfGOWcywJ+G9g+ApgJZAFTgT+aWXXfy0eA2cCwwGVqYPs1wF7n3FDgfuDXkXoeoeYNlBRXbCkCYHSGZjwVCdYJJ5zAunXr2LRpE+Xl5cybN48ZM2bU22fGjBk8/fTTOOf46KOP6Nq1K+np6S06VkInmPdKIiuY98o5xzXXXMPw4cO55ZZbovQMOoZg3qedO3dSVFQEQElJCYsWLeL444+PwrPoGIJ5r+655x7y8/PZvHkz8+bN48wzz+TZZ5+N0jNp/4J5rw4ePMj+/fsBOHjwIK+//npMz9QdF6XHvQ641zlXBuCc2xHYfj4wL7B9k5mtByaY2Wagi3NuMYCZPQ18HXgtcMxdgeNfAB42M3NN1XxjnKc6UcwrolOCl8G9O0c5IpG2Ly4ujocffphzzjkHn8/H1VdfTVZWFo8++igA1157LdOnT+fVV19l6NChdOrUiSeffPKwx0p4BPNeAVx66aW888477Nq1i4yMDH7xi19wzTXXROvptGvBvFcffvghzzzzTM308AC/+tWvmD59erSeTrsVzPtUWFjIrFmz8Pl8VFVVcckll2jZhTAK9u+fRE4w79X27du54IILAKisrOSyyy5j6tSpzT5WtFk08ikzWwHMx18VLAVudc4tNbOHgY+cc88G9nscfzK4GX9iOTmw/RTgdufceWa2CpjqnMsP3LcBONE5t+twMeTk5Lhly5aF5fm1VmFxCZPueQuACYN68Pz3JkU5IhERERERaa/MLNc51+SCjmGrKJrZIqBvE3fdGXjc7sBE4ATgeTMbDDQ1I4E7zHaOcF/DmGbj777KMcccc7jwo8JbZyDsWI1PFBERERGRKAlbolhd/WuKmV0HvBjoHrrEzKqAXkA+MKDOrhnA1sD2jCa2U+eYfDOLA7oCe5qJaS4wF/wVxVY8rbCq7noKkNVf4xNFRERERCQ6ojXr6UvAmQBmdiyQAOwCXgZmBmYyHYR/0polzrlCYL+ZTQzMdnoV/q6rBI6ZFbj+DeCttjg+EepXFAf3SoliJCIiIiIi0pFFazKbJ4AnAuMLy4FZgeRutZk9D6wBKoHvO+d8gWOuA/4CJOMft/haYPvjwDOBiW/24J81tU2K89Ymin27JkUxEhERERER6ciikig658qBJhd4cc7dDdzdxPZlQKP5Y51zpcDFoY4xGuK9tQXeHp0SohiJiIiIiIh0ZNHqeipNiKszRrHueEURERGpb/fu3YwZM4YxY8bQt29f+vfvz5gxY+jcuTPXX399WB7zgQce4Omnnw76PDNnzmTdunUhiEhEJHyi1fVUmuBVcigiItIiPXv2ZMWKFQDcdddddO7cmVtvvTVsj1dZWckTTzzB8uXLgz7Xddddx3333cdjjz0WgshERMJDFcUYYqZEUUREJBjvvPNOzcLwd911F7NmzWLKlClkZmby4osvctttt5Gdnc3UqVOpqKgAIDc3l9NOO43x48dzzjnnUFhY2Oi8b731FuPGjSMuzv8d++mnn87NN9/MqaeeyvDhw1m6dCkXXnghw4YN4yc/+QkABw8e5Nxzz2X06NGMHDmS5557DoBTTjmFRYsWUVlZGYmXRESkVZQoxqABPZKjHYKIiEi7sGHDBhYsWMD8+fO54oorOOOMM/jss89ITk5mwYIFVFRU8MMf/pAXXniB3Nxcrr76au68885G5/nwww8ZP358vW0JCQm89957XHvttZx//vnMmTOHVatW8Ze//IXdu3ezcOFC+vXrx8qVK1m1ahVTp04FwOPxMHToUFauXBmR10BEpDXU9TTGfHTHWSTHe6MdhoiISLswbdo04uPjyc7Oxufz1SRr2dnZbN68mS+//JJVq1Zx9tlnA+Dz+UhPT290nsLCQoYPH15v24wZM2rOlZWVVXPc4MGDycvLIzs7m1tvvZXbb7+d8847j1NOOaXm2LS0NLZu3doo+RQRiRVKFGOMlsUQEREJncTERMBfxYuPj68Z5uHxeKisrMQ5R1ZWFosXLz7seZKTkyktLW323NXX65772GOPJTc3l1dffZU77riDKVOm8LOf/QyA0tJSkpPVg0hEYpe6noqIiEiHddxxx7Fz586aRLGiooLVq1c32m/48OGsX7/+qM69detWOnXqxBVXXMGtt95abyKctWvXkpWVFVzwIiJhpIqiiIiIdFgJCQm88MIL3HDDDRQXF1NZWclNN93UKImbNm0aV1555VGd+7PPPuNHP/pRTTXzkUceAWD79u0kJyc32cVVRCRWmHMu2jFERU5Ojlu2bFm0wxAREZE24oILLuC+++5j2LBhQZ3n/vvvp0uXLlxzzTUhikxEpHXMLNc5l9PUfep6KiIiItIC9957b5NLZxytbt26MWvWrBBEJCISPqooioiIiIiIdECHqyh22ETRzHYCX0U7jib0AnZFOwhpt/T5knDS50vCTZ8xCSd9viScYvXzNdA517upOzpsohirzGxZc1m9SLD0+ZJw0udLwk2fMQknfb4knNri50tjFEVERERERKQeJYoiIiIiIiJSjxLF2DM32gFIu6bPl4STPl8SbvqMSTjp8yXh1OY+XxqjKCIiIiIiIvWooigiIiIiIiL1KFGMIWY21cy+NLP1ZvbjaMcj7YeZPWFmO8xsVbRjkfbHzAaY2dtm9rmZrTazG6Mdk7QfZpZkZkvMbGXg8/WLaMck7Y+Zec3sEzN7JdqxSPtjZpvN7DMzW2FmbWYhd3U9jRFm5gXWAmcD+cBS4FLn3JqoBibtgpmdChwAnnbOjYx2PNK+mFk6kO6cW25mqUAu8HX9/ZJQMDMDUpxzB8wsHvgAuNE591GUQ5N2xMxuAXKALs6586Idj7QvZrYZyHHOxeI6is1SRTF2TADWO+c2OufKgXnA+VGOSdoJ59x7wJ5oxyHtk3Ou0Dm3PHB9P/A50D+6UUl74fwOBG7GBy76lltCxswygHOBP0c7FpFYokQxdvQH8urczkf/aIlIG2NmmcBY4OMohyLtSKBb4ApgB/CGc06fLwmlB4DbgKooxyHtlwNeN7NcM5sd7WBaSoli7LAmtukbUxFpM8ysM/BP4Cbn3L5oxyPth3PO55wbA2QAE8xMXeglJMzsPGCHcy432rFIu3aSc24cMA34fmBIUMxTohg78oEBdW5nAFujFIuIyFEJjB37J/BX59yL0Y5H2ifnXBHwDjA1upFIO3ISMCMwhmwecKaZPRvdkKS9cc5tDfzcAfwL/5CzmKdEMXYsBYaZ2SAzSwBmAi9HOSYRkSMKTDbyOPC5c+730Y5H2hcz621m3QLXk4HJwBdRDUraDefcHc65DOdcJv7/vd5yzl0R5bCkHTGzlMBEb5hZCjAFaBOz0CtRjBHOuUrgB8B/8E8E8bxzbnV0o5L2wsz+DiwGjjOzfDO7JtoxSbtyEnAl/m/iVwQu06MdlLQb6cDbZvYp/i9V33DOaQkDEWkr+gAfmNlKYAmwwDm3MMoxtYiWxxAREREREZF6VFEUERERERGRepQoioiIiIiISD1KFEVERERERKQeJYoiIiIiIiJSjxJFERERERERqUeJooiISANm1rPOUh/bzKwgcP2Amf0xTI95k5ldFYLzzDOzYaGISUREOi4tjyEiInIYZnYXcMA599swPkYcsBwYF1hXN5hznQZc4Zz7bkiCExGRDkkVRRERkRYys9PN7JXA9bvM7Ckze93MNpvZhWZ2n5l9ZmYLzSw+sN94M3vXzHLN7D9mlt7Eqc8EllcniWb2jpndb2bvmdnnZnaCmb1oZuvM7JeBfVLMbIGZrTSzVWb2zcC53gcmB5JPERGRVlGiKCIi0npDgHOB84Fngbedc9lACXBuIFn8A/AN59x44Ang7ibOcxKQ22BbuXPuVOBRYD7wfWAk8C0z6wlMBbY650Y750YCCwGcc1XAemB0SJ+piIh0KEoURUREWu8151wF8BngJZCsBW5nAsfhT+7eMLMVwE+AjCbOkw7sbLDt5TrnWu2cK3TOlQEbgQGB7ZPN7NdmdopzrrjOsTuAfkE+NxER6cDULUVERKT1ysBfxTOzClc78L8Kfxtr+JO8SUc4TwmQ1NS5A+cqq7O9Cohzzq01s/HAdOAeM3vdOfd/gX2SAucUERFpFVUURUREwudLoLeZTQIws3gzy2piv8+BoUdzYjPrBxxyzj0L/BYYV+fuY4HVrQtZREREFUUREZGwcc6Vm9k3gIfMrCv+dvcBGidxrwHPHOXps4HfmFkVUAFcB2BmfYAS51xhMLGLiEjHpuUxREREYoCZ/Qu4zTm3Lsjz3Azsc849HprIRESkI1LXUxERkdjwY/yT2gSrCHgqBOcREZEOTBVFERERERERqUcVRREREREREalHiaKIiIiIiIjUo0RRRERERERE6lGiKCIiIiIiIvUoURQREREREZF6lCiKiIiIiIhIPf8/q2thlHdUm68AAAAASUVORK5CYII=\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sA = myokit.Simulation(mA)\n", - "dA = sA.run(5)\n", - "ax = plot(mA, dA)\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "id": "886543a6", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sA.reset()\n", - "sA.set_constant('amp.tau_amp', 1e-10)\n", - "dB = sA.run(5)\n", - "ax = plot(mA, dA)\n", - "ax = plot(mA, dB, axes=ax)\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "id": "f6ed8c8e", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sA.reset()\n", - "sA.set_constant('amp.tau_sum', 1e-10)\n", - "dC = sA.run(5)\n", - "ax = plot(mA, dA)\n", - "ax = plot(mA, dB, axes=ax)\n", - "ax = plot(mA, dC, axes=ax)\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "b5d0a954", - "metadata": {}, - "outputs": [], - "source": [] } ], "metadata": { diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index e2d794d..7906446 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -718,7 +718,7 @@ "\n", "We have presented a step-by-step derivation of an electrical schematic that can be used as a model of the distortions incurred during whole-cell planar or manual patch-clamp experiments.\n", "The schematic contains mostly passive components (resistors, capacitors, batteries) as well as two active components (an op-amp and a difference amplifier) and a mystery component (the non-capacitative currents through the cell membrane).\n", - "A simple 3-ODE model can be derived from the schematic which is similar, but subtly different, to that presented in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", + "A simple 3-ODE model can be derived from the schematic, similar to the one presented in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", "\n", "In the [next notebook](./artefacts-2-compensation.ipynb) we will add in equations representing the various types of _compensation_ electronics typically found on patch-clamp amplifiers, including fast and slow capacitative transient cancellation and series resistance compensation." ] diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index a958837..2e734cb 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -311,7 +311,7 @@ }, { "cell_type": "markdown", - "id": "82cc6195", + "id": "50caa469", "metadata": {}, "source": [ "If only a single control $\\alpha = \\beta$ is provided for both prediction and correction, we can write\n", @@ -369,6 +369,12 @@ "\\begin{align}\n", "I_\\text{FC} = C_p^* \\dot{V}_\\text{ref} && \\text{Fast capacitance correction} \\\\\n", "I_\\text{SC} = C_m^* \\dot{V}_\\text{est} && \\text{Slow capacitance correction}\n", + "\\end{align}\n", + "\n", + "We can use $V_\\text{est}$ even when series resistance prediction is disabled: setting $\\beta = 0$ turns $V_\\text{est}$ into an estimate of the uncompensated membrane potential.\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_\\text{est}(\\beta = 0) &= \\frac{V_c - V_\\text{est}}{R_s^*C_m^*} \n", "\\end{align}" ] }, @@ -420,27 +426,27 @@ "metadata": {}, "source": [ "\\begin{align}\n", - "C1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "2.1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref}\n", + "2.2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", + "2.3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "2.4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "2.5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C6. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", + "2.6. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", "\\end{align}" ] }, @@ -471,7 +477,7 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 2, "id": "7743c602", "metadata": {}, "outputs": [], @@ -483,7 +489,7 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 3, "id": "775d4495", "metadata": {}, "outputs": [], @@ -536,7 +542,7 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 4, "id": "177a0f1f", "metadata": {}, "outputs": [], @@ -548,7 +554,7 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 5, "id": "dbea8388", "metadata": {}, "outputs": [], @@ -561,7 +567,7 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 6, "id": "2a831ee3", "metadata": { "scrolled": true @@ -589,7 +595,7 @@ }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 7, "id": "5d429877", "metadata": { "scrolled": true @@ -653,61 +659,135 @@ ] }, { - "cell_type": "markdown", - "id": "1a264be5", - "metadata": {}, - "source": [] - }, - { - "cell_type": "markdown", - "id": "c35b52b0", + "cell_type": "code", + "execution_count": 31, + "id": "7d682716", "metadata": {}, + "outputs": [], "source": [ - " " + "m = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.6\n", + "beta = 0.6\n", + "time = 0 [ms] in [ms] bind time\n", + "I = 50 [pA] in [pA]\n", + "E = 1 [mV] in [mV]\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 40 [pF] in [pF]\n", + "Cm_est = 40 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "tau_amp = 50e-6 [ms] in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "dot(Vm) = (Vp + E - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp + E - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", + " ) / (Cp + Cf) : Eq 2a\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / if(tau < 1e-12 [ms], 1e-12 [ms], tau)\n", + " in [mV]\n", + " tau = (1 - beta) * Rs_est * Cm_est\n", + " in [ms]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" ] }, { - "cell_type": "markdown", - "id": "a752deab", - "metadata": {}, - "source": [] - }, - { - "cell_type": "markdown", - "id": "0b16aa44", - "metadata": {}, - "source": [] - }, - { - "cell_type": "markdown", - "id": "0d6e9166", - "metadata": {}, - "source": [] - }, - { - "cell_type": "markdown", - "id": "0fd3030b", - "metadata": {}, - "source": [] - }, - { - "cell_type": "markdown", - "id": "93609b05", + "cell_type": "code", + "execution_count": 32, + "id": "169e0123", "metadata": {}, - "source": [] + "outputs": [], + "source": [ + "s = myokit.Simulation(m)\n", + "dB = s.run(3)\n", + "s.reset()\n", + "s.set_constant('amp.alpha', 0)\n", + "s.set_constant('amp.beta', 0)\n", + "s.set_constant('amp.Cm_est', 0)\n", + "s.set_constant('amp.Cp_est', 0)\n", + "dA = s.run(3)" + ] }, { - "cell_type": "markdown", - "id": "72556131", + "cell_type": "code", + "execution_count": 33, + "id": "2e3eadba", "metadata": {}, - "source": [] + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(12, 4))\n", + "\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Vm (mV)')\n", + "kw = dict(color='#aaa', ls='--')\n", + "ax.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + "ax.plot(dA.time(), dA['amp.Vm'], label='Original')\n", + "ax.plot(dB.time(), dB['amp.Vm'], label='Compensated')\n", + "ax.legend()\n", + "\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('$I_{obs}$ (pA)')\n", + "kw = dict(color='#aaa', ls='--')\n", + "ax.axhline(0, **kw)\n", + "ax.plot(dA.time(), dA['amp.I_obs'])\n", + "ax.plot(dB.time(), dB['amp.I_obs'])\n", + "ax.set_ylim(-5000, 5000)\n", + "\n", + "plt.show()" + ] }, { "cell_type": "markdown", "id": "4e957331", "metadata": {}, "source": [ - "## Conclusion" + "## Conclusion\n", + "\n", + "In this notebook we have introduced and modelled five types of compensation:\n", + "\n", + "1. Zeroing the voltage\n", + "2. Fast capacitative transient cancellation\n", + "3. Slow capacitative transient cancellation\n", + "4. Series resistance correction\n", + "5. Series resistance prediction\n", + "\n", + "In the next notebook we will run simulations to investigate the effects of the model parameters in detail." ] } ], diff --git a/artefacts/artefacts-3-simulations.ipynb b/artefacts/artefacts-3-simulations.ipynb new file mode 100644 index 0000000..f0756d9 --- /dev/null +++ b/artefacts/artefacts-3-simulations.ipynb @@ -0,0 +1,298 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "cf0eda98", + "metadata": {}, + "source": [ + "# Simulating with the compensation patch-clamp model\n", + "\n", + "In the [last notebook](./artefacts-2-compensation.ipynb), " + ] + }, + { + "cell_type": "markdown", + "id": "aff16dcd", + "metadata": {}, + "source": [ + "We" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "ad57d657", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "649e709a", + "metadata": {}, + "outputs": [], + "source": [ + "m = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[amp]\n", + "alpha = 0.6\n", + "beta = 0.6\n", + "time = 0 [ms] in [ms] bind time\n", + "I = 50 [pA] in [pA]\n", + "E = 1 [mV] in [mV]\n", + "Vc = -20 [mV] in [mV]\n", + "Cm = 40 [pF] in [pF]\n", + "Cm_est = 40 [pF] in [pF]\n", + "Cp = 4.5 [pF] in [pF]\n", + "Cp_est = 4.5 [pF] in [pF]\n", + "Cf = 0.3 [pF] in [pF]\n", + "Rs = 0.01 [GOhm] in [GOhm]\n", + "Rs_est = 0.01 [GOhm] in [GOhm]\n", + "Rf = 0.025 [GOhm] in [GOhm]\n", + "tau_amp = 50e-6 [ms] in [ms]\n", + "tau_sum = 40e-3 [ms] in [ms]\n", + "dot(Vm) = (Vp + E - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp + E - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", + " ) / (Cp + Cf) : Eq 2a\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / if(tau < 1e-12 [ms], 1e-12 [ms], tau)\n", + " in [mV]\n", + " tau = (1 - beta) * Rs_est * Cm_est\n", + " in [ms]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "519d1c9f", + "metadata": {}, + "outputs": [], + "source": [ + "t1 = 3\n", + "t2 = 0.6\n", + "\n", + "def plot(m, d, axes=None, label=None, ls=None, altins=False, t_zoom=t2):\n", + " if axes is None:\n", + " fig = plt.figure(figsize=(15, 12))\n", + " \n", + " # Top left: Vm\n", + " ax1 = fig.add_subplot(3, 2, 1)\n", + " ax1.set_ylabel('Vm (mV)')\n", + " \n", + " # Top right: Vo\n", + " ax2 = fig.add_subplot(3, 2, 2)\n", + " ax2.set_ylabel('Vo (mV)')\n", + " ax2.set_xlabel('Time (ms)')\n", + " ins2 = ax2.inset_axes((0.3, 0.35 if altins else 0.10, 0.65, 0.50))\n", + " ins2.set_xlim(-0.005, t_zoom)\n", + " ins2.patch.set_alpha(0.5)\n", + "\n", + " # Middle left: Vp\n", + " ax3 = fig.add_subplot(3, 2, 3)\n", + " ax3.set_xlabel('Time (ms)')\n", + " ax3.set_ylabel('Vp (mV)')\n", + " ins3 = ax3.inset_axes((0.3, 0.10, 0.65, 0.50))\n", + " ins3.set_xlim(-0.005, t_zoom)\n", + " ins3.patch.set_alpha(0.5)\n", + "\n", + " # Middle right: V_ref\n", + " ax4 = fig.add_subplot(3, 2, 4)\n", + " ax4.set_xlabel('Time (ms)')\n", + " ax4.set_ylabel('Vref (mV)')\n", + " ins4 = ax4.inset_axes((0.3, 0.10, 0.65, 0.50))\n", + " ins4.set_xlim(-0.005, t_zoom)\n", + " ins4.patch.set_alpha(0.5)\n", + " \n", + " # Lower: I_obs\n", + " ax5 = fig.add_subplot(3, 1, 3)\n", + " ax5.set_xlabel('Time (ms)')\n", + " ax5.set_ylabel('Recorded I (pA)')\n", + " ins5 = ax5.inset_axes((0.3, 0.35 if altins else 0.10, 0.65, 0.50))\n", + " ins5.set_xlim(-0.001, t_zoom)\n", + " ins5.patch.set_alpha(0.5)\n", + "\n", + " kw = dict(color='#aaa', ls='--')\n", + " ax1.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", + " ax1.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax2.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax3.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " ax4.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", + " else:\n", + " [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5] = axes\n", + " \n", + " ax1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", + " if 'amp.Vo' in d:\n", + " ax2.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " ins2.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " ax3.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " ins3.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " ax4.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " ins4.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " ax5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " ins5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " \n", + " return [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5]\n" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "be380405", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "s = myokit.Simulation(m)\n", + "s.set_constant('amp.alpha', 0)\n", + "s.set_constant('amp.beta', 0)\n", + "s.set_constant('amp.Cm_est', 0)\n", + "s.set_constant('amp.Cp_est', 0)\n", + "dA = s.run(3)\n", + "\n", + "plot(m, dA)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "f343c504", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "93227a73", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "92bc8b4e", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "f1a0cc58", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "e0b31f48", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "81082a51", + "metadata": {}, + "source": [ + " " + ] + }, + { + "cell_type": "markdown", + "id": "a752deab", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "0b16aa44", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "0fd3030b", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "46499b23", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "90a15712", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "4e957331", + "metadata": {}, + "source": [ + "## Conclusion" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/artefacts-3-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb similarity index 100% rename from artefacts/artefacts-3-simplified.ipynb rename to artefacts/artefacts-4-simplified.ipynb From 0d4917ee630056c3ca730acf55b18bfd8f077200 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 23 Jan 2024 19:47:01 +0000 Subject: [PATCH 32/77] Working on 3d notebook --- artefacts/appendix-B-Rf-and-Cf.ipynb | 13 +- artefacts/appendix-C-tau-amp.ipynb | 4 +- .../appendix-F-uncompensated-models.ipynb | 386 +++++---- artefacts/appendix-L-compensated-models.ipynb | 743 ----------------- artefacts/appendix-L-tau-sum.ipynb | 61 ++ artefacts/appendix-M-compensated-models.ipynb | 747 ++++++++++++++++++ artefacts/appendix-N-parameter-values.ipynb | 83 ++ .../artefacts-1-modelling-patch-clamp.ipynb | 238 ++++-- artefacts/artefacts-2-compensation.ipynb | 142 ++-- artefacts/artefacts-3-simulations.ipynb | 703 +++++++++++++--- 10 files changed, 1948 insertions(+), 1172 deletions(-) delete mode 100644 artefacts/appendix-L-compensated-models.ipynb create mode 100644 artefacts/appendix-L-tau-sum.ipynb create mode 100644 artefacts/appendix-M-compensated-models.ipynb create mode 100644 artefacts/appendix-N-parameter-values.ipynb diff --git a/artefacts/appendix-B-Rf-and-Cf.ipynb b/artefacts/appendix-B-Rf-and-Cf.ipynb index ef2720e..16e6ed9 100644 --- a/artefacts/appendix-B-Rf-and-Cf.ipynb +++ b/artefacts/appendix-B-Rf-and-Cf.ipynb @@ -28,6 +28,7 @@ "| Axon HS-9A, \"ion-sensitive\" | 100 G$\\Omega$ | | |\n", "| Axon CV 203BU, whole-cell, default | 500 M$\\Omega$ | 1 pF | 500 $\\mu$s |\n", "| Axon CV 203BU, whole-cell, large currents | 50 M$\\Omega$ | 1 pF | 50 $\\mu$s |\n", + "| Sutter IPA | 500 M$\\Omega$ | | |\n", "| Example in Levis & Rae 1992 | 50 G$\\Omega$ | 0.1 pF | 5000 $\\mu$s |\n", "| \"Typical\" values in Sigworth 1995 | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", @@ -70,6 +71,16 @@ "Axon CV 203BU numbers are from the Axon Axopatch 200B Microelectrode Amplifier Data Sheet (accessed 2023-08-16), pages 4 and 6." ] }, + { + "cell_type": "markdown", + "id": "4ddeba26", + "metadata": {}, + "source": [ + "#### Sutter sources\n", + "\n", + "https://www.wpi-europe.com/products/amplifiers/patch-clamp/ipa.aspx" + ] + }, { "cell_type": "markdown", "id": "90782f92", @@ -113,7 +124,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-C-tau-amp.ipynb b/artefacts/appendix-C-tau-amp.ipynb index 10e3833..23da6e4 100644 --- a/artefacts/appendix-C-tau-amp.ipynb +++ b/artefacts/appendix-C-tau-amp.ipynb @@ -30,7 +30,7 @@ "| Source | page | $\\omega$ | $f$ | $\\tau$ |\n", "|:---------------|:--------|:-------------|:---------|:-----------|\n", "| Sigworth 1995a | 96 | 1e7 rad/s | 1.59 MHz | 100 ns |\n", - "| | 98, 100 | 6.28e7 rad/s | 10 MHz | 15.9 ns |\n", + "| | 98, 101 | 6.28e7 rad/s | 10 MHz | 15.9 ns |\n", "| Weerakoon 2009 | 3 | 2e7 rad/s | 3.18 MHz | 50 ns |" ] }, @@ -71,7 +71,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-F-uncompensated-models.ipynb b/artefacts/appendix-F-uncompensated-models.ipynb index 4e107d2..88aa297 100644 --- a/artefacts/appendix-F-uncompensated-models.ipynb +++ b/artefacts/appendix-F-uncompensated-models.ipynb @@ -217,15 +217,7 @@ "source": [ "## Simulations\n", "\n", - "We now run simulations for a single step from -80 to -20 mV.\n", - "The ionic current is set to 0.\n", - "\n", - "We assume that the amplifier was in a steady state before the step, with $V_p$, $V_m$ and $V_c$ all equal to -80 mV.\n", - "Since there were no currents, we should get a zero drop over $R_f$, and so $V_o$ was also -80 mV and $I_\\text{out}$ was 0.\n", - "\n", - "The values for the different constants are mostly based on Weerakoon et al.\n", - "We recalculate $\\tau_c$ instead of using the constant value.\n", - "The value for $\\tau_a$ is the 50ns from Weerakoon et al., slower than the 16ns used in Sigworth 1995." + "We now run simulations for a single step from 0 to 10 mV, using the parameter values given in [appendix N](./appendix-N-parameter-values.ipynb)." ] }, { @@ -264,20 +256,22 @@ "\n", "[engine]\n", "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", "\n", "[amp]\n", - "Vc = -20 [mV] in [mV]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Cm = 100 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", - "Ct = Cf + Cp in [pF]\n", - "tau_amp = 0.05e-3 [ms] in [ms]\n", - "I = 0 [pA] in [pA]\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "I = 5 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Equation 1\n", " in [mV]\n", - "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct) : Equation 2a\n", + "dot(Vp) = ((Vo - Vp) / Rf + Cf * dot(Vo) - (Vp - Vm) / Rs) / (Cf + Cp) : Equation 2a\n", " in [mV]\n", "dot(Vo) = (Vc - Vp) / tau_amp : Equation 3a\n", " in [mV]\n", @@ -290,6 +284,20 @@ { "cell_type": "code", "execution_count": 3, + "id": "cc2bcc06", + "metadata": {}, + "outputs": [], + "source": [ + "vlo, vhi = -80, 20\n", + "p = myokit.Protocol()\n", + "p.add_step(level=vlo, duration=5)\n", + "p.add_step(level=vhi, duration=10)\n", + "p.add_step(level=vlo, duration=10)" + ] + }, + { + "cell_type": "code", + "execution_count": 4, "id": "b421a959", "metadata": {}, "outputs": [], @@ -297,20 +305,21 @@ "tol = 1e-8\n", "dt = 5e-5\n", "\n", - "sA = myokit.Simulation(mA)\n", + "sA = myokit.Simulation(mA, p)\n", "sA.set_tolerance(tol, tol)\n", - "dA = sA.run(10, log_interval=dt).npview()" + "sA.pre(5)\n", + "dA = sA.run(20, log_interval=dt).npview()" ] }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 5, "id": "7d193dda", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -329,16 +338,16 @@ "\n", "ax = fig.add_subplot(1, 3, 1)\n", "ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(mA.get('amp.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", + "ax.axhline(vlo, **kw)\n", + "ax.axhline(vhi, **kw)\n", "ax.plot(dA.time(), dA['amp.Vm'])\n", "\n", "ax = fig.add_subplot(1, 3, 2)\n", "ax.set_ylabel('Vp (mV)')\n", "ax.plot(dA.time(), dA['amp.Vp'])\n", - "ax = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", + "ax = ax.inset_axes((0.4, 0.15, 0.25, 0.7))\n", "ax.plot(dA.time(), dA['amp.Vp'])\n", - "ax.set_xlim(-0.005, 0.05)\n", + "ax.set_xlim(4.998, 5.01)\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", "ax.set_ylabel('I obs (pA)')\n", @@ -357,7 +366,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 6, "id": "16b95491", "metadata": {}, "outputs": [], @@ -370,19 +379,21 @@ "\n", "[engine]\n", "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", "\n", "[amp]\n", - "Vc = -20 [mV] in [mV]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Cm = 100 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Ct = Cf + Cp in [pF]\n", - "tau_amp = 0.05e-3 [ms] in [ms]\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", "tau_c = tau_amp * (Cf + Cp) / Cf\n", " in [ms]\n", - "I = 0 [pA] in [pA]\n", + "I = 5 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Equation 1\n", " in [mV]\n", "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf) : Equation 2b\n", @@ -395,25 +406,6 @@ "mB.check_units(myokit.UNIT_STRICT)" ] }, - { - "cell_type": "code", - "execution_count": 6, - "id": "0446f5d6", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Tau c: 0.8 us\n" - ] - } - ], - "source": [ - "# Check that we set the tau values for tau_c = 0.8 us\n", - "print(f'Tau c: {mB.get(\"amp.tau_c\").eval() * 1e3} us')" - ] - }, { "cell_type": "code", "execution_count": 7, @@ -421,9 +413,10 @@ "metadata": {}, "outputs": [], "source": [ - "sB = myokit.Simulation(mB)\n", + "sB = myokit.Simulation(mB, p)\n", "sB.set_tolerance(tol, tol)\n", - "dB = sB.run(10, log_interval=dt).npview()" + "sB.pre(5)\n", + "dB = sB.run(20, log_interval=dt).npview()" ] }, { @@ -434,7 +427,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -451,8 +444,8 @@ "\n", "ax = fig.add_subplot(1, 3, 1)\n", "ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(mA.get('amp.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", + "ax.axhline(vlo, **kw)\n", + "ax.axhline(vhi, **kw)\n", "ax.plot(dA.time(), dA['amp.Vm'], label='Model A')\n", "ax.plot(dB.time(), dB['amp.Vm'], label='Model B')\n", "ax.legend()\n", @@ -461,10 +454,10 @@ "ax.set_ylabel('Vp (mV)')\n", "ax.plot(dA.time(), dA['amp.Vp'], label='Model A')\n", "ax.plot(dB.time(), dB['amp.Vp'], label='Model B')\n", - "ax = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", + "ax = ax.inset_axes((0.4, 0.15, 0.25, 0.7))\n", "ax.plot(dA.time(), dA['amp.Vp'], label='Model A')\n", "ax.plot(dB.time(), dB['amp.Vp'], label='Model B')\n", - "ax.set_xlim(-0.005, 0.05)\n", + "ax.set_xlim(4.998, 5.005)\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", "ax.set_ylabel('I obs (pA)')\n", @@ -475,10 +468,53 @@ }, { "cell_type": "markdown", - "id": "1171a0f2", + "id": "1f76e9e9", + "metadata": {}, + "source": [ + "Both models make very similar predictions, but we can subtract the two models to see the difference:" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "a04d57cd", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 4))\n", + "fig.subplots_adjust(wspace=0.3)\n", + "ax = fig.add_subplot(1, 3, 1)\n", + "ax.set_ylabel('Vm (mV)')\n", + "ax.plot(dA.time(), dA['amp.Vm'] - dB['amp.Vm'], label='A - B')\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 3, 2)\n", + "ax.set_ylabel('Vp (mV)')\n", + "ax.plot(dA.time(), dA['amp.Vp'] - dB['amp.Vp'], label='A - B')\n", + "ax = fig.add_subplot(1, 3, 3)\n", + "ax.set_ylabel('I obs (pA)')\n", + "ax.plot(dA.time(), dA['amp.I_obs'] - dB['amp.I_obs'], label='A - B')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "ad12b0fd", "metadata": {}, "source": [ - "We can see that pipette voltage $V_p$ overshoots in Model A, but not in Model B." + "Although small, the smoothness of these curves and their magnitude is indicative of a true physical difference between the two." ] }, { @@ -491,7 +527,7 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 10, "id": "1ec11fa6", "metadata": {}, "outputs": [], @@ -504,19 +540,21 @@ "\n", "[engine]\n", "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", "\n", "[amp]\n", - "Vc = -20 [mV] in [mV]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Cm = 100 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Ct = Cf + Cp in [pF]\n", - "tau_amp = 0.05e-3 [ms] in [ms]\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", "tau_c = tau_amp * (Cf + Cp) / Cf\n", " in [ms]\n", - "I = 0 [pA] in [pA]\n", + "I = 5 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Equation 1\n", " in [mV]\n", "dot(Vo) = (Vp - Vo) / (Rf * Cf) + (Cp + Cf) / Cf * dot(Vp) + (Vp - Vm) / (Rs * Cf) : Equation 2b\n", @@ -532,25 +570,26 @@ }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 11, "id": "9743a5c9", "metadata": {}, "outputs": [], "source": [ - "sC = myokit.Simulation(mC)\n", + "sC = myokit.Simulation(mC, p)\n", "sC.set_tolerance(tol, tol)\n", - "dC = sC.run(10, log_interval=dt).npview()" + "sC.pre(5)\n", + "dC = sC.run(20, log_interval=dt).npview()" ] }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 12, "id": "c705e87b", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -567,8 +606,8 @@ "\n", "ax = fig.add_subplot(1, 3, 1)\n", "ax.set_ylabel('Vm (mV)')\n", - "ax.axhline(mA.get('amp.Vm').initial_value().eval(), **kw)\n", - "ax.axhline(mA.get('amp.Vc').eval(), **kw)\n", + "ax.axhline(vlo, **kw)\n", + "ax.axhline(vhi, **kw)\n", "ax.plot(dA.time(), dA['amp.Vm'], label='Model A')\n", "ax.plot(dB.time(), dB['amp.Vm'], label='Model B')\n", "ax.plot(dC.time(), dC['amp.Vm'], label='Model C')\n", @@ -579,17 +618,24 @@ "ax.plot(dA.time(), dA['amp.Vp'], label='Model A')\n", "ax.plot(dB.time(), dB['amp.Vp'], label='Model B')\n", "ax.plot(dC.time(), dC['amp.Vp'], '--', label='Model C')\n", - "ax = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", + "ax = ax.inset_axes((0.4, 0.15, 0.25, 0.7))\n", "ax.plot(dA.time(), dA['amp.Vp'], label='Model A')\n", "ax.plot(dB.time(), dB['amp.Vp'], label='Model B')\n", "ax.plot(dC.time(), dC['amp.Vp'], '--', label='Model C')\n", - "ax.set_xlim(-0.005, 0.05)\n", + "ax.set_xlim(4.998, 5.01)\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", "ax.set_ylabel('I obs (pA)')\n", "ax.plot(dA.time(), dA['amp.I_obs'], label='Model A')\n", "ax.plot(dB.time(), dB['amp.I_obs'], label='Model B')\n", "ax.plot(dC.time(), dC['amp.I_obs'], '--', label='Model C')\n", + "ax = ax.inset_axes((0.2, 0.10, 0.45, 0.35))\n", + "ax.plot(dA.time(), dA['amp.I_obs'], label='Model A')\n", + "ax.plot(dB.time(), dB['amp.I_obs'], label='Model B')\n", + "ax.plot(dC.time(), dC['amp.I_obs'], '--', label='Model C')\n", + "ax.set_xlim(4.999, 5.005)\n", + "ax.set_ylim(-1000, 500)\n", + "\n", "plt.show()" ] }, @@ -598,10 +644,11 @@ "id": "70188a91", "metadata": {}, "source": [ - "Like in model B, $V_p$ does not overshoot in model C.\n", + "Again, the models look very similar.\n", "\n", - "Because of how we chose the initial conditions, $I_\\text{obs} = (V_o - V_c) / R_f$ is non-zero at the first simulation point in models A and B.\n", - "But since model C uses $V_o - V_p$ we get an initial $I_\\text{obs}$ of 0." + "At the transitions, we see a slight difference between models A and B and model C:\n", + "In A and B, $V_c$ appears in the equation for $I_\\text{obs}$, and so the output changes instantaneously when $V_c$ does.\n", + "In C we observe $V_o - V_p$, both of which change smoothly." ] }, { @@ -616,7 +663,7 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 13, "id": "618d13d0", "metadata": {}, "outputs": [], @@ -629,19 +676,21 @@ "\n", "[engine]\n", "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", "\n", "[amp]\n", - "Vc = -20 [mV] in [mV]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "Cm = 100 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Ct = Cf + Cp in [pF]\n", - "tau_amp = 0.05e-3 [ms] in [ms]\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", "tau_c = tau_amp * (Cf + Cp) / Cf\n", " in [ms]\n", - "I = 0 [pA] in [pA]\n", + "I = 5 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Equation 1 (S2.10)\n", " in [mV]\n", "dot(Vp) = (Vc - Vp) / tau_c : Equation 3b (S2.12)\n", @@ -654,25 +703,26 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 14, "id": "d6729c21", "metadata": {}, "outputs": [], "source": [ - "sD = myokit.Simulation(mD)\n", + "sD = myokit.Simulation(mD, p)\n", "sD.set_tolerance(tol, tol)\n", - "dD = sD.run(10, log_interval=dt).npview()" + "sD.pre(5)\n", + "dD = sD.run(20, log_interval=dt).npview()" ] }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 15, "id": "d0c536f7", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -686,19 +736,16 @@ "source": [ "fig = plt.figure(figsize=(15, 4))\n", "fig.subplots_adjust(wspace=0.4)\n", - "\n", "ax = fig.add_subplot(1, 3, 1)\n", "ax.set_ylabel('Vm (mV)')\n", "ax.plot(dC.time(), dC['amp.Vm'] - dD['amp.Vm'], 'k', label='Model C - Model D')\n", "ax.legend()\n", - "\n", "ax = fig.add_subplot(1, 3, 2)\n", "ax.set_ylabel('Vp (mV)')\n", - "ax.plot(dA.time(), dC['amp.Vp'] - dD['amp.Vp'], 'k')\n", - "ax = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", - "ax.plot(dA.time(), dC['amp.Vp'] - dD['amp.Vp'], 'k')\n", - "ax.set_xlim(-0.005, 0.05)\n", - "\n", + "ax.plot(dC.time(), dC['amp.Vp'] - dD['amp.Vp'], 'k')\n", + "ax = ax.inset_axes((0.40, 0.11, 0.5, 0.4))\n", + "ax.plot(dC.time(), dC['amp.Vp'] - dD['amp.Vp'], 'k')\n", + "ax.set_xlim(4.994, 5.05)\n", "ax = fig.add_subplot(1, 3, 3)\n", "ax.set_ylabel('I obs (pA)')\n", "ax.plot(dA.time(), dC['amp.I_obs'] - dD['amp.I_obs'], 'k')\n", @@ -710,7 +757,10 @@ "id": "2065123f", "metadata": {}, "source": [ - "The output is the same to within the simulation tolerance, suggesting that both formulations are equivalent." + "A very small error is observed, which fluctuates rapidly.\n", + "This suggests the models are the same and the error is due to small differences in the solver's chosen step sizes.\n", + "\n", + "(Adaptive step size algorithms may be a reason to prefer formulation D over C, as they place the output $I_text{obs}$ under the solver's control, instead of calculating it as a function of two error-controlled variables.)" ] }, { @@ -726,13 +776,13 @@ }, { "cell_type": "code", - "execution_count": 15, + "execution_count": 16, "id": "584780c1", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -749,27 +799,30 @@ "fig = plt.figure(figsize=(15, 12))\n", "fig.subplots_adjust(wspace=0.3)\n", "\n", - "ax = fig.add_subplot(3, 3, 1); ax.set_ylabel('Vm (mV)')\n", - "ax.plot(dA.time(), dA['amp.Vm'] - dB['amp.Vm'], label='Model A - Model B'); ax.legend()\n", - "ax = fig.add_subplot(3, 3, 4); ax.set_ylabel('Vm (mV)')\n", - "ax.plot(dA.time(), dA['amp.Vm'] - dC['amp.Vm'], label='Model A - Model C'); ax.legend()\n", - "ax = fig.add_subplot(3, 3, 7); ax.set_ylabel('Vm (mV)')\n", - "ax.plot(dB.time(), dB['amp.Vm'] - dC['amp.Vm'], label='Model B - Model C'); ax.legend()\n", - "\n", - "ax = fig.add_subplot(3, 3, 2); ax.set_ylabel('Vp (mV)')\n", - "ax.plot(dA.time(), dA['amp.Vp'] - dB['amp.Vp'], label='Model A - Model B'); ax.legend(); ax.set_xlim(*xlim)\n", - "ax = fig.add_subplot(3, 3, 5); ax.set_ylabel('Vp (mV)')\n", - "ax.plot(dA.time(), dA['amp.Vp'] - dC['amp.Vp'], label='Model A - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", - "ax = fig.add_subplot(3, 3, 8); ax.set_ylabel('Vp (mV)')\n", - "ax.plot(dB.time(), dB['amp.Vp'] - dC['amp.Vp'], label='Model B - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", - "\n", - "ax = fig.add_subplot(3, 3, 3); ax.set_ylabel('I obs (mV)')\n", - "ax.plot(dA.time(), dA['amp.I_obs'] - dB['amp.I_obs'], label='Model A - Model B'); ax.legend(); ax.set_xlim(*xlim)\n", - "ax = fig.add_subplot(3, 3, 6); ax.set_ylabel('I obs (mV)')\n", - "ax.plot(dA.time(), dA['amp.I_obs'] - dC['amp.I_obs'], label='Model A - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", - "ax = fig.add_subplot(3, 3, 9); ax.set_ylabel('I obs (mV)')\n", - "ax.plot(dB.time(), dB['amp.I_obs'] - dC['amp.I_obs'], label='Model B - Model C'); ax.legend(); ax.set_xlim(*xlim)\n", - "\n", + "ax11 = fig.add_subplot(3, 3, 1)\n", + "ax11.plot(dA.time(), dA['amp.Vm'] - dB['amp.Vm'], label='Model A - Model B')\n", + "ax21 = fig.add_subplot(3, 3, 4); ax.set_ylabel('Vm (mV)')\n", + "ax21.plot(dA.time(), dA['amp.Vm'] - dC['amp.Vm'], label='Model A - Model C')\n", + "ax31 = fig.add_subplot(3, 3, 7); ax.set_ylabel('Vm (mV)')\n", + "ax31.plot(dB.time(), dB['amp.Vm'] - dC['amp.Vm'], label='Model B - Model C')\n", + "ax12 = fig.add_subplot(3, 3, 2); ax.set_ylabel('Vp (mV)')\n", + "ax12.plot(dA.time(), dA['amp.Vp'] - dB['amp.Vp'], label='Model A - Model B')\n", + "ax22 = fig.add_subplot(3, 3, 5); ax.set_ylabel('Vp (mV)')\n", + "ax22.plot(dA.time(), dA['amp.Vp'] - dC['amp.Vp'], label='Model A - Model C')\n", + "ax32 = fig.add_subplot(3, 3, 8); ax.set_ylabel('Vp (mV)')\n", + "ax32.plot(dB.time(), dB['amp.Vp'] - dC['amp.Vp'], label='Model B - Model C')\n", + "ax13 = fig.add_subplot(3, 3, 3); ax.set_ylabel('I obs (mV)')\n", + "ax13.plot(dA.time(), dA['amp.I_obs'] - dB['amp.I_obs'], label='Model A - Model B')\n", + "ax23 = fig.add_subplot(3, 3, 6); ax.set_ylabel('I obs (mV)')\n", + "ax23.plot(dA.time(), dA['amp.I_obs'] - dC['amp.I_obs'], label='Model A - Model C')\n", + "ax33 = fig.add_subplot(3, 3, 9); ax.set_ylabel('I obs (mV)')\n", + "ax33.plot(dB.time(), dB['amp.I_obs'] - dC['amp.I_obs'], label='Model B - Model C')\n", + "\n", + "for ax in (ax11, ax21, ax31):\n", + " ax.set_ylabel('Vm (mV)')\n", + "for ax in (ax11, ax12, ax13, ax21, ax22, ax23, ax31, ax32, ax33):\n", + " ax.legend()\n", + " \n", "plt.show()" ] }, @@ -780,9 +833,8 @@ "source": [ "Here, we see that\n", "\n", - "- There is a minor difference in $V_m$ between Model A and Models B & C, which is only visible when plotting the difference explicitly.\n", - "- There are some differences between Model A and Models B & C in the early $\\mu$s of $V_p$ and $I_\\text{out}$.\n", - "- In line with their shared equations, models B and C differ only in their prediction of the early $\\mu$s of $I_\\text{obs}$." + "- There are minor differences between Model A and Models B and C, which are only visible when plotting the difference explicitly.\n", + "- In line with their shared equations, models B and C differ only in their prediction of $I_\\text{obs}$ near discontinuities." ] }, { @@ -807,7 +859,7 @@ }, { "cell_type": "code", - "execution_count": 16, + "execution_count": 17, "id": "f5705ee7", "metadata": {}, "outputs": [ @@ -815,17 +867,17 @@ "name": "stdout", "output_type": "stream", "text": [ - "Zeta: 3.082274593002165\n" + "Zeta: 10.453782146431431\n" ] } ], "source": [ - "Rf = 0.025 # GOhm\n", - "Cf = 0.3 # pF\n", - "Cp = 4.5 # pF, pF * GOhm = ms\n", - "tau_amp = 0.05e-3 # ms\n", + "Rf = 0.5 # GOhm\n", + "Cf = 0.15 # pF\n", + "Cp = 5 # pF (pF * GOhm = ms)\n", + "tau_amp = 20e-6 # ms\n", "\n", - "zeta = (tau_amp + Rf*Cf) / np.sqrt(tau_amp * Rf * (Cf + Cp))\n", + "zeta = (tau_amp + Rf * Cf) / np.sqrt(tau_amp * Rf * (Cf + Cp))\n", "# (ms + ms) / sqrt(ms * ms) = dimensionless\n", "\n", "print(f'Zeta: {zeta}')" @@ -836,13 +888,15 @@ "id": "6123c441", "metadata": {}, "source": [ - "Since $\\tau_a$, $R_f$, and $C_f$ are set by the amplifier designer, the only experimental variation we can expect here is in $C_p$.\n", - "If, for whatever reason, we have an unusually large $C_p$, this can lead the damping factor to drop below 1, so that ringing can occur:" + "This is well above 1, so that the system is stable.\n", + "\n", + "To see differences between the models, we can find an unstable situation.\n", + "For example, in a low-gain mode with $R_f = 5\\text{M}\\Omega$ and $C_p = 10$ pF:" ] }, { "cell_type": "code", - "execution_count": 17, + "execution_count": 18, "id": "3544c88d", "metadata": {}, "outputs": [ @@ -850,41 +904,45 @@ "name": "stdout", "output_type": "stream", "text": [ - "Zeta: 0.7106374160558676\n" + "Zeta: 0.7642891671576164\n" ] } ], "source": [ - "Cp *= 20\n", - "zeta = (tau_amp + Rf*Cf) / np.sqrt(tau_amp * Rf * (Cf + Cp))\n", + "Rf = 0.005\n", + "Cp = 10\n", + "zeta = (tau_amp + Rf * Cf) / np.sqrt(tau_amp * Rf * (Cf + Cp))\n", "print(f'Zeta: {zeta}')" ] }, { "cell_type": "code", - "execution_count": 18, + "execution_count": 28, "id": "62cd5098", "metadata": {}, "outputs": [], "source": [ - "new_Cp = 4.5 * 20\n", + "new_Rf = 0.005\n", + "new_Cp = 10\n", "sA.set_constant('amp.Cp', new_Cp)\n", + "sA.set_constant('amp.Rf', new_Rf)\n", "sC.set_constant('amp.Cp', new_Cp)\n", + "sC.set_constant('amp.Rf', new_Rf)\n", "sA.reset()\n", "sC.reset()\n", - "dA = sA.run(10)\n", - "dC = sC.run(10)" + "dA = sA.run(7, log_interval=dt)\n", + "dC = sC.run(7, log_interval=dt)" ] }, { "cell_type": "code", - "execution_count": 19, + "execution_count": 32, "id": "9cd6ad9f", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -911,19 +969,19 @@ "ax.set_ylabel('Vp (mV)')\n", "ax.plot(dA.time(), dA['amp.Vp'])\n", "ax.plot(dC.time(), dC['amp.Vp'])\n", - "ax = ax.inset_axes((0.25, 0.10, 0.7, 0.55))\n", + "ax = ax.inset_axes((0.15, 0.20, 0.5, 0.75))\n", "ax.plot(dA.time(), dA['amp.Vp'])\n", "ax.plot(dC.time(), dC['amp.Vp'])\n", - "ax.set_xlim(-0.005, 0.25)\n", + "ax.set_xlim(4.998, 5.02)\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", "ax.set_ylabel('I obs (pA)')\n", "ax.plot(dA.time(), dA['amp.I_obs'])\n", "ax.plot(dC.time(), dC['amp.I_obs'])\n", - "ax = ax.inset_axes((0.34, 0.40, 0.6, 0.55))\n", + "ax = ax.inset_axes((0.25, 0.40, 0.4, 0.55))\n", "ax.plot(dA.time(), dA['amp.I_obs'])\n", "ax.plot(dC.time(), dC['amp.I_obs'])\n", - "ax.set_xlim(-0.005, 0.25)\n", + "ax.set_xlim(4.998, 5.02)\n", "\n", "plt.show()" ] @@ -933,7 +991,7 @@ "id": "37187c14", "metadata": {}, "source": [ - "Here we see the expected ringing behaviour in Model A, while the simplified equations in Model C show a simpler response." + "Now we see the expected ringing behaviour in Model A, while the simplified equations in Model C show a simpler response." ] }, { @@ -944,10 +1002,10 @@ "## Conclusions\n", "\n", "- Model A, which uses the op-amp equation from Sigworth 1995a, exhibits more complicated dynamics than Models B and C, which are based on a dominant-pole approximation of Model A.\n", - "- However, the differences in $V_m$ are neglible, while differences in $V_p$ and $I_\\text{out}$ occur only in the first $\\mu$s under normal circumstances.\n", - "- When $C_p$ is made large, the difference between the models becomes more notable, and Model A can exhibit ringing. However, this lasts for less than a ms.\n", - "- If we assume an ideal op-amp, so that $V_p = V_c$ (and $\\dot{V}_p = \\dot{V}_c$), then Model C equals Model B.\n", - "- Alternatively, we can think of Model C as a version of Model B but with $V_\\text{out} = V_o - V_p$." + "- Model B is a Model A variant with an alternative op-amp equation.\n", + "- Model C can be formulated as a Model B variant with $V_\\text{out} = V_o - V_p$.\n", + "- When using the default settings, the differences between the models appear neglible.\n", + "- Model A can be made to show unstable ringing behaviour." ] } ], diff --git a/artefacts/appendix-L-compensated-models.ipynb b/artefacts/appendix-L-compensated-models.ipynb deleted file mode 100644 index 2dc2595..0000000 --- a/artefacts/appendix-L-compensated-models.ipynb +++ /dev/null @@ -1,743 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "fc24dbb4", - "metadata": {}, - "source": [ - "# Appendix L: Compensated patch-clamp models" - ] - }, - { - "cell_type": "markdown", - "id": "aff7c8af", - "metadata": {}, - "source": [ - "In [Appendix F](./appendix-E-non-ideal-op-amp.ipynb) we compared uncompensated patch-clamp models, omitting voltage offset and leak current for simplicity.\n", - "In this appendix, we compare models of _compensated_ patch clamp, again omitting offset and leak." - ] - }, - { - "cell_type": "markdown", - "id": "7d17c4f4", - "metadata": {}, - "source": [ - "The schematic is shown below:\n", - "\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "5d0303e8", - "metadata": {}, - "source": [ - "As with the uncompensated model, we can write the Sigworth and Lei-style models using very similar equations:\n", - "\n", - "\\begin{align}\n", - "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "2a. && (C_p+C_f)\\dot{V}_p &= \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref} \\\\\n", - "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3a. && \\tau_a \\dot{V}_o &= V_\\text{ref} - V_p \\\\\n", - "3b. && \\tau_c\\dot{V}_p &= V_\\text{ref} - V_p\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "6a. && R_f I_\\text{obs} &= V_o - V_\\text{ref} \\\\\n", - "6b. && R_f I_\\text{obs} &= V_o - V_p\n", - "\\end{align}\n", - "\n", - "Where the Sigworth-style model consists of **(1, 2a, 3a, 4, 5, 6a)**, while the Lei model can be written as **(1, 2b, 3b, 4, 5, 6b)**." - ] - }, - { - "cell_type": "markdown", - "id": "6fdd1973", - "metadata": {}, - "source": [ - "## Simulations\n", - "\n", - "We now run simulations for a single step from -80 to -20 mV.\n", - "The ionic current is set to 0, and we assume all voltages were at -80mV at the start of the step." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "122937ff", - "metadata": {}, - "outputs": [], - "source": [ - "import myokit\n", - "\n", - "import numpy as np\n", - "import matplotlib.pyplot as plt" - ] - }, - { - "cell_type": "markdown", - "id": "dbc86249", - "metadata": {}, - "source": [ - "## Sigworth-style model (1, 2a, 3a, 4, 5, 6a)\n", - "\n", - "We start with a (1, 2a, 3a, 4, 5, 6a) model:" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "73fbb8b7", - "metadata": {}, - "outputs": [], - "source": [ - "mA = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Compensated model (1, 2a, 3a, 4, 5, 6a)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Vr = -80\n", - "amp.Ve = -80\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 0.7\n", - "time = 0 [ms] in [ms] bind time\n", - "I = 50 [pA] in [pA]\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 40 [pF] in [pF]\n", - "Cm_est = 40 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "tau_amp = 50e-6 [ms] in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Eq 1\n", - " in [mV]\n", - "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", - " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", - " ) / (Cp + Cf) : Eq 2a\n", - " in [mV]\n", - "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", - " in [mV]\n", - "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est) : Eq 4\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum : Eq 5\n", - " in [mV]\n", - "I_obs = (Vo - Vr) / Rf : Eq 6a\n", - " in [pA]\n", - "''')\n", - "mA.check_units(myokit.UNIT_STRICT)" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "6a758bc7", - "metadata": {}, - "outputs": [], - "source": [ - "t1 = 3\n", - "t2 = 0.6\n", - "\n", - "def plot(m, d, axes=None, label=None, ls=None, altins=False, t_zoom=t2):\n", - " if axes is None:\n", - " fig = plt.figure(figsize=(15, 12))\n", - " \n", - " # Top left: Vm\n", - " ax1 = fig.add_subplot(3, 2, 1)\n", - " ax1.set_ylabel('Vm (mV)')\n", - " \n", - " # Top right: Vo\n", - " ax2 = fig.add_subplot(3, 2, 2)\n", - " ax2.set_ylabel('Vo (mV)')\n", - " ax2.set_xlabel('Time (ms)')\n", - " ins2 = ax2.inset_axes((0.3, 0.35 if altins else 0.10, 0.65, 0.50))\n", - " ins2.set_xlim(-0.005, t_zoom)\n", - " ins2.patch.set_alpha(0.5)\n", - "\n", - " # Middle left: Vp\n", - " ax3 = fig.add_subplot(3, 2, 3)\n", - " ax3.set_xlabel('Time (ms)')\n", - " ax3.set_ylabel('Vp (mV)')\n", - " ins3 = ax3.inset_axes((0.3, 0.10, 0.65, 0.50))\n", - " ins3.set_xlim(-0.005, t_zoom)\n", - " ins3.patch.set_alpha(0.5)\n", - "\n", - " # Middle right: V_ref\n", - " ax4 = fig.add_subplot(3, 2, 4)\n", - " ax4.set_xlabel('Time (ms)')\n", - " ax4.set_ylabel('Vref (mV)')\n", - " ins4 = ax4.inset_axes((0.3, 0.10, 0.65, 0.50))\n", - " ins4.set_xlim(-0.005, t_zoom)\n", - " ins4.patch.set_alpha(0.5)\n", - " \n", - " # Lower: I_obs\n", - " ax5 = fig.add_subplot(3, 1, 3)\n", - " ax5.set_xlabel('Time (ms)')\n", - " ax5.set_ylabel('Recorded I (pA)')\n", - " ins5 = ax5.inset_axes((0.3, 0.35 if altins else 0.10, 0.65, 0.50))\n", - " ins5.set_xlim(-0.001, t_zoom)\n", - " ins5.patch.set_alpha(0.5)\n", - "\n", - " kw = dict(color='#aaa', ls='--')\n", - " ax1.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", - " ax1.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax2.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax3.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax4.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " else:\n", - " [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5] = axes\n", - " \n", - " ax1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", - " if 'amp.Vo' in d:\n", - " ax2.plot(d.time(), d['amp.Vo'], ls=ls)\n", - " ins2.plot(d.time(), d['amp.Vo'], ls=ls)\n", - " ax3.plot(d.time(), d['amp.Vp'], ls=ls)\n", - " ins3.plot(d.time(), d['amp.Vp'], ls=ls)\n", - " ax4.plot(d.time(), d['amp.Vr'], ls=ls)\n", - " ins4.plot(d.time(), d['amp.Vr'], ls=ls)\n", - " ax5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", - " ins5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", - " \n", - " return [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5]\n" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "97305329", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sA = myokit.Simulation(mA)\n", - "sA.set_constant('amp.alpha', 0)\n", - "sA.set_constant('amp.beta', 0)\n", - "sA.set_constant('amp.tau_sum', 1e-9)\n", - "dA = sA.run(t1)\n", - "ax = plot(mA, dA, altins=True)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "1f8a15c3", - "metadata": {}, - "source": [ - "Now we switch compensation on and run again" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "0420c74b", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.5360060480448077\n", - "0.3335819218948224\n", - "0.13388253915857717\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0)\n", - "sA.set_constant('amp.beta', 0)\n", - "sA.set_constant('amp.tau_sum', 40e-3)\n", - "dA = sA.run(t1)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA, label='$\\\\alpha$ = 0')\n", - "\n", - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0.4)\n", - "dA = sA.run(t1)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA, axes=ax, label='$\\\\alpha$ = 0.4')\n", - "\n", - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0.8)\n", - "dA = sA.run(t1)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA, axes=ax, label='$\\\\alpha$ = 0.8')\n", - "ax[0].legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "69238c29", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.5360060480448077\n", - "0.3002220216352107\n", - "0.10281145358753818\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0)\n", - "sA.set_constant('amp.beta', 0)\n", - "dA = sA.run(t1)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA, label='$\\\\alpha = \\\\beta = 0$')\n", - "\n", - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0.4)\n", - "sA.set_constant('amp.beta', 0.4)\n", - "dA = sA.run(t1)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA, axes=ax, label='$\\\\alpha = \\\\beta = 0.4$')\n", - "\n", - "sA.reset()\n", - "sA.set_constant('amp.alpha', 0.8)\n", - "sA.set_constant('amp.beta', 0.8)\n", - "dA = sA.run(t1)\n", - "print(abs(-20 - dA['amp.Vm'][-1]))\n", - "ax = plot(mA, dA, axes=ax, label='$\\\\alpha = \\\\beta = 0.8$')\n", - "ax[0].legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "d6a73e64", - "metadata": {}, - "source": [ - "## Lei-style model (1, 2b, 3b, 4, 5, 6b)\n", - "\n", - "As with the uncompensated model, we can write the Sigworth and Lei-style models using very similar equations:\n", - "\n", - "\\begin{align}\n", - "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", - "\\end{align}\n", - "\n", - "\\begin{align}\n", - "6b. && R_f I_\\text{obs} = V_o - V_p\n", - "\\end{align}" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "41558131", - "metadata": {}, - "outputs": [], - "source": [ - "mB = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Compensated model (1, 2b, 3b, 4, 5, 6b)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Vr = -80\n", - "amp.Ve = -80\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 0.7\n", - "time = 0 [ms] in [ms] bind time\n", - "I = 50 [pA] in [pA]\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 40 [pF] in [pF]\n", - "Cm_est = 40 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "tau_amp = 50e-6 [ms] in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "tau_c = tau_amp * (Cf + Cp) / Cf in [ms]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Eq 1\n", - " in [mV]\n", - "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs +\n", - " (Cp + Cf) * dot(Vp) - Cm_est * dot(Ve) - Cp_est * dot(Vr)\n", - " ) / Cf : Eq 2b\n", - " in [mV]\n", - "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", - " in [mV]\n", - "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est) : Eq 4\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum : Eq 5\n", - " in [mV]\n", - "I_obs = (Vo - Vp) / Rf : Eq 6b\n", - " in [pA]\n", - "\n", - "''')\n", - "mB.check_units(myokit.UNIT_STRICT)\n", - "sB = myokit.Simulation(mB)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "df18acad", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.5377944938862278\n", - "0.3343201842124657\n", - "0.13312509434985742\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0)\n", - "sB.set_constant('amp.beta', 0)\n", - "dB = sB.run(t1)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB, label='$\\\\alpha$ = 0')\n", - "\n", - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0.4)\n", - "dB = sB.run(t1)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB, axes=ax, label='$\\\\alpha$ = 0.4')\n", - "\n", - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0.8)\n", - "dB = sB.run(t1)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB, axes=ax, label='$\\\\alpha$ = 0.8')\n", - "\n", - "ax[0].legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "id": "20af2cd7", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "0.5366696512011373\n", - "0.3000472761212336\n", - "0.10353318512412457\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0)\n", - "sB.set_constant('amp.beta', 0)\n", - "dB = sB.run(t1)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB, label='$\\\\alpha = \\\\beta = 0$')\n", - "\n", - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0.4)\n", - "sB.set_constant('amp.beta', 0.4)\n", - "dB = sB.run(t1)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB, axes=ax, label='$\\\\alpha = \\\\beta = 0.4$')\n", - "\n", - "sB.reset()\n", - "sB.set_constant('amp.alpha', 0.8)\n", - "sB.set_constant('amp.beta', 0.8)\n", - "dB = sB.run(t1)\n", - "print(abs(-20 - dB['amp.Vm'][-1]))\n", - "ax = plot(mB, dB, axes=ax, label='$\\\\alpha = \\\\beta = 0.8')\n", - "\n", - "ax[0].legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "831b6edd", - "metadata": {}, - "source": [ - "To be safe, we also test with the Lei model in its original formulation:" - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "id": "1b5315d1", - "metadata": {}, - "outputs": [], - "source": [ - "mC = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Lei formulation. Should equal (1, 2b, 3b, 4, 5, 6b)\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Ve = -80\n", - "amp.Vr = -80\n", - "amp.I_obs = 0\n", - "\n", - "[amp]\n", - "alpha = 0.7\n", - "beta = 0.7\n", - "time = 0 [ms] in [ms] bind time\n", - "I = 50 [pA] in [pA]\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 40 [pF] in [pF]\n", - "Cm_est = 40 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "tau_amp = 50e-6 [ms] in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "tau_c = tau_amp * (Cf + Cp) / Cf in [ms]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", - " in [mV]\n", - "dot(Vp) = (Vr - Vp) / tau_c\n", - " in [mV]\n", - "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", - " in [mV]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", - " in [mV]\n", - "I_in = I + Cp * dot(Vp) + Cm * dot(Vm) - Cp_est * dot(Vr) - Cm_est * dot(Ve)\n", - " in [pA]\n", - "dot(I_obs) = (I_in - I_obs) / (Rf * Cf)\n", - " in [pA]\n", - "''')\n", - "mC.check_units(myokit.UNIT_STRICT)\n", - "sC = myokit.Simulation(mC)" - ] - }, - { - "cell_type": "markdown", - "id": "bcb13453", - "metadata": {}, - "source": [ - "## Model predictions overlayed" - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "id": "f0b470e2", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "for s in (sA, sB, sC):\n", - " s.reset()\n", - " s.set_constant('amp.alpha', 0.7)\n", - " s.set_constant('amp.beta', 0.7)\n", - " s.set_tolerance(1e-10, 1e-10)\n", - "\n", - "t = 2\n", - "dt = t * 1e-4\n", - "dA = sA.run(t, log_interval=dt).npview()\n", - "dB = sB.run(t, log_interval=dt).npview()\n", - "dC = sC.run(t, log_interval=dt).npview()\n", - "\n", - "tz = 0.05\n", - "ax = plot(mA, dA, label='A', t_zoom=tz)\n", - "plot(mB, dB, ax, label='B', ls='--', t_zoom=tz)\n", - "plot(mC, dC, ax, label='C', ls=':', t_zoom=tz)\n", - "ax[0].legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "id": "f8f9844c", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "fig = plt.figure(figsize=(15, 15))\n", - "axes = []\n", - "names = ('A', 'B', 'C')\n", - "logs = (dA, dB, dC)\n", - "colors = ['tab:blue', 'tab:orange', 'tab:green', 'tab:red']\n", - "ylim0 = {'amp.I_obs': (-5000, 2100)}\n", - "ylim1 = {'amp.I_obs': (-75, 50)}\n", - "var = 'amp.I_obs'\n", - "n = len(logs)\n", - "\n", - "for i in range(n):\n", - " for j in range(n):\n", - " if i == j:\n", - " continue\n", - " ax = fig.add_subplot(n, n, n * i + j + 1) \n", - " if i == n - 1 or i == j - 1:\n", - " ax.set_xlabel(names[j])\n", - " else:\n", - " ax.set_xticklabels([])\n", - " if j == 0 or j == i + 1:\n", - " ax.set_ylabel(names[i])\n", - " else:\n", - " ax.set_yticklabels([])\n", - " \n", - " if i > j:\n", - " ax.plot(logs[j].time(), logs[j][var], color=colors[j], label=f'{names[j]} {var}')\n", - " ax.plot(logs[i].time(), logs[i][var], color=colors[i], label=f'{names[i]} {var}', ls='--') \n", - " if var in ylim0:\n", - " ax.set_ylim(*ylim0[var])\n", - " else:\n", - " ax.plot(logs[i].time(), logs[i][var] - logs[j][var], \n", - " color='k', label=f'{names[i]} - {names[j]}')\n", - " if var in ylim1:\n", - " ax.set_ylim(*ylim1[var])\n", - " ax.legend()\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "44f4fbea", - "metadata": {}, - "source": [ - "We see that the models show very similar output, but a difference between A and B can be detected when the two signals are subtracted.\n", - "As before, the reformulation of B doesn't affect the results." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.6" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/artefacts/appendix-L-tau-sum.ipynb b/artefacts/appendix-L-tau-sum.ipynb new file mode 100644 index 0000000..09dcb7f --- /dev/null +++ b/artefacts/appendix-L-tau-sum.ipynb @@ -0,0 +1,61 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "e7fdae0f", + "metadata": {}, + "source": [ + "# Appendix L: Series resistance compensation speed" + ] + }, + { + "cell_type": "markdown", + "id": "22f7aaad", + "metadata": {}, + "source": [ + "Here we give values for the time constant of series resistance compensation." + ] + }, + { + "cell_type": "markdown", + "id": "0626bd26", + "metadata": {}, + "source": [ + "| Source | page | $\\tau (\\mu s)$ |\n", + "|:--------------------------|:--------|:---------------------------------------|\n", + "| HEKA Patchmaster manual | 86, 87 | 2, 5, 10, 100 |\n", + "| HEKA EPC 10 manual | 32 | 2, 10, 100 |\n", + "| Axopatch 200D front panel | | 1, 2, 3, 5, 7, 10, 20, 35, 60, 80, 100 |" + ] + }, + { + "cell_type": "markdown", + "id": "effeac2d", + "metadata": {}, + "source": [ + "The HEKA manuals describe $10 \\mu s$ as medium, while on the Axopatch it is the value at 12 o'clock of the potentiometer. It seems safe to assume this is a good default value." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-M-compensated-models.ipynb b/artefacts/appendix-M-compensated-models.ipynb new file mode 100644 index 0000000..d830213 --- /dev/null +++ b/artefacts/appendix-M-compensated-models.ipynb @@ -0,0 +1,747 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "fc24dbb4", + "metadata": {}, + "source": [ + "# Appendix L: Compensated patch-clamp models" + ] + }, + { + "cell_type": "markdown", + "id": "aff7c8af", + "metadata": {}, + "source": [ + "In [Appendix F](./appendix-E-non-ideal-op-amp.ipynb) we compared uncompensated patch-clamp models, omitting voltage offset and leak current for simplicity.\n", + "In this appendix, we compare models of _compensated_ patch clamp, again omitting offset and leak." + ] + }, + { + "cell_type": "markdown", + "id": "7d17c4f4", + "metadata": {}, + "source": [ + "The schematic is shown below:\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "id": "5d0303e8", + "metadata": {}, + "source": [ + "As with the uncompensated model, we can write the Sigworth and Lei-style models using very similar equations:\n", + "\n", + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2a. && (C_p+C_f)\\dot{V}_p &= \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref} \\\\\n", + "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3a. && \\tau_a \\dot{V}_o &= V_\\text{ref} - V_p \\\\\n", + "3b. && \\tau_c\\dot{V}_p &= V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6a. && R_f I_\\text{obs} &= V_o - V_\\text{ref} \\\\\n", + "6b. && R_f I_\\text{obs} &= V_o - V_p\n", + "\\end{align}\n", + "\n", + "Where the Sigworth-style model consists of **(1, 2a, 3a, 4, 5, 6a)**, while the Lei model can be written as **(1, 2b, 3b, 4, 5, 6b)**." + ] + }, + { + "cell_type": "markdown", + "id": "6fdd1973", + "metadata": {}, + "source": [ + "## Simulations\n", + "\n", + "We now run simulations for a single step from -80 to 20 mV." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "122937ff", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "markdown", + "id": "dbc86249", + "metadata": {}, + "source": [ + "## Sigworth-style model (1, 2a, 3a, 4, 5, 6a)\n", + "\n", + "We start with a (1, 2a, 3a, 4, 5, 6a) model:" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "73fbb8b7", + "metadata": {}, + "outputs": [], + "source": [ + "mA = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2a, 3a, 4, 5, 6a)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Cp_est = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Eq 1\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", + " ) / (Cp + Cf) : Eq 2a\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est) : Eq 4\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum : Eq 5\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf : Eq 6a\n", + " in [pA]\n", + "''')\n", + "mA.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "67a48ce7", + "metadata": {}, + "outputs": [], + "source": [ + "vlo, vhi = -80, 20\n", + "p = myokit.Protocol()\n", + "p.add_step(level=vlo, duration=5)\n", + "p.add_step(level=vhi, duration=15)" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "6a758bc7", + "metadata": {}, + "outputs": [], + "source": [ + "def axs(fig, sub=(1, 1, 1), xlabel='Time (ms)', ylabel=''):\n", + " ax = fig.add_subplot(*sub)\n", + " ax.set_xlabel(xlabel)\n", + " ax.set_ylabel(ylabel)\n", + " return ax\n", + "\n", + "def ins(ax, loc=(0.05, 0.20, 0.40, 0.65)):\n", + " ins = ax.inset_axes(loc)\n", + " ins.set_yticklabels([])\n", + " ins.set_xlim(t1, t2)\n", + " ins.patch.set_alpha(0.5) \n", + " return ins\n", + "\n", + "def plot(d, t1, t2, axes=None, label=None, ls=None):\n", + " if axes is None:\n", + " fig = plt.figure(figsize=(15, 12))\n", + " \n", + " ax1 = axs(fig, (3, 2, 1), 'Vm (mV)')\n", + " ax2 = axs(fig, (3, 2, 2), 'Vp (mV)')\n", + " ax3 = axs(fig, (3, 2, 3), 'Vest (mV)')\n", + " ax4 = axs(fig, (3, 2, 4), 'Vo (mV)')\n", + " ax5 = axs(fig, (3, 2, 5), 'Vref (mV)')\n", + " ax6 = axs(fig, (3, 2, 6), 'Iobs (mV)')\n", + " in1, in2 = ins(ax1), ins(ax2)\n", + " in4, in5, in6 = ins(ax4), ins(ax5), ins(ax6)\n", + " in1.set_xlim(5, 10)\n", + " in1.set_ylim(10, 23)\n", + " in5.set_ylim(-30, 60)\n", + " else:\n", + " [ax1, ax2, ax3, ax4, ax5, ax6, in1, in2, in4, in5, in6] = axes\n", + " \n", + " ax1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", + " in1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", + " ax2.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " in2.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " ax3.plot(d.time(), d['amp.Ve'], ls=ls)\n", + " if 'amp.Vo' in d:\n", + " ax4.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " in4.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " ax5.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " in5.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " ax6.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " in6.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " \n", + " return [ax1, ax2, ax3, ax4, ax5, ax6, in1, in2, in4, in5, in6]" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "97305329", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Final Vm: 17.391283869307433\n" + ] + } + ], + "source": [ + "tol = 1e-8\n", + "dt = 5e-5\n", + "\n", + "t0 = 10\n", + "t1 = 4.9\n", + "t2 = 6\n", + "\n", + "sA = myokit.Simulation(mA, p)\n", + "sA.set_tolerance(tol, tol)\n", + "\n", + "sA.set_constant('amp.alpha', 0) # No correction\n", + "sA.set_constant('amp.beta', 0) # No prediction\n", + "sA.set_constant('amp.tau_sum', 1e-9) # Very fast filtering of Vc\n", + "\n", + "sA.pre(t1)\n", + "dA = sA.run(t0)\n", + "ax = plot(dA, t1, t2)\n", + "plt.show()\n", + "\n", + "print(f'Final Vm: {dA[\"amp.Vm\"][-1]}')" + ] + }, + { + "cell_type": "markdown", + "id": "1f8a15c3", + "metadata": {}, + "source": [ + "Now we gradually switch compensation on and run again" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "0420c74b", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Final Vm: 17.391283292783555\n", + "Final Vm: 18.348481806247516\n", + "Final Vm: 19.417312486256662\n" + ] + } + ], + "source": [ + "sA.reset()\n", + "sA.set_constant('amp.tau_sum', 10e-3)\n", + "sA.pre(t1)\n", + "dA1 = sA.run(t0)\n", + "print(f'Final Vm: {dA1[\"amp.Vm\"][-1]}')\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.4)\n", + "sA.pre(t1)\n", + "dA2 = sA.run(t0)\n", + "print(f'Final Vm: {dA2[\"amp.Vm\"][-1]}')\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.8)\n", + "sA.pre(t1)\n", + "dA3 = sA.run(t0)\n", + "print(f'Final Vm: {dA3[\"amp.Vm\"][-1]}')" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "71d74867", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "ax = plot(dA1, t1, t2, label='$\\\\alpha$ = 0')\n", + "ax = plot(dA2, t1, t2, axes=ax, label='$\\\\alpha$ = 0.4')\n", + "ax = plot(dA3, t1, t2, axes=ax, label='$\\\\alpha$ = 0.8')\n", + "ax[0].legend(loc='lower right')\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "69238c29", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Final Vm: 17.3912832927908\n", + "Final Vm: 18.348623869204296\n", + "Final Vm: 19.41745677956826\n" + ] + } + ], + "source": [ + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0)\n", + "sA.set_constant('amp.beta', 0)\n", + "sA.pre(t1)\n", + "dA1 = sA.run(t0)\n", + "print(f'Final Vm: {dA1[\"amp.Vm\"][-1]}')\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.4)\n", + "sA.set_constant('amp.beta', 0.4)\n", + "sA.pre(t1)\n", + "dA2 = sA.run(t0)\n", + "print(f'Final Vm: {dA2[\"amp.Vm\"][-1]}')\n", + "\n", + "sA.reset()\n", + "sA.set_constant('amp.alpha', 0.8)\n", + "sA.set_constant('amp.beta', 0.8)\n", + "sA.pre(t1)\n", + "dA3 = sA.run(t0)\n", + "print(f'Final Vm: {dA3[\"amp.Vm\"][-1]}')" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "657ac711", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "ax = plot(dA1, t1, t2, label='$\\\\alpha$ = 0')\n", + "ax = plot(dA2, t1, t2, axes=ax, label='$\\\\alpha$ = 0.4')\n", + "ax = plot(dA3, t1, t2, axes=ax, label='$\\\\alpha$ = 0.8')\n", + "ax[0].legend(loc='lower right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d6a73e64", + "metadata": {}, + "source": [ + "## Lei-style model (1, 2b, 3b, 4, 5, 6b)\n", + "\n", + "As with the uncompensated model, we can write the Sigworth and Lei-style models using very similar equations:\n", + "\n", + "\\begin{align}\n", + "2b. && C_f\\dot{V}_o = \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3b. && \\tau_c\\dot{V}_p = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6b. && R_f I_\\text{obs} = V_o - V_p\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "41558131", + "metadata": {}, + "outputs": [], + "source": [ + "mB = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2b, 3b, 4, 5, 6b)\n", + "amp.Vm = -80\n", + "amp.Vo = -80\n", + "amp.Vp = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Cp_est = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "tau_c = tau_amp * (Cf + Cp) / Cf in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Eq 1\n", + " in [mV]\n", + "dot(Vo) = ((Vp - Vo) / Rf + (Vp - Vm) / Rs +\n", + " (Cp + Cf) * dot(Vp) - Cm_est * dot(Ve) - Cp_est * dot(Vr)\n", + " ) / Cf : Eq 2b\n", + " in [mV]\n", + "dot(Vp) = (Vr - Vp) / tau_c : Eq 3b\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est) : Eq 4\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum : Eq 5\n", + " in [mV]\n", + "I_obs = (Vo - Vp) / Rf : Eq 6b\n", + " in [pA]\n", + "''')\n", + "mB.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "20af2cd7", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Final Vm: 17.391284629011796\n", + "Final Vm: 18.348623814025718\n", + "Final Vm: 19.41745563458149\n" + ] + } + ], + "source": [ + "sB = myokit.Simulation(mB, p)\n", + "sB.set_tolerance(tol, tol)\n", + "\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0)\n", + "sB.set_constant('amp.beta', 0)\n", + "sB.pre(t1)\n", + "dB1 = sB.run(t0)\n", + "print(f'Final Vm: {dB1[\"amp.Vm\"][-1]}')\n", + "\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0.4)\n", + "sB.set_constant('amp.beta', 0.4)\n", + "sB.pre(t1)\n", + "dB2 = sB.run(t0)\n", + "print(f'Final Vm: {dB2[\"amp.Vm\"][-1]}')\n", + "\n", + "sB.reset()\n", + "sB.set_constant('amp.alpha', 0.8)\n", + "sB.set_constant('amp.beta', 0.8)\n", + "sB.pre(t1)\n", + "dB3 = sB.run(t0)\n", + "print(f'Final Vm: {dB3[\"amp.Vm\"][-1]}')" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "id": "4504e066", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "ax = plot(dB1, t1, t2, label='$\\\\alpha = \\\\beta = 0$')\n", + "ax = plot(dB2, t1, t2, axes=ax, label='$\\\\alpha = \\\\beta = 0.4$')\n", + "ax = plot(dB3, t1, t2, axes=ax, label='$\\\\alpha = \\\\beta = 0.8')\n", + "ax[0].legend(loc='lower right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "831b6edd", + "metadata": {}, + "source": [ + "To be safe, we also test with the Lei model in its original formulation:" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "1b5315d1", + "metadata": {}, + "outputs": [], + "source": [ + "mC = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Lei formulation. Should equal (1, 2b, 3b, 4, 5, 6b)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "amp.I_obs = 0\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Cp_est = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "tau_c = tau_amp * (Cf + Cp) / Cf in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = (Vr - Vp) / tau_c\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "I_in = I + Cp * dot(Vp) + Cm * dot(Vm) - Cp_est * dot(Vr) - Cm_est * dot(Ve)\n", + " in [pA]\n", + "dot(I_obs) = (I_in - I_obs) / (Rf * Cf)\n", + " in [pA]\n", + "''')\n", + "mC.check_units(myokit.UNIT_STRICT)\n", + "sC = myokit.Simulation(mC, p)" + ] + }, + { + "cell_type": "markdown", + "id": "bcb13453", + "metadata": {}, + "source": [ + "## Model predictions overlayed" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "f0b470e2", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "for s in (sA, sB, sC):\n", + " s.reset()\n", + " s.set_constant('amp.alpha', 0.7)\n", + " s.set_constant('amp.beta', 0.7)\n", + " s.set_tolerance(1e-10, 1e-10)\n", + " s.pre(t1)\n", + "\n", + "dt = 1e-3\n", + "dA = sA.run(t0, log_interval=dt).npview()\n", + "dB = sB.run(t0, log_interval=dt).npview()\n", + "dC = sC.run(t0, log_interval=dt).npview()\n", + "\n", + "tz = 0.05\n", + "ax = plot(dA, t1, t2, label='A')\n", + "ax = plot(dB, t1, t2, ax, label='B', ls='--')\n", + "ax = plot(dC, t1, t2, ax, label='C', ls=':')\n", + "ax[0].legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "0cb8af78", + "metadata": {}, + "source": [ + "Most traces look the same, but $I_\\text{obs}$ differs a bit between A and models B and C." + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "id": "f8f9844c", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "t = dA.time()\n", + "logs = (dA, dB, dC)\n", + "names = ('A', 'B', 'D')\n", + "colors = ['tab:blue', 'tab:orange', 'tab:green', 'tab:red']\n", + "v = 'amp.I_obs'\n", + "\n", + "fig = plt.figure(figsize=(15, 10))\n", + "for (i, j, k) in ((0, 0, 1), (1, 0, 2), (2, 1, 2)):\n", + " ax1 = fig.add_subplot(2, 3, 1 + i)\n", + " ax1.plot(t, logs[j][v], color=colors[j], label=f'{names[j]} {v}')\n", + " ax1.plot(t, logs[k][v], color=colors[k], label=f'{names[k]} {v}', ls='--')\n", + " ax1.set_ylim(-3100, 500)\n", + " ax2 = fig.add_subplot(2, 3, 4 + i)\n", + " ax2.plot(t, logs[j][v] - logs[k][v], color='k', label=f'{names[j]} - {names[k]}')\n", + " ax1.legend()\n", + " ax2.legend()\n", + " if i == 0:\n", + " ax1.set_ylabel('Iobs')\n", + " ax2.set_ylabel('Difference')\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "44f4fbea", + "metadata": {}, + "source": [ + "We see that the models show very similar output, but a difference between A and B can be detected when the two signals are subtracted.\n", + "As before, the reformulation of B doesn't affect the results." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-N-parameter-values.ipynb b/artefacts/appendix-N-parameter-values.ipynb new file mode 100644 index 0000000..cf75405 --- /dev/null +++ b/artefacts/appendix-N-parameter-values.ipynb @@ -0,0 +1,83 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "fc24dbb4", + "metadata": {}, + "source": [ + "# Appendix N: Parameter values" + ] + }, + { + "cell_type": "markdown", + "id": "e184af6f", + "metadata": {}, + "source": [ + "Here we present and motivate the \"default\" values for the patch clamp model parameters used in these notebooks.\n", + "Other choices are possible." + ] + }, + { + "cell_type": "markdown", + "id": "3e6bd67d", + "metadata": {}, + "source": [ + "## Basic model\n", + "\n", + "| Parameter | Value | Motivation |\n", + "|:------------------|:--------------|:----------------------------------------------------------------------|\n", + "| $R_s$ | 15 M$\\Omega$ | A 5$\\Omega$ pipette with an additional 10$\\Omega$ at the seal |\n", + "| $C_m$ | 25 pF | A small cell such as a HEK or CHO cell |\n", + "| $C_p$ | 5 pF | Based on own experience |\n", + "| $R_f$ | 0.5 G$\\Omega$ | Similar to HEKA, Axon, and Sutter ([appendix B](./appendix-B-Rf-and-Cf.ipynb)) |\n", + "| $C_f$ | 0.15 pF | Similar to HEKA ([appendix B](./appendix-B-Rf-and-Cf.ipynb)) |\n", + "| $\\tau_\\text{amp}$ | 20e-6 ms | Based on Sigworth 1995 ([appendix C](appendix-C-tau-amp.ipynb)) |\n", + "\n", + "Some values are rounded, to reflect the uncertainty in the true values." + ] + }, + { + "cell_type": "markdown", + "id": "482bff5f", + "metadata": {}, + "source": [ + "## Compensation" + ] + }, + { + "cell_type": "markdown", + "id": "92f0e461", + "metadata": {}, + "source": [ + "\n", + "| Parameter | Value | Motivation |\n", + "|:------------------|:----------|:---------------------------------------------------------------|\n", + "| $\\alpha$ | 0.7 | Typical setting |\n", + "| $\\beta$ | 0.7 | Typical setting |\n", + "| $\\tau_\\text{sum}$ | 10 $\\mu$s | Default for HEKA and Axon ([appendix L](./appendix-L-tau-sum)) |\n", + "\n" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 7906446..d2c1807 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -493,7 +493,9 @@ "\n", "1. The command voltage is set to a fixed value Vc = -20\n", "2. The initial membrane potential is set to Vm = -80\n", - "3. We set E_off = 0, I = 0, and I_leak = 0. This leaves only the capacitative currents. As a result, when the system is in steady state we have Vp = Vo = Vm." + "3. We leave out $E_\\text{off}$ and $I_\\text{leak}$, and set $I = 0$ (for now), leaving only the capacitative currents. As a result, at steady state we have $V_p = V_o = V_m$.\n", + "\n", + "The parameters used here are representitative of a small-cell experiment, and are motivated in [appendix N](./appendix-N-parameter-values.ipynb)." ] }, { @@ -516,55 +518,32 @@ "source": [ "m = myokit.parse_model('''\n", "[[model]]\n", - "cell.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", + "amp.Vm = 0\n", + "amp.Vp = 0\n", + "amp.Vo = 0\n", "\n", "[engine]\n", - "time = 0 [ms]\n", - " in [ms]\n", - " bind time\n", - "\n", - "[cell]\n", - "use amp.Rs, amp.Vp\n", - "Cm = 100 [pF]\n", - " in [pF]\n", - "I = 0 [pA]\n", - " in [pA]\n", - "\n", - "# Equation 1.1\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", - " in [mV]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", "\n", "[amp]\n", - "use cell.Vm\n", - "Vc = -20 [mV]\n", + "I = 0 [pA] in [pA]\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "Vc = engine.pace * 1 [mV]\n", " in [mV]\n", - "Rs = 0.01 [GOhm]\n", - " in [GOhm]\n", - "Rf = 0.025 [GOhm]\n", - " in [GOhm]\n", - "Cf = 0.3 [pF]\n", - " in [pF]\n", - "Cp = 4.5 [pF] # Value chosen to get tau_c = 0.8 as in Weerakoon\n", - " in [pF]\n", - "Ct = Cf + Cp\n", - " in [pF]\n", - "tau_amp = 0.05e-3 [ms]\n", - " in [ms]\n", - "\n", - "# Equation 1.2\n", - "dot(Vp) = (Vo - Vp) / (Rf * Ct) + Cf / Ct * dot(Vo) - (Vp - Vm) / (Rs * Ct)\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf + Cf * dot(Vo) - (Vp - Vm) / Rs) / (Cf + Cp)\n", " in [mV]\n", - "\n", - "# Equation 1.3\n", "dot(Vo) = (Vc - Vp) / tau_amp\n", " in [mV]\n", - "\n", - "# Equation 1.4\n", "I_obs = (Vo - Vc) / Rf\n", " in [pA]\n", - "\n", "''')\n", "m.check_units(myokit.UNIT_STRICT)" ] @@ -576,8 +555,14 @@ "metadata": {}, "outputs": [], "source": [ - "s = myokit.Simulation(m)\n", - "d = s.run(10)" + "p = myokit.Protocol()\n", + "p.add_step(level=0, duration=5)\n", + "p.add_step(level=10, duration=10)\n", + "p.add_step(level=0, duration=20)\n", + "\n", + "s = myokit.Simulation(m, p)\n", + "s.pre(4)\n", + "d = s.run(20)" ] }, { @@ -588,7 +573,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -605,28 +590,21 @@ "ax = fig.add_subplot(2, 2, 1)\n", "ax.set_ylabel('Vm (mV)')\n", "kw = dict(color='#aaa', ls='--')\n", - "ax.axhline(m.get('cell.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", - "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - "ax.plot(d.time(), d['cell.Vm'])\n", + "ax.axhline(0, **kw)\n", + "ax.axhline(10, **kw)\n", + "ax.plot(d.time(), d['amp.Vm'])\n", "\n", "ax = fig.add_subplot(2, 2, 2)\n", - "ax.set_ylabel('Vo (mV)')\n", - "ax.plot(d.time(), d['amp.Vo'])\n", - "ins = ax.inset_axes((0.25, 0.35, 0.7, 0.6))\n", - "ins.set_xlabel('Time (ms)')\n", - "ins.set_ylabel('Vo (mV)')\n", - "ins.plot(d.time(), d['amp.Vo'])\n", - "ins.set_xlim(-0.005, 0.05)\n", - "\n", - "ax = fig.add_subplot(2, 2, 3)\n", "ax.set_xlabel('Time (ms)')\n", "ax.set_ylabel('Vp (mV)')\n", "ax.plot(d.time(), d['amp.Vp'])\n", - "ins = ax.inset_axes((0.25, 0.15, 0.7, 0.6))\n", - "ins.set_xlabel('Time (ms)')\n", - "ins.set_ylabel('Vp (mV)')\n", + "ins = ax.inset_axes((0.38, 0.15, 0.3, 0.6))\n", "ins.plot(d.time(), d['amp.Vp'])\n", - "ins.set_xlim(-0.005, 0.05)\n", + "ins.set_xlim(4.995, 5.005)\n", + "\n", + "ax = fig.add_subplot(2, 2, 3)\n", + "ax.set_ylabel('Vo (mV)')\n", + "ax.plot(d.time(), d['amp.Vo'])\n", "\n", "ax = fig.add_subplot(2, 2, 4)\n", "ax.set_xlabel('Time (ms)')\n", @@ -642,10 +620,79 @@ "metadata": {}, "source": [ "Top-left, we can see $V_m$ approach the desired voltage quite slowly, due to the large time constant $R_sC_m$.\n", - "The voltage $V_p$ approaches the desired value much faster, although it shows a slight overshoot, seen bottom left.\n", - "Similarly, top-right we can see a very fast transient in the output voltage $V_o$, followed by a much slower exponential decay.\n", - "Both are easier interpreted when translated to output current $I$, shown bottom right.\n", - "Here we recognise a fast capacitance artefact, followed by a slow membrane charging current." + "Top-right, we see that the voltage $V_p$ approaches the target value much faster.\n", + "Bottom-left, the slow charging process is visible in $V_o$ and, with these parameters, obscures the effect of $C_p$.\n", + "Finally, bottom-right we see the recorded current, dominated by the large \"slow capacitance\" artefacts.\n", + "\n", + "We can also see a strange \"ramp\" in $I_\\text{obs}$ just before the voltage change.\n", + "This is a plotting artefact, and can be dealt with in different ways:" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "027f5a00", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Solution one: plotting without interpolation, but with \"holding\" the last value\n", + "# until the next one in the time series\n", + "\n", + "fig = plt.figure(figsize=(10, 5))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Recorded I (pA)')\n", + "ax.plot(d.time(), d['amp.I_obs'], ds='steps-post')\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "8ad55324", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Solution two: forcing the simulator to log a time point just before the discontinuities\n", + "\n", + "s = myokit.Simulation(m, p)\n", + "s.pre(4)\n", + "d = s.run(4.9999)\n", + "d = s.run(10, log=d)\n", + "d = s.run(5.0001, log=d)\n", + "\n", + "fig = plt.figure(figsize=(10, 5))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Recorded I (pA)')\n", + "ax.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" ] }, { @@ -658,25 +705,28 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 7, "id": "c7b64a93", "metadata": {}, "outputs": [], "source": [ "s.reset()\n", - "s.set_constant('cell.I', 100)\n", - "d = s.run(10)" + "s.set_constant('amp.I', 100)\n", + "s.pre(4)\n", + "d = s.run(4.9999)\n", + "d = s.run(10, log=d)\n", + "d = s.run(5.0001, log=d)" ] }, { "cell_type": "code", - "execution_count": 6, + "execution_count": 8, "id": "99d5da47", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -694,19 +744,61 @@ "ax.set_xlabel('Time (ms)')\n", "ax.set_ylabel('Vm (mV)')\n", "kw = dict(color='#aaa', ls='--')\n", - "ax.axhline(m.get('cell.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", - "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - "ax.plot(d.time(), d['cell.Vm'])\n", + "ax.axhline(0, **kw)\n", + "ax.axhline(10, **kw)\n", + "ax.plot(d.time(), d['amp.Vm'])\n", "\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "eece8048", + "id": "804d114e", "metadata": {}, "source": [ - "Here we can see that $V_m$ doesn't quite reach $V_c$, due to the voltage drop over the series resistance $R_s$." + "Here we can see that $V_m$ doesn't quite reach $V_c$, due to the voltage drop over the series resistance $R_s$.\n", + "\n", + "But it also doesn't start off at $V_c$, which is perhaps a bit unrealistic.\n", + "Because we modelled the current as constant, it causes a voltage drop over $R_s$ _regardless of the membrane potential_.\n", + "We can replace this by a more likely situation, where there is a current proportional to $V_m$:" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "27b10047", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "m.get('amp.I').set_rhs('Vm * 10 [pA/mV]')\n", + "s = myokit.Simulation(m, p)\n", + "s.pre(4)\n", + "d = s.run(4.9999)\n", + "d = s.run(10, log=d)\n", + "d = s.run(5.0001, log=d)\n", + "\n", + "fig = plt.figure(figsize=(8, 4))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Vm (mV)')\n", + "kw = dict(color='#aaa', ls='--')\n", + "ax.axhline(0, **kw)\n", + "ax.axhline(10, **kw)\n", + "ax.plot(d.time(), d['amp.Vm'])\n", + "plt.show()" ] }, { diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 2e734cb..5b9653b 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -327,16 +327,19 @@ }, { "cell_type": "markdown", - "id": "f0e20b1a", + "id": "fc428a62", "metadata": {}, "source": [ - "### What about $C_p$?\n", + "### Incorporating a \"lag\"\n", "\n", - "In our schematic, we have placed $R_s$ after $C_p$, to reflect the fact that the fast capacitative current pathway \"has negligible series resistance\" ([Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 5.2).\n", - "As a result, we don't need to take $C_p$ into account for the series resistance compensation.\n", + "Finally, patch-clamp amplifiers typically implement a 1st order filtering or \"lag\" on the series resistance compensation, characterised by a user-defined time constant $\\tau_\\text{sum}$.\n", + "We implement this as:\n", "\n", - "However, if the large peaks caused by $C_p\\dot{V}_p$ are not filtered from $I_\\text{obs}$, they will appear in the feed-forward series resistance correction term and destabilise the system.\n", - "As a result, good $C_p$ correction is essential for series resistance compensation." + "\\begin{align}\n", + "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}}{\\tau_\\text{sum}}\n", + "\\end{align}\n", + "\n", + "where typical values for $\\tau_\\text{sum}$ are $2\\mu s$ (fast), $10\\mu s$ (average), or $100\\mu s$ (slow, see [appendix L](./appendix-L-tau-sum.ipynb))." ] }, { @@ -380,18 +383,16 @@ }, { "cell_type": "markdown", - "id": "fc428a62", + "id": "f0e20b1a", "metadata": {}, "source": [ - "### The finite speed of the summing amplifier\n", - "\n", - "Finally, we follow [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348) in incorporating the finite speed of the summing amplifier as\n", + "### What about $C_p$?\n", "\n", - "\\begin{align}\n", - "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}}{\\tau_\\text{sum}}\n", - "\\end{align}\n", + "In our schematic, we have placed $R_s$ after $C_p$, to reflect the fact that the fast capacitative current pathway \"has negligible series resistance\" ([Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 5.2).\n", + "As a result, we don't need to take $C_p$ into account for the series resistance compensation.\n", "\n", - "where $\\tau_\\text{sum} \\approx 0.04$ ms." + "However, if the large peaks caused by $C_p\\dot{V}_p$ are not filtered from $I_\\text{obs}$, they will appear in the feed-forward series resistance correction term and destabilise the system.\n", + "As a result, good $C_p$ correction is essential for series resistance compensation." ] }, { @@ -477,7 +478,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 1, "id": "7743c602", "metadata": {}, "outputs": [], @@ -489,7 +490,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 2, "id": "775d4495", "metadata": {}, "outputs": [], @@ -542,7 +543,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 3, "id": "177a0f1f", "metadata": {}, "outputs": [], @@ -554,7 +555,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 4, "id": "dbea8388", "metadata": {}, "outputs": [], @@ -567,7 +568,7 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": 5, "id": "2a831ee3", "metadata": { "scrolled": true @@ -595,7 +596,7 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": 6, "id": "5d429877", "metadata": { "scrolled": true @@ -655,54 +656,64 @@ "source": [ "## Simulations\n", "\n", - "As before, we can code this up in Myokit and simulate a voltage step:" + "As before, we can code this up in Myokit and simulate a voltage step.\n", + "\n", + "To allow switching off compensation, we will add a lower bound for the denominator of \\begin{align}\n", + "\\dot{V}_\\text{est} = \\frac{V_c - V_\\text{est}}{\\tau_\\text{est}} &&\n", + "\\tau_\\text{est} = \\max \\left\\{ (1 - \\beta)R_s^*C_m^* , 10^{-8} \\text{ms} \\right\\}\n", + "\\end{align}" ] }, { "cell_type": "code", - "execution_count": 31, + "execution_count": 7, "id": "7d682716", "metadata": {}, "outputs": [], "source": [ "m = myokit.parse_model('''\n", "[[model]]\n", - "desc: Compensated model\n", "amp.Vm = -80\n", "amp.Vp = -80\n", "amp.Vo = -80\n", "amp.Ve = -80\n", "amp.Vr = -80\n", "\n", - "[amp]\n", - "alpha = 0.6\n", - "beta = 0.6\n", + "[engine]\n", "time = 0 [ms] in [ms] bind time\n", - "I = 50 [pA] in [pA]\n", - "E = 1 [mV] in [mV]\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 40 [pF] in [pF]\n", - "Cm_est = 40 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "tau_amp = 50e-6 [ms] in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "dot(Vm) = (Vp + E - Vm) / (Rs * Cm) - I / Cm\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 15e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Cp_est = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "tau_est = if(val < 1e-8 [ms], 1e-8 [ms], val)\n", + " in [ms]\n", + " val = (1 - beta) * Rs_est * Cm_est\n", + " in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", " in [mV]\n", - "dot(Vp) = ((Vo - Vp) / Rf - (Vp + E - Vm) / Rs +\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", - " ) / (Cp + Cf) : Eq 2a\n", + " ) / (Cp + Cf)\n", " in [mV]\n", "dot(Vo) = (Vr - Vp) / tau_amp\n", " in [mV]\n", - "dot(Ve) = (Vc - Ve) / if(tau < 1e-12 [ms], 1e-12 [ms], tau)\n", + "dot(Ve) = (Vc - Ve) / tau_est\n", " in [mV]\n", - " tau = (1 - beta) * Rs_est * Cm_est\n", - " in [ms]\n", "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", " in [mV]\n", "I_obs = (Vo - Vr) / Rf\n", @@ -713,32 +724,41 @@ }, { "cell_type": "code", - "execution_count": 32, + "execution_count": 8, "id": "169e0123", "metadata": {}, "outputs": [], "source": [ - "s = myokit.Simulation(m)\n", - "dB = s.run(3)\n", + "p = myokit.Protocol()\n", + "p.add_step(level=0, duration=5)\n", + "p.add_step(level=10, duration=10)\n", + "p.add_step(level=0, duration=20)\n", + "\n", + "s = myokit.Simulation(m, p)\n", + "s.set_tolerance(1e-8, 1e-8)\n", + "s.pre(4)\n", + "dB = s.run(20)\n", + "\n", "s.reset()\n", + "s.pre(4)\n", "s.set_constant('amp.alpha', 0)\n", "s.set_constant('amp.beta', 0)\n", "s.set_constant('amp.Cm_est', 0)\n", "s.set_constant('amp.Cp_est', 0)\n", - "dA = s.run(3)" + "dA = s.run(20)" ] }, { "cell_type": "code", - "execution_count": 33, + "execution_count": 9, "id": "2e3eadba", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -748,26 +768,26 @@ } ], "source": [ - "fig = plt.figure(figsize=(12, 4))\n", + "kw = dict(color='#aaa', ls='--')\n", + "\n", + "fig = plt.figure(figsize=(15, 5))\n", "\n", "ax = fig.add_subplot(1, 2, 1)\n", "ax.set_xlabel('Time (ms)')\n", "ax.set_ylabel('Vm (mV)')\n", - "kw = dict(color='#aaa', ls='--')\n", - "ax.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", - "ax.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - "ax.plot(dA.time(), dA['amp.Vm'], label='Original')\n", - "ax.plot(dB.time(), dB['amp.Vm'], label='Compensated')\n", + "ax.axhline(0, **kw)\n", + "ax.axhline(10, **kw)\n", + "ax.plot(dA.time(), dA['amp.Vm'], label='No compensation')\n", + "ax.plot(dB.time(), dB['amp.Vm'], label='Compensation on')\n", "ax.legend()\n", "\n", "ax = fig.add_subplot(1, 2, 2)\n", "ax.set_xlabel('Time (ms)')\n", "ax.set_ylabel('$I_{obs}$ (pA)')\n", - "kw = dict(color='#aaa', ls='--')\n", "ax.axhline(0, **kw)\n", "ax.plot(dA.time(), dA['amp.I_obs'])\n", "ax.plot(dB.time(), dB['amp.I_obs'])\n", - "ax.set_ylim(-5000, 5000)\n", + "ax.set_ylim(-3500, 3500)\n", "\n", "plt.show()" ] @@ -787,7 +807,7 @@ "4. Series resistance correction\n", "5. Series resistance prediction\n", "\n", - "In the next notebook we will run simulations to investigate the effects of the model parameters in detail." + "In the next notebook we will run simulations to investigate the model in detail." ] } ], diff --git a/artefacts/artefacts-3-simulations.ipynb b/artefacts/artefacts-3-simulations.ipynb index f0756d9..d6abad7 100644 --- a/artefacts/artefacts-3-simulations.ipynb +++ b/artefacts/artefacts-3-simulations.ipynb @@ -5,22 +5,36 @@ "id": "cf0eda98", "metadata": {}, "source": [ - "# Simulating with the compensation patch-clamp model\n", + "# Simulatingggggggggg\n", "\n", "In the [last notebook](./artefacts-2-compensation.ipynb), " ] }, + { + "cell_type": "code", + "execution_count": null, + "id": "839311c0", + "metadata": {}, + "outputs": [], + "source": [] + }, { "cell_type": "markdown", "id": "aff16dcd", "metadata": {}, "source": [ - "We" + "## Pipette in the bath\n", + "\n", + "We start by modelling a pipette hanging in the bath, but not touching a cell.\n", + "\n", + "We'll assume that $R_s$ is the pipette tip resistance, and is $5M\\Omega$.\n", + "The capacitance will probably be a bit less than when the pipette is fully submerged, but we'll leave it at the 5pF value used throughout.\n", + "The variables representing the cell have been removed, and all compensations are off at this point, so we won't include them either." ] }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 74, "id": "ad57d657", "metadata": {}, "outputs": [], @@ -32,52 +46,35 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 81, "id": "649e709a", "metadata": {}, "outputs": [], "source": [ "m = myokit.parse_model('''\n", "[[model]]\n", - "desc: Compensated model\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Ve = -80\n", - "amp.Vr = -80\n", + "amp.Vp = 0\n", + "amp.Vo = 0\n", "\n", - "[amp]\n", - "alpha = 0.6\n", - "beta = 0.6\n", + "[engine]\n", "time = 0 [ms] in [ms] bind time\n", - "I = 50 [pA] in [pA]\n", - "E = 1 [mV] in [mV]\n", - "Vc = -20 [mV] in [mV]\n", - "Cm = 40 [pF] in [pF]\n", - "Cm_est = 40 [pF] in [pF]\n", - "Cp = 4.5 [pF] in [pF]\n", - "Cp_est = 4.5 [pF] in [pF]\n", - "Cf = 0.3 [pF] in [pF]\n", - "Rs = 0.01 [GOhm] in [GOhm]\n", - "Rs_est = 0.01 [GOhm] in [GOhm]\n", - "Rf = 0.025 [GOhm] in [GOhm]\n", - "tau_amp = 50e-6 [ms] in [ms]\n", - "tau_sum = 40e-3 [ms] in [ms]\n", - "dot(Vm) = (Vp + E - Vm) / (Rs * Cm) - I / Cm\n", - " in [mV]\n", - "dot(Vp) = ((Vo - Vp) / Rf - (Vp + E - Vm) / Rs +\n", - " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", - " ) / (Cp + Cf) : Eq 2a\n", - " in [mV]\n", - "dot(Vo) = (Vr - Vp) / tau_amp\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "Rs = 5e-3 [GOhm] in [GOhm]\n", + "Cp = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "I = 0 [pA] in [pA]\n", + "E_off = 0 [mV] in [mV]\n", + "Vc = engine.pace * 1 [mV]\n", " in [mV]\n", - "dot(Ve) = (Vc - Ve) / if(tau < 1e-12 [ms], 1e-12 [ms], tau)\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp + E_off) / Rs + Cf * dot(Vo)) / (Cf + Cp)\n", " in [mV]\n", - " tau = (1 - beta) * Rs_est * Cm_est\n", - " in [ms]\n", - "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + "dot(Vo) = (Vc - Vp) / tau_amp\n", " in [mV]\n", - "I_obs = (Vo - Vr) / Rf\n", + "I_obs = (Vo - Vc) / Rf\n", " in [pA]\n", "''')\n", "m.check_units(myokit.UNIT_STRICT)" @@ -85,88 +82,110 @@ }, { "cell_type": "code", - "execution_count": 4, - "id": "519d1c9f", + "execution_count": 82, + "id": "9759e985", "metadata": {}, "outputs": [], "source": [ - "t1 = 3\n", - "t2 = 0.6\n", - "\n", - "def plot(m, d, axes=None, label=None, ls=None, altins=False, t_zoom=t2):\n", - " if axes is None:\n", - " fig = plt.figure(figsize=(15, 12))\n", - " \n", - " # Top left: Vm\n", - " ax1 = fig.add_subplot(3, 2, 1)\n", - " ax1.set_ylabel('Vm (mV)')\n", - " \n", - " # Top right: Vo\n", - " ax2 = fig.add_subplot(3, 2, 2)\n", - " ax2.set_ylabel('Vo (mV)')\n", - " ax2.set_xlabel('Time (ms)')\n", - " ins2 = ax2.inset_axes((0.3, 0.35 if altins else 0.10, 0.65, 0.50))\n", - " ins2.set_xlim(-0.005, t_zoom)\n", - " ins2.patch.set_alpha(0.5)\n", + "p = myokit.Protocol()\n", + "p.add_step(level=0, duration=5)\n", + "p.add_step(level=10, duration=10)\n", + "p.add_step(level=0, duration=15)\n", "\n", - " # Middle left: Vp\n", - " ax3 = fig.add_subplot(3, 2, 3)\n", - " ax3.set_xlabel('Time (ms)')\n", - " ax3.set_ylabel('Vp (mV)')\n", - " ins3 = ax3.inset_axes((0.3, 0.10, 0.65, 0.50))\n", - " ins3.set_xlim(-0.005, t_zoom)\n", - " ins3.patch.set_alpha(0.5)\n", + "s = myokit.Simulation(m, p)\n", + "s.pre(4)\n", + "d = s.run(20, log_interval=1e-3)" + ] + }, + { + "cell_type": "code", + "execution_count": 83, + "id": "826d3386", + "metadata": {}, + "outputs": [], + "source": [ + "def create_plot(label1='Vp (mV)', label2='Iobs (pA)', av1=[], av2=[]):\n", + " kw = dict(color='#aaa', ls='--')\n", + " fig = plt.figure(figsize=(15, 6))\n", + " \n", + " ax1 = fig.add_subplot(1, 2, 1)\n", + " ax1.set_ylabel(label1)\n", + " for y in av1:\n", + " ax1.axhline(y, **kw)\n", + " \n", + " ax2 = fig.add_subplot(1, 2, 2)\n", + " ax2.set_xlabel('Time (ms)')\n", + " ax2.set_ylabel(label2)\n", + " for y in av2:\n", + " ax2.axhline(y, **kw)\n", + " \n", + " return fig, ax1, ax2" + ] + }, + { + "cell_type": "code", + "execution_count": 84, + "id": "f5fd562a", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot(av1=(0, 10), av2=(0, 2000))\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "ins = ax2.inset_axes((0.4, 0.15, 0.3, 0.4))\n", + "ins.plot(d.time(), d['amp.I_obs'])\n", + "ins.set_xlim(4.998, 5.001)\n", + "#ins.set_ylim(-100, 500)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "82b7d4ad", + "metadata": {}, + "source": [ + "On the left, we see that the pipette voltage follows the command voltage rapidly.\n", "\n", - " # Middle right: V_ref\n", - " ax4 = fig.add_subplot(3, 2, 4)\n", - " ax4.set_xlabel('Time (ms)')\n", - " ax4.set_ylabel('Vref (mV)')\n", - " ins4 = ax4.inset_axes((0.3, 0.10, 0.65, 0.50))\n", - " ins4.set_xlim(-0.005, t_zoom)\n", - " ins4.patch.set_alpha(0.5)\n", - " \n", - " # Lower: I_obs\n", - " ax5 = fig.add_subplot(3, 1, 3)\n", - " ax5.set_xlabel('Time (ms)')\n", - " ax5.set_ylabel('Recorded I (pA)')\n", - " ins5 = ax5.inset_axes((0.3, 0.35 if altins else 0.10, 0.65, 0.50))\n", - " ins5.set_xlim(-0.001, t_zoom)\n", - " ins5.patch.set_alpha(0.5)\n", + "On the right, we see a current of either 0 or 10mV / 5MOhm = 2nA.\n", + "It rises and falls rapidly, hindered only by the charging of the measuring resistance $C_f$.\n", + "A very slight dip can be seen just before the voltage increase, as $V_c > V_o$ before the amplifier rapidly catches up.\n", + "Note that we do not yet see strong capacitative transients at this point." + ] + }, + { + "cell_type": "markdown", + "id": "025815c4", + "metadata": {}, + "source": [ + "### Intermezzo: Why aren't the edges quite straight?\n", "\n", - " kw = dict(color='#aaa', ls='--')\n", - " ax1.axhline(m.get('amp.Vm').initial_value().eval(), label='Vm(t=0)', **kw)\n", - " ax1.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax2.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax3.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " ax4.axhline(m.get('amp.Vc').eval(), label='Vc', **kw)\n", - " else:\n", - " [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5] = axes\n", - " \n", - " ax1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", - " if 'amp.Vo' in d:\n", - " ax2.plot(d.time(), d['amp.Vo'], ls=ls)\n", - " ins2.plot(d.time(), d['amp.Vo'], ls=ls)\n", - " ax3.plot(d.time(), d['amp.Vp'], ls=ls)\n", - " ins3.plot(d.time(), d['amp.Vp'], ls=ls)\n", - " ax4.plot(d.time(), d['amp.Vr'], ls=ls)\n", - " ins4.plot(d.time(), d['amp.Vr'], ls=ls)\n", - " ax5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", - " ins5.plot(d.time(), d['amp.I_obs'], ls=ls)\n", - " \n", - " return [ax1, ax2, ax3, ax4, ax5, ins2, ins3, ins4, ins5]\n" + "We can check that $R_fC_f$ dictates the steepness of the current response by increasing $C_f$:" ] }, { "cell_type": "code", "execution_count": 6, - "id": "be380405", + "id": "70cc2971", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -176,56 +195,366 @@ } ], "source": [ - "s = myokit.Simulation(m)\n", - "s.set_constant('amp.alpha', 0)\n", - "s.set_constant('amp.beta', 0)\n", - "s.set_constant('amp.Cm_est', 0)\n", - "s.set_constant('amp.Cp_est', 0)\n", - "dA = s.run(3)\n", + "s.reset()\n", + "s.set_constant('amp.Cf', 1)\n", + "d = s.run(20, log_interval=1e-3)\n", + "s.set_constant('amp.Cf', 0.15)\n", "\n", - "plot(m, dA)\n", + "fig, ax1, ax2 = create_plot(av1=(0, 10), av2=(0, 2000))\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "ins = ax2.inset_axes((0.4, 0.1, 0.3, 0.4))\n", + "ins.plot(d.time(), d['amp.I_obs'])\n", + "ins.set_xlim(4.998, 5.001)\n", + "ins.set_ylim(-100, 500)\n", "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "b7280755", + "metadata": {}, + "source": [ + "Note that we see a significant change to the current, but the voltage is mostly unaffected.\n", + "By contrast, if we use a slower measuring op-amp, both signals show a delay:" + ] + }, { "cell_type": "code", - "execution_count": null, - "id": "f343c504", + "execution_count": 7, + "id": "c6eb2ece", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "s.reset()\n", + "\n", + "s.set_constant('amp.tau_amp', 1e-2)\n", + "d = s.run(20, log_interval=1e-3)\n", + "s.set_constant('amp.tau_amp', 20e-6)\n", + "\n", + "fig, ax1, ax2 = create_plot(av1=(0, 10), av2=(0, 2000))\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "ins = ax2.inset_axes((0.4, 0.1, 0.3, 0.4))\n", + "ins.plot(d.time(), d['amp.I_obs'])\n", + "ins.set_xlim(4.998, 5.001)\n", + "ins.set_ylim(-100, 500)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "acb8da06", + "metadata": {}, + "source": [ + "## Touching the cell\n", + "\n", + "Now, we gently move the pipette against the cell membrane.\n", + "This blocks the pipette opening, increasing the access resistance greatly.\n", + "We'll assume it goes up to 200MOhm, and use the same model as above." + ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "id": "93227a73", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "s.reset()\n", + "s.set_constant('amp.Rs', 0.2)\n", + "d = s.run(20, log_interval=1e-3)\n", + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0])\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "e7f2cb7d", + "metadata": {}, + "source": [ + "With this new high resistance, the fast-capacitance artefacts become clearly visible!" + ] + }, + { + "cell_type": "markdown", + "id": "55363988", + "metadata": {}, + "source": [ + "## A giga-ohm seal\n", + "\n", + "We apply more virtual suction, and create a giga-ohm seal. Let's use 2GOhm." + ] }, { "cell_type": "code", - "execution_count": null, - "id": "92bc8b4e", + "execution_count": 9, + "id": "a9f59f21", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0, 2000])\n", + "for Rs in np.geomspace(5e-3, 2, 20):\n", + " s.reset()\n", + " s.set_constant('amp.Rs', Rs)\n", + " d = s.run(20, log_interval=1e-3)\n", + " ax2.plot(d.time(), d['amp.I_obs'], color='tab:blue', alpha=0.1+0.9/2*Rs) \n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'], color='tab:blue')\n", + "ax2.text(12, 500, 'Weeee!', rotation=30)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "6ccc513e", + "metadata": {}, + "source": [ + "At this point we zoom in a bit, and get ready to switch the fast capacitance compensation on." + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "f767e477", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0])\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "6503522a", + "metadata": {}, + "source": [ + "To do this, we need to add in the fast capacitance filtering, as well as the filtered $V_\\text{ref}$ it takes as input." + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "142c4375", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "m = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vp = 0\n", + "amp.Vo = 0\n", + "amp.Vr = 0\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "Rs = 2 [GOhm] in [GOhm]\n", + "Cp = 5 [pF] in [pF]\n", + "Cp_est = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "I = 0 [pA] in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - Vp / Rs + Cf * dot(Vo) + Cp_est * dot(Vr)) / (Cf + Cp)\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + "dot(Vr) = (Vc - Vr) / tau_sum\n", + " in [mV]\n", + "I_obs = (Vo - Vc) / Rf\n", + " in [pA] \n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "f1a0cc58", + "execution_count": 12, + "id": "63c0bc13", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "s = myokit.Simulation(m, p)\n", + "s.pre(4)" + ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 29, + "id": "92bc8b4e", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0], label1='Iobs (pA)')\n", + "for Cp_est in np.linspace(0, 5, 10):\n", + " s.reset()\n", + " s.set_constant('amp.Cp_est', Cp_est)\n", + " d = s.run(20, log_interval=1e-4).npview()\n", + " ax1.plot(d.time(), d['amp.I_obs'], color='tab:blue', alpha=0.1 + 0.9 / 5 * Cp_est)\n", + " if Cp_est == 0:\n", + " ax2.plot(d.time(), d['amp.I_obs'], color='tab:blue', alpha=0.3)\n", + "ax1.set_xlim(4.96, 5.2)\n", + "ax1.set_ylim(-50, 520)\n", + "ax1.text(5.12, 150, 'Down it goes!', rotation=-35)\n", + "ax2.plot(d.time(), d['amp.I_obs'], color='tab:blue')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d06988f9", + "metadata": {}, + "source": [ + "The end result looks something like this:" + ] + }, + { + "cell_type": "code", + "execution_count": 31, "id": "e0b31f48", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0])\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "f0a6e7b7", + "metadata": {}, + "source": [ + "### Intermezzo: What's left is not due to capacitance" + ] + }, + { + "cell_type": "markdown", + "id": "b1c8485d", + "metadata": {}, + "source": [ + "The remaining spikes are not due to the parasitic capacitance, but arise because of the different delays in the amplifier.\n", + "We can show this in the simulation by setting $C_p$ and its estimate $C_p^*$ to 0." + ] + }, + { + "cell_type": "code", + "execution_count": 33, + "id": "28cae80e", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "s.reset()\n", + "s.set_constant('amp.Cp', 0)\n", + "s.set_constant('amp.Cp_est', 0)\n", + "d = s.run(20, log_interval=1e-4).npview()\n", + "\n", + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0])\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] }, { "cell_type": "markdown", @@ -239,12 +568,124 @@ "cell_type": "markdown", "id": "a752deab", "metadata": {}, - "source": [] + "source": [ + "### Intermezzo: Noise and filtering\n", + "\n", + "If this doesn't look too familiar, it may be because we haven't simulated any noise..." + ] + }, + { + "cell_type": "code", + "execution_count": 64, + "id": "9a55ff5b", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "s.reset()\n", + "s.set_constant('amp.Cp', 5)\n", + "s.set_constant('amp.Cp_est', 5)\n", + "d = s.run(20, log_interval=0.1).npview() # Sample at 10 kHz\n", + "\n", + "t = d.time()\n", + "vp = d['amp.Vp'] + np.random.normal(0, 1, t.shape)\n", + "iobs = d['amp.I_obs'] + np.random.normal(0, 20, t.shape)\n", + "\n", + "fig, ax1, ax2 = create_plot(\n", + " label1='Vp with $\\sigma=1mV$ noise, unfiltered',\n", + " label2='Iobs with $\\sigma=20pA$ noise, unfiltered')\n", + "ax1.plot(t, vp)\n", + "ax2.plot(t, iobs)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "4cf9e09f", + "metadata": {}, + "source": [ + "Of course, we didn't simulate any filtering either..." + ] + }, + { + "cell_type": "code", + "execution_count": 67, + "id": "17a417dd", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Simulate, with sampling at 100kHz\n", + "s.reset()\n", + "d = s.run(20, log_interval=0.01).npview()\n", + "\n", + "# Add noise\n", + "t = d.time()\n", + "vp = d['amp.Vp'] + np.random.normal(0, 1, t.shape)\n", + "iobs = d['amp.I_obs'] + np.random.normal(0, 20, t.shape)\n", + "\n", + "# Filter it out again\n", + "import scipy.signal\n", + "\n", + "def low_pass(data, w, f, n=3):\n", + " \"\"\" Apply an order-n Bessel low-pass filter with cut-off w (in Hz). \"\"\"\n", + " w = w / (f / 2)\n", + " b, a = scipy.signal.bessel(n, w, btype='lowpass')\n", + " return scipy.signal.filtfilt(b, a, data)\n", + "\n", + "dt = 0.01\n", + "f = 1 / dt # Sampling frequency, in kHz\n", + "w = 10 # Cut-off frequency, in kHz\n", + "\n", + "fig, ax1, ax2 = create_plot(label1='Vp, filtered', label2='Iobs, filtered')\n", + "#ax1.plot(t, vp, alpha=0.3)\n", + "#ax2.plot(t, iobs, alpha=0.3)\n", + "ax1.plot(t, low_pass(vp, w, f, 6))\n", + "ax2.plot(t, low_pass(iobs, w, f, 6))\n", + "plt.show()" + ] }, { "cell_type": "markdown", "id": "0b16aa44", "metadata": {}, + "source": [ + "## Breaking the seal: access to the cell!\n", + "\n", + "A this point we might decide the filtered current looks suitably flat, and break the seal!\n", + "To simulate this, we " + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "bf3e93fa", + "metadata": {}, + "outputs": [], "source": [] }, { @@ -265,6 +706,12 @@ "metadata": {}, "source": [] }, + { + "cell_type": "markdown", + "id": "9c29c550", + "metadata": {}, + "source": [] + }, { "cell_type": "markdown", "id": "4e957331", From f966250750d50d54cbfe21cad0e9bf1936dcaca3 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 23 Jan 2024 21:07:43 +0000 Subject: [PATCH 33/77] Working on 3d notebook --- artefacts/artefacts-3-simulations.ipynb | 516 ++++++++++++++++++++---- 1 file changed, 427 insertions(+), 89 deletions(-) diff --git a/artefacts/artefacts-3-simulations.ipynb b/artefacts/artefacts-3-simulations.ipynb index d6abad7..98cadbf 100644 --- a/artefacts/artefacts-3-simulations.ipynb +++ b/artefacts/artefacts-3-simulations.ipynb @@ -10,14 +10,6 @@ "In the [last notebook](./artefacts-2-compensation.ipynb), " ] }, - { - "cell_type": "code", - "execution_count": null, - "id": "839311c0", - "metadata": {}, - "outputs": [], - "source": [] - }, { "cell_type": "markdown", "id": "aff16dcd", @@ -26,6 +18,7 @@ "## Pipette in the bath\n", "\n", "We start by modelling a pipette hanging in the bath, but not touching a cell.\n", + "We've found a cell and brought the pipette close, but not near enough to affect the current reading yet.\n", "\n", "We'll assume that $R_s$ is the pipette tip resistance, and is $5M\\Omega$.\n", "The capacitance will probably be a bit less than when the pipette is fully submerged, but we'll leave it at the 5pF value used throughout.\n", @@ -34,19 +27,21 @@ }, { "cell_type": "code", - "execution_count": 74, + "execution_count": 1, "id": "ad57d657", "metadata": {}, "outputs": [], "source": [ + "import colorsys\n", "import myokit\n", "import numpy as np\n", + "import matplotlib\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", - "execution_count": 81, + "execution_count": 2, "id": "649e709a", "metadata": {}, "outputs": [], @@ -67,7 +62,7 @@ "Cf = 0.15 [pF] in [pF]\n", "tau_amp = 20e-6 [ms] in [ms]\n", "I = 0 [pA] in [pA]\n", - "E_off = 0 [mV] in [mV]\n", + "E_off = 12 [mV] in [mV]\n", "Vc = engine.pace * 1 [mV]\n", " in [mV]\n", "dot(Vp) = ((Vo - Vp) / Rf - (Vp + E_off) / Rs + Cf * dot(Vo)) / (Cf + Cp)\n", @@ -82,7 +77,7 @@ }, { "cell_type": "code", - "execution_count": 82, + "execution_count": 3, "id": "9759e985", "metadata": {}, "outputs": [], @@ -99,7 +94,7 @@ }, { "cell_type": "code", - "execution_count": 83, + "execution_count": 4, "id": "826d3386", "metadata": {}, "outputs": [], @@ -119,18 +114,98 @@ " for y in av2:\n", " ax2.axhline(y, **kw)\n", " \n", - " return fig, ax1, ax2" + " return fig, ax1, ax2\n", + "\n", + "blue_hls = colorsys.rgb_to_hls(*matplotlib.colors.ColorConverter.to_rgb('tab:blue'))\n", + "def blue(f):\n", + " h, l, s = blue_hls\n", + " return colorsys.hls_to_rgb(\n", + " blue_hls[0], 1 - f * (1 - blue_hls[1]), blue_hls[2])" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "44a67b84", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0])\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "7c91c2cf", + "metadata": {}, + "source": [ + "The first thing we need to do is zero the current." + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "2c84157a", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0, 2000])\n", + "for E in np.linspace(12, 0, 8):\n", + " s.reset()\n", + " s.set_constant('amp.E_off', E)\n", + " s.pre(4)\n", + " d = s.run(20, log_interval=1e-3)\n", + " ax2.plot(d.time(), d['amp.I_obs'], color=blue(1 - 0.9 * (E / 12)))\n", + "ax1.plot(d.time(), d['amp.Vp'])\n", + "ax2.text(12, 500, 'Zeroing!', rotation=-20)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "575c8dc7", + "metadata": {}, + "source": [ + "Let's look at the result:" ] }, { "cell_type": "code", - "execution_count": 84, + "execution_count": 7, "id": "f5fd562a", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -142,13 +217,13 @@ } ], "source": [ - "fig, ax1, ax2 = create_plot(av1=(0, 10), av2=(0, 2000))\n", + "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0, 2000])\n", "ax1.plot(d.time(), d['amp.Vp'])\n", "ax2.plot(d.time(), d['amp.I_obs'])\n", "ins = ax2.inset_axes((0.4, 0.15, 0.3, 0.4))\n", "ins.plot(d.time(), d['amp.I_obs'])\n", "ins.set_xlim(4.998, 5.001)\n", - "#ins.set_ylim(-100, 500)\n", + "ins.set_ylim(-100, 400)\n", "plt.show()" ] }, @@ -160,8 +235,8 @@ "On the left, we see that the pipette voltage follows the command voltage rapidly.\n", "\n", "On the right, we see a current of either 0 or 10mV / 5MOhm = 2nA.\n", - "It rises and falls rapidly, hindered only by the charging of the measuring resistance $C_f$.\n", - "A very slight dip can be seen just before the voltage increase, as $V_c > V_o$ before the amplifier rapidly catches up.\n", + "It rises and falls rapidly, hindered only by the charging of $C_f$.\n", + "A very slight dip can be seen just before the voltage increase, as $V_c$ briefly exceeds $V_o$ before the amplifier rapidly catches up.\n", "Note that we do not yet see strong capacitative transients at this point." ] }, @@ -177,13 +252,13 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": 8, "id": "70cc2971", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -201,12 +276,9 @@ "s.set_constant('amp.Cf', 0.15)\n", "\n", "fig, ax1, ax2 = create_plot(av1=(0, 10), av2=(0, 2000))\n", - "ax1.plot(d.time(), d['amp.Vp'])\n", - "ax2.plot(d.time(), d['amp.I_obs'])\n", - "ins = ax2.inset_axes((0.4, 0.1, 0.3, 0.4))\n", - "ins.plot(d.time(), d['amp.I_obs'])\n", - "ins.set_xlim(4.998, 5.001)\n", - "ins.set_ylim(-100, 500)\n", + "ax1.plot(d.time(), d['amp.Vp'], color='gray', label='Cf changed')\n", + "ax2.plot(d.time(), d['amp.I_obs'], color='gray')\n", + "ax1.legend()\n", "plt.show()" ] }, @@ -221,13 +293,13 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": 9, "id": "c6eb2ece", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -246,12 +318,9 @@ "s.set_constant('amp.tau_amp', 20e-6)\n", "\n", "fig, ax1, ax2 = create_plot(av1=(0, 10), av2=(0, 2000))\n", - "ax1.plot(d.time(), d['amp.Vp'])\n", - "ax2.plot(d.time(), d['amp.I_obs'])\n", - "ins = ax2.inset_axes((0.4, 0.1, 0.3, 0.4))\n", - "ins.plot(d.time(), d['amp.I_obs'])\n", - "ins.set_xlim(4.998, 5.001)\n", - "ins.set_ylim(-100, 500)\n", + "ax1.plot(d.time(), d['amp.Vp'], color='gray', label='Cf unchanged, $\\\\tau_{amp}$ changed')\n", + "ax2.plot(d.time(), d['amp.I_obs'], color='gray')\n", + "ax1.legend()\n", "plt.show()" ] }, @@ -269,13 +338,13 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": 10, "id": "93227a73", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -301,7 +370,7 @@ "id": "e7f2cb7d", "metadata": {}, "source": [ - "With this new high resistance, the fast-capacitance artefacts become clearly visible!" + "With this new high resistance, the fast-capacitance artefacts become clearly visible." ] }, { @@ -316,13 +385,13 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 11, "id": "a9f59f21", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -339,7 +408,7 @@ " s.reset()\n", " s.set_constant('amp.Rs', Rs)\n", " d = s.run(20, log_interval=1e-3)\n", - " ax2.plot(d.time(), d['amp.I_obs'], color='tab:blue', alpha=0.1+0.9/2*Rs) \n", + " ax2.plot(d.time(), d['amp.I_obs'], color=blue(0.1 + 0.9 * (Rs / 2)**0.4))\n", "ax1.plot(d.time(), d['amp.Vp'])\n", "ax2.plot(d.time(), d['amp.I_obs'], color='tab:blue')\n", "ax2.text(12, 500, 'Weeee!', rotation=30)\n", @@ -351,12 +420,14 @@ "id": "6ccc513e", "metadata": {}, "source": [ + "## Fast transient cancellation\n", + "\n", "At this point we zoom in a bit, and get ready to switch the fast capacitance compensation on." ] }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 12, "id": "f767e477", "metadata": {}, "outputs": [ @@ -390,7 +461,7 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 13, "id": "142c4375", "metadata": {}, "outputs": [], @@ -430,7 +501,7 @@ }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 14, "id": "63c0bc13", "metadata": {}, "outputs": [], @@ -439,15 +510,23 @@ "s.pre(4)" ] }, + { + "cell_type": "markdown", + "id": "b06d0e5c", + "metadata": {}, + "source": [ + "Because it's hard to pick just one zoom level, we will show $I_\\text{obs}$ both left and right!" + ] + }, { "cell_type": "code", - "execution_count": 29, + "execution_count": 15, "id": "92bc8b4e", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -464,13 +543,13 @@ " s.reset()\n", " s.set_constant('amp.Cp_est', Cp_est)\n", " d = s.run(20, log_interval=1e-4).npview()\n", - " ax1.plot(d.time(), d['amp.I_obs'], color='tab:blue', alpha=0.1 + 0.9 / 5 * Cp_est)\n", + " ax1.plot(d.time(), d['amp.I_obs'], color=blue(0.1 + 0.9 * Cp_est / 5))\n", " if Cp_est == 0:\n", - " ax2.plot(d.time(), d['amp.I_obs'], color='tab:blue', alpha=0.3)\n", + " ax2.plot(d.time(), d['amp.I_obs'], color=blue(0.5))\n", "ax1.set_xlim(4.96, 5.2)\n", "ax1.set_ylim(-50, 520)\n", "ax1.text(5.12, 150, 'Down it goes!', rotation=-35)\n", - "ax2.plot(d.time(), d['amp.I_obs'], color='tab:blue')\n", + "ax2.plot(d.time(), d['amp.I_obs'], color=blue(1))\n", "plt.show()" ] }, @@ -484,7 +563,7 @@ }, { "cell_type": "code", - "execution_count": 31, + "execution_count": 16, "id": "e0b31f48", "metadata": {}, "outputs": [ @@ -513,27 +592,21 @@ "id": "f0a6e7b7", "metadata": {}, "source": [ - "### Intermezzo: What's left is not due to capacitance" - ] - }, - { - "cell_type": "markdown", - "id": "b1c8485d", - "metadata": {}, - "source": [ + "### Intermezzo: What's left is not a capacitance artefact\n", + "\n", "The remaining spikes are not due to the parasitic capacitance, but arise because of the different delays in the amplifier.\n", "We can show this in the simulation by setting $C_p$ and its estimate $C_p^*$ to 0." ] }, { "cell_type": "code", - "execution_count": 33, + "execution_count": 17, "id": "28cae80e", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -551,19 +624,11 @@ "d = s.run(20, log_interval=1e-4).npview()\n", "\n", "fig, ax1, ax2 = create_plot(av1=[0, 10], av2=[0])\n", - "ax1.plot(d.time(), d['amp.Vp'])\n", - "ax2.plot(d.time(), d['amp.I_obs'])\n", + "ax1.plot(d.time(), d['amp.Vp'], color='gray')\n", + "ax2.plot(d.time(), d['amp.I_obs'], color='gray')\n", "plt.show()" ] }, - { - "cell_type": "markdown", - "id": "81082a51", - "metadata": {}, - "source": [ - " " - ] - }, { "cell_type": "markdown", "id": "a752deab", @@ -571,18 +636,18 @@ "source": [ "### Intermezzo: Noise and filtering\n", "\n", - "If this doesn't look too familiar, it may be because we haven't simulated any noise..." + "If this doesn't look very familiar, it may be because we haven't simulated any noise..." ] }, { "cell_type": "code", - "execution_count": 64, + "execution_count": 18, "id": "9a55ff5b", "metadata": {}, "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA3sAAAFzCAYAAACHARCnAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOy9d7gsyVke/laHSSffHDdqJe0q7EpalAEJBUQU+Gdji2CMCTYWNjmZZDCyscFgMGCTESCiAAFCBCEQkpC00q5W2rza1Ya7N6eTJ3Sq3x/VX3VVdfdMzzkTzjm33ue5zzl3zkx3TYeZeut9v/djnHNYWFhYWFhYWFhYWFhY7C040x6AhYWFhYWFhYWFhYWFxehhyZ6FhYWFhYWFhYWFhcUehCV7FhYWFhYWFhYWFhYWexCW7FlYWFhYWFhYWFhYWOxBWLJnYWFhYWFhYWFhYWGxB2HJnoWFhYWFhYWFhYWFxR6EN+0BbAcHDhzgN9xww7SHYWFhYWExZtxzzz2XOecHpz2O3QL7/WhhYWFx7aDfd+SuJns33HAD7r777mkPw8LCwsJizGCMPT3tMewm2O9HCwsLi2sH/b4jrY3TwsLCwsLCwsLCwsJiD8KSPQsLCwsLCwsLCwsLiz0IS/YsLCwsLCwsLCwsLCz2ICzZs7CwsLCwsLCwsLCw2IOwZM/CwsLCwsLCwsLCwmIPwpI9CwsLCwsLCwsLCwuLPQhL9iwsLCwsLCwsLCwsLPYgLNmzsLCwsLCwsLCwsLDYg7Bkz8LCwsLCwsLCwsLCYg/Ckj0LCwsLCwsLCwsLC4s9CEv2LCwsLCwsLCwsLCws9iAs2bOw2OV4/OI6OOfTHoaFhYWFhQHOOR6/uD7tYVhYWFzDsGTPYmL4xKllfPH/+SA6QTztoewZPHxuDa//6Q/g3mdWpj0UCwuLPYgk4fjDjz+DME6mPZRdiXufWcHrf/oDePS8JXwWFhbTgSV7FhPDp55ZwQNn1nBpvTftoewZXN4Qx3J5M5jySCwsLPYiPnV6Bd/zx/fhrieuTnsouxIrbfHZfNV+RltYWEwJluxZTAztVNHrRlbZGxW6oVhtt6vuFhYW40AY8/Sn/YzZCuj4Bfb4WVhYTAmW7FlMDJu9CADQC+2X3qjQDQVxDmJbs2dhYTF6xAnXfloMBzpuYWS/9ywsLKYDS/YsJgar7I0eRPbsRMLCwmIcoPCn2IZAbQmkiFplz8LCYlqYONljjP06Y+wiY+wB5bGfZIw9whi7jzH2p4yxxUmPy2L8sMre6NGNrI3TwsJifCCSZxN/twap7NnPaAsLiylhGsrebwJ4k/HYewE8n3P+QgCfBvD9kx6Uxfghlb3QKnujQo+UPTuRsLCwGAMyG+eUB7JLEVHNnnVfXBN46vKmDeOx2HGYONnjnH8AwFXjsb/lnEfpfz8K4MSkx2UxfmyQsneNfukFUYJ/+5sfx32nV0a2TWpjYWv2LCz2DhhjLmPsXsbYu9P/72OMvZcx9lj6c2lSYyFBz9o4t4YosQEt1xK+8bfuxs+899PTHobFiHB5o4c/vPuZaQ9j29iJNXv/FsBfTXsQFqNHOxBk71pV9s6tdvD3j1zEPU8vj2ybVP9olT0Liz2FbwXwsPL/7wPwPs75LQDel/5/IiBlz9o4t4YoSa321+gi57WG9W6E9W447WFYjAjv/tRZfM8775MtVHYrdhTZY4z9AIAIwDv6POebGGN3M8buvnTp0uQGZ7FtbPaunYCWlXaAH/mzBzRiu9wWXwCjtPPI1gt2ImFhsSfAGDsB4IsA/Kry8JsBvD39/e0AvmxS4yFFz6Zxbg2Rbb1wTSHmHNZos3fQk7kIu/uk7hiyxxj7WgBfDOCreJ8lRM75L3PO7+Sc33nw4MHJDdBi2yBl71oIaPnwZ67g7R95Gg+eXZWPUePz0ZI9q+xZWOwx/G8A3wNAvakPc87PAUD689CkBsMt2dsWpLK3yyeLFtUQJxyJvVf2DGhulexyZ8OOIHuMsTcB+F4AX8o5b097PBbjweY11HphoyuI7UZPVfYE2RslMSNlz9bsWVjsfjDGvhjARc75PVt8/cidL/RxtcvnOlODrNmz7otrAnHCJcG32P2gudVuX+yaRuuF3wPwEQDPYYydZox9PYCfBzAH4L2MsU8yxv7fpMdlMX60r6HWC2upZ5/aTQCQCV29CmTvw49fxp/ee3rg82zNnoXFnsKrAHwpY+wpAL8P4PMYY78D4AJj7CgApD8vFr14HM6XeI/12YviBN/2+/fi4XNrE9qftXFeS0gSbpNr9xCi9GTudrLnTXqHnPO3FDz8a5Meh8VkkST82lL2UpJHCh8ArAxRs/fr//QkPnNpE1/+ov7BtF1K47SrxhYWux6c8+9H2nqIMfYaAN/FOf9qxthPAvhaAD+R/vyzCY4JwO6f7BCubgZ41yfP4vaTi7j16PzY90fKnq2rvjYQc77rLX8WGayN08JiCHSUoJJrQdlblzZORdkbwsZ5aSOoROCssmdhcU3gJwC8gTH2GIA3pP+fCPZaGmeYvp9oQtZ3UgassndtQNg498a9YpHV2u72UzpxZc/i2sLX/NpdePF1S/iql18nH+tdC8peAdmj6N4qJO7KRq8Sgctq9uxEwsJiL4Fz/n4A709/vwLgddMYR9ZUfZfPdlJMmnzRcbMLctcGEm4DWvYSgj1i47TKnsVY8cSlTTxwZhVtJaikew0oe0Ty1Jq95c3qNs4rG0FFskfK3u7+ICrDH99zGj/4rvunPQwLi2sWWVP16Y5jVKDP1YkpezKgZY8cQIu+iBM+NmJAdf8Wk0NkbZwWFoPBOcfVdoDNICM914KyRwEt6yrZI2VvAInb7EXohHElAifJ3jbrQda74Y5sdv+Bxy7hL+87N+1hWFhcs6Bglj1j44wnq7RZG+e1A845Ej4eFehTz6zgJT/+Xjx5eXPk2x4VVtt7r5l8aNM4LSwGI+FiNWrTKnsZ2RuwwntloxopBJSm6tucSHztr38Mb/vLh7e1jXFgsxfJYB8LC4vJY+/ZOCdM9mxAyzWDRKrgo79XnrqyCc6BS+u9kW97FLj31DJe/OPvxenlvdU9zdo4LSwqIOEcVzcyZc912DWh7MmAlvQn5zyzcQ6YZFzaEB/mYZwMXE0nNW67q8YX1np4/OLGtraxVTxyfg3vvKe4zcRmL0YQJbbexcJiSuB7rPUCfVZOyvpuWy9cOxjnwshaR8wfoh16HV1Y6yFOuFys3iugRRpr47Sw6IOECysjyftLrdpUlT3OOVY747camAEt7SCWX/bBALJ7JSV7vIIdJKvZ294x7UWxJJmTxu/edQo/8mcPFP6NFglUhdTCwmJyyNI4pzyQESGKR+OGqLy/PRrQwjnHenfytr3v++P78GsfenLi+62CZIxtSqh1U7hDFSZaFNprSaTRHnE2WLJnMVbQBwBJ+/tnalOtDfuHRy/is972d5JQjQv0JUhkTy2sHrSifGWI53aj0axS98IEl6dE9jpBjE4YF6qYRPI2LNmzsJgKpDVtl092CDR5i5JJkT1a5NtbZO/vHr6Il77tfbI+fVL4yBNXcM/TVye6z6oYp7K3usOVvXiP9eMk2D57FhYVQDfIM1c7AIClGR+9EX7p/f0jF/C977yv8vMfOruGIEpwfq07sjGYiJUG8kRWaFWOscFf+pcVT34/608YJyOL9e7FCVba4VQstt0oQcKL3yvVerZt3Z6FxVQwTrViGgilw2LCaZw7dJK+VZxb7aATxrJkYVKIE75j06fHSXiI7O3U905veVKLKJMCzdd2++1ryZ7FWEEfAKdXUmVvtj5SZe9PPnEGf3jPM5WT4s6sCJI3Tiunmjwqlb00nGX/TH0g2dOVvfLnqsfR3ObD59YqWx855/L10/Db0/voBkVkzyp7FhbTxJ5rqh5PWNmbsG10UpCT4AmTjyThO/ZYUn+9cdS3SmVvh5KpZI/YHU1YG6eFRQWoyp7nMMw3vJEqe4+eXwfnQKcigTy7IhTGtc74yAOtdC40fUlSqKH64fn6wBVe1U7Zn+wlhc/rRTHe/Av/hHfc9XSl8arnYxpWTiJ75jnknNuaPQuLKWOcCYPTwKTJF00Sd5uN89Hz633HLFMKJ3xdxHznkj0iBuNoqr4ibZw78z5M9mjNnrVxWlhUAN0fZ1c6aNVc1D13ZMpeL4rxRNpzRm3t0A8Z2RufskfhLEcXGuiGCaI4kTV7h+cbg22cKtnrYzVSj6Nq7VhphwiiBJcrqnQq2Rt3rPOj59fxmUt66mcvJa0m2euGiZxoWrJnYTEdZDbOKQ9kRAil9X0yk7esr9/umSyudUN80c99EO++72zpc+i7KZ6w0pTwnXssk2R8hGdN2jh35o0oF4V26LnZKjIb5+5+X5bsWYwV6mrPTN1Dw3dHpuw9fnFD3oDtYDAZ4JxnZG+MReUUznJkoQFAENHldgjGgAOztYHKnmql7PdcIntN39W+AIhYVrU+BhMke9/6+/fiR//iIe2xblon2DHq8nQ7rK3Zs7CYBvaajdMqe4PRCWJECe+7KErHb9JKzk62cU6iZm+nKmfjJLoq2kGEH3zX/RNLgg2npGCPGpbsWYwVqvQtlD0HQZSMxObwyLl1+Xs/YnNhrYvVToi1btage5zK3novU/bE/0OstAMsNH00fbeSsndgtgagvz+fbJxzDU/78lsmslexcF4NZdkK2eOc40OPXR54TttBhE9fWJfjI5TZOFU1rwqZt7CwGD32akDLpOxwMhBmhxKUIsQVJu5yEjzh62In2zjpWIzD8rfT0zgn9Tlx/+lV/M5HT+HeUytj3Q9hnNbcScKSPYuxQv3MI2UPwEjUvUcvZGSvX1rjv/3Nj+OH3vWAVPWA8Qa0UM3ekfkmAKHsXd0MsNSqoeY5fb+oojjBcjuUqmBfG2dK0uYankYgl9Pkz6rWx+3W7D1yfh1f/Wt34UOPX+77vIfOriHheVWVSKtp71WtuTagxcJiOhhn6MQ0EE64yfmoEpMniSqkJZgW2Uv4zq1bS0/xqNWtIErkHGenWlhj6eIa73U+6VYwWVP1iexubLBkz2Ks0MhezUPdE5ecqiZtdSL/8Lk1uA4D0J/YnLrSxseevKqRvbUxxkWrNXsAsNELsdIOsdTyUUuVzSJwzmVq59EFQRSr2DjnGr72BUDbWK9K9pSgl600Vqe2Esvt/jWC959ZBZBXVaWy18fGaWv2LCymA5rE7RGuJ5WRSSkkskZwF9k4q9RpTkvZSxK+Y1VSuldGrQKpi9M7No1zQiSMrs1JLZ4E8d5wNliyZzFWqCuDM3VXKnuk5pxZ6eCOH/1b/FOqCgVRUjnA5dHz67j16ByAcmWvG8ZY70U4v9bFJ04tAwAOztXHG9DS02v2NnoxrqTKnu86iBKe+zJY7YS488f/Dr/4D58BkBHFKmmc802/0MZZlSCpX5yX1ns4s9LBj7/7ocqTITpfpMT96F88iG/7/Xtzz5Nkrxtp9T9VbJxVA3gsLCxGi73aVH1SCgkFmOxUglKESsreCIMrelGMt3/4qUrb2g02zlGr4CrZ26nK3qRq9iad+mnTOC0sKkCv2fPQ8HVl7+nLm4gSjg88dgkA8F1/9Cn829/8+MDtXt0McHG9h5dctwSgXB1Ue9a95/7z8F2Gmw/OjN3GyRhwaL4uxtaNcHq5jeNLTdRSZdP84r+03sWVzQC/+eGnAGTKXpU+e3MNTyOQpLBVVUx76Xb2z9RweSPAOz76NH71Q0/isYsbA16pj4Pq6u47vYo//9RZXDAa1z+Qkr044Ro570bFaZwqwbPKnoXFdLDXbJz02TspwhApaZy7JeSmSv1V1q9w++/po09cxY/8+YP45DMrFca289sPjDqRUlP2Kmx7vRuOtJ9xFUyqZi9r3j6ZayCakoI9aliyZzFWqPfHTF20XgAyVYrI2L1PryCKE/zDIxfx8aeuajbPIjyW1uu9KCV77RIycFkJHHny8iaOLDSw2KxtOY3z5//+MfzU3zza9znr3QizdQ+zdQ8A8MxyG+vdCNfvn0HNLSZ7dDyYcKVWUvaIHM03xH7CdAV5WGWPavZOLDVxab2HDz4mVNbzq91+L8uNg8jZRjdCwoG/+FQW290OIjx+cQOH5gQBpuOfJFlD91zNXkoem76rWTotLCwmh72XxjlZG5g6Kd0t6h4Ns98El97LKCyLtODYq0BQdnQa59iUvWzRuoqN89/8xsfxE3/1yEjHMAiTImFSQZyUDTseX+jOJGHJnsXYQJODuZSMFCl7pELdd2YFnzq9ivVehDDmeFhJ2iwChaAcW0xDUEpsnBQ4Qvs9ttDEfNPbclP1v3nwAv7u4QsDxzbf8DFX9wFkitYN+1uZsmfUb9AX53e98Tl4y0tP4uaDs+nzyj9gekrNnrpNCmhZr5zGKV53fKmJjV4k7ZbnFWWuF8X4gT+9H2eUukcCEVUiZKQovuuTZ+RzHj4nwlle9awDALKm9mo4TK5mL93Oofm6bb1gYTEl7Dkb54RbBqjHbada8ExI0tJP2YtGdxzpuFQJbos537FtLKqkmG4Fw9o4L653cXo5/109ThAJG3dq5SRtnJzzqQURjRqW7FmMDXRvHJwVas5M3csre2lPuW6YSAsjANx3eqXvtukGnGt4cB1WqmLR9l/9rIMAgOOLTSw0/S3bOC+sdWUgSRk2eiFm6x5m6uK9Pnh2DQB0Zc/4sqKQlBddt4j//s9eiGZNPK9SzR4pe7Fu4+xFSaUVUCLex1PiTDinKHufPr+Bd9x1Cr/z0adzr8+UPXEONoMITd/FA2fW8PhFQdrvPy0I5Ctv3g8gU/ZUNa+sZu/QXN3aOC0spoS91lSdAhcmFZiifgbvlpAWec77qBmjDGihbQ0ie5xzcL6De82NK6Clrdo4B19DUczRCSf7nTkpEiYJ9QQWTtT3YsmehUUJ6Obfn/aMm6m5UmGjSf7VzUAmav7lfWdx08EZHJit4VPPrPbdNpGluudgpuaWBrRQuuTrbz0EADi62MB8w0cnjIdeHYziBJc3eljp9E+dXO9GmGt48FwHDd/Bk5c34TDg5L6sZs8kYUS4iAz7bhWypyt79NyrSp1iFZIUSBtnCwCw1PJxYLaO86vZyiARyL9+4HzOztVVyB7nHBvdCF9y+1EAQgkFgKevtjFb93DLYRGoQwE53agP2Qti+C7DYqtmyZ6FxZSw92ycac3eFJS93WPjHExawhGmFFbtRbjT21iMz8Ypvv9mam4lMhUlPOeUGTeyhvKTar0w/mtAJZTWxmlhUQK6OQ6kyl5LUfZoBe/qZoDr97VwcK6OhAOvuGk/XnhicaCyR+So5jmYqXulZODyRg+zdQ+vetYBOAy48cAsFlqCHA1bt3dlM0DChaLWr/h5oxdhNlXbqG7v2GITdc+VJC5n41TIK1CR7EUxXIehWXO1bay0Q8yl+60S0qLW7AHAq285iOOLDZxfy+odiew9eXlT628IKGQviNGLEkQJx/X7Z7BvpibbXVzeCHBgtoaFpjj2ZDHtKm0fugU2zpm09tHW7FlYTAdVVJ7dhGjChCGMeamjYxK499QyVga0xTERVwjbCEZoh5W9DwccHxoXhd1cXOvif/71Izum4TXdK5yPVt1b6QSYTfsUV7luzRC0SYA+Hsat7HHlGhg31MWHHbq+UBmW7FmMDXTzX79/BostH886OFuo7O2bqeFFJxcBAC+/aT9uP7GIxy9t9CUqGTly0aqVB3gQyTi5r4X3fOtn4813HMN8qoQN235BTZfs99qNbiTVNiJ7N+yfAQCp7Jl2lV4J2Qv6fKB1wwQNz5GvCeMEvSjGRi/CyX1CpatE9tJzcfPBWczWPXzxC4/iyEJDU/ZU6+pf3X9eez2tIG72Ikm65xoeDs7WcSkNyLm83sOB2bq0nFazccaYqQk7rG29YGExHUwqZW9SoMnypBId4yTJFuSmMGN8y698tNB+3w9VElhH2XpBKnsDyJ4q5kQJxz88ehG/+P7P4Jnl9rbHMAqo19QoF0dWOyEWmj48l1W6bqM4yX2fjhvymhnzfVVlIWJUUIn1bl/ssmTPYmygScJSy8cnf/iNeMXN+wuVvX0zNbz8pv2ouQ5eftN+vPDkAjjP6ryKQK+veY5QfkrIwJWNHvanyuJzj8zDdx3MN4lwDCZCZ1c6eO1PvR+fvrCOC4rStdKH7K2laZyAqFMEgOv3t+R4gSIbZ0ZeAciV4H41Hp0wRsN3FRWQS1JGKl0V+yPt++BcHZ/84Tfg8593BEfmG1rNHil7L75uEX/9gE72yIq5GcSSXM7UPByYq8mAnMsbguzNGURbJ3v6exXKnouZmle5jYSFhcVoQRPs3W5jIlS1DI4KUczRSsnepO2HScLRDZPSALMyVLNxpmRvBNdFRvb6j1O9BsM4GSnhHAXUYzHKMa0R2XOcSsrZNJS9eEI1e7T5cAI2TvV+3Snq8VZhyZ7F2ED3hkP9BICcsndlM8D+2Rq+5hXX473f8Tk4OFfH7ScWAQA/9u6H8IPvur/Qbklf1HXPQavmyR5vJgTJqGmPkZVwtRPij+5+pm9vn79/5CKevLyJjz5xRVP2ikJafvWDT+AH/lSMd96wcUplb5CNMz0+vieO2aCaPZ3sJZKUXSeVveIP/NPLbbz1HZ9AO4g0C6mXbuvIQhPr3UypW94MMN/w8MbnHcGjF9ZlewcA6ATi9e1elJG9eqrspWSPznPNc9D0XUm0VRtnLo0ziNCqeZipewgqhs1YWFiMFrEMnZjyQEYEUkYmFd0eJVwqe2GfdOVx7RsYfqJaZeKeBbRs/zhKG+egmj2N7HG5ULlTyJ56KEY5JlL2fJdVar0wjZq9SaX2TkpBBAyldodcY1uFJXsWYwOtwilcD3Wf0jhjJAnHclsoe77r4PqUEO2bqeHrX30jOOf4nY+ewgc/fTm3bUqvrLlOX5ufsHHWtcfIxnl1s4cfeNcDfS0udz15FQDwxKVNXFR69plpnpxz/NIHnsA77jqFIEokyZstUfbMLzVZg+hWr9nrhQnqvgPfZXKbFM4ibZwl6uVfP3Aef3n/OTx+cQO9KIHrMEn0gKzPH7VfWG6HWJqpyWOptnWQyl4vkudhtu7hwGwdl9cDRCkJpdeK1hd6QIvDCvrs9aI01VQcw7Zxju95ejmnMhYhTjj+9N7TucndH3z8FJ65ujPsPxYWOxV7rak6BbMkfDITuChJpLIXxBNWWyq0AgiiBH/yidNaAE8VNTcLaNn+OGUaZ9h/YyppFWULk22jMQiasjfC+2WlTTZOp5KNUyh70URDlZIK19pI9kM1exM45+o8bbc7GyzZsxgbeHqfMIXt1ZWatbVuiDjh2DdTz732h774Nrzzm18JAHj66mbu70GcwHcZHIeJgJYCZc8kGYT5VNm7+6llBFFSqgpyznHXE1cAAJ+5tIGLa11JXM2C9/NrXVxa7+Hffe5NeOtrb8aX3H4MAGRQyw0H+it7PUPZ8xwicP1q9mI0PFezfC5vChJ1cl9/Gye1g9jsxehFsdwG4QiRvVUiewEWWzXMpJMW9XhTsMpmEMv9zTY8HJyroxPGeGa5A86BA2lD9bmGL9VaqhdcbNVyNQbtIMZM3cVs2sJiwzhPv/yBz+C/vvuh0uND+MBjl/Dtf/ApfCQ9l4CoGfzeP74ff/yJ0wNfb2FxLWNccfLTgrrooy6mfcvvfgK/+sEnRr+/hKPli++Bfn1TxwFSgfqR2n96/DK+4w8/pfW2rRTQEo1S2RsujZNes9NsnOo9Msr7ZbUTYrHlw3PYQIcL5xxRwpHwan0LR4Wstne8+8x6QE7YxmnJnoVFMejmcFRlj8heGEsVat+MX/j62bqH/TO1QvUliBJJUFq14pq9q+1AkIwSG+c/PS4UwzJv+5OXhZpX8xw8cWkTF9a6OJm2JzCVvfvS+sLPf94RfPfnP1eSO1KlyFZZVrNHX1r0nhhjqLnOwDTOhu/A97KaPbJx0jjXS8meGO9mL0IvSiTJJByZF2SP6vZW2iGWWn6msinES+2zR/ubrbuSZD98ThDLg+l5mG9kTe3JxrnY8nO2k41elAa0iH2eX+3gS/7Ph2ST+rVOhAtr3YFfqvc9kz2fcCF9X5MuYrew2G2g9abdPtkhhCVk74OPXcY9Ty+PdF9xIvrCNaYU0FKlOTq5SoKC+qR+w5VBN1NI4wSExW6UiaCjgHqcRzmmzMY5uGZP/fMkrZyTqtmTqZ8VbZy9KMZrf+r9+IdHLw69L93GOfTLdxQs2bMYGzKyl7E9xhjqnoNelChkL6/sEa7b38LTV/JkrxfFkjiJPnt5UnN5XWzfVPbqnoOa6+CpdLtlZI8snF/4/CM4s9LB01fauOngDByWr9m77/QKPIfhtqPz2uNvuO0w/s0rb0DD1/vn5dM445yV0ndZ34CWbihS3rSavfSYUs+8ImWvE8R4/OKG+Htas0cknEDK3oW1TNlbatVko3i1FpDsl1HC5f5n6kLZA4BHUrK3X9o4/Vwa51KrVmjjnKl7mKkJsvePn76M+8+s4t60xnKtGyJKOK4o9YOPnl/Hj7/7Ie1L9/4zK7ljQfbUQbYhC4trHZmNc8oDGRHUyHa1fm+1E448CIqUtZZPNXuT/byJKljrogJCKANaKjRVH4WCVbWpuirmBJqytzM+x2PNCjuaGyaIhF1V9O4drOypNX3tCS5m0lsfh8r64NlVfOKUWIiRNs6KH0irnRBPXt7EY0bLqCqwNk4LiwrIAlr0xxu+i24Yy0n6/pkaynD9vmKyF0SJJHutuod2EOc+XCkJkuyDBMaYtHIC5atfH33iCg7M1vH62w4DAJ64vImjCw0sNP1CZe/Zh+ckqSO89jmH8F++9Hny/0SqcjbOME+4fG+AspfaONWaveV2iNm6h2bawL5o8vLI+TV5btppbzxKASU0fBdLLR/n0vYLK21hI2nVqH5OVfayMV5cFyRqJq3ZE/sTH7KyZq/h59I4l1p+YVP1Vt2Vyt7HnhQ2THotEUY1OOdXPvgEfvVDT2qhO/enSqB6LMieOukidguL3YY9Z+NM8sreivxMGTHZSyek00rjrJKqWUTskgoqTTDCernKNs6SNM5JtdEYBPU4j6pmj74XG74L3xlcs6eSrc4E+9OOs2bvp//207JkY9jm7XSNbGVhV12c2SlW4a1i4mSPMfbrjLGLjLEHlMf2Mcbeyxh7LP25NOlxWYweVBys1uwBKFD2ysnedftncG61U5heSQSFarrMVSxJ9mbzyiG1XwBQWrP38Sev4mU37cNNB2blY4fmGlhs1bTWC5xz3H9mFbefXCh9H4SaYrnU3k9cQPZcp2/NHrVeqBlpnEsz1OPPLyR7VK8HkI0zU0lVHFlo4vxqF0GUYKMXYalVk4EzapR3Tznu1J5ippYpew+fF/sjO+1808vSOCOyceo1e/RFPpv22QOAe0+tAMjIHoXEEHGL4gTve/gCAMifF9e6ckyqskcEsWtEfZ9b7cjrxsLCoprKs5ugJmISuSBHwkZB8vN2QBPfafXZq6LsFRHCKgQ/C2gZIdkbqOwZNs4dVrNn2kxHgZ5C9rwKaZzquZ5k+wVJwsZAvIM4S+POWi9U248ke1tQ1UObxrkt/CaANxmPfR+A93HObwHwvvT/FrscdGs4BtkjZa8S2dvXQsJFqwAVQawoewVqEwBc2UiVw9n89ueVpudF6k43jHF2tYvnHp7DjQdmZDDL4fkG5pu+FtDyzNUOVtohXnB8sfR9EGSzdINk9MIkR7gG1exlaZwZ2bu6KeyW4r25hWmcD55dw1waHLPZiwtVRUAkcp5b7WKlI97rUsuXK9SbPb1mj0jghbUuWjUXrsOwb6YGh4njU0/7IQKZssc515U95TxQ8mar7snX0Yf1avpaInsXUjXxnqeXsdwO0fRdvO9h4c8nVQ/QA17IxmlaR7/ld+/Fj/3F4NAXC4trBXuuqboyWaYJOX0XrY9c2UttnET2JmzjpIl3P6Iu6/q0HnH630xwntXLjYbsVavZU99HECc7umZvVIsjVNcuyJ4z0L6oksxJkj1ZSzeGc5FwLq/JYVsv9CTZG/5YqJ8Vu32xa+Jkj3P+AQBXjYffDODt6e9vB/BlkxyTxXhQFNACCGWvGwpi0qq5OeujCmpZ8LQR0tILs4CWrI5M/6I+t9pFzXMwV/dggkJaXnL9UqGvnYJJji020ay5OLYg0i0Pz9exaNg4P3V6BQDwwhPVlb2i1gumldIf4M+XffZILYw4VtJCbkAkYhbV7D14dhUvOL6Ahu+IPnsFqiIgGrOfutKWE6GlmZq0VG4aAS1EqC+t9+RziPABQl0lhXe+6Ys+QGGMbihSVWfqHnpRIj/IiZjNKjZOwlo3RDuI5Rcrha2896ELqLkO/t3n3oRHL6zjmatt3H9mFYyJyZZWs7faS8euH99zKx2sj3h138JiN4Pmbrt9skNQJ8P0+UqfcaOu2aPPqKY/LWVvMBnKVLzsManSlJxzdXujtHEOmpBraZzRDkzj5KM9LkDmPmn4DnynirKn9K6dYM3eOFMykyQjeVnrhSFtnFtR9qyNc+Q4zDk/BwDpz0NTHo/FCFDUVB0QK1S9SCh7/VQ9QNTsAcCpK3lljxIkKcBDXcV64Mwq3nHX03jlzftzNlJApD/ONTw898hc4erX2RVRq3ZsUZC8mw8JK+fh+QYWWzrZe+jcGnyX4dmH5/q+F6BPU/USG+ewNXvrCtmbqXm5NM4wTvDI+XU879g8ZmoeNnpRquzlCfezD89hvRfJNM2lVg11z4HrMK3nXTeMZd3lhbWuRq7JQqsmopKqutaJ5HugyRB9sZFK26plAS2AWDhY7YSyXg8QKh3nHO99+AJecfN+vPmO4wCAv3v4Ah44s4qbD85i30xNS2y9UKLsrXbCPRNEYWExCmQ2zikPZETQ0zhTZS91aqiLSKNAZuMUn2GTDmipMgGPjEk0oEysS46FFkk/SRunQaaIHO4cZS/7fVQ1rvQd1fBSG+dQNXuTI3tV6jy3s225KDFkEAwtsGypZk+1ce7yxa6dQvYqgzH2TYyxuxljd1+6dGnaw7HoA/qwM7lWwxfK3pXNoG84CwAcnKuj6bs4ZSp7karskSVREISVdoB/99v3YP9MDT/1L24v3O5bX/ss/PxXvhitmocgSnINt8+kZO94SvZuSlspHJqvY6Hpa2mcT1/ZxMl9rcK6NxMZMdM/OIpsnL7rlPZlagcRNoMYSy1fq9lbVZW9el7Z+6fHLyOIErz0xv1o1d00oKW4Zo/I68fSVNLFlg/GGFo1V66ACytmIpM2l9uhpsRR3Z5aN0n1kmvdUCiavitrWujLaUO2cPDQ8B2pDr/wxCLWOpHWRuH8Wg+fubSJp6+08YbbDuPGAzO46eAMfupvHsUHPn0ZLzi+gNm6p63aF5G9IEqwGcQTbURrYbHTsedsnHGChq+3wFlWEn2LrO9bRT6gZbLHsChp00SWtpqvTyqb4Kp1jyNtvTCwz172e6A0Vd8paZzjCGjJ2zgHKHtTsnEOS8KGAefZ8ZRBMFVtnOE2bJwjXtSYJnYK2bvAGDsKAOnP0oYYnPNf5pzfyTm/8+DBgxMboMXwoM86U9mre0LZW66g7DHGcF1BIqeWxpl+kdIH298/chFnVjr4mX95R2E4CyCIzOc++2D2WkPhObvSAWNZC4IvfuFRvPmOYzgwI2yc1BAeAJ663JYK5CBQ/7yipupFaZxllo0nLolG8zcdnFXqAEWj+vk+Ns5333cOc3UPn/PsA5ipeVmfvUKyJ9TMu54QZI9qAWdqngy1oS9bVbkjWy0AHJwtIHtS2QvRDcXEi6y8ZDuhc9mquWBM2DyPLzZxw/4WVjuhtFq2ai4urHZlLPPLb9oPAPjRL30evviFx/C6Ww/hq152HWYU4hvFiQxhUckeqbV7xa5mYTEKZCvqe+O+iBIunQT0+Xp1M1u8W++NzsZN259WQEuVPntRgYo3qKm6+j4mGdBSZuPcKcqeZm8dEbGXyp60cQ6j7O2NNM6Y85yNc5CdlRDE4vhtLaBFvc6HfvmOQr6YaTr4cwBfC+An0p9/Nt3hWIwCsmbP4BEN38FnLnXRDmLccni24JU6RK+9Te2xXpTggKcre6TcnF4WqtztJxcHbrtVzxQlIiGAIHuH5uqSUN55wz7cecM+AMBCqwbOgfWuUNFOXW3jpTfuG7gvQs3Lkz01XVQ+r0/N3hOXiezNSLK31g0RxjyzcRpqVhAl+JsHz+MNzzuMuidq4WSfvYK6ycVWDYfn63JfkuzVXZnGSUrcfqVX4qxq40yVPTUkh8joWjeUdYdE9uiLjcZN53ah6eO2o/OYT+slycb5rEOzOHW1jU8+s4K5hicV2M++5SA++5ZsMWim7mE1tWpd2ujJVciORvbE3/eKgmFhMQrstTTOKOZo1Twst0PpnFhWArdGGdIibZz+dAJaqih7RTbdZMDrNLLHVXIR47vf+Sn84BfdJhdKq2CrNs5RhsSMAlqfvZEpe2oa5+DWC9NK4xxnixZh4xS/y+btFcn0qNI4d/vn3zRaL/wegI8AeA5j7DRj7OshSN4bGGOPAXhD+n+LXY6ipuqAmHifW+3CYcCbnndk4Hau29fCqattzV4XKIEmRAhIbTqz3MHBuXrf4BeCqQoSzq50Zb2eicWUrKx2QlzdDLDRi2SQTBXUCvrnCTtjQc1eiY3ziUsbYAy48cCMtIZSE3kirXMG2fvgY5ew3o3wxS88CgCp2hWXKntAZuWse45cnZ6pe7KmjsiSSuZUsles7Im/r3fTmj3fkZOhTiCOC51LOrf/61/cju//wlux0PSx3g2lCvesQ7NYaYe464kruOPkIhwzDUiOKbOeUquGw/N1aZEBVGWvcBMWFtckaAF9t69sE8I4kZ9lmbKn2DhHGNJCE1LfdeC7bArK3mDlq0j9G6TslQVXfObSBt593znc8/TyUOOk77mBTdV3U5+9kQW0kI3TGRjaZu53Gq0XxlOzl72vYVM/q6RxnrrSxve+875cOU84YgV7mphGGudbOOdHOec+5/wE5/zXOOdXOOev45zfkv400zotdiHo3jADUr799c/G//vql+Aj3/86vLEi2euGCS5vZF/IauuFGdkOQNzMp1fastZuEJq+ThQJZ1c6pWSPlLOVdoinUnvpMGTPd1mhjZNq7wie65RODp68vIljC000fGFz9F0mrYmqstcNs3rEv7zvHBaaPl79LKF4zaQJlWU1e0BG9kjVAyjZUhzrrHVCTdbVzWjKXprGOafW7Bk2TiWgpSOVvTjdlnj8ZTftx40HZjDf8JHwLC31lkNifJ+5tIk7+ii5wrIqtkn1etfvn0FX+TKkOkxbs2dhkWHaTdXfde8ZfPrC+si2F8ZJrsn5cjvAYkt8Lo0yjZfIpOewdPFuwspehV54cYFN17TMmVAnwVFBL7KqFju5vaRiU3XlfQQ7MI0zHgfZS78T654Lz3EGkpxRpXE+fnEd/+d9j1V+Pl0q46nZ47nPoarXmCR7fQJaPvT4ZfzB3c/IeQWBrvOa59iAFguLMnCp7OmP33BgBm96/pFKyhsgWgAAeq+9QCFH1GeParLOLHdwfKka2WsZwSA07jMrnVLCSJOClU6IU1eFxfH6/TOV9gekNk7jS63IStnXxnlpEzcdzPbpuw4up6vTFIAiG6CnJOehc2v4rBuWtP6E7aC8zx4APIfI3oyu3FHrhY5iMaHUTFXZO7EkSLB6LBeaPhgDLm8E6EbCxtmsOdr26FyqSZz0WiCz6t5yKLMB335isfA9ANBq9kjZu3H/jNZUncjeTpk4WFjsBBSRgUnih971AH73rlMj214Uc/ndEyp99qjuehw2Ts9lhY6OcaOSjbOgF9+gWj/1+6uo3cCwdlXZemFAYmKZjXOn1OwVHcPtwmyqPpyyt/Vr+a/uP4//9d5P5xKrB+13WKJfddsyKIpaL4zQxkl9j81jS/toeI4NaLGwKENZ64VhQYSBJvhAqoSlBKXmOai5DjaDGEnCcXalKwniIBTZOK9uBuhFCY6V1BxIstcO8NTlNhhD5f0BqB7QUpK8xTnHE5c2ZH0aII7B5XVd2SPSRYEDm0GEOaUucbbuYjOI0IuLWy8AkDWVS63sdUQSgSwprFlzZf2jquzdef0S/vQ/vBIvvm5Re18HZ+s4t9rJB7QEWesFxrJaFwIR2WeutlHzHFynKKp3KPswQQSVc47zaz34LsPRxQbCmEvlc8XaOC0scjBrZSaNXpxsKUmvDGGSSDeImsZ5MiV747Bxeo4j0pV3ItkrsGzGXP9pQp1oq5P7bMI/3LVCNs5h0jhVG+dOSeMsssJuF1kap7iGJlWzR/dGVdI6ztReYePMfh9mP0EFG2dYooDTMWj4rq3Zs7AoQ1lT9WFxXCp7GdkLDHIk2ghEuLTRQxAnOFHVxllA9s6uZA3Vi6DaEE9dbePYQrOULBWh6Eu/yEopyF7+A+bieg+bQYybDs5qz72yKcge1ezNNnRlr92LtaTMVt3DRjfKHUsVtxTYOGeU+resB5BTqOwxxvCi65ZyVt6ji02cW+2iF6atF3IBLTFavpurwaNjf2a5g/mGj8PzgpCfWGqWJq+KMXtIuFAOL6x1cWiuIYk+1UTYNE4LizwG9VwbNyIlYn802+PSDRLFHN0wxmYQSyv+aJW91MbpUgrzZI8hkaB+xKMogCcLaCk+7mUphfR+h1UwpY1ziDTOIMqui52i7BW1r9gutICWCk3VR9VnLxzQfsPEsLV0Jn76bx/Ff3jHPSXb5tIplrVeGNLG2U/Zi+m6zZM9xsT8arfXLFuyZzE20JdHUVPzYTBb97DU8vM2ToWgUINwIoSkBg4Cfel3wuwL/ozRUN0EKWeX1nt4+srmUPV6gAg7qarsFX35ZW0XFGXPdeQKoFqzBwAbirKn2iJnaq78YC6r2Zute3jpDfvw/OMLyuuUgJb0y6RZc+X+VLJXhmMLDZxd6WRN1Wt6zV47iDSFkEBE9vRKB/MND/MND62a27deT4xJbH+jF+HCWheH5+s5gklpnZbsWVhkmGZT9SQRKXyjInucc0RJZuMM4kTat48tNuE6bCx99jyHFdr3x41KNXuSzCuPSZWm+DV6QEu+fm/YfoJbTuPcYTV74wloieGmNZ9eugDcr658VH32iExVXeSp0uajHx69sI4HzqwV/i3hPGcnr0oqgwo1e9miQd7G6bsOXIft+nnBTmm9YLEHUdZnbys4vtSURC5OxBe2SlBaadgIEcJha/ZI/QJEOAuA0pq9uufizuuX8LsfewZhnOALXzA4ZEZFUeuFXlHrBa/Yn//E5Q0AMJS97BjPNXTStdGLEcUJumEiyS2g2y3LlD0A+MN//wrt/626h3YoLLNU89b0XXksi0iaiaMLTfzjpy+lbRfUNM6s9UIRaSQiG0QJ5pqiyfvP/asXacS3CDKxtSeUvWcfnpM1krRPaePc5St4FhajxDSbqldVfCpvz2hyHsVcJnHun6lhtu6NNKCFjpmXpnFOOqClygS8qIF6RgCLX9dTA1oKCM7Qyl5FG6eWxjnCPnv3nV7B9ftn5PfLVqEOf3TKXoJG+v3sp06XOOHw3OJ5FREW12HbCmihe6XqsZUkbIvJqKSyFyFOeG7RqXLrBdlnr/xYlF1HYSyyIVyH7ZgFha3CKnsWY8OobJwAcGKxJYkc3ZgqObpuXwsPnFmThLBqGmdRQMvZlQ6avitr84rwA190Ky5v9LDaCXHdvurhLEC+Fo9znlMqi55HeOLSJhq+g6PzDe25gCB4nvI7AGx0I9k0XrVxqipfUZ+9MszUXPDUEknHreG7cn/qPspwbLGBdhBjuR1offbUpuqtgu0sKOeEWji8/rbDGvEtHLPSi/Hieg+HlNYc9CVAK/y7fQXPwmKUmGZTdZpwjkrZo4mwmsZJPfaWWinZG2HNHn1+k7K3IwNaCohdUkAAVaikVX0d7a+qxU5uT6kPqzJWes0o+uxxzvEVv/QR/M5Hn97yNuT4xmTjpO8qNyV4/QgY/W2u4Q1t4/yju5+RybfhkMredheFooSXklPOM/Fg2KbqpOj1D2gpbuERxgk8l4Gx6dUsjwqW7FmMDVnrhe1v60Sq7BExAnTr4ec//wjOrHTw1w+cx1LLr6QuAZmNU7U7nFnp4Ohio6/99EXXLeHNdxwDANwwpI3TtPPQ78UBLfkPmKcub+KG/TNaPRuRPSJAgFqzF6EtWxlkf1fJVD9lzwRtYzOIlB5ALlrp43ONasoeID7AG76DuueAMb2pupnECQCzNU9eT/ON6quwRESvbAZY70Y4NN/I9fazNXsWFnlMs6l6JO19owloIQWpqZA9Uvb2zdQw1/BGWrOXKXtsKgEtVQJTIkMxAZQE1rI+e3Ge4In9UQuFrdk4gf4qrnoNbirf2dvpsxekrpdRnHeNMI8woIXInu+I7+l+iwaUrjrX8IZK40wSju//k/vx+x97BkB2TKsre0ifv7VrPE54qdUy4Ty3KFHZxhlXIHup+lfUZ893HbiM2TROC4syjKpmDxBkrxeJXnu99MZUyd4bbzsMz2G4/8xq5Xo9QFgdap6DtlGzV2Ub3/8Ft+JLbz+Gl9+0f4h3kk/j7EXlZK9ociDGpyuXfvraecWGMlujNM5ItkqgFW2guo3TBCl37V4s+9Q1fEfWxVWycS5mqmTDE70Cm76bpXGW1Ow5DpMkj5I5q41ZPPepy6Le8eBcHY20iT1ZUW1TdQuLPLL+WZPf96iVPbKFNpXWC1LZS8neKGv2KOSC+uyNyo5aFVHSn7QBiiJTFNAyoM+eZ9jbtq7scXjp4mU/u526WZXIbCeNU9oVR3CBq8drVKEx3ShGPf2uIutmP3Irlb26P5Syd7UdIEq4PLd0r0wqjZOU2qLXJ1ypIx3SLqrWdZad43IbJ98zNk5bs2cxNmR99kZB9qj9QhsH0wbddaUJ+WKrhlc96wD+8dOXKls4Ca2aq30onl7uaIEkZTiy0MDPveVFQ+0LEMRMI3thMdmjPnucc40wn13p4LNu2Jd7LgCt5oBI2WYvKuxbp9k4hyB7pIZu9CKtzx49XqTImTi2kJ0jWrVs+q7SZy/GzIHi7cw3Pax2Qq2NxCAQEX0yJXuH5uq5OsEVCmjZ5R/qFqMHY+w7+v2dc/7TkxrLpDHNPnvRNmv2OOd46kobN6ZtaqJczV6Cq5vi/l9s+pite7i8EWx32BKxrJ8S7oVBbR0urnVR991t146Z+6+k7BW0DSibUNMiZNN3CxuJD12zFyeYqYvP9X7nWt3XhlJnvx1iNaq6P2A8AS29NMQMgCzRCPuQW9rvXMPD2dVO6fNMXFwTad6S7BX0X+yHrbbdMF/fi2ItW4D+RvPJLPVzuDRO+t1z83OdoDSgJYHvMjhs9we0WGXPYmyge34kNXv7BDk4s9LJlDBfv3y/6AVHAVQPZyG0fFfaODd7Ea5uBkP1zRsWdbfMxqnXqPmuA871L43NXoS1bqQpY/RcQFf2PNdBw3dSsifen2rdnNFsnNVr9sgS2Q5idMMYfmpRot5VVdI4D87V5UouKWwNhewJG2fxmGgiNF/BLkogZS8jew1JMrtp2Iy1cVr0wVz6704A3wzgePrv3wO4bYrjGjuma+PcnrL38aeW8dqfej+euCRCrWgiW/eVmr3NAAtNH57rYK7hjzSgRU3jLKvBVvGNv3U3/vt7Hh7d/ivU7CUF5zfradZf2WvUdLK3lTROSkil741+51odz4ZynrZDrGiiP4p6SpXojNbGqQe09Ff2xPuYa/hDpXFeXBctp2Sj+iEb1mcOgK3X7AFZX0F92zz3OTRsnz2g/Nrq13rB2yPKniV7FmMDfYmMJI1zMeu1J2v2jBWaN9x2GAtNHy88MViVU9FUlD1quzCMFXRYmGmcvZTgmOSVrJnqB9C5dKVOVcaAjOyZK8IUOECWF5WIbV3ZSxXDQCh7tOr4vOMLeM7huUo1e67DZI88mnip56HdK7ZxAlmt3jDKnkn2DioBLd0owUYQTb15tMXOBef8RznnPwrgAIAXc86/k3P+nQBeAuDEqPbDGDvJGPsHxtjDjLEHGWPfmj6+jzH2XsbYY+nPpVHtcxC2O4nbDmgStlVl72rae5Tq8mhCX6N0zIRjpRPKz83ZhjfapupKzV7NdWTNYOl42wHOrnZHtv8qaZxFhHDQhJoCWpq+WxhKMgxxou83WnzsV9eoLXwGI1b2tlH3RygivtuFGtBCqlS/bdMY5hsegqjYFlmES+uk7OmEfdjWC1s9F0RSixI5Ey7+qaSv6oKCruwVk1/qf2keqyASrRcch2FEp3NqsGTPYmyge2MUAS1zDR+Laa+9ooAWQNRc3P2Dr8eb7zg+1LZbtayQmRI/x6nsmQEtvRLySgRurRviO/7gkzi/2pUN348ulCh7jTzZ2+xF8otRtUeoKl9Zn70iyICWXiRWHVPy9/nPO4K/+fbPKbRJFIHeA9kpF5o+VjshkoRjM4gHK3vD1Oyl7/v0chuuw7B/ppbV7AUxVtMkTsZs6wWLvrgOgOrzCwDcMMLtRwC+k3N+K4CXA3grY+w2AN8H4H2c81sAvC/9/0QwKIZ/nMiUva0FtNDE07TqUWBKGIlgDlqgmqt7WBtpU3VS9hxh3x9AgqKYY60zemWx3wJWsbLXX80ts3GSvXAYokPEkL5XKge09NSavW2QvTQDoJ81siq0xvSjUvaijOxRi6V+Y1XTOAFUDmm5mJK9SKpcaa1bxfex7TTOmJS9IrKXWTjlomzF/aifHWXXVmC8ZzmmJEHNZXDZ7i/vsDV7FmND1nphBGwPQt07vdwptT0CGekZBs1aZuPMmrKPj+zRJIMQlNhSqQ7vU8+s4E/uPYM7rluUCpzZ8L3m5Wv2APEFutFVavYUgjerBbQM0XpB6VknVh23tmZ0dLEJPL0sv8iWWj7OrHSllXOQsjdMGqfrMFkTeHiuBif9PyC+TKntwkLT79uw1uKax28D+Bhj7E8h1rO+HMBvjWrjnPNzAM6lv68zxh6GsIu+GcBr0qe9HcD7AXzvqPbbD1nN3iT2pqNqs+0ymDbQLFjEgecwRAnHejfMyF6qhvSieKjPxPL9Z0EmvssGvo9wxGSvUp+9gvM76HWkqjRqrkbstqbsiefODkH2PIdpZG+rCZBif6kiNWJlb1SBRqqN03OqK3vkfOkEcSUXTKbs6fVrVY9L1mdvi/dqOu6i9gtqiFAy5DVWycaZEkLzeqc0Tsam42wYJQaSvWu5MN1iexhlU3VAWO+ubgYy0GQYNaofWjUXV9Ki/NPLHdQ9Bwdn6yPZdhHKlL2imj0AuLAm1LxHzq/j4GwdjEFaIM3nmmrXbF3YkuiLUVX2RAqmOE8m0eyHGcXG2Q1jSZqGxbFU2aMvssVWDQ+eXcvGWkL2qNfeMDZOQJDHThjj0BztNwtoWemk8eutmkwutbAwwTl/G2PsrwB8dvrQ13HO7x3HvhhjNwB4EYC7ABxOiSA45+cYY4fGsc8icGWiNWnQBHCrNXs0IewZVr2ax+Tn8Ho3wsl9wrav9iatz26f7KmtF+oV+uzFSYK1UdYMVkjH7GfjLLPk0QS64Tl6AiVZAIeYGJuN7vvbOMXPuudoNs7tKXvF4RwqOOd4+Nw6bjs233dbCefyO3U7CaEqulpAS6rsVTifmbJXTRUnsheYNs7Kyp74udVzQa8rqtlTtz3o2jShzbVKWjuU9tmLRPN6znd/eUeVGd41W5husT2Msqk6IJSc1U4obRejInszho3z+FJzJO0iylBL++clciKT1uwVtF4AgPMp2Xv0/DrOrXZwYLZe2IAdKK7Z2+hF8gNftUY6DkMrJTxbSePcTNM4G1ske0cXdNK11PJxdTOQX+KzJc3ZKZhlGBsnkKmah9I01yygJZHhLEszNdt6waIUTHww3AZggXP+swCuMMZeOob9zAL4YwDfxjlfG+J138QYu5sxdvelS5dGMpbp2ji3qeyRjdOwaQllz0EUGzbOdAFpVHV7NGH2HKdSnz1h44wGugs2exE++Njg80uEo9+pSwrO76BzHsaJDJ0pTOMsOF+r7RBf9xsfk6RC3RaQOTnKJuTqeOq+qyt72+mzJ1Xf8m185Ikr+MKf+yA+kwb9lCFOuCzHGF1T9UTWtfsVmqrHcRbQAlQnexTQYto4q/fZG03NXm+AjTNW7KJVXDhBlCmjpTV7RrsJ9XE/DWjZ7TbOgTO8SRWmW+w90L0xKuI03/SwpkQzmzVuW4UaDHJ6uVqPve2AiJps9lmiVFJAy4U0EvnT59dxdqUrFTHtuWU1ew2q2YtQ95xcPR19wQ5jWap5DmquWFntBFsne886NAcA2D9TAyCIVi9KcGVDvF8zfpmwb0aQtaVWbaj9Ud3eoXnxetcRoQmdMLNx7pupWRunRT/8IoBXAHhL+v91AL8wyh0wxnwIovcOzvmfpA9fYIwdTf9+FMDFotdyzn+Zc34n5/zOgwcPjmQ800zjlD3QEr6lybNZsyfJl8vgewxhLGyc9Lk5m5K+UTVWJ7KVBbQMsHEmotfYICXzT+49g3/96x+T7WLKIJW9CjVe6uEt6r2njTOdBDupFbbK/h45v4Z/ePQSHjizmtsWoNg44z599tLxNDxHIzGjSOPsp37S98Og4x0n2bxkVIEePaVUIrNxVlf2imyRRbho2jiHDGiRvRm3W7NXQMjUvo/qJVmFWPaiRBLfchtnWp9obE/U7KVkb5fPC4aZLY+7MN1ij2HUyt5C08daNyptvbBVtGou2qFK9sZXrwdkXwayHqWkBpFq9sjGud6L8KlnVnB0IT8+2WevVVCzl9o4i2rg6LFhVdJW3UW7F6EbJVu2cb7qWfvxvu/8XNx0cBZARt6obrKshcOb7ziGX/nXd+asrINA2zs4l72u7jvohnGm7LX8Xe/NtxgrXsY5fyuALgBwzpcBDLfq0AepcvhrAB42SiT+HMDXpr9/LYA/G9U+B4HmOJSGN0modrWtqHumMkgkpOY68B2htG30Iq1mDxgd2cuUPSZby/Q7hjThHVS3d3UjAOeDJ/KZQlf+nKIG6oNeF8YcvsvgGYoHkduggOnQsTDHPExAS6woeyq2k8ZZRcGiMQUD0lQTzuV36chsnJGaxkk2zj7XkEn2tmzjLCZAZdhumnW/1gtFNs6qYwuiRLqBytM4S1ovUBon2/1pnMP4oMZamG6x9zDKpuqAUK3ihGM5jdEepbLXDuKJ9NgDFGUvonqSATZOJYp7vZfvsac+11T25sjG2YtlTYQKemwYGycgVLKNXoxuEKMxv7X6RsYYbk6JHiCIFpC1vygaLyAmBW+47fDQ+yMb58G5bLxN30U3jLG8GaDhO2j6rrVxWvRDyBhzkYYNM8YOAhhlfuurAHwNgPsZY59MH/vPAH4CwB8yxr4ewCkA/2KE++wLdfKWcMAdn8M9B1Uh6kUxmiWfCaWvj0nZSxMXycbpClvlWidEwqGkcY7WxhknHK7DwBjDTN1DkhK0ItcC9ZsDgNVOiEN9FrM2emH6vgbYQodR9hL9PAPlE/cgTlDzXLhlyl6B8kTH3kxbpAn2MH32zO+r7SzQ9SJ9QaAIgbEwW4Y4UcneloekbS+MuazZo+/5fufTDGipksaplnqEkU5+qx5beh7n4lpyhlzlj0quD0C1ceoKfxgnA51FQZRg34y4lwbX7Ol/F332GNx499s4K5O9SRamW+wN0OfRqMge1aNdSm1+wxKUMrR8kcB26iq1XRivjZM+sAfaOJWAlhv2t/DUFTE+s8cekFk+i9I4u6Eo+p8pmGBkNs4hyV7dRTuI0I22HtBiYlEqe+J9VmnOPgzovR5SyF4jJXubQYzD8w04e8CuYTFW/ByAPwVwiDH2NgD/HMAPjmrjnPMPASj7wHzdqPYzDMw4ebd0eKOHutK+FWWPanB6po3TYfBcJoO55mTvTvEZsTqiRMwwSeCmk16yiG70okKyp05iB4W0kPI4OPAls2hyzmVJxXvuP4e65+B1tx6W51c7z8pEX30dIYgokp5pClZkqEIqMrKXn1ADWT153zTOdFzqBL/uOdtL46yg7NEYB9lwY87l9/YolD0iPpmNs0JT9fRv80PYOC+uZQvKdCy32npBbIOjNizZ66vsqddj9ngVItqLYnlfD2qqbl4DQSxsnF1WvV/hTkXl2ZRRmP5jjLHrGGMv5Zx/bHzDs9jNoBt0VFkn80T2UrvBKNM4AeDTF9YBjLftApCNmxrsZjbOsj57Ee64bglhzHFmpdNf2TNCS4jgXFzvaW0X5N9rYnW2am88Qqvmbbtmz8S+Gd3GWZbGuVXMFpA9asew3A5xeK4Bh+3+FTyL8SD9DvwAgHsgiBcD8GWc84enOrAxQ52zxgnHiG73SlAntVtJ5IylsqfXIfmpskeuCZoMUj3vhbXRNDaPYw6fyF76+bvZi0XknQF1ornW6a/GENkbdEzMtghkA/ylDzyB2bqL1916uLAX3yA1N4wT+J4D12V6I3EZi19u48wre4aNs18aZ7pZ9buyVXO3NRGvUrNHJG+QspckXIaojELZy8ieruz1I/lEMul4VrFx0pxq/0wtq5ONM4JVBcOSMBP0muLWC+lzcspeVRsn1ewVHwszrZcQxWTjnE7N8igxzGzqFyHsKp8H4McgCtP/GMBnjWFcFnsAdE+OXNlbJ2VvNLMOsgbdf1oUjl+3b7zKHpEOWr0lZc+sQ6DeeQCwr+XDOzInyF6BsveGWw8Lm5Oxjbl0XxfWunj24fwMo1X3tmSHnam7eOjsKlY74cjI3mJq45Q1eyUBLVuFVPYUe1TDd9ANE1xY6+KFJxbTQuyR7tZij4Bzzhlj7+KcvwTAI9Mez6Qg1AoRZjLpCY9u49yKsqcvqNH2fFf0vbva1pW9Vs3DYsvHudXOtsZNiFIbJwDMkkW0pB5QncAPVPZ6pOz1Px+a6pZw0FdmGCWIyG5Iyl5Bqib97hoqDQW0eA4znqurQuZrgHwAB72HYdM4Ca2aNxKy1+9YljXdNiEWQ/Tjuh10qcUFKXsV0jijhEvletBzCRTOcmyxiatpmcywNXtaC44kAbA1y3WhjVO1iA5bsxcnchG87DMke68lNk7jOie0gwhPXt7E844tDBzHtDHMLG+shekWew+yZm80ApxcnbmcWm9Grez95f3n8Nwjczgwxh57QKYcEqmh1SaTdKkN4vfN1PGcI4KsHStQ9l5wYgHf/wW35uw29AV6ab1XWAM3W/O2FHRzeK6ByxsBXnL9Er7izpNDv74Ii03xcXJGKnujlRAOzNZR9xwcmM0+tig04cJaF0fmRQ/D3d5Px2Ks+Chj7Jpa4Ew4lymAk14I2a6N0wxooZ+k7NH/SdkDgKMLTZxbGY2yFyWJ/BwnZ0VZPaCqKgwKaFlPyeAg8qFO9BNjMm7WZBWlcZq/E4I0uMJlzJjk66qQ9ppBNs5Kyl5q4zSUvdEEtPRR9tL3M2jBIeEcDiNyMEobZxrQ4lRR9gQ5r5LcSSCyd3yxmbdxDtl6YZjXqKjSekEEtGSPD7r+k7TmUaZxDqjZM/tDUusFx2GF84LfvesUvvwXP7zl1jCTxDBL5+MuTLfYYxiXsnd5owfXYbnVxq2C6ifOrXbxz18y/m4iGdkTtWlBlICxrIcOQSd7Pr7k9mNo+S6ODJFCSXUiCUdhzd6/eulJ3HHd4rBvAT/ypc/Dt7/h2bIZ8ShQ8xzZF7DmOdr7HwW+5hXX4zXPOagpwg3fxamrbXTDBIfnG7iyGdjWCxb98FoA/54x9hSATQgrJ+ecv3CqoxoTeBp17rkMCEfXO6wq1MlcmQWrH2i8PSN0wnN16/q8QvaOLTRwdnVEZC/OVLFB4S9qj69BNYOkDg6aZBZZLAFBXiJjMl/UL8/8PXt9WrPnMGmVVZ9bNAknAmhO5nOtF4ZM4xydjbOPshfpBKgMpOQKsrflIUkQ2avLgJYKNXtbUva6qLkODsxt3caZlFxrVV9LL+kWnP+tpnHSwsGcDP/Jf4ZEcSK3bxLjKBaBO25JecfF9R6CKBH3w4jEh3FhGLI31sJ0i72HkTdVT6X4yxu9kYWzAHrq4+tvHT7lcVgsNH3M1j1F2UtQ95ycKmcqe9fvn8F/fN0tQ+1LbUxe1HrhRdct4UXXLQ21TUC8BzMMZhRYmvGx0YtGHs4CiMnErUfntceavotn0mCew/MNrLRDa+O06IcvmPYAJgmaTJHrYNL1rOrka0sBLVSzJ3uHpWmcjiPb1QCZjRMAji42cM+p5S2N1wRNvIFM2dssIXt6QEu1mr2BTdpVZc9IMcwre+okWhlXweIX2TjL0jjN5tT0GiBv06N2Bg1f1EZVCmhRvv8b/vaUvbKG2kXPqUKuHUcE14zC8kwqaGbjrJbGKZS96mTv0noPB+fq8JVekHQ8qge06GMYBuoYzetDXXw1bZyDgnlokadV9+A6rFCZVe8h81iJ6zwl7wXHge7DfuR7p6DSjFkpTP8eAP8dwDmIwvQ/GuPYLHY5sptyNGyPvpDDmI90FYXI3uH5Ol5wfPzea8YYTiw1NbJXVDdXM5S9rYDqRIDR2yLHAeq1V9Z2YdRo+I78gD8834DDJq9eWOwqnIJIpP5azvnTEE6X8a8QTQl0K5BKMGmLs2rj3ErNXmYN0+uyaq7uHDBtnCvtsFJk/cD9x4mcoMuG7SO0cW5d2Utyyk2ZdbOI4JOSYTabJpUvLOhHV5bGqfY+rHlOXwJLQyFbI53HUbRe6DdhDysqewnncBkECR4BAeiZAS1OlT57VE9Z3ca52g6x2PJRcx2lPnFIZa/AzlsVcR+yp6nMRkDLoP3IEhnPQd1zisme8ph6zpKEp4s1Thrclt8+3YfbSYOdFCrNmLmg1u/inD/COf8FzvnP7/UEMovtg+79USl7rsOkHD+qHntAFtDyulsPD90bZqsQZE8oSr0oyYWzANkECxDK3lagJnAW2Th3Gqj9wjiUvSKo4TJH5htSXbVWTosS/CKAVwB4S/r/dQC/ML3hjBc0gctq9ias7CmTqFEoe1mfvczG6TpMC7aimuizI6jbU5U9+kwrU/aqBrTECccm9UTborIXxVwe28zGqe+jaBuEIMoCWjQSmZTXv8mavVxAS1ZHWVPqKAHgzz91VgaGANliAy32EuEcRc1ePwIVDqHskY1zq/fKE5c25O90rLKm6oMJ3FaUvSAl774rFj/VfnbD2DjJshwPSXRVVdVcDDAVQ/WwDiLUdL7qbkr2CuoBNbKnjIPGJK6x4uMglb1dsEA8zIz5mitMt9geMhvn6AgUtV8YpbJ3cl8Lzz0yh385oqCRKjix1MKZ5Q445+hFcaEt1azZ2wrmVGVvQmrZdkCN1Sen7GX7OTRfl19Wu+Cz22I6uKaCyqSN0yMb52T3v11lj0IyqKm6WrNH9U9zDU+z0FPa8SgSOdV2B03fhcPK0zirtl5Qa/4Gp0MWW9QC1cZZkMY5SNkLKJK+pGaviBSVpS2SCui5DDXPled5rRviP/3evfjTe89oY3EdJr8bax4lgm79wpQ1e31tnFz7WYYkgRLQMvyXyMPn1vB5/+sf8eHHLwMosnFWSOOMxQKD4zA4rJrFkEpJSCVV77Xqyp5SUzjk+VCvIbP1QqLZOPWavUHXvyR7voO6cm2p6JUoe1mbln42zmpBSTsBw8yYXwtB+D7DGLuPMXY/Y+y+cQ3MYvdj1AEtQEb2RlmzN9/w8dff9jm4/eTiyLY5CCeWmljvRVjrRMLGWfB+akbN3lYwM6Bmb6eBbJyTGiuRvcWWj0Y6GQOsldOiFNdUUFmm7E3Lxqkoe/HwAS00YTMDNnzHgZ+qlaqFExCJhABGksgZxhxuuh/GGGbSAKri5/YPaPnqX70L/+8fP6O9fpDSZPbZU/fVz8ZpWucI77n/HD71zEpq4xTqUWEaZ4n1EyhI41RsnMJqJ84zWW/byvuNOYejhJnVqG5wG5bJYQJaBip7PFP2tqL2UFupjzxxBYCSxkkBLTKNs3zbccLhpsfHc52+tYiEIEpQ81xJJtXefMO0Xsgayo+yZk/fx6DwIBVE5Gqug7pfYuMsqdlTFeey/ruk7O2G+cIwM6prqjDdYvsYdVN1IEtNq42ox960QImczyy3EURJYc9AP+2zxxi2HIbiuY7sJberyN6ELKdk36KEU0cqezv/w9tiKigKKvuh6Q5pfKB5ItnHRhXQ8vjFDfzEXz2Mn//KF/ft06k1Ve/Tf60MZp+9ME7gpqoHfb6q7gdA1O4yBpzdgrLXi2Jc3QykOhgniZayPNeH7NGEca7uFdo4P/nMClo1F695zkH52CClqWxiXGjjLCN7yu8/+hcP4oUnFpWAFkebIMuavQKlI2u9YCp7io3Ty2yctF/V9pkkorWBpuy5W1PR5Lgq1OOF8eDn0JhdCmjZwphI1br31AoAVdkjGyelcZaPg+rMAFHjV8VSGaS5AbTA3FbOUdUFHs456p6DdQxva9T6aeZsnPq1qA5nUJ9JOrdZzd4gG6eufgPis69M2duo2O9yJ2AYeeSaKky3GAFI2RthHdzCGGyc08CJJdGy4PRyR1ooTNAX2lKrtq02E1QrMrMbbJypXXVyyp44xtRonVRoS/YsisA5fwfyQWV/ON1RjQ+yRsod7X3xsSev4u8evihDqsqgqhKDkieLYPbZI4sbkNUhzhrKXs1zcGC2viVl7/fuOoU3/swHJPlQm6oD4nOtvGZPvGbfbC0X0BLFCTZ6ES6u96SaoL6vMhQlZXIugidyyl6pjRPydcvtEE9d3kQYEdkrjtwPY56reya7phmtT+/bTyfkJvlSlUAiUyrZc53tBbTIpNY+26has5dsU9kjRe2Tz6wgTrjSZy+1cVJASz8bZ5LIa67qOKiUxJfKXnaNVSWtWkP5YcmeQpbMms5Ys3GK/9P8r2rrBUH23MIFI5XAqyQ6C3NiA5W9PRPQkuKaKky32D5G3XoBUGycI+7BNmmovfZ6YXHNHn2wUx3bVkHEqbWLAlpmJpQcSuE8R+aFTZau1V3gyrCYAhhj/8MMKmOM/Y9pj2tckDbOLU7iykBJl6bKY2K7yh5NdDMCwTWiAOg99gii197wyt6VzQDr3UhO/qKYS+sdgL42Tppo7pupYa0baWSJXnNpvafV/FVRmgh0LkNDfStqqq4HtGSkK4gSPH21jW6USKJFgR7idbpKcnGtizMrHX07gdF6QbbDYFoap1T2DJXJZUyzcZohMcNC3V9ZMNdQyh7bekALKXsbvQiPXVzPBbQwJqyzfZU9ZUHDd51K9WQyoCW9JzZ72TGvemxFzR61hhihjdMIDko4l/O/QRZVWbPnuZXSOEOtZi9TnMX51F8XJ1zel3um9UKKsRemM8a+nTH2IGPsAcbY7zHGqnePtthxGEfNHil7dX93kz211x590JpgjKHmOti/xXo9glT2dkHrhX2TrtnzDBunVfYs+uMNBY/t2RIHWs32pL15NNttpxP+QY3SoziRYU3bUfaypuqJtMLRe1J77BGOLjRxbguN1c24elVlEfvqQ/bS1+yfqWmJm0AW2HJpvadZPMOByl4+fMIkeaScaDZO5TzTZ+FyW6RiBlGCq5uBqJdj+nWhKYkxx3/5iwfx7X/wSW2/pnKTBWHoaZw0djWwg3PhFCJSUfedLYehEMom+yp6VWv2krTPXsmYvv9P7sNf3neu9PVqrdwnnl6Rqqa6GOy5/cktqZ8AKh8bsnHSwoR6zIdpvUAkfNjAHHq+57CCNE69fjTh2ULNIIuq1nrBH2zjVMcdmjZO4zio9/FeS+Mca2E6Y+w4gP8E4E7O+fMBuAD+1ai2bzF5jKdmL7Vx7nJlT+211wuLa/YAUYi+tMUkTsKMJHu7QdlLbZyTSuNM95Ozce6CD2+LyYEx9s2MsfsBPCcNKKN/TwLYs0FlRABocj2qRRAie+bEzkSYcEn2imLTByGv7CXyvdBPM6AFEI3Vz610hm7BEhmWwEhJ4wRELXJZGmeoKHuA3muPCF4QJ5r1VSXA3TDGD73rAay0lVYFBbV3kvQZ0fqajVN7nfi50tatpb7Lsv6LxrZobFc3Azkeap6eq9lL6yhdUvYUFRbQFd2cjVMqe9tP46SxFIEe71Xqs8dK+7W++75z+PBnLpe+nkjWXMPDJ04tS9ePmhbrO/3VOrXdh1D2KpI9z5F1rCrprHrPJwmXWQrDKl10v8w2vNz1kUvjTDIb56DzLmv23D5pnOmxZMyo2Yu4fK3D8jV7GtnbY2mcZmH6hyDqFkYJD0CTMeYBaAE4O+LtW0wQXNo4R6nsUUDL7iZ7gLByPnh2FZc3eqVKZavu4dDc9gTuOVmzt/PJ3v7ZCffZS68jUvZs6wWLEvwugC8B8OfpT/r3Es75V09zYOME3Qe+ManfLjoVbZxUG1bznIET7SLQpLOn2jjlRDhrvWDi2EITm0GMtRJiVro/Ij1xRqw8RdmbbZTX7NGxpeRlVcFTid8Tlzbl7yrZu+/0Kn77o0/j408t58YDZMTdtEkOTONMf1/pZCQSyOxt6nMiwwbXSa2fQJ80zjiRx6iKjdNhGcmkPnujUvbKSIq0vlbss+eV1BGGcdKXqHXDGA4DXnrDPtx7ahndMM4FGHlu//RRVdkT4TVV0zgdSaLbwdZsnLUtfk7Q+5mpebnWC3pwkLhOM7I3SNlLlVGf+uyV2zhbvmuk/1K9H8vVpgJZ24Uq49gJqDyj4py/gzF2D4DXAWAQhekja6zOOT/DGPspiCCYDoC/5Zz/7ai2bzF5jMPGOY7WC9PC5z33MD7w2GUEUVJYNwIA//erXozjaX3fViFr9naBjfPoQhNv+/Ln4/Ofd2Qi+3vOkTnceGAGzzs+D0Ct2dv5H94WkwPnfBXAKrKa9WsC0sY5YmVvs6KyR8pY2URtEGjlX4ZwxIl8L5myl3dOULnAejccKgk5LFT2su+q2bqH9QEBLfulspc9T23F8MTlDdkIXiUq9ByTqFFNG0361RROvXl2NhYKGqEaKaBI2ctsnGKbrt6UOubohbFmoQXyBD+IE+nUqbnZeS6yfYo0zszZU/e2X7OnTvDLasBkQMtAZU/YTJ2S9MYo5n2VtnYQo+m7+Kwb9+F9j1zEobmGDGchiDrJfspeIsOHXIf1DXMhqE3VxTi2ENCitl4YVhFP9zHX8GT7CYLWeiER1yud/0EKotZ6YUAaZ6vu6cq0fK1bqOypQUm7oWavMtlLC9O/F8AjBY9tG4yxJQBvBnAjgBUAf8QY+2rO+e8Yz/smAN8EANddd90odm0xJowjoGWvpHECwFe+7Dp8+YuO456nl/HsI7OFz7nzhn3b3g+lzbX6RJzvJHzVy66f2L6u3z+Df/iu18j/M2vjtCgAY2wdMl8Y5ica55zPT3hIE0EibZx0X4xmu2QTM1fxTYRxAt9JUxq3oOzRxFqqS4qt0utj48wi7remUGRKV6Ire2kaJ+dcs+YBGRnqZ+MEgM9c3MBs3RMTeeWYrBWQvSgWKkgUxJLMUSomII5PmbLnpWRPKnsp2ds/U8OVzUCqakB2XZi9/LphrPSoy1RW9f1HMZfBIHXfzSl7Zs+3wjTO7fTZ09IYi7dTpT0DkNZoMsB18uoWpaD2u447YYxmzcWb7ziG//nXj+AjT1zB9ftb2nN8lw3ssyetyo4z0GKYJIKAammc4fDKHh9CccuPWYxxpu4hiBNNnTRtnFyp2Ru0nyygpdzGKclezdWOa9ZnT6Rxcg7tulWVvSq9DKeNYWbM4y5Mfz2AJznnlzjnIYA/AfBK80mc81/mnN/JOb/z4MGDuY1Y7BzQfWh+qW0H83uI7AEiDfLVtxzYtlWzH47MN7B/pqatMFsUw9o4LYrAOZ/jnM+n/+aMf3uS6AHZhHWrK/Zl2Cyxcf7Fp85qj0UxKXvFsemDIJU9mqxHqopUHtBCn5XD1oKFBeqZ+rk7U/eQ8GKSS0RjX2plV9U8VeVb60aYa3iouY5G3IgQqmOOEy5dMKbKSX8vJHtcUU8MG+cdJxcB6DV7Mn3UIHsdheypRKln1Mmp6ZpmzZ7WesG0cbrOwMCSQahSs0fHbGDrhQRpQEvexhlKa28fG2cgbJtHF5p47XMOAchCxAjCxtm/Zk+3cQ4gREp7giIbZ9WFTzWNc1jyTceGXEiqAqfuXgS0ZGR2EJHV+uwNaKreqnnavaO+1rQrA7tP2Rs4+5tgYfopAC9njLWYYAevAzAym6jF5MHHqey5u0Ol2gn4hs++Ee9666umPYxdAbpWRzWptdgbYIx9KP25zhhbM/9Ne3zjgmy94IwroCWb1D11eRP/8ffuxfsevigfI0tabYvKXlazF6fbq6bsUV3fsM2SzTq4MElyNXsAChM5ZUBLmkisKgdr3RCMAc3UnTFb9+B7TDsmRA7VcxQlWdIzzWM1q2WSZGmcRkALqW20vdV2iLrn4NajYm2DgisAFG4jSji6YSJrLVUipZ73IM5shzUlHl/aPg0bp+uwjLCPumavZDuZjbP/fmTrBZa/V7L2DeXb6ISxPMf/8rNOAkDOxuk7Tl9rJqmygEi3HHQNq1ZHSfaU67Pqd2GcbEfZS22cKdlTCb4eFsS1PnuVa/ao9ULBIouq7KmkLZDKnkL2eDHZGzZ9dBqoYuP8XQB/BRHG8n3K4+uc86ujGgjn/C7G2DsBfAJABOBeAL88qu1bTB6ZjXOEyl5jb7RemCRaNQ+tfTs/nGUnwNo4LYrAOX91+nNu2mOZJMyAllHdFx3ZeiGbJBW1YwhiEeee8OKJ2iDQZDDhQgUIFWJBE9uiemn6W5UeZdr+SL1RglpczcYpJvIb3QiHjCuJJrxLKdlTCeFaJ8R8w8diy8fTV9qYb/gI40Qje6T+qRNWoeylCYkJqZu6zbNM2TNDeZbbARZbPm44MANANEGnmj21iTzZDIMos3FyzrVxqZN5spoCSJuqZ8Qc0NM4E46cjXPbaZxpvVoQJaVKUWZFHRDQojZVN0iW2fqiCJ0wlumzr33uIRycq+f64w5U9mION73GPbd/fR+gWx23auOkhX0i4cOSbzom1B5KXQzQbZzin1Srh1H2Ktg4VTVdPS5ZSnf2unWt3+XOny8MnAFOsjCdc/4jAH5k3PuxmAzGE9CSpnFaS6LFGECTFyvsWahgjP025/xrGGPfyjn/2WmPZ1LI2ThHRPaKbJxmuAkgJnO+64Bje332kL4+ijMSQ8RrX0EfU1L/hp3EmT3swiTbn9inWKxUm1bL16avadREjaI6mVzrRphvejg0V8fTV9qYbXhY64YDA1qihMs0R1VtJKivVyeySaK021Bq9pZaNdx4QNSQ+cp3sFqr2PBdhHGEIE6y/nQGMTXPu6+ka2ZhOjz3XGHjhGLjdGXD6yQRPe6GRRAlmKmJsJuy8202oi8DjcEtCGgJChROE+0gS9/0XQe/+FUv1hYLAKGyD0rjVJW9QRbDUdg4s88J3dZbFfR6uj90sqc/T7cmD3pvsSTfdc9BlHAtpEk8JyN7Zs2peE8O6Om6sqemce58Za+KjbPQtkKPT2KQFrsT4+iz16p5+J43PQdf/MKjo9uohUUKp+BD3cICwEsYY9cD+LeMsSXG2D7137QHNy7Q5Icm16MSvGVAixbxrhMlQK3ZcwaqKkVQJ+9iMp/12XvdrYfxO1//MtyYKlUqqtYEmZApnAr5USfrpFys98L8a2ly6TiYa+ipnaTsHZwTxHSu4aHu6f3Wspo9U9nTibraPkBVUfWI+0xto8dXOiKZ9HnHFvBFLziKO69fkqSCvuvDOJE2RLXFBB17gmrN1NVWphw78XxVZRJpnHllzxz/MAjiRKpnZZP2cAhlz0vJhUmSpLLah3x104AWwmfdsA8vvm5Je47v5hM2Oec4v9qV+3GlVXlwPaOqfhWRvSq3AO2i5m1tUUjtswcY5zzXVH0IG2eYyOuf3GDmolGm7Hl66wXluDiGgg3swZo9ozB93ihS37OF6RbbB92jo1T2AOA/vOZZuOXwNeWmspgQpF3Dkj0LHf8PwF8DeC5EqcE9yr+7pziusYJug1E3VScioE76Ka1RW11PlD57WyB7ZpR6qASm+K6DV99yoPB1RCCGrT0ylb1IITIAMNdH2aMJo+cyzDV8rfn6WleQPQrymmt48F2nUNnTa/byZE99Tz1N2dMn1aYlbyW1cTZ8F7/wVS/GTQdnJZGVtlVFSVQnw72U7NFYVBtnoKRxeo5QXzjPWhR0w1jaBIk8q60XyLK4VdU5iBJJwsuIWBVVjsbgMJHeaF47ZJ/ta+MMspq9MnhuPmHzw5+5glf+xPtwZqWjNVWn46niN//pSXzkM1ey96a0GKD7XE9AHXzfZam9W6vZo2t/Vto4i69L2VS9akBLnCgWYbFtM+gpSJVldaEBMJW9vI19oxcpSmb+/b7v4Qt46+9+ou/4JgnrhbMYG+jGGGVAi4XFOOFIG6clexYZOOc/xzm/FcCvc85vNP7dNO3xjQuxnMSNuKl6mO+zZxIlIGtKXvfcLSl7akBJL63J8it8IXnbrtnLLKlegbK3UaDskb3Sdx3Rj6+rp3HONz2p7M3WffiuoeylZM+s2TMVOlXZ0OvhstclCc9Zd1faIRabNW3MuabqCZdkRR2/qIfjMvlUT1xNZDKqr9hn6RgmPCNhSUFT9a0Sc3VsUtkrON+c8yHSOKmpOssHtCSDt6EGtJShyJp5ZrmDhAOX13tpzZ5q49T397/f9xje/uGn5P91ZU+8rh0WK75loPe6dWVPjIFsnL1SG6ee+llFtVQXBgDkFo3oOa5BjHvKcSkOaAmxmNbXFl03H33iCv7yvnO5xOFpoYqN00whW7c2TosqoPtmlK0XLCzGicyuMeWBWOxUfDtj7CsZY/+ZMfbD9G/agxoXpI1zhGmcam3UoJq9MK2vqbnFDZFV/Nknz+DNP/8h7bEw5phJbXFBnIiJXYW2Pf4Wa/ZMK2quqbpM48y/F4qr9xyG2bqnBbSsphZK1cYp6tuy8RX32UukopGpjeqEVp3UZ2NJjIAWzjlWOiEWZ/Q2FZ5B9oSyJ96vquwFkajZozCcfM2eHpoTJYke6JKO0+yzV1cn4jHH/adXcWGti6pIEtH7jkJRisiDeP/p+xik7PUJaCm6vk2YNs4i+K6T6+tG10onjPWaPUOt4pxjoxvhycub8rEgFse27pWkcVYgbtLGuU1lTwa0RP1tnJ7L4LBqTdXJvkk/zc8R+kzwXT3oh+59NXVWHctaN5LJuUXvlxa0lttB3zFOClWUvSfTnz+k2jetjdNiEMbRVN3CYpygeZm1cVqU4F0A3gyRGL2p/NuT4IayN4r7QrWI6cpeqt4YZM93Ger+4Jq9h86t4VOnVzVVPk64VG16YYL1tEfdIFSp2fvVDz6Bn/ybR7THZC81zcapN1UHoFk05WvT17gOEzV7hTbOjOyZNs619PlmzZ6ptpT1uzMj7lXrbjcURDmv7BkW0TiRNs61AhvnXAHZC+KMEEtFNeLa++im10zCRR87tfWC2uvv3//OPfiZ934aVaH2WDOPDcGs++yHJIG0cZr3SpU0znYlG2eeSG4qZE/02StO4+xFCaKE48krm/J8k7pbVLPHWDWyR8+R19qQq6Wy9UKjoPWC0VQ9SRubi/dWXdmjdl2Fyl66aBAVnGtV2TPTOBdbYvGjiHTSe7i6uTPIXpU89henhelfxxh7OwBt6j7K9gsWewv0pWuVPYvdAmZr9iz64wTn/E3THsSkkE/j3P4226FKAgakcaakQyh7/XdONVEU/0/bbCnKHpGmQZCv7zOZ/MdPX8KZlQ6++/Ofq4w3U/aShCPhWbgNIPrkOUwPL5GvTYkhYwyzCtkL4wTtIMZ808eRBVGzt9D0tYCWKE6kuqPWWKk1e1EB2SuLuE+4asnL1Ama3BJkSmFhzZ5u4wxjLpVNvfVCgY0zSXSyF5Klk8NlIpW75jo4OFvH1XRsccKx1g1x6mo7d2zLQGSPFKWiSbt8Ts3VgkOKIJS94mbmsu6v5DrmnAsb5wBlz3OcHGHcSNNte2GMWOntKNpS6HVmgDgfZ1c7OLHUkn0Qa54jiRFdF3XPqXTPZ4tCW1T20ufP1PKLASrBitN7ymWs0KJqohclqKXKdtlCLtX1+QYxDuMEDhOLLy7L2zg3eiFu2C+SaU2lFciUvZ1C9qooe7+ErDD9HlwjhekW20fCrapnsbtQ1E/HwkLBhxljL5j2ICYFmtx4isqzXajhJKrKl9XsqUSAZ03VB5A9sqOpE/Yo4Wilalo7iCRpGgSyrfabTIZxIq2T6nhpvzSBVZU9xhhmDIumOlYihvMNXz6HSN98w8NzDs/hp7/idrzxtiPwXSaPid7gGcrvPGfjDDUbZ6I9V/1dBrRwjpW2eJ+LTZPs6ZN7vWZPsXHGMcIokQE1ZTbO7Lhz7dibNs7FVg3v/+7X4POfd0Sr2euFCc6tVrdxqkmMYhv58y2fU/dkv8YyUFN1h+XJHl0bZY3Ze1ECziHJchn8goRNU9mj68hs06AqymTlzAJaMpWUlL2G71YKaBm2Rct/ffdDeOc9p+X/6bjPFDVVN5R6EYKTJ7JFUANaWMl3O6l/Zi2k+lpHKntqzV4ka/biImUv2GVkzyhMv+laKUy32D6omNrCYrfA2jgtBuDVAO5hjD3KGLuPMXY/Y+y+aQ9qXMjSOEfXVF2zcRYoe+pcWto4Sxoiq6BJK00cORcTQ0r4u7IhJl1VbJzSGtinJiiMBQlSbaMqYaVxqDV7ADBXQvbCOIGfkh2q2eOcS0I53/TBGMM/e/EJNGuuFtCiNoOOlfcfDbBxan32ck3V6XUJVjqk7Bk2zoKm6qRMqcpeL0wQJonsk6uTPTUhNVNkVcJAz48TLiftxxabaU87R76XIE5wbrVTOWCLjgWpv0U1mvQcsuCW1XHKQLo0oMUMNsmU6+LrmN7jVtI4aQGlEyRazZ5Zh6Zed09c0smeWrNHfTAbnosBZXEA8q0XBpGwd993Fn909zPy/3SfzQ1ovcB5Oq90WKWG8b0wlsp2WdI2qX9EHunaCaJsEcJUsDnnWO9GWEqV7iIHAH22Le8QslfFxgkA4Jx/8zgHYrH3wDH6tgsWFuMEK7BrWFgo+IJpD2CSyK3Yj+C+aKcTyYWmX1izZ9oQPZdVUvZkvZxRN9f0xTTn8kYPACraONPasT6TyTAWVsN2EEtFIrNKZq0DPMPeMlP3Cm2csaLIzDY8xImw9VH/PHPcNcXGuaY1eE5rH9NTlWu9oNXsFaceJknWDiFOgFVS9nI2Tj3ERbVxqsSiFwsbp0zjjHTC6UsbZ5aCqpIq1captrIAsuNLBKUbJqIB/IxOTItA19SMJHtFNXu61TOIkkKrJR13lzE4Tl7Zo+2U2TiJ4LQGBbQ4LEc46Vh3jZo9sw5NPSc5ZU9J45Q2Tt+ptMBDBKnm6uS/DGHM8dC5NfC0/o6enyl7JWmcXITlOKmNc9B+gjiRJJ1uw1xKamoj9hRV0nMZAqVViGPMCygIZ67hl9pJOztM2atM9hhjdQD/H4Ab1Ndxzn9s9MOy2AsQhbTTHoWFRXW4tvWCRR9wzp+e9hgmCWnjlM2zt79Nsojtn6lVS+N0HNFUPU5EU+2S2oBM2dNJH03SJdmrYOOUpKMPwaT9rXbCjOwpzdSzJFN9vK2aqzWtJoRxNkknhWO9G2GtExWO21fqGHVlj8huptiojwclNk6zz56q5i6XkD1PmdzT9ovSOLtBnKqs+cl8lGQhGlnYim7j7CjKXt3TjycRTtUefHa1MxTZaxnnr+g5VE9WlsgpA+nSGq882cvbaFXQNTGwZs9Q6wDdxqkre3qICdk4fZfhCSJ7Ss0eY6LfHI2x4bkD1TMgb/euEpyy0YtwermDk/taclGFjvGVjQDvuvcMvuiFR/vaOAel5QZRglrLsGLygudoQT8cnivuffocyMo7xIvpOM41PLglpFMGtOyQNM7KZA/AnwFYhajV641nOBZ7CbQCY2GxW5BZPaY8EIsdibI2C3t10dMMXihb5X/o7Bo+9uQV/JtX3ThwmzSp3TdTw1NXsjANaYE0bJE1z5H2sCBO0HCKJ8OBYZOjCSTVY11aJ2VvCBtnnw8C2t9KO8Sxxab2HlSyYto4y1TKKM4ULiJF691IqnYLBtlTA1qIEAL5Fgv9lD0iXa5iO+SpeqLV7JGN00jjpM/LSAlUKarZ2wwypajmOjlF12y9EERmQEtK9jhyZF8qe4pqdW6li+cdW8Ag9Exlr6hmTyp7/ckeHV/XYYUBLXTcgziRipaKjlIn1w/Cxllcs9dNyR4RYNdQnUjZe86ROTx5eUOMR6nZAygAJpYtJKoEtNBbdaXiVs1y/dC5NZzc15I1b77L0PAd/Po/PQlAkCm6F4AsjZNsnAOVPaXVSpmNM4gTNPx8v0a1Zs/ss7emkD1hpy4ie2TjzPfUnAaGaap+gnP+Lznn/5Nz/r/o39hGZrHrkaQrMBYWuwV0vY6qebTFnoPabiGGsHXeMM0BjRM00RsUvPBnnzyDt73n4UrbJLvd0kxNa54sbZxqwIpsql7cEFmFVPYMOydN5LOavQrKnkN2wn41e+WqWpwkso6HCByhlqqUJsyAFkBMzrOaPZ2kqq0X1DGoYSm0PyCbqGqtF1LS5btZq4BcjH7CsdoOUfecnOqUKb6qspev2SMyUnMd1H1HV3SjRL5vX1X2kjwp5WkapwqaiKsWxXOrHVQBnYdmrVzZC6VC7MnxFoGOLwW0FJEK+dxCJahazZ6wcRppnOl7p59qnz21noz+/sITizi93EEvijUbJwDFUkukdTDbo0UgxgQZ77dIojapf+isaNWtth05udTCgVnRYqQXJUZTdS6zILyC42BCC1lh2f6158iAFj2USQ0Ocoza1IvrIgRooekXKq3A7kzjJFxTKWQW20dilT2LXQbHKV79s7AAAHWhk3P+NgCvAXB8ysMaG6QVcUCfvSCtsaqySNJRbJydPjZOChjxXEeSvX51e+braQJGFr3MxjlMQEuf/aWtHlY72WQua4WgKHtGjZnZH099rQxokTbOUBI5s2bPdx0kPGs5AFBUvk7aqqRx+q4jUwpjU83lHKudsND+SkQrinlO2VP77KkkpOG7essN1capTLhVwkCkVFWtCFmCZLa/sxUTOcMhavYo6KfUxqkQFreA8KhEsmgRge6FwTZOB+u9CG/46X/Eb6YKGFlYyV7oEmFzdPWLzsMLji+Ac+DpK215Lug6kaTPEc3EqwW0KKqmw/rW+anv/cGU7MVJAjdtO/Ln3/JqvOMbXpY+zjVyFnNkrRcK+g2aUPvsZYSt4DmKjZPGp77W7LP3D49chO8yvPj6pdJU0O4uJnuvBvCJayWFzGL7sDV7FrsNjqzZm/JALHYLWgD2bCp11abqNOlSFZsykI1zaaam2R1pUk37oAmU7zA5EVVJgolM2dMVPprIX06VvWqtFwb32StS9iKFaEUGUSbUSsieFtCiNF9f64ZwHZYL7qBJObWA8NJm7CbZrftZOwN13ACUiX5GCmhCmzWW5+iGxc2+1YAWVRFkTCfmRDJ8z0HDr2DjjBNtIq/W7JkLyFTnuKHU7J2vSPZMi2bRpD1Xs5f+vxvG+MKf/SDueuKKHJsYDyus49JSUPsEegxS9r7k9mP4ohccxdXNAH/z4AUAmXJqKns0DrqPN7oRHAbcdnQegAhpCSThz9o1AOK6dQcQNwK9V4cVE10V6nF4+Jwge5FSZyiSZhXFuKCpuqjZG9xUPYwTGTTUz8Yp0jjzta2ZjTN9n1wcy7996AJeefMBzDf8tL1FH2VvF9bsXVMpZBbbB+flxfQWFjsR1sZp0Q+MsfshgoYBwAVwEMCerNcD8ipPmdBFxKIXJZip999mO4jAWNazrRslmFXqXsyAFc9VavaGUfbS15Oyd2mjB8aA2drgaQ+r0LQ5KCJ7ap89mcaZr9krVpDKA1rmG16uxosmxL0okcqb52TpiWVJqjrZy5Q9qQgayYoJ5+hFWTKhCpqgxzyzXboOk+olY2LhrE1kz3XQ8Fy5KEAtFnI2zlQZpbAQen5ROyezZs9hwNmVijZOInKyqXr1mr3ldoCHzq3hgbNreNlN++VxKw1oMYKHTFRV9u44uYif/8oX4xvefjdOL7fBOZfWaCJ7dB35ilpV8xg2ehFm6x72z4ray9V2iF5qdaTry/eycBdB3CrYONO3JttO9Kt1TY/50YUGzqx0sNIOpF2boCrG6qZEa4T0/iyxT6roacoejbXExqm0/RCPxwWqIMenL2zg6SttfNPniDW+IoWRcy4XNJY3g8IazUljGLL3tSWP79kvOovtwdo4LXYbrI3TYgC+WPk9AnCBc57P0d8joIkWEZay+yIcUtlr+a6c1HbDGLN1T04CqWaPJtWiz97gmr2eVPYMG6efRebPNbzKC5BqH7si0Hip4TiQBXzECZevzSl7nlP4PqIkC2ih5uPrvQhXN4NcfzsgC14J4wRr3QgLTV8LNqHj4Dp6aIZm4wwzshfGkRw7PUb/V4MuVDiKskf7810G32EIIKynq51Qqm4110HDz8jeu+49AwB4fhqmkqU5ivcxU/ew0g7lxLnIxukaZO/4UrNyY3U6h9Seo7DPXkQ2Tr1mT1X4gEwRdVmJsqec8yL7YVVlj7Bvxsf9ZwJ0w6yujUJxspo9Xa0isieV416EIEpQV0KEVJW1iLQWgZRDhwmiWUXZu+PkIs6tnsdDZ9dSwp+NQW11oH7mEBl3K5BKQJwj2T7BKXbt9MjGqVzLYpxcngtXmRf8zYPnwRjwhtsOAyhu7k7394HZGi5vBPL+nCaGsXFeU4XpFtsHye0WFrsFZVYPCwtAtF5Q/p3Zy0QPyOqQBts4M2VvENpBhGbNQ8PLyB6QkTNSSKI4IyLDKXu6wlf3Hfk9VKXHHsFz+0e7mzbOOFUdaN+RcewI9bKAFkXdIKVpoxvh6aubuG5fK/d8NblytRNiXsbAZ8QIEJNRR0lVLLJx+kp6pDznSrDLQGVPsXG6jiNfO1v3wFhGxHw3s3EGUYKffd9jeMHxBbzu1kPasQoiQR7rae83alCd8PI0TlK1bjwwi/Or3Ur2Q7XtQJmKZQa0BHEx2ZMBLY4gB+a9om67r7JXkewttWpYbodaME2m7JElk+zIYn8b3QizDU++FyJ7KpFX22CoKa39oIbTeA7TQpZM0GfELYdmAQBnVjqIkkRT9mSNsFGzR+eCbJz9FmMoCMYMaDEJYhCJxuvqQoN4PFt8oZZMScLxtw+dx4tOLuLQXCMda765OxF3SundCY3VK5O9a60w3WL7SDimLl1bWAyD7EN9ygOxsNgBKFJ5ikDEpqqyN1N3ZS2ZtPRFuv1QrXnLavb0G/PyRk9OugPDximVNScji1Xq9Qh+wSSOIFIBxe9E9tSJZ5wkknTlbJx9Alo8OdF20Kq5WO+GePpKG9fvz5M9s2ZP2DgzlUE2+TaUvSjmmgWU3iu9n8zGmbXb6EVxobIn7XZqjaLD5Htu+CJcR9aSuSKgpRvF+MO7n8Hp5Q6+843PziyEhrLnObrtM07K0ziJUN50YAZBnOBKhQk2nYd6quwU9tmLxb6JgIfGwgZN7IlcltWtqQsHhTV7FW2chKWZGoIokS1FgOwYeAbZI/K1GQhlz09DjzYLyF5mqXUG1t8R6DZhQ9TszSs27ijWFVtXUfbUQ0X3o1PS3kIFLb7QNcVKFnLD9H7wiRgrta01QxWMubBx3nnDPvn6on5/tDhxbEGQvZ1QtzeMsmdiTxemW2wf3Cp7FrsMtDZhlT0Li+w+yNI4i59Hk+Rqyp4I+6CIfrLomTV39H/fKVb2OOf4/J/5AH7rI09pfzNbL/gKWZyr0GOPUDb5V8cGZGRPneBGCZcTQLOpelmfvTjhmgo4W/dw6mob690I1++fyT1fNn5XyJ6qKKlEXZ2Ah3Ei1SMiUTUvq/XLKXsJ2eEGBbRkFjuq92v4LmquI2vKyMbZCWK8/cNP4Y6Ti/jcZx+U25O9zmIuba11xfZJPdZUeEZAyw0pMa4S0qIqe2X90mgRwgxoodeq4TH0/h3GwDkMVaq/stcNYjCGQgW1CEtpg/tnlkWvSsayNE510QDIlL31biRVvbmGh/VepKlfQHZdeU71gJZEsXEOatdAx41U9l4YI0qykB5AKadITBunTqj7Ke/quQUyAml+tdP7V69lgJQ9o89eamlW1dci0mkqe1c3dhHZo/TN9N+DAB4F8LPjG5rFbkdRMbWFxU6GtXFaDAPG2FHG2IBIkt0Lug8GNVWnFfdqyp6YcDYMwkGTM9pHFtCi1uxl248SjiubAS6mykZo1OplyqCi7A1h4yyb/KtjBRSypyp7MdcCZsztFik7YZIFtABiMk7R9DcUKHt0TnpRgrVuiPmGnzbR1o+Da0zaw4RL9UgLaCGSyHWSGidJqY1TnQRryp5Lyp6LmucaNk4Xp6628djFDbz5jmOa+0clsKT2qOmdScLlpN0cA+3jhgOCGJ+t0GtPbSheFviRD2jh2mtlPaHRfoCOC0EleEWLCLQIUtUNtZTWcZ5eFu9zX6uGjaBY2aP9bfQiueAxW/ew2YvQC7MQE8Co2auq7CnvfaCyl5JnaoHSCWJEcVKo7EUlNk6XMS1UqAhms/ii73ayH9dcV7v2ALNHH7X3yDe+L7KT0gLAsUVh9dwJyt4wAS3XVGG6xfZhA1osdhuyQuwpD8Rit+C3AdzMGPtjzvl3TXswo0auqfqAgJaqyt5s3VPUpeJgFfrppQRBfa66L0kWc8oe2SiZnPBV6bFH8Puk/anKHAW0qMQwSrhUU4oCWmiSqU5woziRVjIAmG34+MylFQAotHGqvQdXOyEWUmXP7LPnpeRDKnuKMpGRvbwiKINGeHlAi7TbKQEtIo0zjdH3XWHj7BLZY2h4jpw0v/7Ww9r2sgk3KXui15taF5dL4zT67J1Yql4nFSrqj5i0Fyh7ss+eoezlAloy1YmUKdEnUmxHJXhlNs6q9XqAsHECwOlU2TswW5fWVVmzZwa0dCP5PmbqHja6EThQWLPnuyJVtJqyJ35Ss/O+JCy1xbZqosa0G8XpcVLInptdV3oapzhujCFV9gYHKNF7o8tGHZv6HNWSTH8z++zRNdbwVXKcdwDQ59TxHVSzV/mTj3P+9DgHYrH3YPvsWew2lMUzW1gUgXP+eiaW4m+b9ljGgcRQeUoDWoZR9noxDs7W5YRJjeEHVBsV1Y4xOQlWm7DT6yhR0qzZU5Wm+haUPa9PGqdKEjIbp1qzx2WdlF/QeoG24TrZ5D6K9QnvXDopZww4sVSu7K10QoQxx1KrvGbPYRmZi5JEkucsoCVrqk4/sxYCSJW9PBGRTdCVgBbPZXJsVLNHDe1V4v7cI3M4aQTPyGb2Sabs+a6j1OzlA1poIk51gYfmG/K4DEKgKJti0l6g7KXPaRk1e/R4JxfQwrT0Rrkd1cZZsCjSCWNNMRoEaeO8KpS9A3M1PCra7uWUPRrzZi+zcc7WPWz0Ilm/R6Bz4KXKXqWAFiK6DhuYxqmqyQ1PqLZxYrReUFQ4XR3NCLU/oGZP2jhzyp7yHIXsyWuvoGaPxkPN601lzxwHXa/7Zmqoec6OUPa2U7NnYdEXoh/KtEdhYVEdTFmptrAwwQS+mjH2w+n/rwPwWZzzB6c8tLGgqo1zKGUvNGyckW7jzAJasoCTRk0nhuq+elEskveMpupZ6wPVxjlczV6ZjZOsaAdn61jrhkgUZUuMXe87p4Imn+axCpNEs3yS3e7ofKOQBNB7ooCOxVaZsqeHjwQxR53IXpgFlJg2TjVVslem7Cmpier5ouul7ruoeQ42A7X1gvgbRder0JU9npJDRxKqhHO4xjCyPnsxfJdhru7Bc5jW/7AMakNxYeMcQtmL9QUOtZ6syMZpXh8mumFcOZwFUG2cQtnbrzS4zJS9TK1KEo6NIJKLCET2TNXWV5W9Cu0NAL31wiBlj+6pmuegWXPRCWOtx6Q6/jgBylovDCKVprJH21Rtob04q1lVw4Ho9Vm4i3g+WYVVZc9zmVTxCbKNRs3FvlZtd9XsWVgMC1uzZ7HbkH0hTHkgFjsVvwjgFQDekv5/HcAvTG8440UW8pFNvooQGRPffugEYlJLrRdoYpQPaMmUIjNQBBDBDuKxBGY4ijn2LadxlrxhmugfmKuDcxF8YaZx0viLWi8A+TYSprpB5KIonEXdLpG9hWZNUxlUW6WjKDRhlKDmCmVET+PUjxuFYMRpGmdhzZ5SW5U1kWeSZJCNU54Lj0niWkz2MiUqSoStVfTlyxYC+tXsNTxR87bY8iuRPbWhuO8U11JSjR4RsTJlT61bcwoWDdXro9DGGcRoDUH2qG8b1ewdmM3InlTnSHmNOdphDM6z2sPZRlqzFyeoKaptZuN0KpM985qpQsLqnoN6mrQaKz0maTtiu4n2XRwlGan0B9k4jYCWzLWTPUcSTzdTY7NFkSRHFGnRouGpyl6BjTPK2mgszdQqJcOOG8PU7GlgjB0FcJVz3hv4ZItrErZmz2K3oawXj4VFipdxzl/MGLsXADjny4yxfMfrPQK6DWjSWGbpoklYrwLZ2+yJpurSxmnU2mVkRbF7+ToxBHRlTyVOtDIfKmRnazbO8kkrTTIPzopTv9oJc4RTrTlUIZNFjYmq6LOnKntirDccyFs4gUyBUZU9x0Fe2XP1OiqqhfMcR7NxUnpklqzI4LAsgbBfQItqtyPrJSAUEFM1eu1zD2G5HchG6ioycpKkao9IUr0U9uR+ytI4N4MI+1J1a77pY7VdTdmjhuJeHxtnzXNyiqwke4GexqmS3SILIlBs42wHw9k4PdfBQjMjtftns48hUslkQEuSSFVqtqHU7PVEz0s9oCUjik7Fpur5mr1yEhZq97WDXrpYowW0lCh79FrHyauO51Y7WGzWMlIubeB66wX1MyxUbbyKJZlznrZkMGr2pLKnpnHmFcaOEuRyfLEhrbbTxHaUvd8G8Ahj7KdGNRiLvQVbs2ex22DTOC0GIGSMuQA4ADDGDgIY7F3cpZChE46wMvGS+6KqjTNJODphjFbdQ4MSIUtq9tSaO0FOWGHNXjdMjFh73QaqNmUfKqClT9NmmugfnBPkYqUTaKv7amBJUesFdRvZuHV1gybl1+0rVvbqBTZOz8l6A5ppnDJ4Ihb2SM/RlT0at0raqNH4oKbqUaykcbp6QItG9hwHL75uCT/+ZS/IkTYxjqzXWZzG8TdrrhxnvzTOhGfHRCVB/RDGiWwx4TlOYUpmGIugjpq0mKaLDEa/PUmSVWWvtPVCiY1zCLIHZHV7Td/FTE1XmwDdxrmehuSQYjwnbZyxUbOX2TgHWTIJausFt0DpUqHaK5s1oexFMddqW+Wiq1Gzp1lllbTcKE7wxp/+AF77U+/Hu+49I/aTWjR9Q9lTP8PUBZmsZi+RCzF1+Vpd2aurNk4nv0hAn00N38V1+2Zw6mq79LNzUtgy2eOcvx6iz95vjG44FnsKVtmz2GWgCYjlehYl+DkAfwrgEGPsbQA+BOC/TXdI44MWOtFnlb+qjZPIWkuxcWatF3RFSq25A8SEtlNSs6cSp6I0Spqozw3TesEb3GfvYGqdE8qeqi7q5EfbrltM9sxEQqqtKmq7oG7n4rroJ7fYrJWmcaqtF6JY2Dg9l8mavZqXESbTkpc9Jz9dlM2mk0QhiY6i7Lm6auT1nw+wVBkSrRdEHL8I8chCUMwaSJVMk1q82PSx0tGtc++85zQ+fWFde0xNXPQ9B2FJzZ7vCius57BcGmem7InnU4qpeEwnFlni4/bTOIEskXOm7mn1frQfWYcWcxlgo6ZxdsMEnSAuqdlzNPtvP6gW1qK+c/eeWsarfuLvsdoOJZESyazinjaTaak5e5Jww8aZKnsMaUBLZv1e70VY6QT4tj/4JB67sC4/H8xETS00RwmBylRQnrNg59M4i5W9C2tdBFEibcfNmovr97fQCWNc2piuCXJbNXtcYE8WpltsH4ltqm6xy6CuKFpYmOCcvwPA9wD47wDOAngz5/yPJrV/xtibGGOPMsYeZ4x937j3p1n6+kz8aHI3SNmjyP1WzRUTaNavqbpuw2rU3JKAlkSzRGYBLUR2ttZnr6h/FkGt2QME2VPVGtFnLwssUVErIXthnGjPJRXyuhKyVxTQ4innKNIUOkc5rmI/nqvbOAFxvs2eae30mBelcYr3J/ap9dlzMrKnvk5tnF0Gss+KRtuiZk/WxSXI9aFzXZXsiX2Zyh7nHP/5T+7Hb39ED5VXw0n8AoUGILKXEaDSNE5FBSdysN6N8HcPXUifz9FKx2eee9rOMAEtQBbSMlN3jYRInaREsWLjVAJaAODKZqAR8ppM42RwnWolDfQUQdLytsYHzq7hzEoH59Y6mrIn6jHjNJzIOK9MXFd6oqlRGxjr1/qrbj4AALi00csFtEjXjnLo1VAhWlSKkiTXoy+Xxqle06n6zTnHG3/mA/itjzwlr4mG58j799SV9sDjOE5UJntFKWSMsZeOb2gWux02oMVit8G1Nk6LPmCM/QsAZzjnvwBgH4D/xhh78YT27UKEwXwBRKuHtzDGxtryQesdxsoVb0qjG6Ts0d+pebQ6kaeJtmwRIJW9zBKo1uxlNk6zZi//egqgGLbPXmkaZ/o4KXsr7VAjCkXqACGr2dOPlRnQ8sbbjuAHvvBW3HpkvmR8GdmrpxNnR5kAq2mcrqM3q/c9YeOkOXmt0MYpPg+7BdY1FU5qEY0V2ygphQ3TxlmB7JF9Vm+qrip7+vN1ZU+c58VWTfY/BIT9LogTLBsR+GoIh1fQLw2AlkRa85zyPntEkhmT3yPvvOc0vuG37sbF9S6iJFFCXvL76QTJ0GRvMbVxztQ8jexlyl6BjbOhkz0zaVXaOB2nsK1AERJlYaHI+rmaHnfVck3JrEWtFwDI+lN1U3SPOWlTdRnGlB5PCp/ppgmftB9A6bNX0KTdU5S9MOZZXSERxfTwkLJnqqhRLBJrVzshnrqyiW4YpwmvDq5P24s8vVvIHq6xFDKL7SPh+VU4C4udDCbJ3pQHYrFT8UOc83XG2KsBvAHA2wH83wnt+6UAHuecP8E5DwD8PoA3j3OH0po20MZZTdkjYkeTJVrZB5RaO+pzZSSBlts4TWVPf73nbs3Gqda/maBgB1XZ0wNaMltjaUBLpB/LKK2lIyzN1PCNn3NTYW0bkE1iN4NYTvr1IJZiZS9Im7erSgpNaoWyJx4jNbettE0ogucwxLFObjNlzwxoGTwf8D1HpnF6roNmmsbJ0/qtspo92h8gAlrWu5E8FtTU2qzjCyKuq3YF5zuMuZZQSdcakfVelCApqHUEgGeuign+RprWSmSkSDHuBNHQNs59qbI3W/e012bBIplaZdo4Z5U2JPUiG6eX9mfcSs2eSfbS494J4kJlLzJaLwBZ/zpVaVYTZqmelN4foJK9pFTZ02r2lLAYNVQnp+yZaZy+To7DmMvPsSsbgdYz8cRSC4wBT1/dPWTvZZzztwLoAiKFDMCeTSGz2D64tXFa7DLIeGbL9iyKQWzjiwD8P875n2Fy34PHATyj/P90+tjYoE7inD5hDTTRL1L2ojiRipzsP5VOhKipMlDQZ8+wQQobZzZJVlsvhJFKtGi1P53IKTbOuWH67JUoPepY5xoiyXCtG2oT+CjhkjiYioVsvWBM+EMjfn4QVBK12BSXoF6zl+1fqHiKsqekDwJqH0WU2zhLlD1qvF1Ys+e5hUSiHyjKPko4fIdlPQGjLIlRf362TbKMLqZtCda7gmSQotdX2SsJFgmVfmt1z5EkXVWTe1EiFSNHIXtnV0U9JfWSo+veJHuci9YIW6/ZcwuVPVWtMm2cRIwA/VqSNk7H6ZtIq0Kt8yxK4ySVtavU11LKbjeM04RYQ9lj0Mie52T97Bgj+6SuYs/WszrgQOmhpx4TTSmUC0qOlgRrtm2gBQaZxqlZkwXppM+mKxsBugrZq3kOji00cerK5sDjOE4MQ/bGnkLGGFtkjL2TMfYIY+xhxtgrRrl9i8nCtl6w2G0oKuK2sFBwhjH2SwC+AsB7GGN1TK5fbdGHqXahMsa+iTF2N2Ps7kuXLm17h5SozJhY5S9LlKOV9SJl7xff/xm8+Rc+BEC3cQIpgYuMNE6FlACqjdMpD2hRLJFEEqWy5TLMNTzMN7xKZINQK1F61LFKK1oQ62mccZ80TjdftxWnQRRmfV8/qJPjBVXZM44fqbJS8YyTtB4rez1N8DXSltoRO6l1jcZtggimnp6anrOabuM0j0Xx+xJKCak9NGkmsmIqe+omSXGhHnREMpbp56ap7MVK6wWhKCYJl7WMQJrGSXV9LsuUPeX8dcI4szIqAS3nV0XkvrAVJrKPnmnjbAeiB94wixGAWrOnK3t0nNWU1Y1esY0TQElAi7jnqwW0iJ+yttcgiCupstdLjwNjYowN30E3yrdeAMR1lXAuj6voe6nW7Il2IXHC5RhbtXJljy4bvQYws3qrxNhU9miBoa20VFDHGcdcfjZd2eyhGyba+bhuX2tXKXuTSCH7WQB/zTl/LoDbATw84u1bTBA2oMVit8HaOC0G4CsA/A2AN3HOVyDq9r57Qvs+DeCk8v8TECExEpzzX+ac38k5v/PgwYPb3mHCM9uc65RP/KI+yt4zV9uyz1RHqkSk7LlSoTNrzYJYn3A1fT2ghX7vRYlmiST7prQVOg6+4bNvxG983XARA32VvZw6kUjCyxg1Gc9q2FQUtV4IlUln9fE58vuVlKzCmj1X70kWpIEjqmVUC2iRQSO6jbOo9QKQWUfVmr2iPns116lU1iFqJROp9hCBo3GYyh4leAKZ4kK2VrIPltk4u2EiFUs/VbF++6NP47U/+X75nEBpiVHzHGnhVZVZSpSk90+L3BfT8JxOIGoQzcbsBCKyqtpWBdR6YbbuadZCuuZcSWASrHcj+C6T6mcZ2ctaL+i1nv3ApaqJ4pq99Lh3wwS99PpjjMk6XNFjMk/2IqVmz3OzJuqU+gnobU6ILAtlT7eBZwEtqo0z+4xQt6f2AgSUgJZ04cNUq8MkyWycmwE6ga7SXr+/NfWAlspXFuf8HYyxewC8Ln3ozZzzR0Y1EMbYPIDPAfBv0v0FAKbfdt5iy7A1exa7DVLZs2zPogCc8zaAP1H+fw7AuQnt/uMAbmGM3QjgDIB/BeArx7nDOMkmSaLBcvHzZO+xAmWvGyXohDE453llz8/bOGUdjtl6oeais5xX9oIo0ZSYOPd6hkNzDRyaawz13knpKX6/afgD1R1FWSBE3XMQJ4lMkzS/A4sCWtQ2CcPAdx30oqRCzV6mSEUxRy0NaFG3A4jPPbXdhucwec6KWi/Q80xljybOwsYpznVVIiui7JWAlvT1NNE2yTM9FiVcLiJIZa+j2zhFX7lMqetFCQ7Q+BwHUZzg0QvrOLvaBeccjIlWC3R8al5Ws6de690w1pJr6djS2kgnVbQavgvG8mRvPSV7Qyt7SusFPY0zI7CAuL42e5FG8NSavcKm6jKdsoKNUw2nKarZS5XVThgjjLhUU+neieIkV9tK9YKZjTOrO6XaQHpvdM3Lmr0olpaHeqpIOwULuepnBJ3jMCmo9yNlryfaVKgLDmT/pftkpR1ioxdp5Pu6/S1c2QywYZyDSWKYNM5xp5DdBOASgN9gjN3LGPtVxlhxN1GLXQFbs2ex2yBr9qyN00IBY+xD6c91xtia+XMSY+CcRwC+BUJZfBjAH4679VHCuUyiE2mcZTbOcmVPra0rCmghIkgTYHJOmn3qqCeX3K4y2SaLGpCt1kdbJFAE3+mXxqkqe46sO6L3RK0DiogJTaa1BFFpWR3OEUyT9MUW1ew5uTomkcaZTcBF6wU9oIUmtaqN00mtu4OUPZdRGmdGEtV2GXUvU4qqwEuPuyDLjrxWKPa+6HRKZY/67JUoe+pjgLAAk7LnpemrV9J+aKFie60p78FsvQCIWlQtzMgYJJG9mutIm6qKjTQpc6a2DRunmhBpEra0z56qHKqkQz23aisKt0ANK4LaeqG/sics1xQI1PBdcC6Oj3mf0nbou9h3s9YYKqGO0oUVANImW2TjLErazkKgnIw8xlzpBajX+wVxgoZxH1CfPbWe+OxqRyPf1+8TVGaa6t4wnyzjTiHzALwYwP/lnL8IwCaAXB+hUdckWIwPtvWCxW4DXa+2z56FCs75q9Ofc5zzefPnBMfxHs75sznnN3PO3zbu/SWJYeMsmPRxhSCUKXuAmNDRhIgm5RTQQDVrQKbsqTZMoKDPnvI7xcqL1xPZE02st+ou8VynsO8aoNo4mbRx0nibviutYH5BDV6hjTPJtjcMaFukZGlBLIayJxpUZyRKD2jJVA8zoMW03ppwXbHtrEbR0Qi6mmRZ9T1RU3Wq6wIyq2PRnMKVZI9abBhkT2nDsKKEtPTCRCqHfpq+emVD/J2uwyDOGq/XUiUV0NU5ley7Tt5qSq0AfJeliwjFNs7ZoZU98T7ncsqeEdCSJGgHkUYmZxRyWFiz5zmyzcUgdS9r0aIvOBCowT2FKdUUZQ8QizWm8kt9PXUbp1qzlyl7qvpX9xz0wjhfs5e+F3VooXIfZ8eKlxJFdcwEeh21ZQCAsysG2aNee1enF9IyDNkbdwrZaQCnOed3pf9/JwT50zDqmgSL8SFJij+YLSx2KrJ45ikPxGLHgjF2O2PsW9J/L5z2eMaJWFmwKwtrUFUKdXWbQKSsHUS5NM6mTONTwk3MNM6SPnsqsaTURfV1RXVAw8BzmVz5N6Gm9TW8LD4eUJS9mBdaF8lWFijHTSVKw8CXyp6Y9It4eko1zdI4SdlT2yPQZNlhej2TVKjSsA065WWtF3LKnqvX7JFyVqtq40xtcWHC4bqZjbPdx8ZJClbdIL/U3+2qQvBU4teLEk3Zi2KOq6kKSAmvodKegYgooJP1bpgoJNnJXXfi+hBWRd/L24PXjaTMqjg018B/ffPz8KV3HNMUJzONM0442oHetJ3aWtD7ko8rr6V2CIOcLolh/e2l75feO30uCGUvgS/7MKbWyZjnrn1aXNJtnIm2HwDyXqPHZaP2ONHsnoU1e3SPpDWEdP+U9egTYzbIXnpdq+4CM6DlZNprj2qXp4FhPlnGmkLGOT8P4BnG2HPSh14H4KFRbd9i8qAkNwuL3QJp47Q1exYFYIx9K4B3ADiU/nsHY+w/TndU40OScKlSuMrEX4Wq9vUKbJxS2QtiqRLRhKme1uypYRe0Pdknz1HIXmr5BKDV6anKXiiVPV5ZTSpCrY+yJ22cjiAzIlFQPCZq9oSCVmTLHFVAi7qtotYLqrLnpcpeJBXETH0jmyeg1z85DqAOp2/rBaPVBB33Zi1T9qpaVKlWMk54enx1G2dZzR6gXFeei6bvSmVvpR1IIqgre7FmMw3jBJfJxikV5kTaDmuu0lQ9TuRrRUBLOhbGcovcnUAEhvjSxlmi7G2hnutrXnEDDs834LlOdqyJsLkZmeoEsbQ5EsjWqSatqpZVOmWDGqur1t9ji02sdSO87qf/ER9/6irWFNsskT2p7CktDHJpnGlfT/rM8ZXAJMayHoLqNSsTPtPPFJXEFpVoZO6BjOBqffaMtg2A3mNPvFb8X/0MAvTG6/MND57DtEWHSWOYT8JJpJD9R4gvz/sA3IHRp31aTBCcW2XPYnfB2jgtBuDrIXrO/jDn/IcBvBzAN055TGNDwqEpQEWTPrU9QZGNkwigsHHGYCxTYGZqHtpBJO1UnlFbpgacNGsuEq729FOVPbL4iZobQCh8RcSgKjzHQcJL3nNqMXRSJaEXZgEtmbKXFCqLRWRv6wEt4vlqQEvWZzDbpuOIXmCkVnlu1gvPcfSWM6aNU467tKm6ILfq/nzVxqm0LaiCWtq4PE5rHkkhIWWvaE6RpXFmY1xo+lnrhc0QNx4QdVMrprInA1oYumGCtfRaImKhpnGaNXukIKqtF9TjSegoveRqRTV7W7RxmiBCbip7UZwIZc9QpSgQprCpuusoTdn7fx/S16XjMPz7z70Jv/Kv78RmL8bP//3jMiQHSMlelKDmZTW7BHOhg1ovqLWndOz1mr1sEcMlW3Xaz0+9ZvsHtKiEX03jTBe6+tg46Viryp54XrZvxhgWWzXt2ps0hknjHHsKGef8kwDuHOU2LaaHhHO5YmJhsRvgOPkvBAsLBQxZSQPS3/fsh1yshGxRDY2JSLNxFgS0pKSmHQiy1/BcSeBadRebgU6UVBunau2iSVYnFIl4qrK3lto4WzUvU2TSNMytgiafYZzAdfQJXhhnqmFT1h1m9YgbvShV9opVKJGOqfQGTPRJZ1XQpJlIRz9lT1XfaoqN03McpeWM0WdP+f4uU/aclGCq+3vT844ijDkWW74kU5UDWlwmiXxR64Uqyh4gCPCqksZ5x8lFPHJ+XdaPJYkI4mhIG6ejKcwqqSMyVPMUZS8lexfXe+L8F5Bkh4njK1IoE6mo5myc3a0reyqavov1biTvG7r+okT0gWvmlL28jdN3s9e6KX8ZHNCS1ewxxvCG2w7jTz5xGo9eWNcIjqhtTaSlt1nL20cJORunUrOnHuM41q9ZslULZS97v45yjRNUWzNtN+qTxgnkyR69dqNrkj39eYstX1OVJ42Bd99OSCGz2J2wAS0WuxEOK08dtLjm8RsA7mKM/RfG2H8B8FEAvzbdIY0PSZJ9hrslTdVpdbzpu8UBLYqyZ044Z2oegijR7J3SxmnUvJEqIfvrFSh7zVo5WRwWvjJRNiHi+LO6IzWgpeG7ouasJKAF0O2AQN5OVhW1AmWPSAcpYyxtjh4riadC2csICSkXcaJM3A1lr17SVJ1CYdT9Xbe/hbe+9llgjGV99krSPPPbc6Qa7LmDm6rTGAB9gj3f1MneiaUmPIfJmj0idkRGzZpCOlZhnGhqF52rXpTIIBgKGaLx0XE7MFtHq+6iG8QIU/JfZuP0HFaaeFoVdG+pFl1ALMi0gyhn4yRyqffZIxUzex+DlL1Ykr3sGJ7c18KZ5Y5GcKTiRmmcChkz71Vq9UK7VhcyGFOJbFJs44wS7ZwWlWiEiq2Z3nOU8FyPT9o/UFSzJ55Dyh4F35gq6lLLly1A3vvQBfyXPx9rkHIOA6+snZJCZrH7YNURi92IstRBCwvO+U8D+DoAVwEsA/g6zvn/nuqgxoiEc0WlKL4vqEZupu4VKnuS7AUxOoEeXECTT5qUN3xHUaYSTQ0iFYBCWooCWlo1V2u9MGwNnIpsopwnsKpqkPUKS8me58o6orL9+y4bSeuFLKBF1OxRoEpitH5wHYY45tLGqVr01ObsprKnTt771ez1azVBE/uqFtWal7V78FKbLABspo8VrR/TflWytJiSvU4gAkKWZmqalY6uS3qNeewjZdFBDWjpKTV70sYZxBpJpvd6eL4ha037tl5I2yJsty8xkSc1QZcxEdbTDmK0jNYORWSvVmDjHBzQIn6q18uJpSZ6UYLHLm4AEPcm2TjpeKoJr8XKXiJbeLmMSXKmEmq1Zs91GOq+q9hFB9k4dfs09Vo0lT3aJ4Bc6wXTxnlssSmeZ5C9hWZ27f31A+fx2x99eqLZAENpxoyx2wF8dvrfD3DO7xv9kCz2CrhV9ix2IRhjdqHCohSc808A+MS0xzEJaE3VneKm6kSG5hoeLm/0cg2Se0pASzeMtVoWCoig1X+qdxPb1dM0aSJLKmA3jDFX97Dei6Sy1/BcpSn79tI4KZSjqNdeqKgGlP4XJQkYE6+L0+TLMmWx5rm6ZVDaOIcPaPEcJtUEtY4pTrKaQc9NlT2lxYOvkHinZOJcpWaPJuXq/lQM32fPkedYbb3QN42T2nMoE+yFlOyRmrLUqmlWOrou1TROFdLGqSh7NZchSO3DQZRgPq1564aJFtNP98yhuTo2exE2ezE4F+OsFdg4R9Vsu1FzRbqqcox8x0GYiIAW08YpyZ7WVJ3IefWAFrX1AuHEkiA9D55dBQAcmW+gk9o4qTZRXfhxjeMvbOOZQu0wptUG6jV7mdWzkQbzmGSPpqIqcaXPLnVRJErUmj2FLDoA4v42zprr4OBcHY9d3ChU9h44I8je5Y0e4oTjymaAg3P1giM6elS+utIUsm9EVrf3DsbYL3PO/89YRmax65Hw4gaoFhY7GQ6zTdUtipGmUP9/AG6A8v3JOf+xaY1pnFCbqrtOsb2ZyBDV//SijOxxzqWC0g6J7JUrezRBSlKypE62GjWd7JGNjshezXNkfD4glMFhlTIVvpxM5hmumtDY8LL0Pz+N3afQiDLyVvccBFF2LLce0OJgseVLRUhNKFSVNlJl1UlsZvVjWsNpzcbJMkuf2TuOQE6IQcpeZRuny+Q147qOJPlV0jhV9VEQO53sqVY6sgGrffZUhLHoS6iShmbNk/WEQZSg4YsAmk4Yy+tftb8emq/j3GpX1pT6HpNpoyo2upEMS9kOmr5T2MKgE4j2Ji2DgNBii6qI0u91z8kCyyrW7Knn5uSSaDfwwJk1OAw4MFdHN4zRU5Q9deHHPP6UIJtwsQCr/tlhxWmcDmNoeA4ukpKqkT2WK9EIYtHzjynXeRTn0zgBRdnzzXFmNs6672DfTK3weUszNVkvSomvF9e7EyN7w3wSXlMpZBbbh63Zs9iNcBmzrRcsyvBnAN4MIAKwqfzbk0h41lS9rM8ekSFSCXpGLRrdSp0gEjV7yoSTmjyrNk6xTS7TOAmyZk/aOGNpo1vvhqi5jphIqzV/21htlLH1UTHBNa1o7V4sQi2I/PTZf80rDgMZtsawVXOx1MraHcu+aqkdU+2ZpvYjU3uouU42kRbpo+J31SpX93SSoIIi8qO4uNXF0E3VlcblviNIZs1z+jZV9xSVlbDQ9NEJY1xcExPrpZav2Tgp4KdM2YviRCpGpOLO1oUiG0RZtD8F9BSlmB6aa6BZc2XCp++IWknzmtoMIkm8toOG7+bIsOcySTZzyl4jb+N8/vEF/MiX3IZX3LxfHpPBZE/8VG2ox1Nl79TVNhaavqjpNUiYer7KWy9wrV8ekE/jVBM7G6ltVlVk1dfFhrKnnncKaMnuR2WfZTV7io2z6bs4MFsvfN5C00c3TNANY4Xs9TApDHN1MVxDKWQW2wfn2LYH3cJi0nCsjdOiHCc452+a9iAmhTgxmqoX3BhEIIjsqXV7amJmJxBBLKpdrZWqITQBpwlSLJUxpWbPN22cCY4tCqKz3o0w3/TT1MlEbmM7NXtENMMCZU9XJ8S4NtKQDS+dMPZTFkVAi5LGaaQCVsW3vf7ZWkN5aceMSWnL0gRjJXjC95SAFgeagiPDNpQWAv1UOc9l6Eblyh4R+KrvzZx8A0I93exj48yel02wjywIsvHRJ64AAPbN1LDY9HG/JHt6QIt5rvQIfvE3qnnb7EUy2l8Eguh99ogAHJqvo+m7OLvSkcfAdx1sRHpy40Y3knWX20HTd3MLDL7rSJtzrmavlid7rsPwda+6EUD1VkS0OKqem1bNw/6ZGq5sBlhs1fT+d0qSbTZO08YJmcbpGPWjjlazl5FyVwa0CAXRtB6b3+1Roi/I+K6DKObopYRUnb9WCWhp+K5U9kxiTYsyVzcDXNkQCt+ltcmRvWGWkdQUsh+FSCH79fEMy2IvIFFiuy0sdgucNF3OwqIAH2aMvWDag5gUhI0zq2cpui1oQjxToOypvfDaYYRumGiTJVL2cmSP85wyR5Mn2mYvijHfEMpelLZZ8Jwsnj3cZhqnmmRY9J4zdUL8XO9GaaiFqnT1UfbUgJYttl54zpE53HnDPmXMmfU0jnVlL1aUvZqb2f3UpuoJ59nEXanl65cS6aZ2u7KaPWrYXbmpunLOVEJNwTx9++wp1rnX33oINdfB733sFAARYqNa6cyAFjMJNYwTLdAGyBY0NoNI2jspgCVRSPL1+1v43jc9F1/0gqNo+JmyR/0Nc60XRlSz1/TdXO2b6zDZ2NxM4zw834DvslJVUQ1B6Qe19YKKE/uElXM+VfZECwqeNVXXlL28/VQo1PmwIGonAoj7Uyp71GeP0jiN65Yxs/WCrv55aRqnOka5z5KAFk+p2Wv4DvbPpjZOzyR74rPq6SttSU4vrHUxKVT+ZDFSyK5ApJD9zLgGZrH7YZuqW+xG2Jo9iz54NYB7GGOPMsbuY4zdzxjbs0FlSZJN4BxWPOmjiUuRsqf9HuRr9qjOiSbgkuylqopKMkxlr6c0tQYga/ay1guj67NnQu0VRpO6jV4Iz2XSMhkqypqJvI1zazV7JtTJuVaz5+g1e57D5PtzmJJUaAa0sMHKnsuqpXGWBbyUPR/IzkGz5so0zqJDlNXsqX32anjdrYck0Vps+ZqVjhYl6JojMkq1c1GSoJf2QqQxESla74o+ijXPkURUTTFljOGbX3MzFlu11MYZymNANs7HLqzjbX/5EJKEY3NEZG//bE0ugBB8h2UBRoYq9WUvOo73/KfPzr2G4FUke0WtF4AspGWx6csgoyBO4Hv5RQRThScnQcI5mGHjZAxaqBAtljiMyf2Ynx9AfsHKJHtu2rg9iOPcNe8UXGNAVmtIyt7+EmVvISV7j1/akI/tSBtnWpj+XACz6eu+hDH2JXu1MN1i+1CL+y0sdguE1cOSPYtCfMG0BzBJxNywcfZpql5s41SUvSAWiYCK+kK2sjUZ0EI1e0luItYwyV5okL1UrYpiMbEVNWtb/wIiclLUY0wdm27jFHH1ccwRxUlp3zyz9YKqTGwHes1eooWwaAEtaYqn+Juj2fWK+uwNUvZkq4m+aZwVbZzKNqRi57nSrto3jdMY5z978Qn81QPnMdfw4LuOtNKttMOsZs8Y35H5Bta7G6mNM1NCgWxxgkJe/LQPYDfSrYQqmn6m4qp99v7qgfP4lQ8+ia971Y3Y6Eayfm47+JbPuwVf8/IbtMdcl2G9V6zs1TwHtxyeK91e1YAWmZJZRvZaGdnjPFN7qR4ziPKqMPVv5Km7QP2zquyp16zniICWXpRoVmv1/ajvJTJ6efp0n0T5haIsoEU/hmrrhYbn4o6TS3jJ9Ut4tnFc6dp7/MK6fOzi+uSUvWGurj8DsArgHgCTo6MWuxZiRcYqexa7C2UR8xYWnPOnpz2GSYJzvVdbkcrVL6BFJX6yqXofG2dTsXEGkdlnLwto4ZyjG4ko+ZrryDAG31VsnEmCur/1CbRs2lzYZ4+jVdNtnBvdSFhJXdEPrF/NYM1zsao0m95qQIsJUh+i2Oyz50hrLCDUCFKyVFKXJNAUKqeCskdq6iBlr3LrBdVW52TH+NxqpL1HFWX1VJ/77IPYN1OT1yZZ6ZbbgUzjlAEt6b6OLDTw2MWN1MZJ5Fhsn5S95U1xvdYpoCWIkSSibMWc8+h1aQ5qroMwSXB1U5z/08sdbAbxSAJaFpq+tgACiHN9Jd2XSfYGoWpAS5aGqT9OiZwLTR9130HXOJ6AOD5BlOQDWhxS9tC3Zk8NHqI+e0DaCmGQjTPheRtnzGX4jjkeIJ+yqZLCuu/gyEIDf/zNr8wdo0VD2Ts0V9+Zyh6uscJ0i+3D2jgtdiPMeGYLi2sVwwS0zAxQ9mSfPWXCSQRupZMPaAliLhupA5lqIxpUc3AuJtv11BJZ97J6ORrXttI408l/UET2SgJaFls1OYYw7h/Qoh6brQa05MesBK0oSpub9igjNcv3GNR6PjpMCc8CWlT1pF8aZ8NzsdmL0hrBgjTOIcmeqoZS/Vndd2WjdbdgTuGVkL2a5+A73/hsLKdkZ0Ele7mAFrGNw/MNAJCTfiBTomhxgpS9muegWXNxab2HmJcE1NRUspcuBkRckr3HLgqlZ24EZK8InsukKmpaCwehckBLQesFQLdxOk6mZtc1xd7Baid/fdDnDbkL9DTO7P6MC2r2AGAtTeg1t6m+lShODCXZwWaUhe+ooMvO7J/nFbgPiiCVvbTJ/G3H5vHYhY3S548aw1xdH2aMvYBzfv/YRmOxp2ADWix2I8omtRYW1xpinikp1OTYBKlSZENTSUxPIX4bvQi9KNGCC2qeUDpI2aNV+SjmaeNyddVdKHedMJakpeG7qPsO1nvUO86R6Zn9yFYV+FLZK7Zxkv1PDWg5MFtX+uyVk826p4d0bDWgxYSmdig1g0RkKNzGc7I+e46jNFVXAlrUZuv96u2OLDRwYb2HIC7uK0hksiqR9RVFxXcyQl1mk6THfJcV/u2rXna9/J1Ur7VOlA9oUWycgDjHRE7ob7NS2UvJnpLGmSTFraZMZY9snET2Pn1ekL1RKHtFcB1HJlCaaZyDX1s1oEX8NFXNE0tZQItqhy6yZ5cpe9R6oa+yp1wbdLzbQb7uzjXC18xenqRSF7VtKE3jVMbdj+w1fBcN38GFtR5ch+HZh+fw4cevgE/IATfMJ8s1VZhusX0kVtmz2IWwrRcshgFjbHHaYxgXOOegObpbonj3DWhJSdlC08+smoa60Kq7Ss1e2lSd8zTxUv/+oDAMIi1C2ROvqaV1aDQxjZPtBrRk9YMm1B6AtH+qEaIQCFGHNFxAS1mNX+Uxp/tLjD579D1M50bUN2bKnmyqnnBpYXcYQPPdul8+VTy62ESccJxd6RSSW8YYXnHzfjz/+ELF96AoLRTQouy/rM9eP/WRINM004UHQAloSY/d4QUie3qNI5C1CrmqKHvU1y0usbGqZI/SOIM4kdbKT6fqzihq9oqg3gPD2jhNsrfSDvDW3/0EVtuh9jyysJq46cAM3vram/H5zzui1VOqJIyOT65GLk3jFCFRTLPvOoxpFlPVeqw1as8pezpxNXt5UppvEBWEu5Q1VVdeb9aMmlhsCnVv30wNR+YbCOJEfi6OG8NcXddUYbrF9kEpShYWuwmOY22cFnkwxmYAPC/99/z05wsAtAAsTXFoY0MVG6dU9gpq9qguaqnlywmyaYOaqXlK64UsFMUMaKHXdhVlr+65koj4MqCFy22UpWFWgeyzV6TsFdg4Acg0ToDIX0nNnusUBrQUkYVhQIfLrNmjMRHZE+MUT1Zr8xIOzcZJE9x+AS3HFwU5Or3cwbMPzxY+5x3f8PLK70FTWgrUlGJlz8lNwoswo7ROMANannVoFs86NIs7TiwCoJAgPaCFrnG6XtWm6jHnhRbTpmHjrHniGr26Keq1Pn1hzDZO5XgNa+NUFwEA4P4zq/jL+87hq156HV75rAPyeUmJhdVxGL77858LQD+HKtmrS2WvQIWTffYA9VZyHQaXk7KX5Jqqy23navbMPntJrkY0LgiHovcC5FsqqAs6/ZQ9QNTtnV/rYv9MDYfmRfP1i+s9LM1sv8fiIFS+uq61wnSL7cPW7FnsRrglqYMW1y4YY08B8AE8BOARAA8DeAuAOzjnF6c4tLEiTrhu46xQs9crUPYWWzWcXl4FkCd7qtrQVGv2CtL0mjVXi82v+3llL1JsnNtRymjfRTbOIOZS7dGUhDSNExDEqjygRSd7MqBl2zbOtI4p4Skhzc4dkBFxCrMRr2EyNTtOhI2TpUEj0sbZRzU7mjYvpzTS7UK31VHKZrb/olPa9J1KrQvoORtpjSGQEYJji0383Xd8rlStSOEBMnJCdaFXNRun6OuWKPeKioZh4/QchiBOZMgLKXzjsnGq56Q1gIyYUK2SQLYo0TPqWOMKVkSd9OZrcU1VmFp6xDKNM/s7Y/n6VEDUeKr3Y659guFOMHt5yoCWgh59cuGjj41zEJmmkJaDc3UcmhOLJBfWunjOkfJE1FFhPFeXhQVszZ7F7oS1cVoU4N0APhfAr3DO/xAAGGPfvZeJHiAW7GSiIytuqt4/jTNT9mjCaFoCW8ok1wxoKVL2OmGcJSl6rpzcUZ89qezF5WmYVaA2KDcRRHFhY2hV2euGcSn58V2zqfpoA1qiJEEvyhIeTWVP1Lelyp6axpkGtNDEtoqydywle7St7cIzbHWATqiLCNV//LxbJAHrByJrm70IDOJ9mwSbkiLDOMlsnOlzGGNo1VysqAEtZOMsUbeKavbihCMGT3u6ir+Nos9eEeh41lxn6MUEaePkBtkL9XuC8+LgHBV1r1jZI4Jkkj0nVfZINDBtnFSEptbsidYLxaSSXqfW7EVxopHsA7N1nF3twHEYji829deWpHEOY+OkkJYDs3UcmsuUvUlg4JlnjD13EgOx2HtIeHHBsoXFToYZz2xhwTn/FgBfAuCLGGN3M8a+AMCev0hipVeq4xSn8oVS2UtbI6hpnOnvNMkBimyc2f9pIkVpljWD/Ij6qEQqhkLZS8meEn4BjMLGmaZxRkU1e1xOWBtGTVZGnMqbpJs1e9TeYbvKmFpj1VMCbtwc2csCWlxHtXGmtjki+EQU+kxi55ueVGe32ydQjC1fs6fZOAvmFCf3tXD7ycWB22aMYabmYrOXJsMWvC86B1GcyHOkjmm27mk1e82aK453mAwMaPEcph3Lmw9mttfxkT2xv2EtnIByPcU62TMTastq9lRoipum7BVfO+SwyWyc2d9FUmz2WRErTdXrJXZR+rs6dFPZ+8IXHEU3TPD4xY2CcBd6H0ZTdeW9mKqfiUVJ9lQb52R67VX5ZHkPY+zXGWPXjX00FnsKCc+nM1lY7HRQrYCFhQrO+VOc868F8LUAvgnAEcbYa6Y6qDHDrNkrui+IqDR9Fw4zlL30d7UmJRfQkiYEMpZNzvrW7AWqsqfYOF2j9UJSXjNXBbLPXmlTdarh0WvMNGWqrPWC5yCMs+RLIszbaRWhvp5ssKSiZmRPbe6dJ3tk4xxG2WOM4ViqgoxC2dtKzd4wmK17Mhm2aHKu1mrSwoFKTmbqntZnj47N1c0ARadbvd5rnqNdky9QQmvGFdBCx9BcZKmCcmUv1p4X82ILq4qymr2G0edQ7tsVxEz22VP+zJhuMSUC5zmslFQC+Xp8M7H3zuuXpKJnvnZQU/Wiv5kgG+f+2TpaNQ9zdQ8X13aIsgfguQDuBfCPjLH/zRg7OOYxWewRcGvjtNiFMK0eFhYqOOcPcs6/HMBrAPwgY+wDUx7S2GA2VS+6L6SFStYvKTV7UtnLGj3nlL06pfE52mp9WNDYuFlzc60XaHLne9TQXO2zt3WlTFV4VCSpbYxIiWcmWypfemVkkwgCtYmIU2Vk0IR5EByF7PWiRBJhGlOHlD2ltjBn40ygnXOgv7IHAEfTBMtR1Oyp28iaqqs1e9s7RjN1DxtdEdBSRGIZY7L206zZk6/viQbvNdeVZO59j1zEZ92wL7e9hqHsqe9PTSil+2DUoGtz2CROIDv/tChBpM9U9qrkM5h2VkJp6wXGECdZLaS6fZdlduk4ThAnCVh6/5SRSqDAxmkk9joOw5fecaz4tTKgxbD9OnniWgb6HDwwK1S9Q/N1XFjbIcoe5zzgnP8fALcCOA3gLsbYjzHGxl9RaLGrIZS9aY/CwmI4mIldFhYExtgXMMbuYow9CuC7APwQgB+b8rDGhpgbaZx9yJ7vMtQ9R6pHAKSVUO3vZa5+0998hXREcZLrgSVe66ATlrRecF2t9cJ2lT1a2TfTOImgFU1YfYX4AeUqVM2wiIbJ9noCEjxF7VDJDKkSF9d7mK17cBwmw2tEGqd4vVBSskVaRyp7/YkC1e1tV5kEymyc2bHZrrI3U/fSNM58vL66X631gqrsGUodkZiX37QPP/nPb89tK1ezp+zzBScE2au5TqXWEVuBbF+xFbLHSgJajJo9Wqzoh3Jlr8TGmd7LdD3m+uwpyrva01LbT2HNXvZ/0VRdf86X3XEcQEEriBJlT6/ZG6DsNTMbJwAcX2rh9HKn72tGhcqfLpzzLuf8pyCiprsAPsEY+66xjcxi18PW7FnsRrgOrI3Togy/COA7ALwcwC8D+EkAe9btEicwbJz556j1Zg3flaobIJS9uudoqoI5WaLJs+9l9W7dAkWFXtsJjNYL1BTbY2l0umjEHMXFgRlVkdk49TdNBK1eZEVzdeXGJKsEel+0rSjm2+6xBxTU7HnZuADgk6eW8fzj8+ljKRF09Zo9tV8c/exn4wSAo2n7BXckNXv9lb3tcmKycXbDuNR25zui9jOg/oeGjZNQ8xx8zrMP4ttefwt+7Ws/q5BQmSmUah3qc47MwXXY2CycQHYMt6Xs8UwtBwpq9krCaVTo/e/y1keTdBExo3mkun1HVfbSNE66hsv6+YnX6XXHRQtKzzkyh6+48wRepbSWANSAlj5kb4CN8/r9LTgMuGH/DADgxFITp5fbfV8zKlS+whhjN0BYOp8D4DoA6wD+G4CfGsvILHY9ksSSPYvdB2vjtOiDC5zzf0p//zvG2EcA3AXg96Y4prFB2DjF765THFwkG4K7TMbQE6guSp3w5puqp8qeoop1giw1UkXWZ48aYmd9terK68O0z9x21DJPqd0qfr9KMIOXTViL0iRNSLJHYTLxqJS9zAarNoamifJaN5JBJp6i7Kl2vZgPT/ZGqex5Bcqeqo5tNwdgpu7i4noXvaZf+r78tBeetHEq52bWIHsHZuv4ttc/u3R/urLH5HVT9xzM1T0cmW9gBO7XUmTK3vCE0myqTkTJVPaSCq0XyvrfZTV7+us9l5S9tA2Iquw5es1eqbJXYOM0a/aK1P//WaDQuozJumBtnEPYOF9203589D+/TrZdOLnUwnI7xEYvGltAD6FKGud9jLGrAN4F4N8AWATw9xBF6sUdNC0sIHzclutZ7DYIu9q0R2GxQ/EUY+zHGWOUOBJCLHzuSVRpqh4lCVyHgTFh4zSVvYbvaBNes+aFFIea68jVczU1UoUke2GBsqdEy1Na57b67Dlk49QntkXWPlk36OoKRGlAi2HjDArCaLYCmndGZs2e8kVMTcOJBHhqQAvn2iJtZbI39oAWxcY5gpq9zZ4I+SmzTnoO01ovqKRBVchMm2AR1LF7yjW6f6YGxkTE/2zdL3v5tiFr9rYQ0OKU2DiDWA9oSZLB58XsN0holtg4yTaeJGLBSb20hLKnpnFmCxQDbZzK7SwWhKpdT67Dcm1j6HF664OUPQCS6AFC2QMwEXWvCpX8cgBPcG6Xui2GA4dtqm6x++AwPbHLwkIBB/DPAHwjY+wxCJfLOxhjt3DOH5vu0EYPNWXPKQtoifVV9Xagtl4Q6lI/ZW9Gie2Xyl4Z2UsDWmTNnqLs1VQbaPr67dgKRShEvql6IBuTF1jRlPcAlCtdvmHj3OzFmB1BQAdNgHtRjDjhOWUPAF6YKnuucl6JJCYcuo2TVQxoWaSAlhGTPVLBxpLGGZc2MhctPERjevF/pr2eMOi4AHnyQTbOfWnd1re94RapZI8DdAy3YuMkIpQk/Wv2qvRULmt2XmbjdB0oNXv6IorrZHWmUUr2pC3ZEUmzYcy1+kgg31YpLKjZK4MZ/qLCd0QrlUHKnomT+1oAgGeudvDcI/NDvXZYDCR7nPPP0O+MMZ9zHo51RBZ7BrapusVuhLVxWpSBc/4WAGCMNQA8H8Dt6b9fZYzdxDk/Oc3xjRpqyp5bElyk1r3M1A2yF4m6KK1mz1BTZECLmydrRTV7CQdWOoHcluyzpzx3vSvSEme2YF1T4buODGQhFKk9TWXCqvb2K1MNSHEgO2o7iLUQm61Cpm4G+vGjxw/M1nEsTc6UaaIOk6SObJxSzZXKXrWAlu30NSQUkWX1mtluYqlQ9iJ0wwT7ZkpsnK5I4wzjRIv5p9cTBime9BzGxL3kKTbOfTMikfGVNx/o9/Jtg9TtUQa0mDV7cQUbZ83NjoNK6F99ywF8xZ0nZGiJum/NxqmcA8ay1NQ4SdKemnpQShhH+fYJxoJVUeJvGVxWbtN0HQbE1ZQ9FTtN2QMAMMZ+FcA/Y4xtAjgL4D4A96VJnRYWOdiAFovdCMcptqtZXNtgjD0XwJsBHIdQ+M4C+HPO+a9NdWBjRJxwEF9xGPraOAFBrq5sZBOXbpig4bto+mKqUfec3GRdbb1gtggwJ2vPOiQqRz7x9AoYSxNApYXSkV3uVztiTXorE1wVvuvklb2C3mtZGqeu7Pkl5Ee2XoiJ7EUjid6nfRPhNpW9O04uyEk5PVeNtZd99qSyJ7Y7aELcrLk4ua+Jg8aEfSsYt41ztu4hSjjWeyHq/kzhczxXBLT04iQlKdk+W7ViO2IZGGNopoq35yhkrzU+66YKdxsBLXSvEkGSZC/SyR7ngxVXxhganlDm1Xvn5oOzhTVyahsRkcaZ/U32gXRYquwl2nVR912s96KS1gvZ/yOjqXo/OOn4i+C5DAiHJ3v7Z2po+i6euTr+RM5hlpI+G8BhznnIGDsOsZr5wvEMy2IvwDZVt9iNcBhs6wULDYyx7wXwFgC/D+Bj6cMnAPweY+z3Oec/MbXBjRGJaeMsbDCe9aqaTWPtCZTGSaSraDJEilZNIUrdoNjG+ZLrlwAA95xaThUTptk4aTK6lpK97Sp7nstyffbCKB/QkqVxOkbN3oCAFrJxBjEWmtuf/LuS7IlzQPZHevz2tF4PyGxznsO0SX2sTNyr1uwBwJ+/9dXbJteAbpmk/avb3a54SLbhKxtBeesFJ229EPHcggPZONX+hIPQ9N1UJWTymiBlb9zwC0JuqkJNvFR/9gyyV6X1ApDZsKuoabTvIBZETiVzjrJgEcd6b0ggux/N8+soNk7Ohwtx+pLbj+HyRnEDdPosGJbsMcZwct9kEjmH+ST8KIAlABc552cAnAHwnrGMymJPwDZVt9iNcNJmrhYWCr4ewPPMMgbG2E8DeBDA3iR7alhHWZ89pe6lVXex2VNtnAnmm75UFYomnKRoCaIktpPV7OlfIAdm67jxwAyevLwpyZG0cboOQoj7lpS91jbVMs9xZJN2Ail7aj0QkSrf0ZW90j57RhpnuxdJe+V2QPvbNJQ9OlZ3Kk2/iXSo9VCJDGih7eUtsmVYmtm+qgfo9lJaLNZsnNtV9hriWLSDuNSeWvOctNdjkqv7IhtnlXAWglrLSq/bPwIVtAoywjz8wodjkL2oRNlTF4X6oaHcq1X3HcYJfMfJ2TgBXdkraoFgLhapPXRlf9CKk9Qve9Hx0r/JcJiKllAVJ5ZaeGYCvfaGGdkvA/hHxth3McY+mzG2MK5BWewNJNwGtFjsPlAzVwsLBQmAYwWPH03/ticRcy5X1MsCWmIl0Y7qoQik7NHkq0j5yWr2stV7SfYKJk+k7mWr95myR+NYG1nNHpMhHd0wxn9/z8O4sNaVfyMQGTGVvdI+e0Ya56hq9szWFUTSXnB8Ae/5T5+NV9y8X3tv9BoacpwYAS3p8MfV8LsIMiW0pH/Z9m2cxS0AtDE4WVN1c8GBlL2qtV6AuO5pO1nN3mTI3rYCWgyyR/e/mrgL6LW9/aCq8IMg6wVjDsbUfp+ZY8xzHSSpQlek7Jn7cRV3QliwaLNVEGEcVtkDgJNLTZy+2h57KNwwny6/A+C30tf8BwAvZIw1OOc3j2VkFrseNqDFYjeCseIgCotrGt8G4H1pAucz6WPXAXgWgG+Z1qDGjYRnK+xlTdXDRAloqXnoRYnsG9c1AlqKJkMzakCLS2QlXxdHuPP6JbzzntOSgDSUmj3TxrmVCa4Kz2VSAfiHRy7ilz7wBD7vuYdyY9Oaqg/TZ0/aOEdTs5ezcabHiDGG247NG8/N6vm0pupcb7chtrP9CXFVkErsl/QvG0VAS7bdshqstKl6lA/wkK1ChiF7vivvkf2zNa2x9rixnYAWtSUHkCXTFtk4q5Dweoni9v+3995hklzV3f/3VOg4eTZrdrWrsMpZCCHJICSCEAgRZAP+YUSyHgzY+HUgvwTbem3ALyb4NSCbjABjgiUyiGwhCZSlVV5pV9qcJk+nqrq/P6pu1a1O06G6e6r7fJ5nnunpUHW7pqvrnvs953uqoSvKnkZG4B5bls4p3TjV/adrBJVqGqfslxlNb8jW0jgBV9mbL1iYy1kY7WAdZzPB3i4hxPvVO4ioO0nHTOwQQnh99jjaY+KFTtWbRzODixDiR0S0FcB5cA1aCMAuAL8XQnTON73HhFP6UCeNM1D2AFmDpqFQcu3ITV3zmq5XTvJkqmVCaYou++RVm1Cfu9lV9mQAoip7Mv3ar9lrs1Gx6U36AeAXDx8AAPzuiSMVY/PTxsrcOGtNauX9QRpntG6c5Wmc1VANWtSm6iGDFu93M4FNu8ggWq+l7EUY7NVsqq4T8iWnav/DVtI41WBvajyDW951KdaOtJ+22wjyOLay8OE3VbfLmqpXSeNsZKqXVvpRLofa409dkFCDfVmzV6nsBd8pKqQ4bcta3Cj6Wxpeu4dWPpsbJ1xHzqemlzCa6VzCZDPv8m4iept6hxCierUiM/DIOQGncTJxg1svMNUQQjhCiFuFEN8SQnzTu923gR7gpXGqyl6V86JkByYHMkVOpnK6aZyBqle1Zk9R9uT1IlfDoAUAjlk1hLGM6U/oZK3YWNr0A625vDRoadONU3PdOIUQ+NUjBwEAC957q27QUtZnr8akVgYZBcutCyvaTttjBRRlryCVvTrBXo2m6ur/fN1ICgldw6qh7q3rB+NSaiK99gVANG6c/nZrWOnLIL/kuXFWe30zamcqoYc+C90K9IBAIW0p2CtT9uRiStWavQbTOMvdTWshj5dsfyFPq5Arp1ez55Q1R/cXgCqaqqOiZq/RpurLjbWWU+dyTI27vfY6bdLSTLC3FsCbiGgPEX2PiK4loj+MekBEpBPRXUT0vai3zXQPOSngNE4mblCNdDVmsCGiE4noUiIaKrv/sl6NqdOoBi0aEYRARW2J5QR1TVKd8oM9y/En1JlE9WAvZboT+VBT9WJ1gxbAXdl/4WnrcfJ6Ny3xjKlR3PCWC3H61Kg/cQsMWiJw43QcPLh3HvvnCjjDa0jujk0J9mrU7C1r0GI5vnFHu2MFggBpsVhbGS1/rkZBzZ5sqi7/5884dhK/f+9zsHq4F8GeashBfnDVthtnSNmrkcapeU3VlR6SEl+JbiqNU2tKCYwSeTxl+5Nm0DQCkerG6d5fqew1primTL3h4yY/gyXLCZkIqUGloat99ioXX+rV7MmANRplT/NTVJtl43gGhkY4stjZFuYN//eFEH8E+KmbpwA4DW5Ky39FPKa3AXgQQGfbyTMdRa6etJtfzzDdRtc4jZMJQ0R/AeAtcK9NnyWitwkhbvAe/j8AftSzwXUQ1WRLTr4tR4SCMLVX1ZCSximEQNFy/EBoLJ2o2l6AiJBNGEgoNXt+GmeNidi1Lz0t9HoZhMlxzOXcYLMVu3kVQ9dQtAV++YibwvlXz92Kqz/3u4qxSZXRKHPjbMSgRdbXRans5fw0ztrbVA1ayAv4HEfAUZQ9IoqkJUQzSCWqXHFJmTryJad9ZS+h1uzVTuO0atTstWLQcvUzNmP/fL6F0baP/Dy2Wr8qm5sDqrIXTmho1J/BTelu7P/n1+z5ffbIH4/6nKBmT91PrZo9JY1TunFGoOzVSlFvhNGMiYf+/rKGW0C0StOhvpe6eSeAO4nodVEOhoimALwQwLUA/irKbTPdRZ5QnMXJxA1O42Sq8KcAzhFCLBDRZgDfJKLNQoiPw63f60tcZ0b3tjR4yJfsUBBTUlov+DV7Bctf/ZfK3idedRaGU9WnHKuGEhhJm4EbZ500znoYShpnytTaru8yNXfS/8uHD+Lk9SO46LhVGE4ZmM9bMI1K9z+jrPdaLfOHjJLuKltVRNGjLmiqLvvs1T5+vlKiKCa2qDS76DayhrD82LmLBqW2S0OyITfO6sdcpnEWq7hxpk0dRM3V7F1w3KrWBhsB7bhxAp47dVmAVLXPXsTKXuDG6Xg1e+796r/f8JyzLccJpf3Kc7/8/A+1XvBkSqNdqRjuMWpnYanTgR7QQrBXxgcBfD6KgXh8DMDbAQzXegIRXQPgGgDYtGlThLtmooRr9pi4orEbJ1OJLoRYAAAhxA4iuhhuwHc0+jjYU/tnBSmaNoZTgdpjOcJPsZMTyoWChULJnUxJZe+EdTUv6/ji68/DaNr0J2f5Oq0X6qGmcbbbdgFwJ/17ZnJ48sgS3vrs46BrhLM3jeNXjxwMTfZVl0GjAYOWpOGmtM7mSoqy1/54NT/YW96gJW3q2DyZwbGrs/5r3T577adKtouhUcUEWAbD7WYLGbqGpKGhYDm1Wy/oQeuFobL0Wl+J7qJpTTusG00habRed6l7JigAKlIgJY22Xrj6GZvxrK2rG94v4NYEk5LGGU6T1ryavfD945kERqosLGkUpKFLN84olD1D11py4uwmy367ENG9tR6CW8cXCUT0IrgN2+/wLqRVEUJcB7fnH84991yekq1QuGaPiSuaktfPMB77iOhMIcTdAOApfC8C8Dm4JQ19yW/feYkfyEhFZLFohZ5j2Y6v6MmJ8VLR8lMxG5kEHe3Z0MvV9nypduuFegRpnCUM1VARm9qeTthx2DVOeOnZUwDcOrbfbj8UUuL8NE6dQi6S9ZTF0bSJ2VzJV/babQDvj1kjv2ayXhqnoWv45d8+Oxgrud97thChtge9QHVmlSSN9pVayVDSQMEq1nbj1DRYjpfGmal8TjbZuELVay7euhq/f+9zMJJqLR23mrInXWQlttNYGucZG8dCda/L7VfuS6OwAi1Rlb2kUpP4xouOwYtOr2yLKtVr971EV7M3NZauUDtXGo18G64F8HwA02X3E4DfRjiWCwG8mIguB5ACMEJEXxFCvDrCfTBdIgj2ONpj4oXai4dhPF4DIBTlCCEsAK8hos/0ZkidZ1JRA6Syt1QI1+uUbOH38pIBy0LB9tW5ZlwLy/vENRvsyYnbXN6KxPFQbu+co8exZZUbkL7uws34g+NXhVolpAyZxqmV1ewtH+xFqewB7jGUTembCUg0Cpqq9/q6Xd6vEHAD6qjSS7NJA4cXizVNNUyDYHnKXrVjOJwyW3Zf7DZE1HKgB4RNTfym6qXW3DibQQZ3lu14JkJBHak6Nr9mTznvRjNm1Z51aq9Q2VIlihTKD191Olb6jKGRb5fvARiSK5oqRPTLqAYihHgXgHd5270YwN9woBdfWBhh4oqurP4xDAAIIXbVeezmbo6lV0gDkXJlz1Zsz4eq1Ow1k94kjUKksqfWxTWC3xfMEW03VAcCpfCqc6b8+5KGjlM2hPth+X329PKavdoTydGMp+x5KZdRNFWXY170mtI3E2j7aZxCRKagtYpRlg4LuHVYUQmOUolO1Urj1DQUbaeqGycAvP+KkzGWTkQzmBWO7jUuB4Km6uXKniNEJLVv5ft1t+3VcUqDFmU3rrLnuXE2EGxWa6puRvBZ70bNXbssG+wJId5Q57E/jnY4TL8gWNljYgq3XmCYSrJKiqbjCLzk327Gm551LEqO4092pHnFUsFqSdkDgok20HyKlaqktdtQHXCVsaSh4YWnr6/7vBHPsTKd0Bvqswe4yt5TR5aQ84LnKJqqA+GatmZVVcczaOn1dbtaGmc6QmVP9oOsqezprrJXtCqbqgPAHxzfWN1ZPyA/F4Dab0/AsoPzvtHWC83tN7itEVVN49Q19/9UruzVQraPAYLANQ6BWhSsyHcphPilEOJFvR4H0zp+6wWO9ZiYoRZxM0w5RHSJ+ntQ8Gv2CjYWixbu3TWLO3dOw1LSOKV5hZvG2byyB4TNQWq5WdZC7bUVhbL3+ou24KN/dOayaXBnTI3iuj85B+dvmWxc2Sur2YsqjVMes4TRWPNqieZZ7K+EYK9WGmdUrZyyyzRGN3WvZs92kGhSXe43ZEAFBP32gLC65wgRufO6ei67rRfk7fBiivzMNtIcXdOCgLXkyDTOwfj/RvPtwjBl+DV7HO0xMUMtSGeYKvwzgLOV3wOBX7NXtDCfd9WoI0vF0Ao/4AaFS0ULBd+gpZX2CQ4SenPBivtaRdmLIHg6e9M40IDpNxHheaes88YQvN/llD21Zi+K1gtAMElONqlYSBdiNyWvx8GeRhWKWsrUIwtClwv2DN1tql60nJ41Q18phE1NgutioeQg42WyOh1YIChX9oJWIepzNFiO7Sl7y/+f1LZKJas1E6i40tS7HNQVTaZ55Fy52Ys1w/QatRcPw9RhoL7cZPC0ULD9YG96sYhSWZP1bNLAQsHylb16jpDV0BVlqlnU4Cqq4KlZVGWvnqvlWNrEUtHGbK4EU6fI3B1loFavx141dM2dtC8V7UhU0XYw9UrnzUxCj8Q5EQgaq9dSnaVSnSvrKTmIGLpi0FJT2Ys+jVMNHomqN1UP3DjDTdXrbdNP43RkGudgfI03u/Q1kCuaTPMIbr3AxBRO42SYSmTwtFSwsFAoAQCOLJX8pseSbMLAYsHC9GIRADBWxRWvHnJbrfS/UlW1KGr2WqHhmj3vuOyZzUdWrwcEx6/ZIFsqOAsFK5K2Fe1wyYlrMJENG6C8/qItuPSkNZFsvxFlD3D7yTXb67HfCBm0lCl7kkZbLzS1X7WfnuLGWVGz57txNqLsqQYt0TVVjwOtntE8hWfqEtTs8UeFiRe6t1rIMN2EiD4C4AoARQDbAbxOCDHjPfYuAG8AYAP4CyHEj7s9voShIaFrWCzamFOUPcsWZUGWjsWijT2zORCh6RYIQbDXgrKnTBB7pU5pGnmTyuX77AHAnpmc73QaBUGw10IapyOwWLAqGol3m7dfdmLFfceuHsKxq4ci2X4jBi2SQUnzq4V0aQXKlb2gBUsnWi+o546bxuneVncj3Thtp7HUY1mXCgQGLVE0VY8Dg/0pZjoGN1Vn4orGaZxMb/gpgFOFEKcDeARBK6KTAbwSwCkALgPwb0TUk0hG1uMthNI4nXAap6fs7Z3JY9VQsun0RKOdYK9sHL1CBr/13oN08Nw7k0cmwuDKaDENVvbnK9miZ6pot7jguFW47JR1yNQM9oJjF5fm6Z3CUBY/VWUvX3Jw2+OHcdeT0xAi+oV9NV1T05Q0Tq1S2bMcAb0hg5YgjbPUouNvXOnvM5rpGTLY45o9Jm6oRdwMU4UF7/d8lBsVQvxE+fNWAFd5t68E8HUhRAHAE0T0GIDzANwS5f4bIZMwsKjU7M0XLBChzKDFDfb2zOawfrT5xuZyUtfKJFuduGUi6lvXCrpGIKcxZe/AfB5rR0ZrPq+VfQOtKHvAXN5Nzx3ucRpnpzn/mEmcf8xkzcfVRYNBUX5qoaph6nWxaDv4h+8/iOGUAbsDvRnV7VGNNE4ZiNqO03yfvQGr2RuMkJbpOoLTOJmYolE4XYVhVIQQz1R/d4jXA/ihd/soAE8pj+3y7us6Utmb94ICwP2uVxsTZ5MGFos29s7mWwr25OSrlUl2ee1grzA0qmvOArgGLYCb7rkiavY0wlzODeJ7eexWAuqiwaAoP7WQ7Q2AIPURcOsZp5eKOLJY7EjrBdXJXVfdOEM1exos21P2GkzjlMGeJZU9rtmrSkdWNJn+g9M4mbji1ij0ehRMP0JENwFYV+Wh9wghbvCe8x4AFoDr5cuqPL/qJ5SIrgFwDQBs2tRAz4AmySTcQG6hYIXuDyl7CR2LBQtLBQsXHbeq6X3EvWYPAHSdoDv1L35S2QOCHoaR7LtVN04iX9nrtUFLrwnV7A14GqemGLTYQiBhaChaDgqWg9lcCUXLQSYRXVsMSSiNk4Lgr7wPpyMEnAZr9ogI0kQ0aKo+GJPUps7oLq1oMn0AG7QwcUXtK8QwKkT0fiHEB1t9vRDiOcts/2oALwJwqQgsYXcB2Kg8bQrAnhrbvw7AdQBw7rnnRv4hzibdQE6mcUqMstYLS0XXvGHDWPPKnt5GGid5CoDt9LbuzNAI9jKTyBEl2ItS2fNr9lroszeb89I4+7xmbzlUw6FBV/Z0xaDFdgQyCR1Fy0Gu6KZz50s2kmaqC2mc7u2Qsqc3V7Ona4HTdpFr9himfYKavR4PhGGahFsvMHV4PxFlAEwAuBNuLd10FBsmossAvAPAs4QQS8pDNwL4KhF9FMAGAMcD+F0U+2yWTMLA4YUlXwGSqKlQqpPj+tF00/toR9kDgjqeXvXZA9z3sNz4TV1zVdCI+9q1quy5aZzu/7XfDVqWI2TQMiDBQC1Ud2rLEUibOmZQwuHFAgCgZAvM563I53oVbpx1a/ZEgzV7ahqndOMcjP/vYLxLpusEffY42mPiBbtxMnUQAPIAfgxXbfstEZ0R0bb/FcAwgJ8S0d1E9GkAEEJsA/ANAA8A+BGAtwgh7Nqb6RxDnmq3kLdCfdBUZU81RmlF2ZPbanWSLZWtXrtxNpJWNpZxj2G0yp573JpvZh9k5HAap2rQMtjTZF01aPGUPQA4OF/wnzOzVGoo2GpqvyHXTSWNk8LPKdkOrCZaL8jPuOU4IIq+GfxKpeEzut30FWaw4DROJq5o3GePqc1DQoj3e7e/SURfAPBpAJe0u2EhxHF1HrsWwLXt7qNdMglp0GJh40QGR7zG6WrNnqrsrWtF2aPWDVqCsUSrljWLrhGEWH78I2kTu2dykdbsSZG1lT57koFP4+TWCz6GTshbgbInFybUYA+Ifq6nUVjZq9p6gchvodBIU3VSzNdKthgYcxaguTTOjqWvMP0HG7QwcUV+ZoUQ3DqEKecQEZ0jhLgDAIQQjxDR6l4Pqlu4bRVszBdKWD2UxHDKwHzeKjNGcacVGgFrh5NN76PdNE4ZJPa6Zq+RxKnRtDvGTih7zQYp6uSa0zi59YLE1DU/oHIcgbSn+h4oD/YijpuMspq9oKl6uGavYLlja8RoRU3jLNnOQP1vm/n3dDJ9hekzHM/xiCfLTNyQygKLe0wV/gLAV4joK0T0DiK6HsATvR5Ut8gkdORKNmZzJQylTD+VU52YSZVqzXAqpJA0it+QvEVFRQaLvVb2Gpl8SkfOjrhxttBUHXDVj14eu5UA1+wFGBr59W2W41RN4wQ60FQ9VLMXbF8VEAylSXoj6Zi64rRt2U5L309xpZl3+pAQ4v1CiG8KId4Nt9Hrv3RoXEzMYWWPiSuyNoBTOZlyhBD3ADgTwNe8u34B4FU9G1CXkXVwB+YKGE4ZGPdqzswqaZzrW6jXA5Q0xJZr9jToGjUd7ESJ3kCfPSAI9jrhxtl0nz3vWj2UNAZ+kVZdvGh10aFfCCl7Al0L9kJ99rQaaZzKOdZIzSCVNVUfJGWvmW+YgU5fYZqDm6ozcUV+ZB125GSqIIQoAPi+9zNQSPOVguVgOGUEyp5q0OIFLhtaqNcDFGWv1WBPJ2QSek8DFkMnP7ulHtKgJRuhkqa1qOzJa/XQgKdwAqzsqRh60GfPchwkDR1ElcFe5K0XlPNXtlQBKt04m9l/eVN1g2v2qvIXAL5ORHcAuA/A6Rig9BWmObj1AhNXgjRODvYYRkUNBIaTgbJnVGm9sH60NWXPr9kzWjRo0ainTpyAqzjotPz3h6/sRRhg+cpes03VNQ72JGqwN+hunIam+Wmcti181TxfcmvehlMmjiwWI5/rqXFYOI2zeoDXWM0elDRO0fJ3TBxp+FM86OkrTHM43HqBiSka1+wxTFXUdMPhlImJrBusqOlQYxkTQ0kDJ64faWkf7ffZ00LtH3qBoVFD9UCysXqUyp48fq00VQe47QIQDhwSAxQQVCNhkN+A3BZuiwP52RpNB3W7UbdeUBeQNCI/+Cuv2ZM0VLOnKHtF22E3zloMcvoK0xxyosyxHhM3ZBoUK3sME0YNSoZTBsb9NM5g0pQyddz8jksw3GLQ0GqwIjF0gtlkvVrUjKZNNHLpm/SO33DKjGzfgbLXZM0eK3s+ahCQ0AfbrMZV9rxgzxHQNELC0AFYGEmbGM+4n12tg2mcuhY0VddrBHiN1ey5hi5CCFi2aEgN7Bf4rGY6BCt7TDyR1w+HpT2mDCJKAng5gM1Qrp9CiL/r1Zi6iZpuOJQ0MCENWsomeqOZ1oMXo11lT9d6as4CAP/08tMaet5zTlqLT77qLGxdOxTZvlut2ZPzXg72winEg5TqVw1DD9w4ba95ufxsjaZNP5W7k2mcRMHnmtqs2QNcTwnL4Zo9hmkbbqrOxBVO42TqcAOAWQB3ACgs89y+I6zsmZCnSJQW5nJS12oz6xefsaHnwd6a4cbqFROGhivO2BDpvlt342RlT6IGAYNes2fqGkqe25DlCGgUBHsjqc6lcYZbL1DV1gu68r9ptGYPcNNRS7YYKKfVhs/qQV/RZJpDqiLceoGJG5zGydRhSghxWa8H0StUZW84ZeCk9cP4w3OmcMqG1urzqtGusveGi7ZENpY4orfaVF3rfTP6lUK4qfrgBATVUPvsOZ6yl1CVPS/Yi7z1AqnBHqqmcYaVveX/T+q1vWQ7FRkJ/UwzZ/VAr2gyzRHU7A3OycT0B5zGydTht0R0mhDivl4PpBeU1+yNZRL4yB+eEek+AoMWvna0gtFiGqf83mu11rKfUAO8XqvEvcbUNViOcOvcHBHqYTmaNv1U7qhr9oxyZc/7N1ANN85GlMVQGifX7NVkoFc0meYQ3FSdiSmcxsmUQ0T3wS1ENgC8jogeh7voSQCEEOL0Xo6vW5S7cXYCo800zkFHb7Vmj5U9H4OVPR+56FKyBWw/2HMXfUbTJsakQUvEc71aaZx6WzV77m9HCJQcB0Pm4HzWm3mnA72iyTSHX7PH0R4TM7jPHlOFF/V6ACuBhKEhoWso2k7Harvabb0w6PjBXrNunFyz5yPdODWKvll43JD1uJbjBK0XVGWvQ2mcRAQiV4VT/w+hmr0aKZ21kGO0Hc+Nc4D+t8ue1byiybSCw8oeE1PkNctmaY/xEELsBAAi+pAQ4h3qY0T0IQDvqPrCPiST1EHFzilvHOy1h6+Mtthnj9M43UVqXaOBCgZqIY9ByRJu4KWkcY6kg/YrnQiKdSJYwm33UK2pumqkozdi0OLX7MGt2Rug75hGzmpe0WSaRgZ7XLPHxA150WJhj6nCc1EZ2L2gyn19SzZhdNSy3GjRYIRxCZS9FtM4ExzsAQgpWIOMDIjylg0Alcpeh1ovAO5nUjqAylhSzRZrXtlzfwtp0DJAwd6y71QIsdNb1XyzvK3e1/khMnFEcOsFJqb4qR4c7TEeRPRnXpbLCUR0r/LzBIB7ez2+bpJJ6BjpoPojz7/EAJknREnrBi1eGicrewDcIKdZdbQf8YO9khvsaUqwN5I2MTHkBnudOFZB6iZVTeMM1ew1YdDiCLeNxCAZtDTz33lulfteENVAmP6C0ziZuCKvGf1Us/eBG7fhx9v29XoYcearAK4AcKP3W/6cI4R4dS8H1m0ySaOjAYGcgA3SqnuUBE3Vm63Zc39zzZ6LqRN/BhGcj/mS22uvvKn6SMrEdX9yDl529lTk+9YpCPBklljIgVOvrvLVwu+z59fsDc7/t5GavT+Dq+AdQ0TqCuYwgJs7NTAm3nBTdSauBGmc/RPsffOOXShYDp5/yrpeDyWWCCFm4bYeelWvx9JrLjx2Ep08M7hmrz1adTOVx52DPRdD12AaPH+RbpwFL41To3AaJwA8r0PXFa2Kskc13DgbaqquXNstxxmo9i6NnNVfBfBDAP8I4J3K/fNCiCMdGRUTe4KavR4PhGGaJHDs6vFAIqRg2f7FmmkeIvofIcRFRDQPhGIdaVQWXVfxFc7bLzuxo9uXq/kc7LXG1HgGq4aSyCSaVPZksMdpnAAAUyNO40RQQxtW9oLWC53ET93UKKTylT/u3m6gqbqaxmmLgXJaXfas7taKJhFtBPAlAOsAOACuE0J8vJP7ZDpH0GdvcE4mpj9Qe/H0A7YjULIFClYfRa9dRghxkfd7uNdj6Xd0X5nia0crXHnmBrzo9PW+ZX6jcBpnGEPXeMEBgbIna/Z0XcNo2kQmoXfczEet05NTSb2WG2dDNXvub2cADVoaSePs1oqmBeCvhRB3EtEwgDuI6KdCiAci2j7TRTiNk4krwepffwR7UtErlDjYaxci+hKA3wD4jRDioV6Ppx8JWgc0p0wxLkTUkvGEThSqxxp0TJ3dOIFKgxadCK+9cDOee/LajvdRDtS86mmcYWVv+bGQn7XjNogfpNYajSh7XVnRFELsBbDXuz1PRA8COAoAB3sxhA1amLjiB3t9EhvJ9BtO44yELwC4CMAniegYAHcD+DVnoUSHnEByvVR3OWHdCM45epzbJXmYrOwBCJqq560gjXMkZWJkfWdTOAEoAV4Q+NVqt9BQzR4FbZVKjmioN1+/0LAG280VTSLaDOAsALdVeewaANcAwKZNmzo5DKYNpLLHFw4mbsiLSd8pe5zG2TZCiJ8T0a8APA3AswG8CcApADjYiwg5geOJdnf546dvwh8/nedUEkPnmj3ArV0EgILSeqFbyCxNXSM/jbN2zd7y45L/TkcIWLYDc4DcOJt5p18AsB7uiuZ2IvoWEb0t6gER0RCAbwH4SyHEXPnjQojrhBDnCiHOXb16ddS7ZyJCsEELE1PkZ7Zf+uwFyh4He+1CRD+D60L9CgAPA3iaEKKzjiUDhl+zxxNtpodsHM9gajzd62H0nGrKXtf27QVjGhHIa6xeq6l6M332LEfAEY2pgf1Cw8peN1Y0iciEG+hdL4T4dlTbZbqPwwYtTEwJUj36I9gLavY4jTMC7gVwDoBT4RqXzRDRLUKIXG+H1T9w6wVmJfDJV53FmUkIAiJ5/eimg6VWpuZlEwbSZlDLa7RYs1f0AtdB+o5pJo3zZwCyAG6Bm875NCHEgagGQu5/4bMAHhRCfDSq7TK9QfgGLb0dB8M0S5DG2eOBRAQre9EhhPhfgJ+B8joAn4frIJ3s5bj6ic2TWawaSnTc1p1h6tGsm2m/YmplBi1dnNSVm7Jc/6dPx6aJTMXjQKM1e+7votdXaZBaLzTzab4XQBHuiubpAE4loig17gsB/AmAS4jobu/n8gi3z3QRduNk4oqfxtkn0Z5cke2GsveJnz2K796zp+P76RVE9FYi+k+4xiwvAfA5AC/o5Zj6jWefuAa3v/e5SDfZJ45hmOiRRkly0bC7yp5nyuL9Pn1qDGOZhP94qPVCIzV7Zcoeu3FWodMrmkKI/4HbzqHrFC0HAsJvFMm0DzdVZ+JKv7VekLUW3VD2vnTLDjxt8wSuOGMDlooWfvHQQbzw9PUd328XSQP4KIA7hBBWrwfDMAzTSWRAJcsBGqmNi4qgqXqNxxU1r5FxSYWwZA9eGmfD77SfVzTffP0duOpTt/gydRx47MA8fnT/vl4PoybcVJ2JK/IC0yexXqDsdTjYsx2BI4tFLBXd/X3/3r14y1fvxO6Z/ilnE0J8RAhxGwd6DMMMAkFTdU/Z66KpiVGWxlnrcff28uGMn8ZpcRpnPeSK5olCiEuFEB8UQvy8Q+PqKo8fWsR9u2fxwe/Gp6Xf//nBQ3jXt+9tezsP7JnriBEFp3EycUXrszTOQNnr7GLWkcUiHAEsFd04aC7v/l4scFzEMAwTR4wqTdW7hXTerDWPDLlxNtFnT14LzQFy42w42OvnFc0ji0UMJw187XdP4mcP7u/1cJYlX7Lx2+2H/BX0Vnlk/zwu/8RvcNODkfns+HBTdSau9Fsap1T2SrboaAB7aKEAAFgsuPtb8oK8XJvfUwzDMExvkH32etF6QS+r2SsnrOw10mfPq9mzhfcaTuMcGCzbwWyuhKsv2Awi4J5dswDc1ehd00s9Hl11bnn8MPIlBwXLaUuV2z+XBwDc9vjhqIbmw03VmbjSb8FeXknfLHYwlVMGe1LZW/SCvFyM0uOXg4j+kIiGvdvvJaJvE9HZvR4XwzBMJ5B1bT1pveAre9Ufb7apOpWlcQ5Sn72BD/ZmciUIAawZSWIoYWA+XwIAfOqX23H5x3/T0clRq/zioUCJa6cOZ95Ls7rzyem2x1SOYGWPiSl+sNfmqW/Zjl8I3ktUF85OpnIGwZ67D5m+Gada6Ab430KIeSK6CMDzAXwRwKd6PCaGYZiOIAOifA/q3KSiV7tmT6t4bj20CjfOwQmBBued1mB6sQgAGM8kMJwy/ABo72wec3kL9+ya6eHoKhFC4OdKsNfOREoGtvfvnot8Eug4bNDCxBP5/d+usveB727DG794ewQjag91QUgW2XeCQ/Pud6kf7BX7MtiTb+aFAD4lhLgBQKLO8xmGYWKLWV6z18VgTwaayyl7RIEKWI8g2LND2x8EmnHjTBHRX3lpK98iov9FRKlODq4bHPaCvclsAsMp0w+A5O/fPhZ9imM7bD+4gF3TOZx21CiA9lKkZGBbtB1s2zMXyfgkbNDCxJWo0jh3HFpaEang3Vb2FosWhBBYKvRfGieA3UT0GQCvAPADIkqCF00ZhulTZC1cT9I4Zc1ejX3KsTVaRygXcot+64XBmZ82c5H6EoBTAHwSwL8COAnAlzsxqG5yRCp72QSGFGVvzgv2bt5+qGdjq8aDe+cBABcetwqAu1LvOALXfv+BpieW0i0PAO7cGW0qp99nj6dBTMwIgr3mXuc4Ap/51Xb/u2OhYPkXlV6i1uxF0X7h7qdm8NiB+Yr7D3rBnhDu95JU9nLF3h+DCPkjAD8G8DwhxAyACQB/29MRMQzDdAgZaPWiqbq+TOsFTSMQNT6m8jROndM4q3KCEOINQohfeD/XANjaqYF1iyMhZU8J9nLu77uenF5RbnKyDmbNsNvLPl+ysXsmh3//zRO46YHmnETnciUMJw0cNZbGXU/NRDpOwcoeE1P0FtM4H9w3h3/84UP4ueduu1S0VkTNb0jZazON8+B8Aa/+j9vwoR89XPHYoYWif3upaPnpnH2m7DkAtgD4MBF9C8ArAfy6t0NiGIbpDESEhK4FTdV7oOzV26WhUcPtIMqDPXOATCWaCfbuIqLz5R9E9HQAN0c/pO4ig72xjJvGueAFU/OFElYNJVCyBW7feaTuNu7YOd21SZ0c3+SQWyaSL9n+ZGo211xXjPm8heGUgbM2jeGuDil7A3QuMX2CXEVstk3B9KKXAl6Q/eXsjjcybwS1Tq/dNM5//vHDWChYfpq7yqH5gn97qWj3q0HLl+BmtfRVhgvDMEwtDJ3860g3Wy8Yvhtn7X3qGjWh7Lm/C7Z042RlrxpPB/BbItpBRDsA3ALgWUR0HxG13927RxxZLGI4ZSBhaJ6y505i5nIWLj5hDQyNcHOdur2dhxfx8k/9Ft+/b09Xxit7WE1mpbLn+MrjbK5yAlaP+XwJwykTJ60fwZ7ZvG+ZHgVcs8fEFblK2GzJ3vSSu3C0IJuJrxRlz1Jr9hwULBs7Di02vZ37d8/iG3c8BaB677xDCwUMpwwA7nvvU4OWE4QQb+y3DBeGYZhaGBoh7yt73QuQZBBXL5gzNK3hoI3K3Ti5Zi+AiP6ViC4EcBnc9JVneT9bAFwO4EUArujkIDvJkcUiJrOuSjacMjCXd80F5vMlrBtJ4YR1w3hoX23zkof3ubUre2byXRnvYtFCytSQSeoAgLxl++lSzQd7FkbSBlKmu62SFV1fMb9mb3DOJaZPaNWgRQZ7i76ytzKCvXJl75t37MLzP/brphd3brxnD0xNw4XHTfrfORLHETi8WMTRkxkA7qKUb9CygtLgI6AvM1wYhmFqYepa4MbZxUmdprht1qIZZc9vqt6DBvG9xmjgOY8C+GcA6wH8J4CvCSHu7uSgusn0UhHjXrA3kjJRtBxML5XgCGAkbWDVUNJP9azG9oPuCrl0ous083kLQ0kTaS9Ayxdtv6dd08FeoYQ1wykkDK9ppm0DMCMZZ9Bnb3BOJqY/kB/ZVtM4F7wgr2S7r3cc0ZAtdKcoWDYShoai5aBQcrB/No+C5WA2V0Im0cglwGU+X8JoxsTa4RR2HAqbQc3kSrAdgaMnsrh/9xxyRTswaOkDZY+I7gMg4H5BvoaInvT+PhrAA70cG8MwTCcxdPJLEvQuqmFyV/Xmkc3V7Lm/B7HP3rJXeiHExwF8nIiOhluM/nmv5cLXAHxdCPFIh8fYUQ4vFLFhzO0gMZR0D8fu6RwAYDhlYnIogccOLNR8/faD7mOqOUEnWSxYGErqvhqXt2w/ZXKuyWBvLmfh2NUGEt4ZJSenUSDHxKEeEzfk6l/LaZwFy1f3ANfmOaXpVV9zaKGAkZTpL7h0gnzJwWjaxMH5AgqWgwVPcVvIW8Bo49tZLNjIJHSkE3pFACcXuzZOuMrefL7kK4r9EOzBzWBhGIYZOExd86+HvVD2oqvZ85Q9br1QGyHETiHEh4QQZwH4YwAvBfBgx0bWJaaXihjPBGmcALB7xg32RlImJrMJHF6srdr5wd58d5S9xYKFbNJAypSNLh0/Hau1mj3Db5pZUlLO5vMlfPC720KT1mZwWNljYor8zNpl0d43fv8Ufv3IQQDA7TuO4MX/+j8hoxK1Zm9BOW9qmbQIIfDcj/4KX751Z9XHjywWm1YXq1GwbIx43235ko2FgleXnG/u3F4q2sgkDGQSekUKqPz+k2mcaqZDuw6gKwHv+lfzp9fjYxiG6RSmUhPX1abqfrBX/zmN1t5RmbLXzffSa5ppqm4S0RVEdD2AHwJ4BMDLOzayLiCEW2cyMSSDPTeF0Q/20gYmsslQQFX++u0HpLLXnWBvQQZ7hqfslWw/l3quikNeLdy6RAsjKTMI9pSeYD978AA+f/MO3Plkay6dvrI3OOcS0yfI73+1Zs92BD743W143w33QwiBz938BO7dNYvbFRdbme69qLQdAFCzbq/gpYxX6495YD6PC//p5/jG7U+1/X6ksif3KQPRhSYXcpaKFrIJHemE4ff3lMgee0d7yt4BZfGrT5Q9HyI6g4je6v2c0evxMAzDdBK1tq0XffbqlUHoevM1eyVf2RucNM5GDFqeS0SfA7ALwDUAfgDgWCHEK4QQ/93h8XWUpaKNouVgokzZ2zOjpHF69XyHq6RpHlooYi5vwdAIh+vU9UXJYtHCUDIwVXED0eYNWvIlB5YjMKykkKkNoO/ZNQMAFUYMDSMEiGo3w2SYlYq8sKii2sP75rFYtLHj8BJ+8sB+3PSA20vv7idn/OfMLHmtF8qUvVqN1eW5NV9FYbvhrj3IlWw8frB2CnmjFCwbI36wZ/v7W2hS2Vss2sgkXWUPCAdxMo19k6fsHVSDvT4yaCGitwG4HsAa7+crRPTnvR0VwzBM5zB6pOwFffbqBHtEDRutyO0U2I2zKu+G22bhJCHEFUKI64UQzft2r0DkSvxEtnqwN5Iy/H521UxaZArn6VOjmF4qwqoxqYuSxYKNbNJA0pBpnIEb51LRDqlz9ZAq4HDKQMI7kVUF4t5dswBan6g5glM4mXjiu3Eq0d4dnsKdMDS881v3omg7GE4Z/qIIoCh7BSuUCVBL2ZPBUnnPOiEEvnnHLgBhhaxVQspeKVD2qvXKq8dSwVX2ZLCnLgQdWijA0AjrRlL+35I+U/beAODpQoj3CSHeB+B8AH/a4zExDMN0DLW2rZsOlnoDaZy6Rg3PNf0+e5zGWYkQ4tlCiH8XQtTvLB5DyoO9kYo0TtN/rFrdngz2nn7MJISoHhBGzYJn0KJphKShIW/ZoT5Wjap780qwF6RxupNby3awbY8b7LWq7DlCcEN1JpboVZqq37VzGquGErjqnClML5Vw/JohXH7qetzz1IzvPDtTy6ClVrBXlEFXWGHbtmcOD+93W7ocjCDYK1gOMgkDuuY6qklFr/k0TrdmTzoBqwtB+2fzWD2chKFrSJu6P+7hpNFvffYIgPqGbLAPFcMwfUzP0zjrunFqTdTslaVxDpAb5+C80yqUB3uVbpyG37y8Whrn9gOLyCR0nHaUa2l3sAt1e64bpzvOlKkjX7RDAVmjwZ40Z3Br9sInwCP7F3wnvVYbrTuCUziZeDKUMqBRELwBwJ1PTuOsTeN45dM2AgBefs4Uztw0humlEnYeXkLBsrHonYdusLd8zV6u6N5fHux9845dSOgaLjh2Mppgr2QjZWpIGhoKlq0oe83X7GUSut+uYakUvH7nkSVs8ur1sskg2JscSvRbsPd5ALcR0QeI6IMAbgPwuR6PiWEYpmP0yqBFLrzWrdnTqOFG7zo3VR9MKoI9L43z8GIRSUND0tCXTePcsiqL1cNuQNjp9gu2I7BUdNM4ASBlaqGaPaAZZc8L9tIGzLKavft2z/jPazWNU7Cyx8QUXSOsGkr6KZSHFwrYcXgJ5xw9jtOnxvCdN1+A11+4BWduHAMA3P3UjF+vN5FNYLFQXrNX/RxaKlZPp7zryWk8bcs4jlszFEkaZ8FykDTcdi2qstdssOfW7FVP49x5eAmbJ7MAgHRC9xe+Vg0l+yqNUwjxUQCvA3DY+7laCPEvUe6DiP6GiAQRrVLuexcRPUZEDxPR86PcH8MwTD1CwV4XF/EbSeM09OZr9mSwN0gGLY131O1DyoM900tBypUCQ4NMQkfS0KoGe48fWsCZG8cVE5fOKnuySXFI2bNsWEp/vObTOE3/gy9bL9yzaxYjKQO5ko2lFidqbhonR3tMPFkzksT+uTwA4C7PhOXsTeMAgLO838evGULa1HH3UzM4cf0wAGDjeBpHFouh74JarReCmj2r4v4NY2msHkpiNldCwbKRNKr36VsO2xFunz9P2csVbSwUZRpn4zV7JdtB0XKQTRhIJ8JpnAsFC4cWCr45SzZh+Cnhk0MJPOKlpMYZIpqH20Tdv0t5TAghRiLaz0YAzwXwpHLfyXB73J4CYAOAm4hoqxCif6JohmFWLFIBI6qvskVNw332Gpxr+q0XbK7ZGyjm8iUkdM0PnoDApEX+JiJMZhMVqp3tCOydyWNqPI1VvrJXPdh7dP88/v57D4QMH1pB1gH5yp6hewYtlr/a3mhj9bmcu63hlFHhxnnvrhmcPjXmBr51lL37d8/W7BHGBi1MnFk7nML+Ofd8vvPJaRga4fSpcAdyQ9dw2tQo7n5qxl8MmvJSGeVrgXppnNWDvXzJQcrUI8kYkPtOGu6i1fRS0W+O20zNnlTxMlUMWp487LaOkD325OMAMDmU9FPC44wQYlgIMaL8DCs/kQR6Hv8C4O0IB5ZXAvi6EKIghHgCwGMAzotwnwzDMDUxvDTJbqp66v7q7XYsbWI0Yza0PRk8+mmcHOwNBn/9vBNw7weeF6otk0GeNGsBgImhBI6UGbQcWijAcgQ2jKUxnHQDplqTsi/fuhOf/Z8nsM9TClqlIthT0jjXjboueI0Ge6qyp/bZE0Lg4X3zOHnDCDIJo27N3pdu2YH33XB/VVc/x2u9wDBxZM1Iyk+h3H5wAUdPZvx2JypnbRzDA3vmcMAL7jaOe8HefHCuL+fGWbSdUF1b3quxWzPiBnvt1O3J7brKnh76jmomjVN+D2SV1gvyviePuObMR09k/edIJrMJFG0nkubw/Q4RvRjAbiHEPWUPHQVAbbi4y7uPYRim40hfh24rYXJ/9YLMD191Bv7xZac1tj0ljdPQaKB8JQY62ANQMYGTjdVlGicATGaTFWmc0rHzqLEUiAirh5I4VGNSdsv2wwDQdrC34Jk+DCXdMSdN3W+qLi3Pm6nZ0wjIJvTAoMUSsByBki0w7E3q6rlx7p7JQQjgPq9Ng4pgZY+JMWuGkzi8WEDJdvDUkZxvPlLOmRvHULQd/Hb7IQDA1HgaALBvVgn2lumzB4QDr1zJTdtcPeSe0wfa+N4oqMqeqYVchZsL9gJlL+0ZtEhlcoen7Mk0TunWmTA0f/Gsz0xaWoaIbiKi+6v8XAngPQDeV+1lVe6rGj0T0TVEdDsR3X7w4MEoh84wzIAiBYFuK2GNuHGuHk5i1VCyoe3J4RctZ6BSOAEO9iooT+ME3NXp8qbpshffhjF3cjc5lMChKnV9hxYKePSA26Jh/2xEyp432UqbOvKWq+yNpk2kTb1msLfz8CKu/X6QSjqfL2E4ZYKIQmmcftqXqSGdqJ/GuctzLb3rqZmKx7j1AhNn1o6kIIR7/j6lOE2Wc4Zn0vKLh92J9UbveQfmC/6FsZaypwZAqjpeKEvjbMflN6zsab6rcNrU66ZxHloohOoOlwoy2DOQMcNpnDsPL2E8Y/q9/KSyl03oQZsGDvYAAEKI5wghTi3/AfA4gC0A7iGiHQCmANxJROvgKnkblc1MAdhTY/vXCSHOFUKcu3r16s6+GYZhBgJZs9fNej2gsWCvGaSSV7CdgTJnATjYq6BqGmc2UdF6QQZ760fdYG9VDWXv1scP+7f3t63sVUnj9FovpBM6RtJGzWDvpgcP4N9/84SfXjaft/z3mlDSOFUloJ6y5zjCPwbSwCL0OBu0MDFmjRdoPbJ/AfMFyw/iylk/msKa4SQOzheQSei+WdORxSLGvdu1DFqqKXvSUCVtuk7ARO2lcarnc8oMzuf1o6maTdWFEHj1f9yGt3/zXv8+aQ6VTeiBQYsXwD15ZBGbPCdOIKjZyyQMP3OiVVffQUEIcZ8QYo0QYrMQYjPcAO9sIcQ+ADcCeCURJYloC4DjAfyuh8NlGGaAkP3ouq3syTkkRRSpqMreILVdADjYq2A4KdM4A2VvYiiBXMkOTVj2zOQxlDQw4gVMq4YSIYOW//uTh3HD3btx6+OHkU3oSOga9s2159YplT0ZpEk3znzJRtrUMZo2awZ7S95r5TbmPGUPCCT6ouWgYLnvMWFoSCeMmm6cB+YLKNkCCV3D3U9N+42lJdxnj4kza7206Nt3HAGAmsEeEfktGMYziVC92rhXNL5czR4QBHvy/EuZGkxdw0Qm0Vb7hXJlT7J+LOW3YCjn9p3TeGjffEhRlPV5maSBpKFBo+C+HYeWsHkyOD6+spcMAkNO42wdIcQ2AN8A8ACAHwF4CztxMgzTLYwe1extnEhjIptAqkU36nLU8RsD1FAdGPDWC9Wopuytko3VFwuYSriTmj0zOWzw6vUAV9k7vFh0Fa/ZHD7588dA5G7naVsm8Oj+hbaVvdpunDYyiWWCvZK0SXd/zynKnmrQErj3aciYOvbN5qpub9e0W6fzzK2rcdOD+7FrOheaEHOfPSbOSHOUO3ZOAwiMV6pxxsYx/OSB/RjPmiFn3/GMq+wt58YJBGmc8j6piK32VMNWCdXsKRfMdSNpLBYPw3ZExQX8q7e5rv9qmqdsEp9N6CAiz7zJRtFysHc2h6MnAr8QmbqZSRj+RZrTOJvDU/fUv68FcG1vRsMwzCAj54jdDvZeeNp6vODU9ZHtVxUgBsmJE2BlrwLfoEWp2ZvIVjZW3zOb8+v1ANdi3HYEDi8W8eNt+wG4fbhmcyWcf8wk1o2mfNOGXz58AHurBFGOI3DVp36LH92/r+rY5gvlffbcvlm5ko10wsBo2vRbKpQjJ5EyYJzPW/57lAYtRVs0nMYpDWquOGM9gMq6PcuunEQyTFyYzCagUZCivHEiXfO5ZynKXtVgz3Pb/MCN20KBW65KGmfeO/9SpvvV3GqwJ4RA0XJqKnsbxlzlsrxub3qxiO/ftxdA8F0BhJU9AH49767pJTgCoTTOrGcgNZQ0FGUv/u0XGIZhBhE5R+y2GkZEkc4j1U1xGueA4yt76XDrBQChur09M3m/Xg8Azts8AQD4xu1P4cf378OJ64bx9WuegVefvwkvOfMorBtJYf98HvmSjTd+8Xb82y+2V+z70EIBt++cxh07j4Tuf3jfPHYcWsRiwYKukT9pS5m6HwCmTR0j9ZS9YjiNcz5f8tVLIkJC19yaPW9S5qZx1jZokeYsl5y4BilTwx07wmPOeamlDBNHDF3D5FASuZKN8YzpLwJV47SpURC5wV3K1PyL03jWfU3BcnD/7ll84bc78ImfPeq/bqlk+zV+c56yFwRnnrI31Fqw97MHD+Ccv/+pn00g3TglMk21PNj7rzueQtFycMGxk76aBwT1hVm/Hs9dCNp5JNxjz33M8J+TYoMWhmGYWGN4yl7cMx9VHwk2aOkhRHQZET1MRI8R0Tt7MYZ6aZyyJi9fsnFksYijvNVxwJ3wXXLiGnz6V9vx+51HcNmp6zCRTeAfXnIa1o2msGYkif2zeTyyfx6WI/DI/vmKfe/xlD/V+dNxBF77+d/h3d+5D4sF20+jAtzWC7JUTqZx1uqztyiVvWKg7KmOo6ZOKFkOirb7vKSh1VX2dk0vYdVQAsMpE5ecuAZf+/1T2LYnaMGQ80xjGCaurPVSOWs5cUqGUyZee8FmPP+UdSAiPyAaShpI6BqKluOff/95+1N+AJYr2r7jpq/slQd7I26wV14Tq/LD+/biH773QOg5O48sYb5g4Z5dM972ND+NM2lovuqo1u3lijau+/UTuODYSZxz9DgWi5a/Tfk9IM/ptGf2IrMV1CwHadCSTRqBGycbtDAMw8QSU+uNshc1arA3aJlnK+Y/R0Q6gP8H4AUATgbwKiI6udvjCPrsBYHQ2tEkRtOmn55Z3nZB8pfPOR7zeQtCAJedui702LqRFBaLNn6/w60B2n5woWLfcrvTSrB3+85p7J3N44G9c1goWKE0MVU5kwYt8wWragNjOdlaKNgQQvitFySmoaFYoewZyJVsv12Dyq7pHI7y3v/fX3kqxjMm3nL9nX7tkawjZJi4snbYXcyZWibYA4D3X3EKXni6m9Isz6tMwkDCcIO9nLfIUrQcfOZXjwMAciUL2aSBoaShBHsyjTNQ9oq2g/fdsA3/+7/vr7rvz/z6cfzH/zyBr/7uSf8+GTTev3sOgKzZ07zxGf5Cj+rI+dXfPYlDCwW87dLjkU0aECJQ5BYLFgyNfOfeTEJHrmT5zeRXK32OwsqeFhoPwzAMEy98ZS/m8ZEaq3LNXu84D8BjQojHhRBFAF8HcGW3B/Gsravx9stOwBlTY/59SUPHGy7agpse3I/7d89iz0zlajYAnD41hhecug4nrhvGCWuHQ4+tG3Unjr98+AAA4NBCsaJRuwz2jiwFE7Dv3uO2U5pZKuHxgwsht7+UkpaV9pQ9AFXVPTWNc7FowxEoU/a8NE5bMWiR9TZW5URt93QOU55pxeRQEv/yijOx4/ASvnuPW++z5NURMkxcWdOgsleOWrOWMDQUbdtPiTz36HF89Xc7UbIdV/02dQynDD/o8pU9I6jZA4Av37oTX751Z0Wa9ly+hHt3zSCha/iH7z2IHYcWAQSLOw/u9YI9U0PSDMY1JIO9QqAofvpX2/GMYybx9GMm/e8ZmeYpF29kVoE0aDkwn8d4xvR7darvP6vU7HEaJ8MwTDwxelSzFzWcxrkyOArAU8rfu7z7QhDRNUR0OxHdfvDgwcgHkU7oePPFx/krGZLXXrgZwykDn/z5o4GyN1pp2vDxV56Fb7/5goq2A2s8leC2x4/4KwqPHQire3u9lKgji+5quWU7+MF9e30F7f7dc2XBXqCcZRK6n3o6V6V/lpz8LRUsf2Kp1iW66WbCV/akQQsQ7gf2yP5515hhJoep8eD9n71pHAAwkyt6+7P85ssME0fkOVvPibMaUn3PJHU/jVO64V5w3CrkSw6ml4p+f0w32KuexvnsE9fgmmceg3dffiIA4IE9c6F93br9MBwBfPiq0wEA1/1GqobudqThUkpR9oZSBoZlMOft95bHD+PgfAHXPOsYAEFtngxSFwtW6LtH1vMemC/4x0kilb1sIkjjZGWPYRgmniT8mr14q2FqsMcGLb2j2pGvyB8UQlwnhDhXCHHu6tWruzAsl5GUiddfuAU/3rYfH7vpERC56Z3lJAzNn+yoSGWvaDv4g+NXAagW7Mk0TjcYu+Xxwzi8WMTbLj3ef62qxoWUPVOv63y3qKRxyomluq2E4Sl7ap+9snqbpaKF5OK5gAAAIFtJREFUF37iN3jpv92MouXgKCXY83tvFeRzuWaPiTdS2avnxFkNGRT5yp7l+H0up7yFm+nFkt8fczhlYr4glb1wGudIysS7Lz8JLz1rCgBCdbEAcPNjh5A2dbzgtHXYMJbCzJK72FJea5tU3DiHkoafaiq/Cx7Z59YQS2dR+R6kodNSKZyWLet5D84X/OOkPuZugw1aGIZh4o7h1+zFO0AKuXHG/L00y0oK9nYB2Kj8PQVgT4/GUpU3P/tY/Pklx2G+YGHLqmyob9VyrFUmRJectBaZhI5HD4RNWmR66ELBQsGy8ZtHDyFhaHjxmRuwwQsWs0ogqTaaTCv1MXJitXsm56t8ausFmeYZqtnTCUWrrM+ety85cdwzk0fJFnjImxiqyp5rTGH4BjBs0MLEnfM2T+D0qVGcdtRoU6+Tiyh+zZ7t+OfQes/USSp7mRrKXrmT7erhJNYMJyuUvZu3H8Z5WyaQNHRkk4avxJUraUlDTeM0/TTOBS/IfHj/PNaOJDHmGbcMlQd7ZcqeGuyp9Xrqa7NeA3YiIM8GLQzDMLHE6FGfvaghIkhxL+4pqc2ykt7t7wEcT0RbiCgB4JUAbuzxmEIkDR1//bwTcOu7LsW33nRBU6/NJAJThJPXj+C4NUMVyt6emZx/Mk0vlty6uLE0UqaOE9a5NYC10ziDBsZyovcnn70NH/3JIwCCmr2FolVV2fNr9qzKmj35Wqk8vuGiLTh2dRanbghPgjNJPaTscRonE2eOXzuMG996kR8ANYpckMmqaZxFC2lT93t2ziwVkSvZSEllzzsnc0pfvHJO2TCCbUqwt282j8cOLODC4yYBuAGiXNRR3S8ThgYiUpQ9HRlTB1GQxvnI/nlsVeqMfWVP1voW7TJDKANLRcsN9sqUvanxND744lNwmedOmjJ0VvYYhmFiiuyzF/dgDwhSOTmNs0cIISwAbwXwYwAPAviGEGJbb0dVnWzSwHi2uQkg4DpyEgEnrhvGcavDwV7RcnBwoYDjVg8BcBu475nN+UrAietHALgTNUmyLI0zlQgHe4fmC741+pJSsyfVvpGyNM5iKNgL0kLlxHGvpzy+9oLN+NlfX4w1I+FanWzSVfYcRyBXYjdOZjCRqlnWU/YKXuuFTEL3Wx5ML7lpnIGyFzZoSVZZKDllwygeO7jgP+fmxw4BAC48zk0LzyR0LJWCoHE84yr30uxFrdnTNMJQ0sBc3nXvfXT/QshUStbsLRSCFO5qyl7Rdipq9ogIV1+w2f+OTCc42GMYhokrUgXrj2DP/c1pnD1ECPEDIcRWIcSxQohrez2eqNkwlsaWySyySQPHrR3C3tm8P8nbP5eHEO7qPeCmee1VGrefWEXZC620J/QKZS9fcjCXL8F2hB/ELSo1eyOhNE5X2fPTOE2twqBFGsiU1+hIsp5Dn9wXu3Eyg4iaxhi0XrCRSQbB3sH5Akq28N0457xz0jdUqaHs2UqPzpsfO4SJbAInrXO/M6RDJuAGe8evGQ6lb6aUNE4AGE4aWChY2Hl4EQXLwdZ1lcrekp/GGV68UVO01wxX/z7wn2vqyBUr64gZhmGYlY9UwXSKf4BEvrK3osKfjjNY77bHvPeFJ+FjrzwTAHD8GndiJSduMpA6xasPOjCfx4H5vF+rd5Kn7NVz4wx6WjmwbAdF2w32ZBom4NYDBmmcYTfOki0CgxZdCfZKMtjLYdVQsmatYiahY6Fg+ftjZY8ZRIJgz3XALNoOFgsWMqbbiiBpaH5KdNpz0S1arjlSvmSDKHA/UznFS5vetmcOQgjcvP0QLjh20ndIkw6ZgLvgk03qOGb1kP+9oPbZc3+bWMhb/nfQiVWCPbX1glovnGki2EuZWtX2LQzDMMzKR16P+iH1UQasZh+8l2bgYK+LHL92GKd7/fvOOXocSUPDf92+C0BQD3eqp+w9tHcejgDWe+59x6zK4mVnHeU7eQLhYC8VcuO0kfcUgvm8FarfWSy6aZyGRiH1QBq0FCwHpk7QNPKVOdkQes9sHhvGwilbKtmkW8cj1QU2aGEGkQuOXYUXnLoOq4eSfs1eruQqewAwnkn4Zkyy9QLgnqvSobO8dQvguoIOpwzcu2sW2w8uYP9cwU/hBNzUSz9d2zNIevqWCRw9kQUAf5FGBqNDKVfZe3jfAoiA49YMhbYFKK0XipY/fqAs2Bup/Z0AuN9NbNDCMAwTT4Km6vEPkGT2pj5gBi2cZ9cjJrIJ/OG5U/jG73fhr563Fbu93n0nbRgBEXwjhvWesmfoGj76ijND21BX7HWN/DTOXMn2A7y5XCkIvkzdS+MsYThlhCaUahqnnBRKgxU/jXMmh2NWZ2u+p0zCNWiR9Tms7DGDyGlTo/jUq88BAD+Nc7Fg+efDWMb0e3Wq58h83vJNW6pBRLj4hDX477t2Y8yrx7tICfbSCcNX1XNFdzvve9HJvvuYrPGVwd6qoQRue+IIFosWNk1kQi1jDN1t1bBYtCCE8J1D1X1JVjeSxsk1ewzDMLEkaKreD8Gep+z1wXtphsEKbVcYb7zoGFiOgy/cvAN7Z/IYSRkYSZkYTZt+P60NY7V7fMngTk7C1D57sm5vLm/5jnqrh5NY9NI41RROQDVosZHw0r3SZTV7+2aDGsJqyNYL8vkc7DGDjjRocYMlN0BylT0vjdPUMZyUPe9KyJcc31ClGn/zvK2wHYFP/XI7Nk1ksHEiaPieSego2QIl2/EVQk0jf1FnVdYNyuQC0t8+/wRoRLjryZmQE6dkKGlgsWChYDmwHREKBuVCUCah+8FjLdighWEYJr6YfWTQ4rde4DROpltsXpXFZaeuw2d+/Ti+eccuP7CbyCQwveQat8iJWTWkAiCNWmRNTq4UqGu2I3BowW20vHo4iVzJxmyuhJF0eIKWkK0XSo6/HdkoPVd01cD5glV3PLL1glQX0iYLx8xgE7ResP3UyPGsiUU/1dnASNoN9mZzrkNnLWUPAI6ezOLqC44GgFAKJ4CQoVI1N9xNkxn86m8vxjOOdVs1HLdmGF9+w3kYy5g49+jxin1lvWBPLt5kE5VpnMvV6wHu91SO0zgZhmFiidFHrRfkexg0gxaejfeYv7vyVGyayOLR/fN45tbVAOBalh9axHDSqFDgVJJlCpzspVVQ0jgBYL9n/iKbH++bzfuugBJT11CyBIq24yt7ROQ7/EkDmfV1lEap7OVY2WMYAG7qZNF2YDnCT31U+/alTd1vkeAGe07Vtgsqb73keDywdw5XnXNU6H61VUquZFc0ZgfcYFHllA2juPVdl/rfJSrZpIEFZfEmozoB+8Fe/Xo9wH2P0mWUYRiGiRdmnzRVB5Q+e33wXpqBg70es2ooiXe+4MTQfbLx8vo6ZigAoGlucJcO1dLoyCvKHgDsm/OCPW8Vfu9sHpuU9C8AMA1y0zgVZU9uL1ey/LSz5ZQ9R7g9AuVrGWaQSeg6ipYDR4hA2csECziZhI5R7+/ppRIKlo10lbYLKqNpE9e/8fyK+6Vb5pHFIoSA33dzOWopidmEXqbsqW6c7u3yhurVuOKMDThvy0RDY2EYhmFWFv3UVN1vvcAGLUyvmfBW/uvVx0lSpo6Mki6ZMvRQGidQGezN5kqVNXu6jpLltmtQWyvI5smyOXu9YE/W7si00WrKAsMMEm7Nno2SLXylW1XVU6aOUZnGuVT0jVVaQS6uHF4suH+3ef5lkwZmlopYLEhlr7U0zueevLatcTAMwzC9ox+bqnPrBabnjHvKXr02B5KUGVb2UqbmGrRUS+NUJmbS7l3iK3uKQQvgThiXijb2zOZBBKytY7MuV/sPLRS8vznYYwabhOH2rwSCNEg1jTOT0JE0dGQSOmaWSshbrQd78nzzlfU2g70hr+m6b7ikbE/24WskjZNhGIaJL2YfNVWXaZz9ELg2Ayt7K5CJrLvS34iylzb10KQuZdZJ4xwKgj1pCiGpZtACuBPIXNHG3pkc1gwn/dztasg0tSDY448XM9iUn0tAOI1TnrtjaRMzXs1eapk0zlrI7R9eiCaNOpNwW7Xs974/VimLRRPZBP7xZafh0pPWtLUPhmEYZmVj9FNTdTZoYVYK434a5/Kr5n9x6fFYp6htKbMyjbPaZG2kXNnTNTjCdfJUVb+M17trz2wO65YJPqVycXC+ACK0PGllmH4hoavBXhWDFr/3XsJV9kq231KlWaT7bVTKnnTj3HF4CRoBG8fDdb6vOm9TW9tnGIZhVj79VbPn/h60Pnsc7K1AZLrlUePLK3svO3sq9HfK1FAoOSE3zkMLRSQNLRTgVaRxepPS+byFKWW/6YSOHYcXcXC+gFc8bWPdsWQVZSFt6qGm7QwziCTqKHtEgfI3ljExs1REvmQv68ZZi2xS1uxFo+wNJV133R2HFnHUeDr0XhiGYZjBwHfj7IM5ne/GOWDK3mC925hw0XGr8LFXnInzt0w2/dq0p+zJpurSXjaT0P06GwBVm6oDwELBqjBo2TWdQ8FycNU54cCyHLVmj+v1GKZWsOcqexllQWQsE6RxtqrI+QYtC9EZtDgCeHjfPI6eyC7/AoZhGKbvkPNIvQ8cLKWgN2itF+L/n+tDDF3DS846CloLH0a1Zk/XyDd7ySSMULA3UuHG6e5roWBVnaBuXTuE044arbtvqSwcWSpy2wWGQTiNU55/I2kTGoWVt9G0ksbZcs2eu32p7LVq9BKM1339YwcXcPRkZplnMwzDMP2I4ffZ6/FAIkDza/Y42GNiTMrUkbds5IquQiBt3TMJPeSmVyuNs2iV9dnz6oCuOmdq2bRMOdkUAqF2EAwzqJQ72wJu3cNo2gwFe2MZE9NLRViOaL31glnmxtnmgovsq2c7ApsnWdljGIYZRIKavfiHDJzGyfQFKVNHruggV3It3GWdXiahQ9PIr6urFewBCKVxTmRNGBrhJWcdtey+s0ofLlb2GCYc7KnK+ngmEUqzHM+YsB23RUOryp6uEZKG5qdxtptKrY6XlT2GYZjBxOwnZW9A0zhZfukzXIMWt2YvndD8Fgsy+MokDSwW7Zo1e+W3X3PBZlxy4tqG+mm5piyessfBHsNUTYkGXCXPa7/n/p0ON1pvlWzSiLTPnmTzKlb2GIZhBhFDIwwlDT9TLM74yh4He0yckWmc+ZKNtKn7QZ1MsRxKGjg4X1hG2Qtuj6RMnLyhsROciJBNuI2YOdhjGCCpVw/2XvOMzSjZjv/3qNJ7r51gr7znZjtkFKV+0wQrewzDMIMIEeG7f34R1o4kl3/yCkcGe/V6RvcjHOz1GWlTR8kWWChYSJelcQJuqmXC0ComggmDlNutnwSZhI6FgtX2RJNh+oGkqQZ7wddteVr0WDqaYE+e52pbh1aRyt760RSfzwzDMAPMlj7J7pBlh2zQwsQaWe8zvVR0a/YUgxb3t1HRUB2orew1i6zzYWWPYYCE7p4HSUOr25BWuuYCQKrNxRYAkfS5lOcy1+sxDMMw/cCgpnFysNdnyBX46cUS0gndb7GgpnGW1+sBYYv4Vps6u/sJgkqGGXSkSr7c4kdUyp5fmxvBYsuQdw5zjz2GYRimHyA/2Bus8Idn5H2GH+wtFd00zrT7L5aTwKsv2Iwji4WK15mKmpBsI5dZ2rWzGyfDqMFe/a/akYiCPXn+RZF2mU3qWDWUxFmbxtreFsMwDMP0Gpm9OWhpnBzs9RlykrdUtL2aPXcSKVsuPGvr6qqvCyt7baSReaYOGa7xYRg/2FPbklQjZepImzpynrFSq6SVNM52MXQNt7zrkoFLd2EYhmH6E21Alb3BercDgFrvk0roSuuF+nF9ZDV7rOwxjI9cRFnu/APcdgxA6332AKVmL6Lzz9S1tmv/GIZhGGYlEDRVH6zrGgd7fYY6yavmxlkLU4/OjdP9zaIxw/jKXgPB11jGNWlpz40zujROhmEYhukn5NqlycEeE2fUSV7a1LF2JAWNsGx/FDXASxrtNXUG2I2TYYBAJW/kfJAmLe2kUUdp0MIwDMMw/YR0xR60NE6WX/qMlBKopRM6Noyl8bO/vhhHL9MUWa3Za0fZk7VJnMbJMMF51YjSHaRxtmPQEl3NHsMwDMP0EzKNs14rpH6Eg70+I51Qava8CV8jzTCjqtmTk1pWFhgG0DSCoVFjyp4X7LVn0GK0vQ2GYRiG6UeCNM7BUvYG690OAGoKZjMTPjOqNE5OI2OYEGOZBCaHEss+b+1ICtmE3tZFSJ53KT7/GIZhGCbEoBq0sLLXZ4Rq9hKNTxqjSuPMJNkggmFUvn7N+Vg9XL9mFgBef9EWPP+UdW3tK8NpnAzDMAxTFZm9OWgthTjY6zPK3TgbRXUmaieN86yNYzh70xg2LVMjyDCDwnFrhhp63kjKxMh6c/kn1kGe86ysMwzDMEwY36CF0zi7DxF9hIgeIqJ7ieg7RDTW6zHFlVCfvSaCPSLyA752gr3j1w7j22++EMOp9iatDMM0T5aVdYZhGIapiuwbaw6Ysrcigj0APwVwqhDidACPAHhXj8cTWwxd8+XpZlO5ZK1QO2mcDMP0jjSncTIMwzBMVWSMN2hunCtiVi+E+IkQwvL+vBXAVC/HE3fkRK/Z9gcy2GvHoIVhmN7h1+xxGifDMAzDhAgMWlZE+NM1VuK7fT2AH9Z6kIiuIaLbiej2gwcPdnFY8SFptra6LxU9c8BcihimX1g/msa6kRS2rh3u9VAYhmEYZkWheYreoM1zu2bQQkQ3AahmNfceIcQN3nPeA8ACcH2t7QghrgNwHQCce+65ogNDjT0pU/N+Nxns6RqShubnNDMMEy9G0yZuffelvR4GwzAMw6w4fGVPW4laV+foWrAnhHhOvceJ6GoALwJwqRCCg7g2aD2Nk9oyZ2EYhmEYhmGYlcigtl5YETN7IroMwDsAvFgIsdTr8cSdVItpnKauIcH1egzDMD2BiP6ciB4mom1E9GHl/ncR0WPeY8/v5RgZhmHiikYEjYJ0zkFhpfTZ+1cASQA/9VIIbxVCvKm3Q4ovLadxGhorewzDMD2AiJ4N4EoApwshCkS0xrv/ZACvBHAKgA0AbiKirUIIu3ejZRiGiR8a0cCZswArJNgTQhzX6zH0EylTR8LQmraWNXUO9hiGYXrEnwH4JyFEAQCEEAe8+68E8HXv/ieI6DEA5wG4pTfDZBiGiScaDV4KJ7BC0jiZaEmZekt9thK6xj32GIZhesNWAH9ARLcR0a+I6Gne/UcBeEp53i7vPoZhGKYJNKKBDPZWhLLHREu6xWAvaWpI2VyzxzAM0wnquVLDvR6PAzgfwNMAfIOIjgFQbWZS1cSMiK4BcA0AbNq0KYohMwzD9A2aFvSUHiQ42OtDXn7OFM7aNNb069526fEoWk70A2IYhmHqulIT0Z8B+LbnRv07InIArIKr5G1UnjoFYE+N7XNrIoZhmBpcccYGHLNqqNfD6Doc7PUhz9q6Gs/aurrp1527eaIDo2EYhmEa4L8BXALgl0S0FUACwCEANwL4KhF9FK5By/EAfterQTIMw8SVC45dhQuOXdXrYXQdDvYYhmEYpvd8DsDniOh+AEUAV3sq3zYi+gaABwBYAN7CTpwMwzBMo3CwxzAMwzA9RghRBPDqGo9dC+Da7o6IYRiG6QcGr0qRYRiGYRiGYRhmAOBgj2EYhmEYhmEYpg/hYI9hGIZhGIZhGKYP4WCPYRiGYRiGYRimD+Fgj2EYhmEYhmEYpg/hYI9hGIZhGIZhGKYP4WCPYRiGYRiGYRimD+Fgj2EYhmEYhmEYpg/hYI9hGIZhGIZhGKYP4WCPYRiGYRiGYRimD+Fgj2EYhmEYhmEYpg8hIUSvx9AyRHQQwM42N7MKwKEIhtMt4jTeOI0ViNd4eaydI07jjdNYgfbGe7QQYnWUg+lnIro+AvH6jMVprEC8xhunsQLxGi+PtXPEabztjrXmNTLWwV4UENHtQohzez2ORonTeOM0ViBe4+Wxdo44jTdOYwXiN14mXv+zOI0ViNd44zRWIF7j5bF2jjiNt5Nj5TROhmEYhmEYhmGYPoSDPYZhGIZhGIZhmD6Egz3gul4PoEniNN44jRWI13h5rJ0jTuON01iB+I2Xidf/LE5jBeI13jiNFYjXeHmsnSNO4+3YWAe+Zo9hGIZhGIZhGKYfYWWPYRiGYRiGYRimDxmYYI+ILiOih4noMSJ6Z5XHiYg+4T1+LxGd3aNxbiSiXxDRg0S0jYjeVuU5FxPRLBHd7f28rxdjVcazg4ju88Zye5XHV8qxPUE5ZncT0RwR/WXZc3p6bInoc0R0gIjuV+6bIKKfEtGj3u/xGq+t+xnv0lg/QkQPef/n7xDRWI3X1v3MdHG8HyCi3cr/+/Iar10Jx/Y/lXHuIKK7a7y2q8e21nfWSv3cMpXE5frojYWvkZ0bJ18jOz/WFXmNjNP1sc54+RpZCyFE3/8A0AFsB3AMgASAewCcXPacywH8EAABOB/AbT0a63oAZ3u3hwE8UmWsFwP4Xq+PqzKeHQBW1Xl8RRzbKp+JfXD7kqyYYwvgmQDOBnC/ct+HAbzTu/1OAB+q8X7qfsa7NNbnATC82x+qNtZGPjNdHO8HAPxNA5+Vnh/bssf/L4D3rYRjW+s7a6V+bvmn+f/BSvoO52tkVz8XfI2Mfqwr8hoZp+tjrfGWPc7XSOVnUJS98wA8JoR4XAhRBPB1AFeWPedKAF8SLrcCGCOi9d0eqBBirxDiTu/2PIAHARzV7XFEzIo4tmVcCmC7ECKKpsORIYT4NYAjZXdfCeCL3u0vAnhJlZc28hmPlGpjFUL8RAhheX/eCmCqk2NohhrHthFWxLGVEBEB+CMAX+vkGBqlznfWivzcMhXE5voI8DWyi/A1sk3idI2M0/UR4Gtks2MYlGDvKABPKX/vQuXFoZHndBUi2gzgLAC3VXn4GUR0DxH9kIhO6e7IKhAAfkJEdxDRNVUeX3HHFsArUfuLYCUdWwBYK4TYC7hfGgDWVHnOSjzGr4e7Wl2N5T4z3eStXkrN52qkUay0Y/sHAPYLIR6t8XjPjm3Zd1ZcP7eDRiyvjwBfIzsMXyM7TxyukXG7PgJ8jaxgUII9qnJfuQ1pI8/pGkQ0BOBbAP5SCDFX9vCdcFMrzgDwSQD/3eXhlXOhEOJsAC8A8BYiembZ4yvt2CYAvBjAf1V5eKUd20ZZacf4PQAsANfXeMpyn5lu8SkAxwI4E8BeuKkf5ayoYwvgVai/YtmTY7vMd1bNl1W5jy2iu0vsro8AXyM7CV8jO09MrpFxvD4CfI2sYFCCvV0ANip/TwHY08JzugIRmXA/ENcLIb5d/rgQYk4IseDd/gEAk4hWdXmY6nj2eL8PAPgOXNlZZcUcW48XALhTCLG//IGVdmw99suUHu/3gSrPWTHHmIiuBvAiAP+f8JLOy2ngM9MVhBD7hRC2EMIB8O81xrGSjq0B4GUA/rPWc3pxbGt8Z8XqczvAxOr6CPA1sgvwNbKDxOUaGbfrI8DXyFoMSrD3ewDHE9EWb8XqlQBuLHvOjQBeQy7nA5iV8mo38XKNPwvgQSHER2s8Z533PBDReXD/j4e7N8rQWLJENCxvwy0+vr/saSvi2CrUXPVZScdW4UYAV3u3rwZwQ5XnNPIZ7zhEdBmAdwB4sRBiqcZzGvnMdIWyupiX1hjHiji2Hs8B8JAQYle1B3txbOt8Z8XmczvgxOb6CPA1skvwNbJDxOkaGcPrI8DXyOqILrnR9PoHrtvVI3Bdbd7j3fcmAG/ybhOA/+c9fh+Ac3s0zovgSrT3Arjb+7m8bKxvBbANrivPrQAu6OFxPcYbxz3emFbssfXGkoF7YRpV7lsxxxbuBXYvgBLcFZ03AJgE8DMAj3q/J7znbgDwg3qf8R6M9TG4+eXys/vp8rHW+sz0aLxf9j6T98L9Al2/Uo+td/8X5GdVeW5Pj22d76wV+bnln6r/w1hcH5f5vK2Y7/Gy8fI1Mtrx8TWye2NdkdfHWuP17v8C+BpZ8UPehhiGYRiGYRiGYZg+YlDSOBmGYRiGYRiGYQYKDvYYhmEYhmEYhmH6EA72GIZhGIZhGIZh+hAO9hiGYRiGYRiGYfoQDvYYhmEYhmEYhmH6EA72GIZhGIZhGIZh+hAO9hiGYRiGYZiOQ0STRHS397OPiHZ7txeI6N86tM+/JKLXRLCdrxPR8VGMiWG6CffZYxiGYRiGYboKEX0AwIIQ4p87uA8DwJ0AzhZCWG1u61kAXi2E+NNIBscwXYKVPYZhGIZhGKZnENHFRPQ97/YHiOiLRPQTItpBRC8jog8T0X1E9CMiMr3nnUNEvyKiO4jox0S0vsqmLwFwpwz0iOiXRPQvRPRrInqQiJ5GRN8mokeJ6B+852SJ6PtEdA8R3U9Er/C29RsAz/ECSIaJDRzsMQzDMAzDMCuJYwG8EMCVAL4C4BdCiNMA5AC80Av4PgngKiHEOQA+B+DaKtu5EMAdZfcVhRDPBPBpADcAeAuAUwG8logmAVwGYI8Q4gwhxKkAfgQAQggHwGMAzoj0nTJMh+Fgj2EYhmEYhllJ/FAIUQJwHwAdXsDl/b0ZwAlwA7SfEtHdAN4LYKrKdtYDOFh2343KtrYJIfYKIQoAHgew0bv/OUT0ISL6AyHErPLaAwA2tPneGKarsBTNMAzDMAzDrCQKgKumEVFJBAYTDty5K8EN1J6xzHZyAFLVtu1tq6Dc7wAwhBCPENE5AC4H8I9E9BMhxN95z0l522SY2MDKHsMwDMMwDBMnHgawmoieAQBEZBLRKVWe9yCA45rZMBFtALAkhPgKgH8GcLby8FYA21obMsP0Blb2GIZhGIZhmNgghCgS0VUAPkFEo3Dnsx9DZSD2QwBfbnLzpwH4CBE5AEoA/gwAiGgtgJwQYm87Y2eYbsOtFxiGYRiGYZi+hIi+A+DtQohH29zO/wIwJ4T4bDQjY5juwGmcDMMwDMMwTL/yTrhGLe0yA+CLEWyHYboKK3sMwzAMwzAMwzB9CCt7DMMwDMMwDMMwfQgHewzDMAzDMAzDMH0IB3sMwzAMwzAMwzB9CAd7DMMwDMMwDMMwfQgHewzDMAzDMAzDMH3I/w8AB0pp2l1FLAAAAABJRU5ErkJggg==\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -606,8 +671,8 @@ "fig, ax1, ax2 = create_plot(\n", " label1='Vp with $\\sigma=1mV$ noise, unfiltered',\n", " label2='Iobs with $\\sigma=20pA$ noise, unfiltered')\n", - "ax1.plot(t, vp)\n", - "ax2.plot(t, iobs)\n", + "ax1.plot(t, vp, color='gray')\n", + "ax2.plot(t, iobs, color='gray')\n", "plt.show()" ] }, @@ -621,13 +686,13 @@ }, { "cell_type": "code", - "execution_count": 67, + "execution_count": 19, "id": "17a417dd", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -662,48 +727,321 @@ "w = 10 # Cut-off frequency, in kHz\n", "\n", "fig, ax1, ax2 = create_plot(label1='Vp, filtered', label2='Iobs, filtered')\n", - "#ax1.plot(t, vp, alpha=0.3)\n", - "#ax2.plot(t, iobs, alpha=0.3)\n", - "ax1.plot(t, low_pass(vp, w, f, 6))\n", - "ax2.plot(t, low_pass(iobs, w, f, 6))\n", + "ax2.set_ylim(-80, 80)\n", + "ax1.plot(t, low_pass(vp, w, f, 6), color='gray')\n", + "ax2.plot(t, low_pass(iobs, w, f, 6), color='gray')\n", "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "ef6be77d", + "metadata": {}, + "source": [ + "We these additions, the step response looks a lot more like a straight line." + ] + }, { "cell_type": "markdown", "id": "0b16aa44", "metadata": {}, "source": [ - "## Breaking the seal: access to the cell!\n", + "## Breaking the seal: access to the cell\n", "\n", - "A this point we might decide the filtered current looks suitably flat, and break the seal!\n", - "To simulate this, we " + "Next, we break the seal and gain access to the cell.\n", + "To simulate this, we need the full model.\n", + "\n", + "To make it a bit more interesting, we'll add a model cell with a membrane resistance of 500M$\\Omega$ and a capacitance of 25 pF." ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 20, "id": "bf3e93fa", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "m = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0\n", + "beta = 0\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 15e-3 [GOhm] in [GOhm]\n", + "Rm = 0.5 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 0 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Cp_est = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "tau_est = if(val < 1e-8 [ms], 1e-8 [ms], val)\n", + " in [ms]\n", + " val = (1 - beta) * Rs_est * Cm_est\n", + " in [ms]\n", + "I = Vm / Rm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", + " ) / (Cp + Cf)\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / tau_est\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "id": "9ddfca40", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "s = myokit.Simulation(m, p)\n", + "s.pre(5)\n", + "d = s.run(20, log_interval=0.1).npview()\n", + "\n", + "fig, ax1, ax2 = create_plot('Vm (mV)', av1=[0, 10], av2=[0])\n", + "ax1.plot(d.time(), d['amp.Vm'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] }, { "cell_type": "markdown", "id": "0fd3030b", "metadata": {}, - "source": [] + "source": [ + "Boom! We see large capacitative artefacts in the current signal.\n", + "\n", + "On the left, we've switched from plotting $V_p$ to plotting $V_m$.\n", + "Note that neither is available in a real experiment." + ] }, { "cell_type": "markdown", "id": "46499b23", "metadata": {}, - "source": [] + "source": [ + "## Slow capacitance cancellation\n", + "\n", + "Although we see minor oscillations, we resist the urge to mess with the fast transient cancellation at this point, and move on to the slow capacitance cancellation." + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "id": "d2373c35", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot('Vm (mV)', av1=[0, 10], av2=[0, 2000])\n", + "for Cm_est in np.geomspace(1, 26, 20) - 1:\n", + " s.reset()\n", + " s.set_constant('amp.Cm_est', Cm_est)\n", + " s.pre(5)\n", + " d = s.run(20, log_interval=1e-3) \n", + " ax2.plot(d.time(), d['amp.I_obs'], color=blue(0.1 + 0.9 * (Cm_est / 25)**2))\n", + "ax1.plot(d.time(), d['amp.Vm'])\n", + "ax2.set_ylim(-100, 300)\n", + "ax2.text(9, 40, 'Looking good!', rotation=3)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "665cd729", + "metadata": {}, + "source": [ + "Let's adjust the zoom and look at the result:" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "id": "11a04dfa", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA3UAAAFzCAYAAACZyCAUAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAABMI0lEQVR4nO3deZhcd3nm/fuptVeptbR2yW3LlryCsBsZeQGDIRDCi5mEJIYAZklMEpKBZLKYkDckM8MbAoQJCWQYD5g4QDCbHZsMYBsPBhtvSLaMZMlabMvWvrqlXqu6qp73j6pqVW9SW12nTp3q7+e6+uruOqerHpfKdX53/TZzdwEAAAAAoikWdgEAAAAAgDNHqAMAAACACCPUAQAAAECEEeoAAAAAIMIIdQAAAAAQYYQ6AAAAAIiwRNgFTMX8+fO9q6sr7DIAAAHbsGHDEXfvDLuOqOD6CAAzx6mukZEIdV1dXVq/fn3YZQAAAmZmz4ddQ5RwfQSAmeNU10iGXwIAAABAhBHqAAAAACDCCHUAAAAAEGGEOgAAAACIMEIdAAAAAEQYoQ4AAAAAIoxQBwAAAAARRqgDAAAAgAgj1AEAAABAhAUW6szsFjM7ZGabK26ba2b3mtmO0vc5QT0+AAAAAMwEQfbU/YukN4257SZJ97n7eZLuK/0OAAAAADhDiaDu2N1/amZdY26+TtI1pZ9vlXS/pD8/3X319vbq/vvvH3XbsmXLdO655yqXy+nBBx8c9zddXV3q6upSJpPRww8/PO74ypUrtXz5cg0MDOixxx4bd3zVqlVasmSJent7tWHDhnHHL7jgAi1cuFA9PT3auHHjuOMXX3yx5s+fryNHjmjz5s3jjq9Zs0YdHR06ePCgtm7dOu74ZZddpvb2du3bt0/bt28fd3zt2rVqaWnR7t279cwzz4w7vm7dOqXTae3atUu7du0ad/yqq65SIpHQzp07tWfPnnHHr7nmGknStm3btH///lHH4vG4rr76aknSli1bdOjQoVHHU6mUrrjiCknSpk2bdPTo0VHHm5ubdfnll0uSNm7cqJ6enlHH29ra1N3dLUlav369+vr6Rh3v6OjQmjVrJEmPPvqoBgcHRx2fN2+eLrnkEknSQw89pGw2O+r4ggULdOGFF0qSHnjgAeXz+VHHFy9erNWrV0vSuNedxGuP194aSbz2gnrtAQDCs/NQr1Z2tsnMwi4FL1Gt59QtdPf9klT6vmCyE83sRjNbb2brh4eHa1YgAAAAMNNs2nNcr//sT/WlB54LuxScAXP34O682FP3H+5+cen3HnfvqDj+orufdl5dd3e3r1+/PrA6AQD1wcw2uHt32HUEzcz+SNJvS3JJmyS9T1KLpG9K6pK0S9JvuPuLp7ofro8AquWHm/frd7/2uH7pwoW6+T0N/zYcSae6Rta6p+6gmS2WpNL3Q6c5HwCAhmJmSyX9Z0ndpQ8945KuF/POAYSoUOrniTH0MpJqHeruknRD6ecbJN1Z48cHAKAeJCQ1m1lCxR66fSrOO7+1dPxWSW8LpzQAM1E5zLmCG8WH4AS5pcE3JD0sabWZ7TGzD0j6pKQ3mNkOSW8o/Q4AwIzh7nslfUbSC5L2Szru7vfoJcw7B4Bqi8eKoS5fINRFUZCrX75jkkPXBvWYAADUu9IerddJOltSj6Rvm9m7XsLf3yjpRklasWJFECUCmIHipa6eHKEukmo9/BIAgJnu9ZKec/fD7j4s6XZJV2iK887d/WZ373b37s7OzpoVDaCxxWPFWEBPXTQR6gAAqK0XJL3KzFqsuBnUtZK2innnAEKUYPhlpAU2/BIIW77g6hnI6lh/8evEUE5Dw3kNDuc1VPoazBaUKxSUL7jy7ioUXLlC8XveXfmCRn6uVPnruAnFp/5VlduITOdtc6prU011A9Epr3U1xRNtCidOdYGtqf+3nv6cZDym37tmpZbNaZnivQLV5e6Pmtl3JD0uKSfpCUk3S2qT9K3SHPQXJP16eFUCmGnK11BCXTQR6hB5/ZmcfrHnuDbu7tHOQ3164Vi/nj86oMN9GU1lG0YzKW6mWMwUN1MiVvo5ZoqZKR4rHj9VOBp7aNzvY2JJ5fEzWTh4qm+3U92GciorXU35vqp8LZjqXppTOavgroMnMjprXotufPXK6RUGTIO7f1zSx8fcnBHzzgGEjFAXTYQ6RNKh3iHdtXGf7tlyUOt3HRvZW2VBe1pd81v1mlWdWjy7SXNbU5rbltbclpRmNSfUnIyrKRlXc6r4vSkRUyLOKOSZwt218i++r+ODw2GXAgBAfSm1pVgoJZoIdYiUnYf69Pn/u0P/Z9N+Dedd5y9q1+9ds1LdZ83Vy5d3aG5rKuwSUcfMTE3JuDLDhbBLAQCgrpSjXKHaQ25QE4Q6RMJgNq9P371N//rwLqUTMb3rVWfpXa86Sys728IuDRGTTsSUyRHqAACYCMMvo4lQh7q381Cvfu9rj2vHoT698/IV+uM3rNL8tnTYZSGi0om4Mrl82GUAAFBXyh10ZLpoItShrj3+wot631d+rmQ8pq9+YK2uPo89mTA96SQ9dQAATKZAqoskQh3q1ua9x/XuLz2qzva0vvqBy7V8LkvQY/rSiRhz6gAAGKO8EvbYbZwQDYQ61KX9xwf1/n/5uTpaUvrmB9dp4aymsEtCg2hKMvwSAICxRoZf0lMXSazljrpTKLj++JtPqj+T0y3vfSWBDlWVTsQ0RE8dAAAToqcumgh1qDu3/Ow5PfzsUX38/7lIqxe1h10OGkwqEVM2T6gDAKBSOcqx+mU0EepQVw71Dumz927X685foF/vXhZ2OWhAqXhMWRZKAQBgFC/10NFRF02EOtSVv797u4bzBf3VWy6UmYVdDhpQKkGoAwBgMvTURROhDnVj15F+fWvDbr1nXZe65reGXQ4aVCoRZ/glAABjjAy/pKsukgh1qBv/+4FnlYzH9MHXnBN2KWhgDL8EAGACrH4ZaYQ61IUjfRl9e8Me/dqly7SgndUuEZxUgs3HAQCYDD110USoQ1349vo9yuYK+u2rzw67FDS4dCKmLPvUAQAwysjm4/TURRKhDqFzd31nw269smuOVna2hV0OGhxbGgAAMDmGX0YToQ6he2J3j5453K+3X8YWBggec+oAABivPOqS4ZfRRKhD6P79ib1qSsb05ksWh10KZoBUIqaCSzl66wAAGFHOcnTURROhDqFyd9275aBefV6n2puSYZeDGSCVKL7tMQQTAIDxGH4ZTYQ6hGrz3hPaf3xIb7hwYdilYIZIxUuhjiGYAACMYJ+6aCPUIVT3bjmgmEnXXkCoQ22M9NQR6gAAGOGlMEemiyZCHUJ139OH1H3WXM1tTYVdCmaIcqhjrzoAANAoCHUITc9AVlv2n9BV580PuxTMIGnm1AEAMA4ddNFGqENoHnn2mNyldSvnhV0KZhDm1AEAMB7DLqONUIfQPPLsUTUlY3r5so6wS8EMwpw6AADQaAh1CM3DzxxV91lzRxrZQC2wpQEAABOhqy7KaE0jFD0DWW072KtXnTM37FIwwzD8EgAANBpCHULx5J7jkqRXrJgTciWYaRh+CQDAeMypizZCHULxi909kqRLls0OtxDMOGxpAADAeGS6aCPUIRRP7unROZ2tmtWUDLsUzDBsaQAAABoNoQ415+56cs9xrWHVS4QgFY9LYvglAACVGH4ZbYQ61NyBE0M63JvRyxh6iRAwpw4AgPGcAZiRRqhDzW0qLZJyCT11CMHJUJcPuRLMZGbWYWbfMbOnzWyrma0zs7lmdq+Z7Sh9ZyUpAMCUEOpQc9sP9kqSVi9qD7kSzETsU4c68TlJP3T38yW9XNJWSTdJus/dz5N0X+l3AKgJhl9GG6EONbftYJ+WzWlWWzoRdimYgdinDmEzs1mSXi3py5Lk7ll375F0naRbS6fdKultYdQHYGaqzHROwoscQh1qbsfBXq1eSC8dwpGMmyRCHUJ1jqTDkr5iZk+Y2ZfMrFXSQnffL0ml7wvCLBLAzJUvEOqihlCHmhrOF/TM4T6tYuglQmJmSiViyjD8EuFJSLpU0v9091dI6tdLGGppZjea2XozW3/48OGgagQww1T2zuXpqYscQh1qateRfg3nnZ46hCodj9FThzDtkbTH3R8t/f4dFUPeQTNbLEml74cm+mN3v9ndu929u7OzsyYFA5hZ6KmLHkIdampbaZGUVYQ6hCiVINQhPO5+QNJuM1tduulaSVsk3SXphtJtN0i6M4TyAIBQF0GsVIGa2n6wTzGTzulsDbsUzGBJeuoQvj+U9HUzS0l6VtL7VPyg9Vtm9gFJL0j69RDrAzDDVI64JNRFD6EONfX80X4tndOspmQ87FIwg6USMbY0QKjcfaOk7gkOXVvjUgBgHEJd9DD8EjW16+iAuubRS4dwMfwSAIDRvGJTA0Jd9BDqUFPPH+3XWfNawi4DM1yK4ZcAAIwyavglq19GDqEONdMzkFXPwDA9dQgdwy8BAJhcLk+oixpCHWpm19EBSdJZhDqELJWIKUNPHQAAIyo75wr01EUOoQ418/zRfklSF8MvEbI0c+oAABilMsblmFMXOaGEOjP7IzN7ysw2m9k3zKwpjDpQW7uODMhMWj6XUIdwMacOAIDJFQh1kVPzUGdmSyX9Z0nd7n6xpLik62tdB2rv+aP9Wjyrie0MEDrm1AEAMJpXDLmkpy56whp+mZDUbGYJSS2S9oVUB2rohWMD9NKhLrClAQAAk2NLg+ipeahz972SPiPpBUn7JR1393tqXQdqb1/PoJbOaQ67DIDhlwAAjFEZ4wh10RPG8Ms5kq6TdLakJZJazexdE5x3o5mtN7P1hw8frnWZqLJcvqCDvRktmU2oQ/gYfgkAwBjsUxdpYQy/fL2k59z9sLsPS7pd0hVjT3L3m9292927Ozs7a14kqutQb0b5gmtJB6EO4WP4JQAAk6OnLnrCCHUvSHqVmbWYmUm6VtLWEOpADe3rGZQkLelgoVOEj1AHAMBoXtFVR6iLnjDm1D0q6TuSHpe0qVTDzbWuA7W1txTqltJThzqQjheHXzrDSwAAkDR683FCXfQkwnhQd/+4pI+H8dgIx/7jQ5KkxYQ61IFUovh5VjZfUDrBFhsAAFQi1EVPWFsaYIbZ1zOoWU0JtaVD+RwBGGUk1DEEEwAASax+GXWEOtTEvp5BFklB3UjFCXUAAFRi+GW0EepQE/t6hgh1qBup0pBLtjUAAGC8HKEucgh1qIl9xwe1eDYrX6I+MPwSAIDRKle/LLCQWOQQ6hC4oeG8egaGCXWoG4Q6AAAmR09d9BDqELgjfRlJUmd7OuRKgKLynLoMoQ4AAEmj59QVCHWRQ6hD4A71EupQX9IVWxoAAIDRq1/SUxc9hDoE7nAp1C1oZ/gl6gPDLwEAmBw9ddFDqEPg6KlDvSHUAQAwRsX4S3rqoodQh8Ad7s3ITJrXmgq7FEAS+9QBADDWqM3HWf0ycgh1CNzh3iHNa00pEeflhvqQYk4dAACTynN9jBxa2Qjc4d6MOplPhzpSDnXDXLQAAJA0evXLPB11kUOoQ+AO9WaYT4e6wpYGAACM5hWpLl/g+hg1hDoE7nBvRgsIdagjaRZKAQBgUgxkiR5CHQJVKHhp+CWhDvWD1S8BABht1EIp9NRFDqEOgeoZHFau4PTUoa6wUAoAAKONmlPH5TFyCHUIVHnj8flthDrUD7Y0AABgcvTURQ+hDoE62l8MdfPa2KMO9SMRjylmhDoAAMrYpy7aCHUI1Iv9w5KkuWw8jjqTSsQYfolQmVnczJ4ws/8o/T7XzO41sx2l73PCrhHAzJQrEOqihlCHQB0byEqS5rYQ6lBfUvEYPXUI24clba34/SZJ97n7eZLuK/0OADVRuaVBgVAXOYQ6BOrF/mKom0NPHepMKhFnnzqExsyWSfoVSV+quPk6SbeWfr5V0ttqXBYASKKnLooIdQjUsf6s2psSSsZ5qaG+pBP01CFU/yDpzyRVvggXuvt+SSp9XxBCXQBmuHjM6KmLIFraCNSLA1nm06EuMacOYTGzt0g65O4bzvDvbzSz9Wa2/vDhw1WuDsBMVR59GY8ZPXURRKhDoI71ZzWH+XSoQ8U5dfmwy8DMdKWkt5rZLkm3SXqdmX1N0kEzWyxJpe+HJvpjd7/Z3bvdvbuzs7NWNQNocF5a/zIRMxVY/TJyCHUI1LF+eupQn1IMv0RI3P2j7r7M3bskXS/p/7r7uyTdJemG0mk3SLozpBIBzGDxmCmXJ9RFDaEOgXqRnjrUKYZfog59UtIbzGyHpDeUfgeAmih3ziXjMfapi6BE2AWgsR0byGpuazLsMoBx2NIA9cDd75d0f+nno5KuDbMeADNXOcbFY6Y8c+oih546BGYwm9fQcIHtDFCXGH4JAMB4CUJdJBHqEJjyxuPzCHWoQ6lEjH3qAAAoqVz9klAXPYQ6BGZk43Hm1KEOMacOAIDx6KmLJkIdAnOsFOpY/RL1KM2cOgAARpS3NKCnLpoIdQjMi6Xhl8ypQz1iTh0AACdVrn7J5uPRQ6hDYI4x/BJ1jOGXAACMVwx1XB+jhlCHwJwYzEmSZjWxcwbqD1saAAAwXpqRLJFEqENgjg8OqzUVVyLOywz1h+GXAACc5KXxl1wfo4nWNgJzYmhYs5vZeBz1KZUozhkoMG8AAIARxekJXBujhlCHwBwfHNYsQh3qVCpRfPtjXh0AACcXSikOv8yHWwxeMkIdAnOCUIc6lioNC2YDcgAApHLfXCoR5wPPCCLUITDHB4c1q4lQh/qULvfUEeoAABiRisc0nGP4ZdQQ6hCYE4PMqUP9Kg+/zDDEBACAkeGXbPkTTYQ6BObEUI5Qh7rVlIxLYvglAACV2NIgmgh1CEQuX1BfJqdZzexRh/qUThRD3dAwPXUAAHhpVh2hLpoIdQhE71Bx43F66lCvmpLFtz9CHQAA44dflvetQzQQ6hCI44PDksRCKahbzclyTx2fRgIAUFZeSGyYveoihVCHQJRDHT11qFdNSYZfAgBQdnJLA/ZxjSJCHQJxYqjUU0eoQ51qoqcOAICTSsMtk3G2/IkiQh0CQU8d6l15Tt0gPXUAAIxIjQy/JNRFCaEOgTgxWFwohdUvUa+aGX4JAMAIl2RW3Hxcoqcuagh1CAQ9dah3aUIdAAAjKle/lNjHNWoIdQjEiaFhJWI20hsC1Jvy8EsuWgAAFJlO9tQx/DJaCHUIxPHBYc1uTsrMwi4FmFAqHpOZNJilpw4AAJfLzE6ufsmHnpESSqgzsw4z+46ZPW1mW81sXRh1IDgnBofV3sR8OtQvs2JPMsMvAQA4iS0NoimsVvfnJP3Q3d9uZilJLSHVgYD0Z3JqZ+Nx1LmmZFxDOUIdAADuxeGXbGkQTTUPdWY2S9KrJb1Xktw9Kylb6zoQrL5MTq1p5tOhvjUlYuxTBwCATq5+yerQ0RTG8MtzJB2W9BUze8LMvmRmrWNPMrMbzWy9ma0/fPhw7avEtPRl8mpL01OH+tbE8EsAAEZpThVD3QBzziMljFCXkHSppP/p7q+Q1C/pprEnufvN7t7t7t2dnZ21rhHT1JdhTh3qH6EOAICi4vDLkyuXD3J9jJQwQt0eSXvc/dHS799RMeShgfQNMfwS9a8pyfBLAACk4uqXMqml1FPH6tDRUvNQ5+4HJO02s9Wlm66VtKXWdSBY/Qy/RATQUwcAwGgMv4ymsMbH/aGkr5dWvnxW0vtCqgMByOTyyuYLDL9E3WtKxnViaDjsMgAACF9p9cumBMMvoyiUVre7b5TUHcZjI3j9meKbQGuK4Zeob03JGMNLUHNmtlzSv0paJKkg6WZ3/5yZzZX0TUldknZJ+g13fzGsOgHMLF76HosV59UNZnOh1oOXJpTNx9HY+oaKbwJt7FOHOlccfsmcOtRcTtJ/cfcLJL1K0ofM7EIVFw27z93Pk3SfJlhEDACCZFb83pyKM/wyYgh1qLreTHE4W1ua4Zeob03JuDJsPo4ac/f97v546edeSVslLZV0naRbS6fdKultoRQIYEZyd5mKqa45GWf4ZcQQ6lB15eGXhDrUu6YEPXUIl5l1SXqFpEclLXT3/VIx+ElaEGJpAGaw5lSc6QkR85Ja3aVNwofcnX9lTKqv3FPHQimoc03JGJ9EYlJm1i3paklLJA1K2izpR+5+rEr33ybpu5I+4u4nrDzu6fR/d6OkGyVpxYoV1SgFM0Sh4DrSl9HenkEd7cuqZ3BYxweHdXwgqxNDOQ1kc8rkCsoMF5TJ5TVU+p7JFZQvuAruyhdc7lLei78XCho5Vvwq9viUecXju4+uZ7LzJrph9P34qU7FGcrmCmoq7VHXwvDLyDllq9vMYpKul/Rbkl4pKSMpbWaHJX1fxcndOwKvEpHSW55TR08d6lxzMq58wTWcLygZZ+ACiszsvZL+s6TnJG2QtE1Sk6SrJP25mW2W9P+6+wvTeIykioHu6+5+e+nmg2a22N33m9liSYcm+lt3v1nSzZLU3d1NexbjFAqu7Yd6tWXfCT19oFdPH+jV80f7tb9nSNn8+NEJZsVrdmsqoXQypnQipqZkXOlETC2phOa0xBSPmWJmxe8xU8ykuJnMTPGYFI8Vf46ZFBvzAYWNeqzJP7wYe8hkkx4fey9T/EwEp3H+olmSGH4ZRadrdf9Y0o8kfVTSZncvSFJpha7XSvqkmd3h7l8LtkxECcMvERXlTySHhvOEOlRqlXSluw9OdNDM1kg6T9IZhTortmq/LGmru3+24tBdkm6Q9MnS9zvP5P4xMx3uzeiHTx3QgzsO69HnjqlnoDhqJpWI6bwFbXrZsg798sXNWtrRpMWzm9XZnlZHS1Kzm5Nqb0oqHiMV4aTmVFxH+7Jhl4GX4HSt7te7+7hNnEpDT74r6bulTxuBEQy/RFQ0JYtBbmi4oPamkItB3XD3L0x2zMxe6e4/n+ZDXCnp3ZI2mdnG0m1/oWKY+5aZfUDFwPjr03wcNLjhfEE/3HxA33jsBT3y7FEVXFo+t1m/dOFCXX72PL18eYe65rUowYdWeIla0wm9cHQg7DLwEpyu1X2Hmf2bpDvdvX+iEyYKfZjZ+jJ5mUktSfapQ31LV/TUAZMpbTdwvaR3SDquae6z6u4PavzosbJrp3PfmBkyuby+9sgL+tIDz2r/8SGtmNuiP3jtufqVly3RqoVtpxziCEzF7Oakjg/SxI+S04W6/63ihewfzOzHkr4h6fvuTn8sJtU3lFNrKqEYQzlQ55oJdZiEmZ2lYoh7h4r7yp0lqdvdd4VZF2Y2d9e/b9yrz9y9XXt7BrXunHn6b9ddrNeev4Dhk6iqcqhzdz4kiIhThjp3v1PSnWbWLOmtKo7x/6KZfV/SN9z93hrUiIjpywwznw6RcHJOHdsa4CQze0jSbEm3SXq7u+8ws+cIdAjT7mMD+ujtm/TgziO6eOksfertL9OV584Puyw0qNnNSeUKroFsXq206SJhSv9Kpcni35T0TTN7mYqbot4gifF1GKc/k2c+HSJhZE4dG5BjtMOSlklaKKlT0g6xajpCdMcTe/SxOzYrZqb//raL9c61KxgNg0DNbi4umXF8cJhQFxFT+lcys4WSfkPFoZiLJX1b0vsCrAsR1pvJ8QaASCj31LHBKiq5+3VmNlvSr0n6GzM7V1KHma1198dCLg8zSKHg+tTd2/TFnzyjy8+eq8/+5hot7WgOuyzMAJWhbgmvuUg43T51v6PifILVkm6X9Gfu/rNaFIbo6s/k1E6oQwQwpw6Tcffjkm6RdIuZLdDJ+eXL3X15uNVhJujL5PSR2zbqR1sP6p2Xr9DfvPUitl5BzVSGOkTD6VreV6i4xPKPynvUAafTN5RTZ1s67DKA0yoPv2SDVZzGkKSvuPs/lhZQAQK158UB/fat67XjUJ/+5q0X6T3rzmKxCtQUoS56TrdQysgQy9Jcuq7Kv3H32wOrDJHVl8mpJc10S9S/5lTx7YyeOkzEzF6pYm9de/FX65H0fknPh1kXGtv6Xcf0wa9uUDZf0L+875W6+rzOsEvCDFQOdT0DLHgfFVOdU3eLpJdJekpSucfOVRySCYwyOJxXa4rhl6h/5b0UB5hTh4l9WdLvu/sDkmRmV0n6iorXQ6Dqvr1+t/7ijk1aNqdFX7qhWys728IuCTNUZ3txxNXBE5mQK8FUTbXl/Sp3vzDQStAw+jM5taToqUP9a04R6nBKveVAJxU3DTez3jALQmMazhf0if+zVf/y0C5dde58feGdl2p2SzLssjCDNSXjmtua0oETQ2GXgimaaqh72MwudPctgVaDyMsXXJlcYaSxDNSzdCKmmEkD2VzYpaA+PWZm/0vSN1QcnfKbku43s0slyd0fD7M4NIa9PYP6429u1KPPHdP7rzxbf/Hm85VgQRTUgYWzmnTwOKEuKqYa6m5VMdgdkJSRZJLc3RmCglHKC07QU4coMDO1pBL01GEya0rfPz7m9itUDHmvq2k1aCjD+YK+/sjz+vTd2+SSPvsbL9evXros7LKAEYtmpbWfUBcZUw11t0h6t6RNOjmnDhin3OPRwpw6RERLKs4+dZiQu7827BrQmB577phuuv0XevZwv646d77+9lcv0fK5LWGXBYyyuKNZT+zukbuz+moETLXl/YK73xVoJWgI5cYxPXWIipZUnJ46jGJm75L0b5Nt5WNmKyUtdvcHa1sZGsGDO47ovV95TEvnNOtL7+nWtRcsoMGMunRuZ5t6BoZ1pC87snAK6tdUQ93TZvZvkr6n4vBLSWxpgPH6M4Q6REszwy8x3jxJT5jZBkkbJB2W1CTpXEmvkXRE0k3hlYeoyuTy+pNvP6mz57fqu79/hWY1sRgK6tfqRe2SpG0Hegl1ETDVUNesYpj7pYrb2NIA4wwOF4dfNjP8EhFR7KljoRSc5O6fM7PPqzhn7koVtzAYlLRV0rvd/YUw60N0fX/Tfh04MaRPvX0tgQ51rxzqtuw/rqvOmx9yNTidKbW8KzchB05lgOGXiJiWVFy9Q4Q6jObueUn3lr6Aqrh3y0EtmtWkq2kgIwLmt6V1TmerHtx5VDe+emXY5eA0Trlmrpn9pZnNPcXx15nZW6pfFqKKUIeoYaEUALVQKLge3HFEr141nzl0iIzXrOrUI88e1Yv92bBLwWmcbiOUTZK+Z2b3mdmnzezPzOyvzOyrZrZJ0v8j6dHgy0RUnFwoheGXiIaWVEIDw/TUAQjW3p5BnRjKac3yOWGXAkzZ9a9coWyuoC/+9JmwS8FpnLLl7e53SrrTzM5TcV7BYkknJH1N0o3uPhh8iYiS/pEtDeipQzQ001MHoAaePtAr6eQ8JSAKVi9q1292L9fNP31W7emEPvialUrGT9cnhDBMdU7dDkk7Aq4FDaDcOG4m1CEiWpLxkVVbgUpm9mFJX5HUK+lLkl4h6SZ3vyfUwhBJ2w8WQ92qhW0hVwK8NH9z3UXqz+b0mXu2644n9uq/XXexrjiXeaH1hqiNqhqZU5ck1CEaWlJxDQ7nVSh42KWg/rzf3U+ouPJzp6T3SfpkuCUhqva8OKh5rSm1s+olIqYpGdc/veMV+vIN3coVXO/80qP6429tVO/QcNiloQKhDlU1kM0rlYgpQdc8IqIlXRywMJSjtw7jlFezeLOkr7j7kxW3AS/JwRNDWjS7KewygDNiZrr2goW6+yOv1odeu1J3btynt33hZ9p5qDfs0lBCyxtVNZjNMZ8OkVJ+vbIBOSawwczuUTHU3W1m7ZIKIdeEiNp/fEiLZhHqEG1Nybj+9I3n66sfWKvjg8O67vM/071bDoZdFjTFUGdmZ5vZZ83sdjO7q/wVdHGInv5snqGXiJTm0uuVxVIwgQ9IuknSK919QFJKxSGYwEtGTx0ayRUr5+t7f3iVVi5o041fXa8v/Hin3JnGEKaprjv/75K+LOl74lNKnMJgNs8iKYiU8vYb5ZVbgTJ3L5hZl6R3mZlLetDd7wi5LETQ0HBex/qz9NShoSye3axvfXCd/vy7v9Cn796mbQd69am3v0xNfLgfiqmGuiF3/8dAK0FDGMjm2KMOkcLwS0zGzP5Z0rmSvlG66YNm9np3/1CIZSGCXhwobtw8ry0dciVAdTUl4/qH31yj1Yva9em7t+m5I/26+T2XafHs5rBLm3Gm2vr+nJl9XNI9kjLlG9398UCqQmQNZPPMqUOklF+vDL/EBF4j6WIvjSkys1slbQq3JETR8cHiKoGzm1n5Eo3HzPT715yrVQva9eHbntBbP/8z/a93X6ZLV8wJu7QZZaoLpVwi6XdUXMr570tfnwmqKETX4DChDtFS7lmmpw4T2CZpRcXvyyX9IqRaEGHHBwh1aHyvv3Ch7vjQlWpOxnX9zY/ouxv2hF3SjDLVnrr/JOkcd88GWQyirz+T0/I5LWGXAUxZ88jwS+bUocjMvifJJc2WtNXMHisdWivpodAKQ2TRU4eZYtXCdt35oSv1+19/XP/l20/qid0v6s/fdD77M9bAVEPdk5I6JB0KrhQ0AhZKQdQwpw4TYCQKqopQh5lkTmtK//qBtfq7HzytL//sOd275aD+6i0X6c2XLJIZW30GZaqhbqGkp83s5xo9p+6tgVSFyBpg+CUihlCHsdz9J+WfzWyhpFeWfn3M3flwEy/ZSKhrIdRhZkjGY/rLt1yot7x8iT56+yZ96N8e18uWzdYfvWGVrlnVSbgLwFRD3ccDrQINo7hQCqtfIjrKr9dBhl9iDDP7DUmflnS/JJP0T2b2p+7+nVALQ+ScGByWmdSe5vqImWXN8g597w+u1B1P7NXn7tuh933l51rZ2arfuvws/adXLNWc1lTYJTaMU767mNnnJf1b5aeWwGRy+YKyuQI9dYiUVCKmRMzoqcNEPqbixuOHJMnMOiX9SFKgoc7M3iTpc5Likr7k7p8M8vEQvOODw5rVlFQsRu8EZp5EPKZf716u69Ys1V1P7tPXHnle//U/tugT39+qy8+eq1+6cKHWrZyv8xa08f/INJzuI6Mdkv7ezBZL+qakb7j7xsCrQiQNDBcbxYQ6RE1zKk6ow0RiY4ZbHtXUV40+I2YWl/QFSW+QtEfSz83sLnffEuTjIli9Qzm1N9FLh5ktlYjp7Zct09svW6an9h3X9zft191PHdRff6/49jarKaFLz5qj1Qvbde6CNp27oE3L5rRoXmuKsDcFp3yHcffPqbhH3VmSrpf0FTNrUnEj1tvcfXsNakREDJUaxSyUgqhpSyfUn2H4Jcb5oZndrZObj/+mpO8H/JhrJe1092clycxuk3SdpMBC3b6eQXlQdz7DzW5Oqi2dYA9XYIyLlszWRUtm60/feL5eODqgn+86pvXPH9MTL/TooZ1Hlc0XRs5Nxk0L2pu0cFZac1pSam9KqL0pOfK9JRVXKhFTKh5TKhFTMh5TOnHy55gV99KLmRQzU8xMVv45VvxuGn3ORFP+imdV/D6FnFl5TkdLSm0BDsGe0j27+/OS/k7S35nZKyTdouI8O96hMGKw1FPXlOBlgWhpSyfUR6jDGO7+p2b2a5KuVHFO3c3ufkfAD7tU0u6K3/dIunyyk3t7e3X//fePum3ZsmU699xzlcvl9OCDD477m66uLnV1dSmTyejhhx/Wjff0K1sYdxqqoD0lfe61Ldp7MKPcsOuhhx7SFVdcIUnatGmTjh49Our85uZmXX558Z9748aN6unpGXW8ra1N3d3dkqT169err69v1PGOjg6tWbNGkvToo49qcHBw1PF58+bpkksukSQ99NBDymZH71S1YMECXXjhhZKkBx54QPn86BEMixcv1urVqyVp3OtOeumvvbFWrlyp5cuXa2BgQI899ti446tWrdKSJUvU29urDRs2jDt+wQUXaOHCherp6dHGjRvHHb/44os1f/58HTlyRJs3bx53fM2aNero6NDBgwe1devWcccvu+wytbe3a9++fdq+fXy/xtq1a9XS0qLdu3frmWeeGXd83bp1SqfT2rVrl3bt2jXu+FVXXaVEIqGdO3dqz57xe7xdc801kqRt27Zp//79o47F43FdffXVkqQtW7bo0KHRazqlUqm6fu1dsGCBfu1XXyZJuv8nP9WBvpz29RV0bMj14pBrKJbQoOLaf3xIv3i+VwM512BOKkToE6n//raL9aZzW6f12juVKYU6M0tKepOKvXXXSvqJpL+Zyt9i5hgaLrYK6KlD1LQS6jAJd/+upO/W8CEn+ux3VLPFzG6UdKMknX322dN+wPdclBrVMFrQuUBz585VJpvVc889O+78RQsXqaOjQ0NDQ9r1/K5xxxcvXqLZs2ZpYGBAL+x+YdzxpUuXqr2tXb19vdq7d++44yuWr1BLS4uOnzih/fv3jTvedVaXmpqa1NPTowMHD4w7fvbZ5yidSunYsWM6dHj8YqUrV65UMpHUkaNHdOTIkXHHzzvvPMVjcR08dEgvvnhs3PHzV58vSTpw4IB6jveMOhaLxbTqvFWSpNsf26lHdg9IkjJ5F5dGYGriMdOi1pgWtZ4c7b548cJxHyi4u7J5KZOXOhct0pJlKzSQGdZj6zcoV9DIl8vVuWChFixcqGx2WFu2bpV78Y21UPq+YMFCzZ03T0NDGe3YuXNcTYsWLVJHx5zi+96u58aNbli8eIlmld/3XpjofW+ZXnXOPElD1XmSJmDuk0dcM3uDpHdI+hVJj0m6TdK/u3t/YBVNoLu729evX1/Lh8QZeHJ3j677ws90y3u79brzF4ZdDjBl7/7yo+rL5HTH718ZdikznpltcPfukGvolSYckWiS3N1nBfjY6yT9tbu/sfT7R1V80L+d6Hyuj/XrH+/boc/eu107P/HLets//0wL2pt0y3tfefo/BIBJnOoaebqeur+Q9G+S/sTdx39cBVQYYvglIqotndCB48F9eoZocfdTj3EJ1s8lnWdmZ0vaq+IImXeGWA/OULnL1SUNZvOMYgEQqNMtlPLaWhWC6CvPqUsnuXAhWlpZKAV1wt1zZvYHku5Wcd76Le7+VMhlYZoGs3k1c20EECDW10XVlOfUNSUDXfEbqLq2dEK9hDrUCXf/voJfZRMBK696517c8ofVLwEEidY3qiaTK21pwKeRiJj2pmJP3anmGAPAmaKnDkDQQgt1ZhY3syfM7D/CqgHVNTKnjgsXIqY1nVDBTw4hBoDpslJXXcFdmVyBOXUAAhVmT92HJY3fiAGRNZgl1CGaypuB9g0xBBNAdQ2Uro0MvwQQpFBCnZktU3GbhC+F8fgIxlCOOXWIpvamYqhjXh2AahvIFt9XGH4JIEhhtb7/QdKfSSpMdoKZ3Whm681s/eHDh2tWGM4cWxogqlpTxVDHCpgAqqW8UEp5FEtzirXpAASn5qHOzN4i6ZC7bzjVee5+s7t3u3t3Z2dnjarDdAwNF5RKxBSL2elPBupIWxPDLwFUl5V2qusfmZrAKBYAwQnjHeZKSW81s12SbpP0OjP7Wgh1oMqGhvNqSnDRQvSMzKmjpw5AlWVLUxPSjGIBEKCat8Dd/aPuvszduyRdL+n/uvu7al0Hqm9oOM8iKYgkQh2AaisPvyyHuhQfegIIEO8wqBpCHaJqZPgloQ5AlZX3cE3FaXIBCE6os3bd/X5J94dZA6pnaLjA6l6IJHrqAFRbeXb5yZ465psDCA4fG6FqBofzTARHJKUTMSVixkIpAKpmZPhlvhTq4nzoCSA4tMBRNUPDeaXpqUMEmZnamhJsaQCg6jLDzKkDEDzeYVA1Q7kCc+oQWa2pBJuPA6ia8pYGmTyhDkDweIdB1WTY0gAR1t6UYPglgKopD7/MDBcXSknGmVMHIDi0wFE1g8N5NafoqUM0taUT6s8S6gBUV5aeOgA1wDsMqqa4+TihDtHUmk6ol546AFU2svk4C6UACBChDlUzNFxg9UtE1qzmJKEOQNVl2HwcQA3wDoOqYfNxRNmspoRODA6HXQaABmGlSXXlnjrm1AEIEqEOVVEouDKsfokIm92c1PHBYbl72KUAaACVm4/HTErEaXIBCA7vMKiK8vASQh2ialZzUrmCa7C0Uh0AVEMml2foJYDA8S6DqhgqNYSZU4eomt2clCQdZwgmgCoob2mQzRWUpJcOQMB4l0FVDOXKoY6eOkTTrKZiqDsxyGIpAKZvZPhlvqA0PXUAAsa7DKpiaLg8/JKXFKKJnjoAQcgMF5Sipw5AwHiXQVUMZos9dc301CGiZjUnJBHqAFTHyOqX+QJz6gAEjncZVEV5+GWaUIeIKvfUsa0BgGooz6nLDDOnDkDweJdBVYwslJIg1CGaynPq6KkDUE0ZeuoA1ADvMqiKDHPqEHGzyj11Q4Q6ANNXuU8dPXUAgsa7DKri5JYG9NQhmuIxU3s6QU8dgKrK5QtKxu30JwLANBDqUBXlzccZYoIom9WcZEsDANVRmlSXL7hiRqgDECxa4KiKbDnUMcQEETarOUlPHYCqKMe4XMGVoKcOQMBogaMqMvliqGODVUTZrKYEc+oAVBU9dQBqgRY4qiLL8Es0gNnNSbY0AFAV5RyXKxSUiBHqAASLFjiqglCHRjCLUIeAmdmnzexpM/uFmd1hZh0Vxz5qZjvNbJuZvTHEMlEFVhqAmcu74oQ6AAGjBY6qYE4dGsFs5tQhePdKutjdXyZpu6SPSpKZXSjpekkXSXqTpH82M5YTbgC5AqEOQPBogaMqsvm8YiYlCHWIsFlNSfVn8xouzREFqs3d73H38hKrj0haVvr5Okm3uXvG3Z+TtFPS2jBqRHWUh1/mCXUAaoAWOKoimysw9BKRN6e1uAF5zwC9daiJ90v6QennpZJ2VxzbU7oNEZcrFBSPcX0EEKxE2AWgMQznnaGXiLw5LSlJ0osDWXW2p0OuBlFlZj+StGiCQx9z9ztL53xMUk7S18t/NsH5Psn93yjpRklasWLFtOtFMMr/oPmCix0NAASNUIeqyOQKSiWY/oFom9daDHXH+rMhV4Ioc/fXn+q4md0g6S2SrnX3cnDbI2l5xWnLJO2b5P5vlnSzJHV3d08Y/BC+k6tfOj11AALHuwyqIpsrsEcdIm9OKdS9SKhDQMzsTZL+XNJb3X2g4tBdkq43s7SZnS3pPEmPhVEjqstdYiALgKDRU4eqyOaZU4fom1vuqRsg1CEwn5eUlnSvFbtyHnH333X3p8zsW5K2qDgs80Pung+xTkyTVYyopacOQNAIdaiKbC7PnDpEXkdLcaEUeuoQFHc/9xTHPiHpEzUsB0GqmEfH5RFA0HibQVWw+iUaQToRV1s6oWP9rH4JoHoS9NQBCBjvMqgKhl+iUcxpTepFhl8CmKbKBS9jxvKXAIJFKxxVkc0VGH6JhjC3Nc3qlwCqKsGeBgACRiscVcHwSzSKuS1JQh2AabOK3jl66gAEjVY4qiJDqEODmNOaItQBmLbKGJeIEeoABItWOKqCOXVoFHNbUsypA1BVMUIdgIDRCkdVZHMFpZlThwYwpzWlgWxeQ8NsEQbgzFWOuKSnDkDQaIWjKphTh0ZR3oCc3joA02Gj9qkj1AEIFq1wVAXDL9Eo5rQUQx3z6gBUC6EOQNBohaMq2NIAjWJeWzHUHe0j1AE4c1axVEqc1S8BBIxWOKqC4ZdoFJ1taUnS4d5MyJUAiDKGXwKoJVrhmLZCwZUrOKEODaGzvRTq+gh1AKqDUAcgaLTCMW3ZfEGSCHVoCK3phFpTcXrqAFQNoQ5A0GiFY9oyuVKoY04dGkRne1qHCHUAqoRQByBotMIxbdlSqEvTU4cGsaC9SYd7h8IuA0CEWcWkOkIdgKDRCse0MfwSjYaeOgDTVRnjWP0SQNBohWPayj11hDo0is72NHPqAFRNIk6oAxAsWuGYtpFQF4+HXAlQHZ3tafUO5TQ0nA+7FAARVdk5F6OnDkDACHWYNnrq0GhGtjWgtw7AGarcfDzBnDoAAat5K9zMlpvZj81sq5k9ZWYfrnUNqK5svtibQahDo1hQCnWHWCwFQBXECHUAApYI4TFzkv6Luz9uZu2SNpjZve6+JYRaUAVsaYBGQ08dgOmqHHFJTx2AoNW8Fe7u+9398dLPvZK2Slpa6zpQPQy/RKPpHOmpI9QBmD566gAELdRWuJl1SXqFpEfDrAPTwz51aDTzWtOKx0wHTzD8EsCZqYxx9NQBCFporXAza5P0XUkfcfcTExy/0czWm9n6w4cP175ATBn71KHRxGOmRbOatL+HUAfgzFQOv2SfOgBBC6UVbmZJFQPd19399onOcfeb3b3b3bs7OztrWyBekixz6tCAlnQ0aW/PYNhlAGgAcXrqAAQsjNUvTdKXJW1198/W+vFRfcypQyNa0tGsfccJdQDO1MkgR6gDELQwWuFXSnq3pNeZ2cbS15tDqANVwvBLNKLFs5t14PiQCgUPuxQAETRq+CWhDkDAar6lgbs/qNHzhxFx9NShES3taNJw3nWkL6MFs5rCLgdAhBHqAASNVjimjX3q0IiWdDRLEvPqAJyRyhhHqAMQNFrhmDYWSkEjKoe6fayACWCaCHUAgkYrHNOWzReUjBubq6KhLJldDHX7WSwFwBmwikl17FMHIGiEOkxbNleglw4NZ1ZzQq2pOMMvAZyRyhgXY586AAGjJY5py+YKLJKChmNmWtLRrL0vEupQfWb2J2bmZja/4raPmtlOM9tmZm8Msz5UVyLGNRJAsGq++iUaD6EOjWr53BbtJtShysxsuaQ3SHqh4rYLJV0v6SJJSyT9yMxWuXs+nCoxXZWdc2Q6AEHjbQbTls0T6tCYzprXoueP9sudvepQVf9D0p9JqnxhXSfpNnfPuPtzknZKWhtGcaiOylBHTx2AoPEug2ljTh0aVde8Vg1k8zrcmwm7FDQIM3urpL3u/uSYQ0sl7a74fU/pNjQAMh2AoDH8EtOWyRWUSsTDLgOouq75rZKkXUcH2IAcU2ZmP5K0aIJDH5P0F5J+aaI/m+C2CbuIzexGSTdK0ooVK86wSgTNVLn6JakOQLAIdZg2hl+iUXXNa5Ek7Trar7Vnzw25GkSFu79+otvN7BJJZ0t6srTc/TJJj5vZWhV75pZXnL5M0r5J7v9mSTdLUnd3N2OD61VFTI+z+iWAgNESx7Rlc3mlGX6JBrS0o1mJmOn5o/1hl4IG4O6b3H2Bu3e5e5eKQe5Sdz8g6S5J15tZ2szOlnSepMdCLBdVFI8T6gAEi546TFs2V1BLipcSGk8iHtOyOc3adXQg7FLQ4Nz9KTP7lqQtknKSPsTKl9FWGePoqQMQNFrimLZsvqAOhl+iQZ01r1W7jtBTh+or9dZV/v4JSZ8IpxoEKR4j1AEIFi1xTBurX6KRdc1r0a4jbGsA4KWxit45Qh2AoNESx7Sx+Tga2XkL29WfzWvf8aGwSwEQIZUxjkwHIGi0xDFthDo0stWL2iVJ2w/0hlwJgKgy5tQBCBgtcUwbWxqgka1aUAx12w4S6gBMHTkOQC3REse0ZZhThwY2uyWpRbOa6KkD8JLYhPvJA0AwaIlj2rK5gtL01KGBrVrUru2HCHUAAKA+0RLHtLg7wy/R8FYvbNOOg33KF1gBE8DUMPwSQC3REse05AoudzH8Eg1t1cJ2ZXIF7TrKfnUAAKD+0BLHtGRzBUmipw4N7aIlsyVJm/ceD7kSAFFBRx2AWqIljmkh1GEmWLWwTU3JmJ7cTagDMEWkOgA1REsc05LNE+rQ+BLxmC5eMltP7ukJuxQAAIBxaIljWkZ66phThwb3smUdemrfcQ2XPsgAgFNhSwMAtURLHNOSYfglZoiXL5+toeGCtrMJOYApYPVLALVESxzTUu6pY586NLo1yzskSRt394RaBwAAwFi0xDEtzKnDTLFibosWtKf1yLPHwi4FQATQUQeglmiJY1pOzqmLh1wJECwz07qV8/TIs0flzibkAACgfhDqMC1saYCZZN0583S4N6NnDrMJOYBTMybVAaghWuKYlmw+L4lQh5lh3cp5kqSHnz0aciUA6h2ZDkAt0RLHtLClAWaSFXNbtLSjWQ/uOBx2KQAAACNoiWNa2NIAM4mZ6bXnd+qBHUc0NJwPuxwAdYyOOgC1REsc08KWBphp3nDhIg1k83romSNhlwKgjjH8EkAt0RLHtLClAWaaV50zV23phO7dcjDsUgAAACQR6jBNzKnDTJNOxPWa1Z2656mDGi59qAEA4xW76mL02AGoAVrimBa2NMBM9LY1S3W0P6ufbGPBFAATKw+/jJPqANQALXFMC6EOM9E1qzs1vy2lb2/YHXYpAOpcjMl1AGqAljimJZsvyExK8EkkZpBkPKa3rVmq+7Ye0uHeTNjlAKhD5asioQ5ALRDqMC3ZXEGpeEzGRQszzDsuX6G8u7768K6wSwFQxxh+CaAWCHWYlkyuwNBLzEgrO9v0hgsW6taHn1d/Jhd2OQDqTPnDTjIdgFqgNY5pyeYL7FGHGeuDr1mp44PD+tojz4ddCoA6U85y9NQBqAVa45iW8vBLYCa67Kw5umZ1pz7/45062sfcOgDjEeoA1AKtcUxLluGXmOH+8lcu0EA2r8/csz3sUgDUkfJUc+acA6gFWuOYFkIdZrpzF7TrfVd06RuPvaCfbGffOgBFVhqAyerQAGqB1jimJZsn1AF/8sbVWrWwTX/y7Sd14PhQ2OWgzpnZH5rZNjN7ysw+VXH7R81sZ+nYG8OsEdXD8EsAtUBrHNOSyeXVlIiHXQYQqqZkXP/4jldoIJPTB279OathYlJm9lpJ10l6mbtfJOkzpdsvlHS9pIskvUnSP5sZb64RVh51SU8dgFog1GFaMsMFpZO8jIDzF83S53/rUj19oFfv/vKj6hnIhl0S6tPvSfqku2ckyd0PlW6/TtJt7p5x9+ck7ZS0NqQaUUUxQh2AGqA1jmnJ5ApK01MHSJJeu3qBvvDOV2jz3hN6+xcf1rYDvWGXhPqzStLVZvaomf3EzF5Zun2ppN0V5+0p3YaIo6cOQC0Q6jAtmVxeTfTUASPedPFi3fr+teoZGNZbP/+gvvDjnRoazoddFmrIzH5kZpsn+LpOUkLSHEmvkvSnkr5lxeURJ2r5+yT3f6OZrTez9YcPszhPvYvHuEYCCB7vNJgWeuqA8datnKcffPhqXbO6U5++e5te+5n79cWfPKMX+xmSORO4++vd/eIJvu5UsQfudi96TFJB0vzS7csr7maZpH2T3P/N7t7t7t2dnZ1B/+fgDBW8mMnZyhVALYTyVmNmbyqt7rXTzG4KowZUR2a4oDSrXwLjdLan9b/e3a1v/M6rdNa8Fn3yB09r7f/3I737y4/q1od2afPe4xrOF8IuE7X375JeJ0lmtkpSStIRSXdJut7M0mZ2tqTzJD0WVpGYvnyhFOrYpw5ADSRq/YCl1by+IOkNKn4y+XMzu8vdt9S6FkzfUC7PlgbAKaxbOU/rVq7T0wdO6I7H9+qeLQf18buekiQ1JWM6d0GbzprbqrPmtWjx7CbNaU1pbumrvSmp5mRcTcmYmhJxFlxoDLdIusXMNkvKSrrB3V3SU2b2LUlbJOUkfcjdGbcbYeVNxyccQwsAVVbzUKfial473f1ZSTKz21Rc9WvSUNfb26v7779/1G3Lli3Tueeeq1wupwcffHDc33R1damrq0uZTEZ/+62f6oE9o5cYb2pqUiqdUiFfUG9f37i/b25qUjKVUiGfV19///jjzc1KJJPK53LqHxiY8HgykdDwcE6Dg4Pjj7e0KJGIK5sd1tDQ+H2tWlpaFI/Hlc1mNZTJjDve2tqiWCymTCarbHb8kK7W1laZ2eTH21plMmUyGWWHh8cdb2trkyQNDQ0plxu/PHtra6skqWdgWC8e3Kf77z8yciyVSumKK66QJG3atElHjx4d/d/e3KzLL79ckrRx40b19PSMe+zu7m5J0vr169U35t+no6NDa9askSQ9+uij457fefPm6ZJLLpEkPfTQQ+P++xcsWKALL7xQkvTAAw8onx/dblq8eLFWr14tSeNed9JLe+09/PDD446vXLlSy5cv18DAgB57bPwH8atWrdKSJUvU29urDRs2jDt+wQUXaOHCherp6dHGjRvHHb/44os1f/58HTlyRJs3bx53fM2aNero6NDBgwe1devWcccvu+wytbe3a9++fdq+ffu442vXrlVLS4t2796tZ555ZtzxdevWKZ1Oa9euXdq1a9e441dddZUSiYR27typPXv2jDt+zTXXSJK2bdum/fv3jzoWj8d19dVXS5K2bNmiQ4cOjTpe76+967oW6KZffo32vDiob9z7iHYeG9b+/n6tf6ZXP9zsyp+m9ZeMm5qTcSXiMeVzw4pJitnJr1QqqaZUSmbS0MCAxnYQpNNppVJJFQoFDfSPft8ySemmJqVSSeXzeQ1UvK+V76b8vlfI59TfP/5418IOffn966b12mt07p6V9K5Jjn1C0idqWxGCEmNLAwA1FEaom2iFr8vHnmRmN0q6UZLOPvvsaT1gIiY1J0a/qTanYmpKJ1TI55UfsnFT1FvTcaWbksrnTIWsjZvB3tYUVyqVVC4n2fAEx5sTSqVSymalRH78/c9qTSqZSCiTlPo8M+7vZ7ellEgkNDTk6tf4UDanLa14PK7BpKu/f3jc38+ZlVY8FtPAgGtwcHxomzu7SWYx9fcXNDQ0PrTNn9MsSerryyszNDr0mJnmzW2RJM1L5dW9iCFkwFSZmZbPbdG6JUmtXXiylztfcLXMWaC5i1foWH9WD/z8CQ3lXNm8lM27sgUp3dKu5rZZyuby2rtvvwqu4pdc7lJzS5Oamls0nMvrSGF04HRJra0JNTc1KZfPqyc3OOqYJLW2JNSUTmk4l1N8eHwvQ1tTXMlUUrlhybOmsSc0s2gSMOKiJbP13iu69IGrpteGAYCpMPfaDgwws1+X9EZ3/+3S7++WtNbd/3Cyv+nu7vb169fXqkQAQEjMbIO7d4ddR1RwfQSAmeNU18gwPlad8gpfAAAAAIBTCyPU/VzSeWZ2tpmlJF2v4qpfAAAAAICXqOZz6tw9Z2Z/IOluSXFJt7j7U7WuAwAAAAAaQRgLpcjdvy/p+2E8NgAAAAA0EpYqAwAAAIAII9QBAAAAQIQR6gAAAAAgwgh1AAAAABBhhDoAAAAAiDBCHQAAAABEGKEOAAAAACKMUAcAAAAAEUaoAwAAAIAIM3cPu4bTMrPDkp6f5t3Ml3SkCuXUQpRqlaJVL7UGI0q1StGqd6bVepa7d1ajmJmgStdHaea9zmqFWoMTpXqpNRhRqlUK+BoZiVBXDWa23t27w65jKqJUqxSteqk1GFGqVYpWvdSKWojSvx21BiNKtUrRqpdagxGlWqXg62X4JQAAAABEGKEOAAAAACJsJoW6m8Mu4CWIUq1StOql1mBEqVYpWvVSK2ohSv921BqMKNUqRateag1GlGqVAq53xsypAwAAAIBGNJN66gAAAACg4TRcqDOzN5nZNjPbaWY3TXDczOwfS8d/YWaXhlTncjP7sZltNbOnzOzDE5xzjZkdN7ONpa+/CqPWinp2mdmmUi3rJzheL8/t6ornbKOZnTCzj4w5J7Tn1sxuMbNDZra54ra5Znavme0ofZ8zyd+e8vVdo1o/bWZPl/6N7zCzjkn+9pSvlxrW+9dmtrfi3/rNk/xtPTy336yoc5eZbZzkb2v63E72flWvr1tMLCrXx1ItkbpGcn2sao1cI2tXK9fH6ddaP9dHd2+YL0lxSc9IOkdSStKTki4cc86bJf1Akkl6laRHQ6p1saRLSz+3S9o+Qa3XSPqPsJ/Xinp2SZp/iuN18dxO8Jo4oOK+HnXx3Ep6taRLJW2uuO1Tkm4q/XyTpL+b5L/llK/vGtX6S5ISpZ//bqJap/J6qWG9fy3pT6bwOgn9uR1z/O8l/VU9PLeTvV/V6+uWrwn/DSNzfSzVEqlrJNfHqtbFNbJ2tXJ9nH6tdXN9bLSeurWSdrr7s+6elXSbpOvGnHOdpH/1okckdZjZ4loX6u773f3x0s+9krZKWlrrOqqsLp7bMa6V9Iy7V2Nz3qpw959KOjbm5usk3Vr6+VZJb5vgT6fy+q6qiWp193vcPVf69RFJy4Ks4aWY5Lmdirp4bsvMzCT9hqRvBFnDVJ3i/aouX7eYUGSuj1JDXiPr5rmtUHfXR4lrZFC4Pgajnq6PjRbqlkraXfH7Ho2/CEzlnJoysy5Jr5D06ASH15nZk2b2AzO7qLaVjeOS7jGzDWZ24wTH6+65lXS9Jv8fv56e24Xuvl8qvkFIWjDBOfX4/L5fxU+fJ3K610st/UFpKMwtkwyBqLfn9mpJB919xyTHQ3tux7xfRfV1OxNF8vooReYayfUxWFF9r4nCNZLrY5WEfX1stFBnE9w2dnnPqZxTM2bWJum7kj7i7ifGHH5cxWERL5f0T5L+vcbljXWlu18q6ZclfcjMXj3meL09tylJb5X07QkO19tzOxX19vx+TFJO0tcnOeV0r5da+Z+SVkpaI2m/isM2xqqr51bSO3TqTyFDeW5P83416Z9NcBvLLtde5K6PUqSukVwfw1dvz3EUrpFcH6ukHq6PjRbq9khaXvH7Mkn7zuCcmjCzpIovgK+7++1jj7v7CXfvK/38fUlJM5tf4zIr69lX+n5I0h0qdhtXqpvntuSXJT3u7gfHHqi351bSwfJQnNL3QxOcUzfPr5ndIOktkn7LSwPDx5rC66Um3P2gu+fdvSDpf09SRz09twlJvyrpm5OdE8ZzO8n7VaRetzNcpK6PUrSukVwfAxep95qoXCO5Platrrq4PjZaqPu5pPPM7OzSp1DXS7przDl3SXqPFb1K0vFy92gtlcYEf1nSVnf/7CTnLCqdJzNbq+K/19HaVTmqllYzay//rOJE4M1jTquL57bCpJ/m1NNzW3KXpBtKP98g6c4JzpnK6ztwZvYmSX8u6a3uPjDJOVN5vdTEmHkr/2mSOuriuS15vaSn3X3PRAfDeG5P8X4VmdctonN9lKJ1jeT6WBORea+J0jWS6+P01dX10Wu0OkytvlRcYWq7iqvJfKx02+9K+t3SzybpC6XjmyR1h1TnVSp2sf5C0sbS15vH1PoHkp5ScTWcRyRdEeLzek6pjidLNdXtc1uqpUXFi9Dsitvq4rlV8UK6X9Kwip/SfEDSPEn3SdpR+j63dO4SSd8/1es7hFp3qjgGvPy6/eLYWid7vYRU71dLr8dfqPhmubhen9vS7f9Sfp1WnBvqc3uK96u6fN3yNem/YySuj6VaInONnOz/yTp+buv2+lh6fK6RtauV6+P0a62b66OV7hAAAAAAEEGNNvwSAAAAAGYUQh0AAAAARBihDgAAAAAijFAHAAAAABFGqAMAAACACCPUAQAAAECEEeoAAABQNWY2z8w2lr4OmNne0s99ZvbPAT3mR8zsPVW4n9vM7Lxq1ATUEvvUAQAAIBBm9teS+tz9MwE+RkLS45IudffcNO/rNZLe5e6/U5XigBqhpw4AAACBM7NrzOw/Sj//tZndamb3mNkuM/tVM/uUmW0ysx+aWbJ03mVm9hMz22Bmd5vZ4gnu+nWSHi8HOjO738z+h5n91My2mtkrzex2M9thZv+9dE6rmf0fM3vSzDab2W+W7usBSa8vBUUgMgh1AAAACMNKSb8i6TpJX5P0Y3e/RNKgpF8pBbt/kvR2d79M0i2SPjHB/VwpacOY27Lu/mpJX5R0p6QPSbpY0nvNbJ6kN0na5+4vd/eLJf1Qkty9IGmnpJdX9b8UCBihDgAAAGH4gbsPS9okKa5SsCr93iVptYpB7F4z2yjpLyUtm+B+Fks6POa2uyru6yl33+/uGUnPSlpeuv31ZvZ3Zna1ux+v+NtDkpZM878NqCm6lgEAABCGjFTsHTOzYT+50ENBxTaqqRjI1p3mfgYlNU1036X7ylTcXpCUcPftZnaZpDdL+lszu8fd/2vpnKbSfQKRQU8dAAAA6tE2SZ1mtk6SzCxpZhdNcN5WSee+lDs2syWSBtz9a5I+I+nSisOrJD11ZiUD4aCnDgAAAHXH3bNm9nZJ/2hms1Vst/6DxgeuH0j66ku8+0skfdrMCpKGJf2eJJnZQkmD7r5/OrUDtcaWBgAAAIg0M7tD0p+5+45p3s8fSTrh7l+uTmVAbTD8EgAAAFF3k4oLpkxXj6Rbq3A/QE3RUwcAAAAAEUZPHQAAAABEGKEOAAAAACKMUAcAAAAAEUaoAwAAAIAII9QBAAAAQIT9/6DrfAXWlKyqAAAAAElFTkSuQmCC\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig, ax1, ax2 = create_plot('Vm (mV)', av1=[0, 10], av2=[0])\n", + "ax1.plot(d.time(), d['amp.Vm'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "f867f790", + "metadata": {}, + "source": [ + "At this point, we might want to slightly under-correct the signal:" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "id": "cc768289", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "s.reset()\n", + "s.set_constant('amp.Cm_est', 24)\n", + "s.pre(5)\n", + "d = s.run(20, log_interval=1e-3) \n", + "\n", + "fig, ax1, ax2 = create_plot('Vm (mV)', av1=[0, 10], av2=[0])\n", + "ax1.plot(d.time(), d['amp.Vm'])\n", + "ax2.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] }, { "cell_type": "markdown", "id": "90a15712", "metadata": {}, + "source": [ + "## Series resistance correction\n", + "\n", + "Having set $C_m^*$, we move on to series resistance correction." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "832083d1", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "d9cb0f74", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "96e0dbc4", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "5551ef2f", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "30dd5a84", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "abf82a39", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "28c3f611", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "a3aa0c99", + "metadata": {}, + "outputs": [], "source": [] }, { From e65816891ec1f309111691a82a51ba0e342ba16d Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 23 Jan 2024 23:24:43 +0000 Subject: [PATCH 34/77] Fixed equations in some examples --- artefacts/appendix-M-compensated-models.ipynb | 54 +++++++++---------- .../artefacts-1-modelling-patch-clamp.ipynb | 6 +-- artefacts/artefacts-2-compensation.ipynb | 8 +-- artefacts/artefacts-3-simulations.ipynb | 16 +++--- 4 files changed, 42 insertions(+), 42 deletions(-) diff --git a/artefacts/appendix-M-compensated-models.ipynb b/artefacts/appendix-M-compensated-models.ipynb index d830213..d839d5e 100644 --- a/artefacts/appendix-M-compensated-models.ipynb +++ b/artefacts/appendix-M-compensated-models.ipynb @@ -120,11 +120,11 @@ "alpha = 0.7\n", "beta = 0.7\n", "Rs = 15e-3 [GOhm] in [GOhm]\n", - "Rs_est = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 14e-3 [GOhm] in [GOhm]\n", "Cm = 25 [pF] in [pF]\n", - "Cm_est = 25 [pF] in [pF]\n", + "Cm_est = 24 [pF] in [pF]\n", "Cp = 5 [pF] in [pF]\n", - "Cp_est = 5 [pF] in [pF]\n", + "Cp_est = 4.9 [pF] in [pF]\n", "Rf = 0.5 [GOhm] in [GOhm]\n", "Cf = 0.15 [pF] in [pF]\n", "tau_amp = 20e-6 [ms] in [ms]\n", @@ -136,7 +136,7 @@ "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Eq 1\n", " in [mV]\n", "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", - " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", + " Cf * dot(Vo) + Cm_est * dot(Ve) + Cp_est * dot(Vr)\n", " ) / (Cp + Cf) : Eq 2a\n", " in [mV]\n", "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", @@ -226,7 +226,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -240,7 +240,7 @@ "name": "stdout", "output_type": "stream", "text": [ - "Final Vm: 17.391283869307433\n" + "Final Vm: 17.39128490597036\n" ] } ], @@ -285,9 +285,9 @@ "name": "stdout", "output_type": "stream", "text": [ - "Final Vm: 17.391283292783555\n", - "Final Vm: 18.348481806247516\n", - "Final Vm: 19.417312486256662\n" + "Final Vm: 17.391284289676793\n", + "Final Vm: 18.281499797729328\n", + "Final Vm: 19.267789850754454\n" ] } ], @@ -319,7 +319,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -348,9 +348,9 @@ "name": "stdout", "output_type": "stream", "text": [ - "Final Vm: 17.3912832927908\n", - "Final Vm: 18.348623869204296\n", - "Final Vm: 19.41745677956826\n" + "Final Vm: 17.391284289675845\n", + "Final Vm: 18.281535649949276\n", + "Final Vm: 19.267820632864428\n" ] } ], @@ -385,7 +385,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -450,11 +450,11 @@ "alpha = 0.7\n", "beta = 0.7\n", "Rs = 15e-3 [GOhm] in [GOhm]\n", - "Rs_est = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 14e-3 [GOhm] in [GOhm]\n", "Cm = 25 [pF] in [pF]\n", - "Cm_est = 25 [pF] in [pF]\n", + "Cm_est = 24 [pF] in [pF]\n", "Cp = 5 [pF] in [pF]\n", - "Cp_est = 5 [pF] in [pF]\n", + "Cp_est = 4.9 [pF] in [pF]\n", "Rf = 0.5 [GOhm] in [GOhm]\n", "Cf = 0.15 [pF] in [pF]\n", "tau_amp = 20e-6 [ms] in [ms]\n", @@ -492,9 +492,9 @@ "name": "stdout", "output_type": "stream", "text": [ - "Final Vm: 17.391284629011796\n", - "Final Vm: 18.348623814025718\n", - "Final Vm: 19.41745563458149\n" + "Final Vm: 17.391284603331233\n", + "Final Vm: 18.281535669170975\n", + "Final Vm: 19.26782029658473\n" ] } ], @@ -532,7 +532,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -583,11 +583,11 @@ "alpha = 0.7\n", "beta = 0.7\n", "Rs = 15e-3 [GOhm] in [GOhm]\n", - "Rs_est = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 14e-3 [GOhm] in [GOhm]\n", "Cm = 25 [pF] in [pF]\n", - "Cm_est = 25 [pF] in [pF]\n", + "Cm_est = 24 [pF] in [pF]\n", "Cp = 5 [pF] in [pF]\n", - "Cp_est = 5 [pF] in [pF]\n", + "Cp_est = 4.9 [pF] in [pF]\n", "Rf = 0.5 [GOhm] in [GOhm]\n", "Cf = 0.15 [pF] in [pF]\n", "tau_amp = 20e-6 [ms] in [ms]\n", @@ -630,7 +630,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -667,7 +667,7 @@ "id": "0cb8af78", "metadata": {}, "source": [ - "Most traces look the same, but $I_\\text{obs}$ differs a bit between A and models B and C." + "Most traces look the same, but $V_o$ differs a bit between model A and model B, while $I_\\text{obs}$ differs a bit between model A and models B and C." ] }, { @@ -678,7 +678,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index d2c1807..bafa043 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -135,7 +135,7 @@ "source": [ "\n", "\n", - "_**Figure 3**: A difference amplifier buffers $V_{out}$._" + "_**Figure 3**: A difference amplifier buffers $V_\\text{out}$._" ] }, { @@ -231,8 +231,8 @@ "source": [ "\n", "\n", - "_**Figure 5**: The pipette, or lumped parasitic capacitance.\n", - "We have renamed $V_-$ to $V_p$, as we will use $V_p$ and $C_p$ together in the equations._" + "_**Figure 5**: The pipette, or lumped parasitic capacitance._\n", + "_We have renamed_ $V_-$ _to_ $V_p$_, as we will use_ $V_p$ _and- $C_p$ _together in the equations._" ] }, { diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 5b9653b..a44d538 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -40,7 +40,7 @@ "source": [ "\n", "\n", - "_**Figure 1**: The voltage offset has been corrected until only a small error $E_\\text{off}^\\dagger$ remains._" + "_**Figure 1**: The voltage offset has been corrected until only a small error_ $E_\\text{off}^\\dagger$ _remains._" ] }, { @@ -96,7 +96,7 @@ "source": [ "\n", "\n", - "_**Figure 2**: Fast capacitance correction aims to charge $C_p$ without affecting the current through the cell._" + "_**Figure 2**: Fast capacitance correction aims to charge_ $C_p$ _without affecting the current through the cell._" ] }, { @@ -707,7 +707,7 @@ "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", - " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", + " Cf * dot(Vo) + Cm_est * dot(Ve) + Cp_est * dot(Vr)\n", " ) / (Cp + Cf)\n", " in [mV]\n", "dot(Vo) = (Vr - Vp) / tau_amp\n", @@ -756,7 +756,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] diff --git a/artefacts/artefacts-3-simulations.ipynb b/artefacts/artefacts-3-simulations.ipynb index 98cadbf..6336f75 100644 --- a/artefacts/artefacts-3-simulations.ipynb +++ b/artefacts/artefacts-3-simulations.ipynb @@ -647,7 +647,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -692,7 +692,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA3UAAAF3CAYAAAACWWICAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAACUA0lEQVR4nO39eXib1Z03/r8/kiVZltc4ceLsIYQEAoQlUKDsgS6UQkvLQFu+Q5cZZuahTKftzDNlOt92nt88/U5noPvGUlooW6EFWihLCSkQwhLIRhZC9j2O7STebUmWdH5/yOfO0a1biy1L8u28X9eVK7bWo1uydN76nEWUUiAiIiIiIiJ38pS7AURERERERDRyDHVEREREREQuxlBHRERERETkYgx1RERERERELsZQR0RERERE5GIMdURERERERC7GUEdERFRiIvJVEdkkIhtF5FERqRSRCSKyVES2Df3fUO52EhGROzDUERERlZCITAPwjwAWK6VOBeAFcCOAbwBYppSaB2DZ0O9EREQ5MdQRERGVXgWAoIhUAKgCcBDAtQAeGDr/AQCfKE/TiIjIbRjqiIiISkgpdQDAnQD2AmgB0KWUehHAZKVUy9BlWgA0la+VRETkJhXlbkA+Jk6cqGbPnl3uZhARUZGtXr36sFJqUrnbUUxDc+WuBTAHQCeA34nITcO4/i0AbgGAUCh09oIFC4rRTCIiGmOyfUa6ItTNnj0bq1atKncziIioyERkT7nbUAJXANillGoHABF5EsAFAFpFpFkp1SIizQDanK6slLoHwD0AsHjxYsXPRyKi40O2z0gOvyQiIiqtvQDOE5EqEREASwBsBvA0gJuHLnMzgD+WqX1EROQyrqjUERERjRdKqZUi8nsAawDEAKxFsvJWDeBxEfkSksHv+vK1koiI3IShjoiIqMSUUt8G8G3byREkq3ZERETDwuGXRERERERELsZQR0RERERE5GIMdURERERERC7GUEdERERERORiDHVEREREREQuxlBHRERERETkYgx1RERERERELsZQR0RERERE5GIMdTQmdHd3o7+/v9zNICIiIiJyHYY6GhN+8IMf4Gc/+1m5mwEA6O/vx/79+8vdDCIiIiKivDDUUdlFo1EAGDOVuscffxz33Xcf+vr6yt0UIiIiIqKcGOqo7Lq7u62flVJlbEnSnj17AADt7e1lbgkRERERUW4MdVR2XV1d1s+xWKyMLUnV0dFR7iYQEREREeXEUEdl19nZaf08ODhYvoYAiMfj1s9m2CQiIiIiGqsY6qjsjhw5Yv2s59eVy8DAgPVzrjl1Bw4cwEsvvTQmhowWW2trK8LhcLmbQUREREQOGOqorOLxOHbs2GH9XsxKnVIKr7/+Og4dOpTxMuZiLWbAc/LrX/8ar7/+Onp7e0etjaOlvb0dv/jFL3D48OGCb6uvrw933XUXHn300VFoGRERERGNNoY6Kqv3338fbW1tWLhwIYDihrru7m689NJLePDBBzNexgx1uVbj1EM1zYVeyiUej2PPnj1IJBIAgNWrV6OtrQ3vv/9+wbetF4zZu3dvwbdFRERERKOPoY7KSleSFi1aBKC4wy91+MoW1vR59fX1eW+xEIlECm9cgdatW4f7778f7733HoDR3SbCHIaqQyMRERERjR0MdVRWXV1dqK6uRjAYBFDcSl0+4UuHoIkTJ+a9T515uxs3bsQTTzxR8vlnelEXXVXTj2M09tozh5f29PQUfHtERERENLoY6qikXn31VTz44IPW4iJdXV2oq6uDz+cDUP5QpytculKXaREUc+sFfbt9fX144oknsHHjRmzatGkUWpw/3QYdJosV6uxVO1buiIiIiMqPoY5K6pVXXsHOnTtx9OhRAKUNdebQzkxhTd9/bW0tEolExn3zzGGNOlCZc84OHDhQcHuHQ4cte5gbjUVczDBsViB/+tOf4rHHHiv49kfLgQMH8Kc//SntOduwYQOWLl1aplYRERERFR9DHZXF3r17oZRCV1cXamtrSx7qzI6/UgqrV69GNBpFNBqF1+u1hoNmqu6ZYUlfpqWlBSKCadOmpWzTUAo6xNn/z7WCZz7M50SHusHBQXR0dGDr1q0F3/5oeeWVV7B69Wrs3r075fQnn3wSb7zxxphcpZSIiIhoNDDUUckkEgmICIBkVWVgYACxWAx1dXWoqKgAgIyVsdFgBjTz53379uFPf/oTnnvuOQwODsLv9yMQCABAxrlx5obp+rYOHjyIpqYmNDQ0pM09279/v+N8tJ07d+Kuu+7KGb42btyYdZVNHVj6+voQi8WsNmUbQpqvaDQKv98P4NjxMANSobe/e/duvPDCCwXfTmtrKwBYVWAgdTP5jo6Ogm6fiIiIaKxiqKOS6evrszruBw4csBb3KFWoMyt1ZljTAaWnpwfRaBQ+n88KdZkqdTrUeTweDA4OQimFlpYWNDc3o6amBt3d3Skh5b777sPPfvaztNt58cUX0draij179mRs98DAAJ544gn88pe/zHgZszKnh2A2NDQgFotZlba2tjY89thjw15hNBqNoqamBoBzqCt0xdIHHngAK1eutF4PI6UfZ29vL/bs2YNoNJoS5EZjJVAiIiKisYihjkpGV6qamprQ2tpqbWdghrp8hl92dXXh0KFDww4TmSp1+mcRSavUZQp1O3bsQG1tLWpqahCNRtHV1YX+/n5MnToVNTU1iMfjVgDS1aJsC7WYlb9M52VaeTIej1uVvv7+fivgTZw40ToNSA5PfP/997F9+/aM9wUkA/e2bdus36PRKKqrqyEijqFutIY1FhLqYrGY1baWlhbcf//9eOyxx1I2Xy/1iqREREREpcJQR0X33nvvYevWrdbwwZNOOglKKSs41NXVQUTg9XpzVuoGBwdx11134e6778Yf/vCHYbXDDIFOPycSCWuoYbZQ19raip07d+Kcc86Bz+fD4OCgtTDK1KlTrfl4OkTks+pmtkCTLfABx6p09fX1iMfj1uUnTZoE4Fio06Ew11DPBx54AI888ogVsKPRKAKBAPx+v3WsMq2IWYiOjg78+c9/HtE8QDPw7tixA0ByaKve4gEYnfmFRERERGMRQx0V3e9+9zs8+uijVsd73rx5AICtW7eioqICVVVVAACfz5cz1O3du9cKS7rzni8zyJlBS5+ulMLg4GDW4ZcdHR14+OGH4fP5cNZZZ1lBZ8OGDQiFQpgyZQoqKysBHAt1ZoXIPm9MB65s8+XMUGfOEdN0qNIhTlen7KFOB7FclTUd5vbt2wfg2Jw6/VhXrVqF5557zrp8vmFp1apVaYuYmNauXYu33noLf/nLX9LOa21tzTonTr+2vF5vyjFua2tDKBQCwEodERERjV8MdVRU5nDKgwcPWqtDer1eRCIR1NbWWounVFRU5Bx+qTv2ixcvRjQaHdZqmdFo1AqQZljTtxGPx3NW6t5991309PTgc5/7HKqqquDz+dDb24utW7di0aJF8Hq9VqjTYccME2YoU0pZgStbpc4MM05DMHVI08MtnULd4OCgdR9HjhzBD3/4Q6xfvz7ttszjuWvXLus0n89nhbpnn3025Tr5zFWLRCJ49tln8cADD6Scbg9gQPq8SqUU7rrrLvz4xz+2Ttu9ezceeeQR6xjr4zJt2rSU627evBlNTU3w+/0MdURERDRuMdTRqFNKWdUvM6zs2bMH1dXV8Hq9mDBhAoDk0EvNrNRt2LABd9xxR1rY6erqgsfjwZQpUwAMb+hfJBKxFvxwGn4ZDocdQ51SCitXrsSRI0dw6NAhTJw4EbNmzQIA+P1+tLe3QymFqVOnAkDa8EszTNjDpA552YZYmsfAKdTZK3Xt7e3wer1oaGgAkAxdhw8ftgLU7t270dXV5Th81Wzr2rVrU4ak6lCnj42WT6jL9PjMAGefg6iUQiQSSdvwHADefPNNbNu2De+8805KG3So08E6Ho9jypQpCAQCeQ2DJSIiInIjhjoadc8//zzuvPNObNu2LWVoXkdHB2prawEAjY2NKf8DyUqd7uSvWLEC/f39KRt6A7D2tdO3M5xFOqLRqHU9p+GXuvLn8/ng9XqtauL+/fvxwgsv4KmnnsKhQ4esQAkkg6gOIfq2sw2/1Pf7xBNP4Fe/+hUAYMKECejr68u48EtnZyfq6+sBJEOdUgpbtmyxAo4OfU1NTQCSoa66uhrBYBAVFRXo7OzEoUOHAABVVVVWMFRKWbdhPxazZ89GX18fOjs7U4JuNBq1hjNeeOGF8Hq92LdvH954442sWxKYwcysBjo9Zj0UdenSpbjjjjtSFnbRVUtd1du4cSOAY1XR5uZmAMD8+fOtquyMGTOsthMRERGNR0ULdSLyKxFpE5GNxmkTRGSpiGwb+r+hWPdP5fP+++9jcHAQTz31VNqQN10p0x1uXbEDUkOdvp69MtXV1YW6ujpUV1cDGF6oi0QiqKqqgog4Dr8cHBy0tjQAYAUBHSD0NgxmqNP7t5mPLVeoi8Vi2Lhxo7Wvmq4uOVWzlFLo6OjAjBkzAACHDh3C8uXL8dvf/harV68GkNyXzQy6sVgMoVAIIoLJkyejpaUFhw4dgs/ns25H020w2wccq/p1dHRAKZVSqRscHMQZZ5yBJUuWoKqqClu2bMHSpUut4Jjp2Gvm/EGn6pneW+/NN99EPB7HihUrrPM6OzvR29uLzs5ONDQ0oL29HYcPH8bAwAB8Ph8WLFiACy+8EJdddhmuv/56XHjhhTjppJPg9/tZqSMiIqJxq5iVuvsBfMR22jcALFNKzQOwbOh3Gkfi8Th6enpQXV2NgYEBKxBpOvgsXrwYM2fOxIIFC6zz9EqSsVjMCnP20KZDnR7imM8iHRs3bsSKFSvSKk6aucqjudG2DjFHjhxJuT17pc7+2Px+P0TEapsZJt577z185zvfSbk9HbTs96Mf3+DgIJqbm+HxePDaa6/hlVdeAXCsanfgwAFMnDjROiYArNA7Z84c7Nu3D9u3b8eUKVOsMK3p/fH279+PtrY267joyqCuAuo5dZFIBJFIxBqCad5eR0dHWuVPVwnNY+C0x515HPv7+1PCvHlcOjs7rQVcPvCBDwBIziEMh8MIBoPw+XxYsmQJ6urqMHv2bCxZsgRer5eVOiIiIhrXihbqlFLLARy1nXwtAL1SwgMAPlGs+6fy0CFAzznTi3boKpKuzDU3N+MLX/iCNe8LOFap+8lPfmIN5TMDQCKRQHd3d9ZQl0gk8Oqrr+LgwYO47777sHHjRjzxxBNYtmwZwuEwAoFA2vwq3dmPxWJIJBJpoc4+b2/69OnWzzqMhEIheL1eAMn97oLBoGOlTg8XNJ144okAgMcffxzr1q1LOU9X7xoaGtLmsvX19WH//v04cuQITjvtNFRUVKS0BwDOOOMMKKVw9OjRlFA3bdo0TJo0CevWrUMsFsN9992HX/ziF9ZxsYc6XamLRCIp8+rMILlv3z7ccccdePfdd63THnroIdx9990pz5P5nOr707cXCAQwMDBgvW70cMqKigp4PB4r1Hm9XpxyyikAkmFyYGDAqpA6YaWOxhoRqReR34vI+yKyWUTO52gWIiIaqVLPqZuslGoBgKH/mzJdUERuEZFVIrLK3GuKxjbdedfVLP3c6ZBhDre0q6ioQHd3N7q7u+Hz+dDU1JQSqHRlqq6uDn6/Hx6PJy3UtbS04JVXXsG9996L/fv344knnkg5377fGpA+r0sHI325/v5+NDc3Y8GCBfjABz6QEq50ANRVOq2ystIx1OmQZh6Huro6nHbaaQBgDak0HzOQDMX6sd50003WsdGV0NmzZzu2p7Gx0QpGJ5xwghXqqqqqcNFFF6G1tRX33XefdX96wZFMoU4/H/oYmPPjNm3ahHA4jJUrV1qn6SpbpsVe9LE/55xzEAgEcOqppyKRSODgwYMAkqEUSAbu2tpadHV1Ye/evZg2bRqqq6vh9/vR1dWFgYGBlIBpx0odjUE/AvCCUmoBgEUANoOjWYiIaITG7EIpSql7lFKLlVKL9fweGvt0gNHL6+tQt2TJEkybNg0zZ87MeF2fz2fNt7rmmmtQX1+fsrKiDgZ6s/JgMJgS6pyGStpVVlZmrNRp9kpdf38/QqEQbrjhBnzkI6kjinW4MYcP6vsxQ11FRYV13rRp0/DlL38ZQLKq5/F48IlPfAKnnXYaOjs7ceTIETzyyCPo7u62qlrV1dXWMZ0+fTqqq6vR29uLjo4OeDweqxKqQ5a+LAB8+tOfxpIlS3DSSSdZ7a2oqMBpp52GCy64IGUunF6IpKamBh6PJy3U2R+3+XzqsObxpL+tdHR0WNU2p+GXCxYswL/+679aQ1H37dsHn89nVePmz5+P+vp6tLe3o6WlBTNmzICIIBQKob+/3xp+mQkrdTSWiEgtgIsB3AcASqmoUqoTHM1CREQjVJH7IqOqVUSalVItItIMoC3nNchV7MP39FC9uXPnYu7cuVmvawafUCiEqqoqtLS0WKfpIXl6yKYZ6vr7+3HHHXdYQyAB4JRTTkE8Hkdra6tVIdPDL83qmX2vOzPU9fb2IhqNpoQkk65AmvcLJCthOpDq/fiOHj1qXUdE8E//9E/W5T0eDyZNmoQNGzZgzZo12LZtG9asWWPt4adDZW9vLwKBAILBILq6utDT02MFMP2Y161blzLvb8KECbjwwgsBHBsWu3jxYgDApZdeii1btuDo0aPWoiz6sVdWVlpBTc+psx+jyy67DPPmzcMLL7xgVQ2dtjjo7OxEIBCA1+vNOPxSB3UgGeoaGxtRXV2Nv/u7v8PEiRPx/PPPY82aNQCOhcmqqir09fXlNfySlToaQ04A0A7g1yKyCMBqAF+BbTSLiDiOZhGRWwDcAiDrF2VERHT8KHWl7mkANw/9fDOAP5b4/qnIdFgKBoNWB90+FywTp1CnV0IEkot5VFZWWkMXzVCnw188HofP58Npp52GSy+9FDfeeCNuvfVW63Z1qLMPvzQX/HAafpmpCqQDpr1jFQqFrKGK4XAYNTU1VkDT91VXV5eyT5/+WS9e0tPTg97eXgSDQXi9XkycONEaZqmrjfYK1Yc+9CHcdNNNyFTdnjhxIr71rW/hhBNOsB7rrbfeiptvTv5Z6vCrF5TRoS5Tpc7n82HOnDnWwixAcs6cfXuDjo4OBAIB1NTUoLe3F+3t7Thy5Ij1POjb1sdmYGDA2u5iypQpqKiosKp2fr/far+u1OUz/DIej6ds/k5URhUAzgLwC6XUmQD6MIyhlhzJQkREdkWr1InIowAuBTBRRPYD+DaA7wJ4XES+BGAvgOuLdf9UHjrUVVZWWqGrkFAXj8etat+BAwcwbdq0lHCkhweay+TPmjUL1113nePt6jl19uGXEyZMsCpMZqVuYGAgLfSZpk+fji984QvWxuNm+/v6+qCUQjgcRn19vXW/urpnp4dQHjhwAMCx6pbT5XW1US/+ogWDwZwVUX38zN/1fXR0dFird1ZWVqZU7pxCnWaGU701hHn5wcFBVFZWorq6Gjt37sQ999yDyspKnH322Sm3Zx5ncw9DAJg7dy4+85nPoLq62npOq6qqsG/fPsRisZzDL4FkYJ42bVrer0miItkPYL9SSk9A/T2SoY6jWYiIaESKufrlZ5RSzUopn1JqulLqPqXUEaXUEqXUvKH/7atjkkvpIXU61Pn9fquDnm1YnMkMX8Fg0AoafX19GBwcRHt7u7Wnm76MDmLm3DodjpzU1NSkhDqllLWvm2aGOn25TKEOSFbpzLYDyVAXi8UQjUYRDodRWVlpVa8yhTr7Yit6TzazCqYFAgHEYrGcww7zpQNRX1+f9fjtC8KYv9tDkW6DHqb64osvYunSpWltnjJlirVtRW9vL1pbW625dkD2UAcAJ510UkqArqqqsp77XJU6AHjwwQexfPnyjJcjKgWl1CEA+0Rk/tBJSwC8B45mISKiERqzC6WQe+zduxff+9738O6771p7mJnzo7J1tk162GNlZSU8Ho/Vwe/v70drayuUUtZKjvpyukNvzpHT88ZMOgzW1tZawy+VUtbwPzNo6QBgVpqyhTonZiDV1TQ9d88ppAGpoU4PfcwU6nSI6urqGpWqk/kcmdsLaPZKnfkzACxatAgzZ87EWWedBQBYs2YN3nzzzZTLBAIBzJs3Dx6PByeffDKA5GbqmSqA5nOdifm85FOpA4Bdu3blvF2iErgNwMMish7AGQD+PyRHs1wpItsAXDn0OxERUU6lXiiFxiE9XHDTpk2oqqqyAsdIK3W6A66DUX9/vzW80lwApKqqyqr66GrYF7/4RcdFTT73uc+hv78fFRUVqK6uhlIKGzdutFZbtAcqsx3mY8mXbnt3dzcikQiCwaBVqcsU6sz7mzt3Lt577z10dHTgpJNOSrusbqO5Z1whPB6PNYdQt8N83uwLpdjvc/LkyfjCF76AvXv3ZryPQCCAadOm4fbbb8fAwAA2b96Mzs7OlL0KRQQLFizA+++/71ipszPDeLbXmdlep9U5iUpNKbUOwGKHs5aUuClERDQOMNRRwfQQxa6uLng8HqtzrSsn+YY6e3DSHfZHH30UJ5xwAgKBQMrcLXMDcr1gSKZFA8yFW/TKnE8++aQ1p2u0Q51ux4oVKwCkBrlMwy912zo7O3HCCSfgvffeS7uuZh7T0Rh+CSSPUTQatW5PHwev1wuv15s11GnZjpO+fkVFhbUCqFIqrer3yU9+EvF4PK/wZd5fPvcNpG8/QUREROR2/MqaCqaHMHZ1daUs3KHDQb6daB2sEokEgOTiG/q0nTt3YsqUKSmLfNhDXb7hRoc6ANiyZUvKfQOpc+q04Ya6uro6nHTSSdixY4d1+5dddhkApFSm7L7whS/glltuSalSZZpT5/RzIezDZe178JnHw76Fg5btOJnneTwe63HZnze/35/3kF0zIGe7b/MYMdQRERHReMNQRwXTlbpIJIKOjg6rk66Xj88UAOx0J1+HOhHBrbfeiqam5FZN9jlWIw11kyZNwgUXXICqqiprgRcz1OngWEioA4BPfepT1s81NTW46KKL8O///u9ZQ0VtbS2am5tT2uO08IsZUkarUqdvx/6/fVgskL6CZra26Ovbg5p+XPkGOCcjqdTp1xcRERHReMFQRwUz93zr7u62OvZnn302pk2bhkWLFuV1O7qCdcUVV1inBQIBa38yvUebpsNAf3//sEKdiODKK6/EeeedZ53mFAjMKtBI5mH5/X6ceeaZ1rBQEck74OYKdcUafmnenjn80vw9G6fjpK9nD2/6MRYS6sznKFtYNtsei8VGfH9EREREYxHn1FHBotGoNT8KONZJr6urw9/8zd/kfTuBQADf/va3007/4Ac/iGnTpqXtv6ZDQU9PT9p+bfmYPHmy9bNTsDCHaY7U1VdfjY985CPDHvJnVpZyVeoKCUUm3UZ7uNNBLd9AOmPGDBw5csTabkLfrj0468psIe3P97ia98ENyImIiGi8YaijgkUiETQ1NaG1tRXAyIYqZlNRUYETTzwx7fRQKISKigp0dnZiYGBg2OHAXEkzFArhtttuSwkuugpUSCVMryo5EkuWLEFnZ6fj9YtRqdPhLdsCNwsXLkyrmNr99V//NeLxOL773eRq7NOnT0dnZ2fKIjfm7dv3+BsOEcE555zjuOKp/XJf/epX8eSTT6ZUlomIiIjGA4Y6Ktjg4CBqamqKFuoyERHU19ejtbUVsVhs2PdbW1uLefPmIRgMQkQwYcKEtNv/4he/mHVhk2K68MILM55nhs/RqtRdcMEFOHLkiBWgdeg9//zzrct8+tOfznk7FRUVqKiowIQJEzA4OIiPfvSjWLhwYdrKpHoxGDNcj8RVV12V1+Vqa2tRVVVlVRCJiIiIxguGOipYIpFImUs1WiEjH9OnT8e6detGfL+f/exns56v97Eby0breE+aNAlf/OIXrd9DoRD+/d//Pe9hl3Zf+tKXUFFRAb/fjwULFqSdv2jRIkyaNAlTp04dcZuHy+PxWMOEiYiIiMYLLpRCBUskEvB6vVYlppSVrVmzZlk/l6pCONaM1pYGTkYa6IDk85Ft6KmIYNq0aRlX0iwGEeHql0RERDTusFJHBdMbRV999dXYtGlTwcPphsOca5dtU+/x6IILLkBPT09JQ5HbeTwehjoiIiIadxjqqGB6+OXMmTMxc+bMkt63uTG3uWH38eDKK68sdxNcx1yllYiIiGi8YKijgtnn1JXazTffjNbW1uOuUkfDx+GXRERENB4x1FHByh3qZs+enXOZfSKAC6UQERHR+MSFUqhg5Q51RPlipY6IiIjGI/bEqWB6oRSisY6VOiIiIhqP2BOnguktDYjGOq5+SUREROMRQx0VjMMvyS04/JKIiIjGI/bEqWAMdeQWHH5JRERE4xF74lQQpRRDHbkGK3VEREQ0HrEnTgXRVQ+GOnIDVuqIiIhoPGJPnAqiqx5cKIXcQESglGKwIyIionGFoY4KEo/HAbBSR+6gX6cMdURERDSesCdOBdGVOoY6cgOGOiIiIhqP2BOngjDUkZuICABwsRQiIiIaV9gTp4Iw1JGb6NcpQx0RERGNJ+yJU0G4UAq5ia7UcfglERERjScMdVQQHep0Z5loLGOljoiIiMYjhjoqCPepIzdhpY6IiIjGI/bEqSC6c8xKHbkBK3VEREQ0HjHUUUEY6shNWKkjIiKi8YihjgrCUEduwkodERERjUcMdVQQVjzITRjqiIiIaDxiqKOCsFJHbsLhlzSWiIhXRNaKyJ+Gfp8gIktFZNvQ/w3lbiMREbkDQx0VhKGO3ISVOhpjvgJgs/H7NwAsU0rNA7Bs6HciIqKcGOqoIAx15Cas1NFYISLTAXwMwC+Nk68F8MDQzw8A+ESJm0VERC7FUEcFYagjN2GljsaQHwL43wDMF+NkpVQLAAz93+R0RRG5RURWiciq9vb2ojeUiIjGPoY6GhUMdeQGfJ3SWCAiVwNoU0qtHsn1lVL3KKUWK6UWT5o0aZRbR0REblRR7gaQu7FSR27C4Zc0RnwQwDUichWASgC1IvIQgFYRaVZKtYhIM4C2sraSiIhcg5U6KghDHbkRQx2Vk1LqdqXUdKXUbAA3AviLUuomAE8DuHnoYjcD+GOZmkhERC5TllAnIl8VkU0islFEHhWRynK0gwrHUEduwkodjXHfBXCliGwDcOXQ70RERDmVfPiliEwD8I8ATlFKDYjI40h+U3l/qdtChWPnmNyEoY7GGqXUKwBeGfr5CIAl5WwPERG5U7mGX1YACIpIBYAqAAfL1A4qECt15CYMdURERDQelTzUKaUOALgTwF4ALQC6lFIvlrodNDoY6shNGOqIiIhoPCp5qBORBiQ3WJ0DYCqAkIjc5HA57sPjAgx15CZ8nRIREdF4VI7hl1cA2KWUaldKDQJ4EsAF9gtxHx53YKgjN2GljoiIiMajcoS6vQDOE5EqSfawlgDYXIZ20ChgqCM3YagjIiKi8agcc+pWAvg9gDUANgy14Z5St4NGF0MduQFDHREREY1HJd/SAACUUt8G8O1y3DeNLlbqyI0Y6oiIiGg8KdeWBjROMNSRm7BSR0REROMRQx0VhJ1jchOGOiIiIhqPGOqoIKzUkZsw1BEREdF4xFBHBWGoIzdhqCMiIqLxiKGOCsJQR27C1ykRERGNRwx1VBCGOnITVuqIiIhoPGKoo4Iw1JGbMNQRERHReMRQR6OCoY7chKGOiIiIxhOGOioIK3XkJqzUERER0XjEUEcFYagjN2GoIyIiovGIoY4KwlBHbsJQR0REROMRQx0VhJ1jchOGOiIiIhqPGOqoIKzUkZsw1BEREdF4xFBHBWGoIzfh65SIiIjGI4Y6KghDHbkJK3VEREQ0HjHUUUEY6shNGOqIiIhoPGKoo1HBUEduwlBHRERE4wlDHRWElTpyE1bqiIiIaDxiqKOCMNSRmzDUERER0XjEUEcFYagjN2GoIyIiovGIoY4Kws4xuQlDHREREY1HDHVUEFbqyE34OiUiIqLxiKGOCsJQR27CSh0RERGNRwx1VBCGOnIThjoiIiIajxjqqCAMdeQmDHVEREQ0HjHUUUEY6siNGOqonERkhoi8LCKbRWSTiHxl6PQJIrJURLYN/d9Q7rYSEZE7MNTRqGCoIzdgpY7GiBiAryulTgZwHoBbReQUAN8AsEwpNQ/AsqHfiYiIcmKoo4KwUkduwlBHY4FSqkUptWbo5x4AmwFMA3AtgAeGLvYAgE+UpYFEROQ6DHVUEIY6chOGOhprRGQ2gDMBrAQwWSnVAiSDH4CmDNe5RURWiciq9vb2krWViIjGLoY6Kgg7x+QmDHU0lohINYAnAPyTUqo73+sppe5RSi1WSi2eNGlS8RpIRESuwVBHBWGljtyEr1MaK0TEh2Sge1gp9eTQya0i0jx0fjOAtnK1j2g09Pb24uDBg+VuBtFxgaGOCsJQR27CSh2NBZJ8Id4HYLNS6vvGWU8DuHno55sB/LHUbSMaTY8++ijuvfdeDA4OlrspRdHX14c333wTiUSi3E2hIkskEtixY0e5m5EVQx0VRCnFQEeuwVBHY8QHAfw/AC4XkXVD/64C8F0AV4rINgBXDv1O5Fq6StfT01PmlhTHs88+ixdffJHVyOPA66+/joceemhMB7uKcjeA3I2hjtyIoY7KSSm1AkCmN84lpWwLUSlEo1Hr51gshoqK8dH97O/vBwB0dnZi+vTpZW4NFZNelKq7O+/pzyXHSh0VhJ1jchNW6oiISk+HuldffRXf+c53EIlEytyi0aGHXY6Xx0OZ6f5DPB4vc0syY6ijgrFSR24iIgx1REQlpEPPa6+9BsC52rF06VLcddddrpqfNtZDXSKRwJEjR8rdjHFB93XNqvNYw1BHBeHwS3IbhjoiGku6u7vH7JCu999/f1Tmw+mOsMeT7HbqYYumN954A62trWhrc8+ir7pqM1Y7+m+++SZ++tOforW1tdxNGTfG6nMNMNRRgRjqyG0Y6ohoLPn1r3+NH/zgB3lfvru7G08++WTRq0M9PT147LHH8Pvf/77g29IdYV3ZsneMzd/HasB1Eg6HAWSu1D3zzDP47W9/W8omATg2xaClpQUAGOpGQSwWA4AxvZIrQx0VhKGO3Iihjuj41NPTM+bmxHR2dgJA3sMOX3vtNWzYsAEbN24sYquArq4uAMCBAwdGfBu6f6BDj37v1R1kzawGFjvUDQwMWGFnNG4LcA5177zzDtasWYMtW7Y4ViaLpaenB//zP/+DTZs2pc0jHxwcxM9+9jNs27atZO0ZL3SYY6WOxi2GOnIbvl4pFxH5WrZ/5W4fjUxvby++//3v49lnny13UyxmkNMd/0OHDuGJJ57I2HnUnctih1N7dW0k9PutbrP9d62Uoe43v/kN7rnnnoIrLvF43ApzTs+VGZx6e3sLuq/h2LdvH8LhMJYvX55WGW1tbcXhw4fH1N9AsXV2dmLNmjUFf5nLUEfjHkMduQ2HX1Ieaob+LQbwDwCmDf37ewCnlLFdVIB9+/YBANauXVvmlhyjKz3Asc7im2++iY0bN2LXrl2O19HvX11dXfjFL36BLVu2FKVt9uracCmlUipEpmyVumJXtQ4dOgQAOHr0aEG3o4deAs4d/cOHDyMQCKRdtth0gIxGo9bx1+0r9Dl1oyeffBLPPPNMwdVZhjoa9xjqyG0Y6igXpdT/UUr9HwATAZyllPq6UurrAM4GwM2oXMqsAI2V1QrNAKM7i319fQBSA59Jt33nzp1oa2vDCy+8kPN+9G0OR6Gd10QikTHUZarUhUKhkgWgXNWzgwcP4uWXX854vvn82EMqkHxMU6ZMSbtssenXVDgcttqlXzNj5XVfSh0dHQBQ8Abx+jXLOXU2IlIvIr8XkfdFZLOInF+OdlDhGOrIbRjqaBhmAjB7tlEAs8vTFCqUWQ3S88W0eDyOAwcOlPy9wSnU6RUiM4UbfR1daco1PHLfvn248847sXnz5mG1rdAAYAYde+ix/97b24uKigo0NDSUbP5ZrlB37733Yvny5RkDmXm6UyUyFouhoaEBQHErdcuWLcMPf/jDtPmK4XDYCvP2YaLH02eg/nsarVDnFODHiopMZ4jIWdmuqJRaU8D9/gjAC0qpT4uIH0BVAbdFZXQ8vTHQ+MBQR8PwIIC3ReQpAArAJwH8prxNopGyh7qmpibr93feeQd//vOf8ZnPfAYnnXRSydpkBhjd8c61H5YOE/l20PVCJzt27MDJJ5+cd9vMUJdIJKzOcb7Mzm8+lbrq6mpUVVVZFdXW1lZMmDABPp9vWPebb5vynefW3d2NYDCYdrp+Hqqrq9Mejw5x9fX1Kb8Xw4oVKwAkn6/KysqUtrS3twNIH355vFBKWX/3etjtcMXjcXi9XtdX6r439O9nAFYCuAfAvUM//3ikdygitQAuBnAfACilokqpzpHeHpUXK3XkNgx1lC+l1HcAfAFAB4BOAF9QSv1/ZW0UjVhPTw9qa2sBpC/GoYOP7gSXihks7AuTZOqA2ytZuSp1OowNd2EVM1SOJAzYQ51SymqrU6WupqYGwWAQAwMD6O3txV133YUnn3xy2PebjXns8h2Smitc19bWZgx1dXV1Kb8Xk26P2Rb9c7YFXcYzc15hW1vbsKtsGzZswP/9v/8XnZ2drqjUZQx1SqnLlFKXAdiD5JyCxUqpswGcCWB7Afd5AoB2AL8WkbUi8ksRCdkvJCK3iMgqEVlV6jdZGh6GOnIThjoapioA3UqpHwHYLyJzyt0gGhlzjpO9SqM7u6Wc+3T48GE899xzaW3ItiCDUiqtjbkCg76d4XZGzSBXaKiLxWJZ59j19PSkhLodO3YAQMal99977z28+uqrw26TGeTyHeaZK9TV1NSkHVv9nIRCoZQqTzHp+3R6nvVjGOlrwa304z3llFMQj8fxzDPPpFTsc1m9ejWAZJXP7ZU6bYFSaoP+RSm1EcAZBdxnBYCzAPxCKXUmgD4A37BfSCl1z1CQXDxp0qQC7o6KiZU6ciOGOsqHiHwbwL8CuH3oJB+Ah8rXIipET08P6uvrEQwG00Kd7ugVI9QNDAw4rrS4bt26lN/tHW6nMNHX15f2/hWPx7NW4YY79O7o0aPo7OxMuf+RVJrsFaNsc+x6e3tRXV2NYDCIaDRqVUydhj0CwO9+9zu88sor1iIY+cqnUvfYY4/h3XfftX7PFeqyDb+srKyEz+fLu0LW0tIy4i0kzFBXXV2dcp79NTDeh2EuW7YMK1eutB7n/PnzsWDBAqxfvx6vv/563rej+7ddXV3W39hYDsT5hLrNQ9W0S0XkEhG5F8DwZtum2g9gv1Jq5dDvv0cy5JELMdSR2/D1SsPwSQDXIPnlI5RSB5Hc6oBcJhqNIhKJoKamBtXV1ejr60vpPBcz1P3617/GXXfdldaR1u9FoVBysJK9EuAUBHQ77WEnWyd9uFXIn/zkJ/jRj340qpW6wcHBlOBjnqefGx3qgGOLWgwMDKSFWDNgDndjdB3qGhoaHEPdwMAA3n//ffzhD39IaZ+TgYEBVFZWwu/3Zw11Tuc7OXjwIO655x5rjhwA7NmzJ++5f+bwSz3MWLMPv0wkEkXf59BJNBot+hBQpRRWrFiBF154wbqvQCCAG264Ac3NzcMaYq3nc5pfyri9UvcFAJsAfAXAPwF4b+i0EVFKHQKwT0TmD520ZOg2yYUY6shtOPyShiGqki8WBQBOUwXIHXQYqqmpQSgUwrZt2/Cf//mf2Lt3LxKJRM5tBEaqu7sb7e3tGBwcRFtbW8p5unP45S9/OeV3M9SFw2Hcfffd2L59e8rjaGxsTLmtbB1lfV5/fz9isRhWr16dV7UhGo3mXImzt7c34356+j4CgUBapc7sGOvQoodfAsdCXTweT3tsZnVuuHvN6ee5qakpY6izy3Rsw+EwgsEgfD4fYrFYyueKPdTlE2SOHDkCANi9ezeAZP/q/vvvx7333pvzuuZ9Dg4OpiwuIyJpwy+zPa7h2rlzJ37xi1/kNazxhz/8Ib73ve+Nyv1m4rSirN/vB5Cc/zic7T3061S/5vx+v7srdUqpMIC7AHxDKfVJpdQPhk4rxG0AHhaR9UgO5eTEcxdjqCM3YaijYXhcRO4GUC8ifwvgJSQXDCOXMUNddXW11THbtGlTypDG0V7Qwgxy9sVZYrEYQqGQNUTPvhBDNBrFkSNHcOjQITz77LMAji3koucG6s57tkqavt3+/n6sXLkSf/rTn6y5Qtn09/dbFZ9Mt3///ffjN7/5jWNA0I8jGAxmHX5pPjc61EUiEatvYZ/7ZoaHnp4erFixIuPG0vF4PCVA9vf3Q0QwYcIEx6GsTo8jW6VOhzr7YxpJqNPX0RU0/bv9dZOJfo5isRgqKo4tbl9XV+c4BHe0Kk5vvPEG2trasGfPnpyXHRgYKHqlzqnCrDeBr6ysHNbfuL6+3gIlGAymBfixJGeoE5FrAKwD8MLQ72eIyNOF3KlSat3QfLnTlVKfUEoNb1A0jRms1JHbMNRRPiT5xvYYklMEngAwH8C3lFI/KWvDaETsoU7zeDxWp7miomLUh1ZlW5gjFotZgcAMdWalTleO9FDRAwcOoL6+HhMmTAAAVFUld4TKZ/hlOBzG8uXLASBjVcUcktfZ2Zlz9UZdXers7Ew7L99Qpyt1eksDberUqQDSq2fmfn779u3DsmXL8OCDDzq27+GHH8Ydd9xh/d7X14eqqipUV1c7VgGdnv9MxzYSiSAQCFjPoXndgYEBVFRUwOfzZZ1T19raah1De6jLp2psDiE2F9rx+XxWlXXChAmOq1/aVzd98cUXR7RJvW73SK5bDObzoB+3rtQFAoFhhTp9jHSo06/PsVqty2f45bcBnIvkcs5QSq0DN1+lIQx15DYMdZSPoWGXf1BKLVVK/YtS6p+VUkvL3S6327FjB958882S3699+KUWDoet8yZMmDDqoc4McmYnXSmFwcFBq6JSUVFhdRTNZej19XUH/dChQ2hubrY6qTpQ5DP80vw5UwfcfPzhcBg1NTVWW7Jx6ijr29LVjUzDL50qdcCxaqT9tvVjaGxsRGtrK4DMAWjXrl3WdgpA8vmoqqqyOueZVkF1ehx20WgUfr/feg7Nx9ff3289lkxz6hKJBO666y7cf//9KY9BH+t8XovmfZqVOp/Phw984AMAgMmTJ1uL6ZhDas3Hum7dOrz55ptYtWpVzvu00/dbim0b8uE0tNcMdZFIJO8+gL3Cqd873BzqYkqprqK3hFyJoY7chq9XGoa3ROSccjeiFNavX291kIvpoYcewosvvljyxQZ6enrg8/kQCARSKnXFCnVHjhzB8uXL0dvbC4/HA5/PZwW0Z599FnfffXfK3CddqVNKWZWaaDRqXUfP2+ro6EBTUxPmzp2LSZMm4aKLLgKQX6VOa2xszBgC7Y+/qqoKXq/XscNuVvWczjcrddFo1LptPcdO08coGAymhDpdqcsW6vIVDoexZs0aHD16FKFQyLqufdGMkYQ6p0qdnm8HIOPwS12h6+3tRSQSsR6nDiL5BAf7gjO6HRUVFbjiiivwr//6r9YQWnNBGvtj1W3Pd1EWk1kJzsasKtpX+Dxy5EheQ4Lz4fSFgTn8Ekh97Nu2bcOGDRvgxP53ZV/UaKzJJ9RtFJHPAvCKyDwR+QmAN4rcLnIJVjzIbVipo2G4DMlgt0NE1ovIhqG54ONKIpHAU089hbvvvrtk9znSBUm6urpGtOS73gdNRBwrdSKCuro6q5O8e/du7Ny5c0RtBIC//OUvePnll7Fz506rMqQf86pVq9Da2ppSqdOhzj50TIc6r9drVddqa2tRX1+P//W//hdmzZoFIHulbnBw0OrMAseqFZkua/L7/RnnIZlDLrOFupqaGsTjcevxV1VVpbTXfG50RQUApk+f7njbmUJdtvf1LVu24JlnnkFbWxuqq6sxZcoUeL3etOfYqbOeKVxlC3V6vh2AjMMv9WIwQLICqx9nOBzOuU2FU9vsoc7j8Vhz+vT5mUKdfm3pv614PI7f//731qIt2ZjtBoC3334bmzenL5KfqVILAL/61a/wpz/9aVSGcDoN7dXPkQ535mvqkUcecdzkXimV9ryNh0rdbQAWAogAeARAF5KrYBKxUkeuw1BHw/BRACcAuBzAxwFcPfT/uKI7PqX8uxjJUK3+/n788Ic/xNKlwx8Fq4MDkAwLzc3N8Hq9GBgYQG9vL0KhkDVMTimFBx54AA8++OCIj4leIOXw4cPWYij2x9zd3Z1WqdOdxerqaiQSCavSEIvFrIBnzjvTHfZMIa2rqwvRaBT19fUAgObmZgQCgbwrdYFAIGMINFehzBbqdKVIz0sKhUJplTodNETEOiaZ5vPpIYR6XqFmb6P53B0+fNj6ubq6Gj6fD6eccgrWr1+fc0XITMfKPvzSXiHSASBTpc4MdS0tLSlfdAwMDIy4UmfO1QRSg0wkErGeD6dhivpYt7a2YtOmTdYczEwSiUTKEEWlFJ5//nk8/vjjaZfNtKUFcGyY8nBXM3Vifx7M+YX67yWfSpu+jP7bAVxeqRMRL4CnlVLfVEqdM/Tv30dh9UsaJxjqyI0Y6igfSqk9AGYAuHzo537k92Woq5Rjv6qRhDo9XG3Tpk3Dvq4Z6oLBIG655RYsXLgQkUgEAwMDqKqqsjrC5vEYaeVAfy7GYjFUVVWhsrIyLXT09vamhTrdWdSdR10NGxwcdAx1usNu3nZbWxt+//vfY926dfjhD3+Irq4uTJs2DRdddBH+6q/+aliVumAwmLFSZ4YSp8prplCXqVKn/d3f/R2+/OUvw+/3Q0QcQ53f77dCX6Y2mM+juTCMDpDnnHMOIpEI/uu//gsvvfSS4+PPdJqeE2lW6vTjTSQS6OzsRENDAwCkfFlgtm39+vWYP38+ampqsHXr1pTH2dfXlxJ8Mn1m2efUKaXSVr/Ux/auu+6CUsr63WlzeX0M9e9mGNZ6e3ut9pivo3A4nDXsZNt8XstnW4Rc7KHOrP46VVU1+/ugfmxmqNOvHVdW6pRScQD9IlKX7XJ0/GKoI7dhpY7yJSLfBvCvAG4fOskH4KHytag4yhHqBgYGsHTpUhw6dCjv64xkA2wg+TllDw7AsQqKfWl6c17RSEOdWSnJVKmLRqMZh1/qzmOuUOfxeFBRUZHSQV+2bBk2bdqEv/zlL9ZplZWVuPzyy1FfXw+/3593qKuqqkIoFEpbuRNIhusZM2bA6/VmnYumj7sZ6syQY1bqgOSwysbGRogIKisrMTAwgF27dmHjxo3W7fr9fjQ3NwMApk2bBiD5mnrppZesx+00twqAVeGbPn26dd3XX3/dcWNs+/w/zdz/zB4U9BBhfT9+vz9ts+/9+/cjHA5j0aJFOP/887Fr1y4cOnTIChB9fX0plzfnWa5cuTKlKme2Sf9uvv4mTZqU0nanUKfbrl+jmV4fhw8fxve+9z288sorKZfXbcn2ZU22Sp3X6wWQ//YN2Zi33dfXZ33xAWQPdfY26eMzbip1Q8IANojIfSLyY/2v2A0jd2CoI7dhqKNh+CSAawD0AYBS6iCAmqzXcKFihrrDhw9j69ataafv3r0bb7zxRkrwyEV3pIb796s7u+ZcOuDY3DJ7qDM7lk5hBgBefvnlrKt4mp1qXakzF2WxX06HOt2R1B1vM9SZe5+Z7MP7dJvN+zKrFdkqdfZQEwwGUVVVlRZuI5EI2traMHfu3Iy3pytGulOsA3woFLIWhInFYhgYGEgL3JqucP7mN7/BE088YQ338/v9qKqqwu23344rrrjCetyvv/46XnvtNauSpuljcfnll2PevHkAkp8FN910Ez70oQ8BSA4ntT9+HUAzHSenUKefM12p0+cfPXoU99xzD3bu3GkNXZ08eTIWLlxo3e7EiRMBpFfq9M9r167FCy+8gJUrV6acro+TboP5+gsGg/jiF79o/W4unGJ/PPYVOO39u3379lntANJDXbYvXrJV6vSXG6NdqYtEIlkrdeb8XPvzrI+JXoUVOPZ35MpK3ZBnAfy/AJYDWG38I2KoI9dhqKNhiA5tbaAAQERCOS7vSsUMdY899hgeffRRRKPRlA6U7vjaVx/MZqShzlygwxQIBBCPx9Hb24vKykqrY2kGuUyVuuXLl+PFF1/MeJ/mY62qqkIgEEBXVxe+//3vp1zOXqnTHUmzcgUgpYpndtiBzKHO/ljNy5vH8vXXX7eGtjpV6qqqqtDf359y3PXzNnny5IxzxvTcLr2NhH7O9fMQjUatcGMfSqnpSp3W3d1thTr9WHTItQ8HtYe6yspKXHTRRSlDEysrK60FWbq7ux0ff65QZ59Tpx+TDrO6rZs3b0ZLSwtef/31lKprTU2NVanSi7/YQ53+G9XHcNOmTQiHw9ZlQqFQSqXOfIzAsUVn9GVFxDHU6VCm/9dz0TT92MLhMJRSVqhz2rbC/neaqVJnLkgy2qEOSP1Cwz6nzqlaqeljYFY6M1X61q5di0cffbTsfYuKXBdQSj0gIkEAM5VSW0rQJnIZhjpyE4Y6GobHReRuAPUi8rcAvgjgl2Vu06gzQ519Po7prbfeQiKRwAUXXJD3bes5OebCEfr34dIdsJGGOnO5fOBY0NF7iukOW7YNw4HUwJbpi02z0xoKhTKu2JlpTp091JltGUmos1cr9OqK7e3teOmll7B+/Xr8wz/8Q1pnVQeyWCxmDXsEjlUz6+vrMy68oldhFBE0Nzdj+/bt1tYFQLLTfODAAQDHti+wq6ystAInkHwuzVAHHHse9eI0Zvu0SCSSMTiac/7sjz8UCjku8+9UqdPPeWdnp7Waqr4MAOzdu9e63MDAADweDwKBAEQEwWAQvb29aGhogIigr68v5e9Q37ZuS2trK373u99Ze9GFQiH09PRkDP7ma7S6ujpt7zxzb0SlVMZKnVk51lsx6Nu0h7poNGp9ceL1ejNW6uLxuPU3PRqhzl5Fyzb80ukYmO3X17/++utRV1fnuCchADz99NMAkDKXshxyVupE5OMA1gF4Yej3M0Tk6SK3i1yClTpyG75eKV9KqTsB/B7AEwDmA/iWUmrcTT/Itd+Y9uc//3lEK08CyaDkNBwu099je3t7Wier0Eqdfdii2dkzQ50ZipwCknmMMh0vs9OnK3VOMlXqzOGIOuD19/dbS9WbzFBnVk/sl7H/PDg4aHXSdSDSx/j666/H5Zdfbg2/BFLDrg4XOiA4Dbszw5cewub1eq2w09XVhYMHD8Lv92fcc66ysjJllU1dgTMfj35ezZUTnapumZ4D8/iO1vDL2tratBUXdYDt7Oy0vkjQr38ddIPBIEKhUMbhlz09PVZVb+fOndbpevGZTKEOgDXMc8KECWnbLOifE4lEyjBKexXfPMbt7e3Way1TqFu9ejW++93vYtu2bRkDlNmOI0eOjGjLEtPg4GDK+0q24Zf5VOoCgQBOOeUUTJs2zXH45i9+8QvrOnreaLnkM/zyPwCcC6ATAJRS6wDMKVqLyFUY6shtRKTgDw06PojIfyulliql/kUp9c9KqaUi8t/lbtdos6+gl4tSCgcPHswrXOkO6HBCXVdXF37+85/j2WefTTnd7HgOR65KnT7PKdTpIPPaa6/hN7/5DYDUIJepsmCv1NkDpWZW6uLxOJ566ikAqZU6PYxvYGDAsbNuhrpMz595Pf2zXiTGpJ+jE0880drYXFdYzVCnf9aBNdNWAPoY69A2ODhoVTLa2tpw8OBBNDc3pwVVzX7cslXqzIpef39/WjUl03Pg9Xrh9XqtOWnmsa+srBxRqDOrNfp8/dz09PSgv78/ZThwU1MTgORxyhbqent7MX/+fFx++eXW8QCODWnVr12navvVV1+Nr3zlK/D7/WnVXfO5ikQiVlvNyyilcOTIEcyfPx9AsgpvD3VmCNy7dy/+9Kc/IRaLYevWrSnH0bycPn3WrFno6enBu+++m9b2bFpbW/H8889b7wt6X0b93jLSUGc+x5q9Unf06NGUCvFIVvUdTfmEuphSyh49OXaJAHBpeHIffglBw3Clw2kfLXkriizfSp22YcMG3HvvvVi3bh1isRjWrl2b9i29ZoY6ewcxEz03yr51ge50DXeRAnPej8nsrAWDQcc5dfrnv/zlL9i1a1dKNQQA1qxZY1VgTGYbGxoa0ubzaWalDjj2XOghgcCx+WYDAwMpbdYCgQD6+/vx9NNPW22xV6XMQGNW6szn25yHZl+9E0gPu1VVVdYQQqcwGYlErHaYe8rV1dWhvr4eGzduRGtrq7WKpZNMoc5sn8fjcRyCmm+lTt9PJBJBNBpNCXXmdgSbN2+2FscxO/wejwcikhLqzBUTzeesrq4OSikcPnw45fX4sY99DNdeey2mTp2K6upq9Pb2pg2LBpKBsLq62npOdGVIt1l/yeAU/isrK612BYNBKxDG43EkEgmrOqyPA5AadHbu3IlwOIzZs2cjEAikVOqqqqrS3gN27doFIPka7+rqcpwjaB7Ls88+G4FAIGVuZD5eeOEFvP3229ZrX8/ltG84bh6XfIZf6td0tlCoh/nqy7gh1G0Ukc8C8IrIPBH5CYA3itwucglW6shtOKeOchGRfxCRDQDmi8h6498uAOvL3b7R5hTquru7HecSAcCePXsAJMPXypUr8fTTT+PnP/85vvOd76R1jHSosy9coTmdpr/5tocw3fmLx+N4/vnn8fLLL+f1+IZbqdNVqIaGBuzYsQM/+tGPUm7LbPPKlSvxy1+mT7OMxWKYP38+rr76atTW1lqrGupNrzWzUmcy5x/qUNff35+xUnf06FGsXbsWTz75pNV2IDnk7tRTT7WW7teXB9IrdZ2dnSnz4DSn4Zd9fX1WkMg0/NIMdWblyuPxYN68edi3bx9isVjWOUj2UBcOh9MqdcCx57K2thZerzetMmxexokOpvZQZ86Xe/zxx/Hiiy8iFoulhDq9YboONT09PSmhznwMc+YkB7odPnw4JegHg0GcccYZEJGMlTq95YJTqNOvET2cNtO8WM3cpsI+5Nes1Om5l0AyPAHJitqkSZOsSp2u/NlDnR42O2fOHBw9ejRtoZRt27bhjTfeSDmW1dXVGVeczUS/LnWgHRwchM/ns46Befz1+5G+z2yVunA4DBFJed3YK3X6/fLGG28EMPJtV0ZLPqHuNgALAUQAPAKgC8BXitkocg+GOnIbhjrKwyMAPg7g6aH/9b+zlVI3lbNhxWCGOt0p+cEPfoBHHnnE8fJ6mFt/f7/VAdMduMOHD0MphR07dmBwcNAaEpVpY2KnTpDumIbDYWzdutX65t68/ttvv43ly5fn9fgGBgbg9XrTOrr2UKfP10GnoaEBkUjEao9uk1Ol0P6eEovFMGHCBJx99tkAkkvV/83f/A3+5V/+Bddff711uUyhzvxdB8JMlTrzsvr5OPfcczF37lxceeWV+NSnPmV1Zs3L20NdR0eH1SE2OVXqent7rdMzrX5pLidfXV0Nr9eLs846CwBS5tCZAchOd8hFBCJiBS/7cdCXC4VC1mqd+vWin1enY6fpUKfn64VCIUydOtU6FuZx6unpSZlvpe9jcHDQClnmYzJ/PvHEE62f7V8ymKfbh4/GYjHrSxa9eA1wrFJkzlMEnCt1JnObCvviPGao0+crpXD06FEsWrQIzc3NmDx5Mg4dOoRwOGytHGuuYgkk/479fj8mTZpkfWGgxeNxPPLII1i6dKn1uPQ2FcMNdfp51bejF3vSf5Pm37kO4E6Vung8jq1bt+KOO+7A4cOH0+Y9AskvJLxeL9ra2rB582bHQFxO+YS6jymlvqmUOmfo378juW8PEUMduQ5DHeXBC6AbwK0Aeox/EJEJWa43KkTkIyKyRUS2i8g3in1/9kqd/vtoaWlxvIxe0bK7uzutAxYOh7F//3489NBDWLp0qdXJ0cPmgNQOZzQaTft71AFxcHAQjz76KO69917rd7tIJIL9+/dj2bJlUEph+/bt1h5amt6Hzv5ZZXb2qqur0+bUOYWNTOHUPE0p5biKqLnQgqYvY1bmrrrqKgDAFVdcgdNPP93qMGaq1DlVoKZOnYqbbrrJccVH+/BLXTHKFOp01cO+KbsOAXpOnX2uozlPS0Rw++234+qrrwaQPhwzEzOs+f1+a2uFTKHO3IJBPyf68WWaU6cfgxkYb731Vtx8883WsfjBD35gXVZXC4Fjx1JX6uzbGQDJ6tCUKVPg9/tTKqaZhuTqeXz24Yr6y4W6ujrruOpgZq/U5Qp1uhpoBjH9OguHw2mhLhKJIJFIYPLkyQCSC9+Ew2EcOnQoZTsQc/hhR0cHqqqqMGHCBMTj8ZQ5j+b7id6/UIfp4YY6/YWFfn3q17BTqAOQEurMEBqLxbBq1Sr09/dj3759afMezeu/9957ePzxx622BoNBa14mkBx6qt8nSynnlgYAbgfwuzxOo+MQQx25DUMd5WE1js0dt7/BKQAnFOuORcQL4GdIzufbD+AdEXlaKfVese7THuqcFiIxOz+6I9nV1ZXWYQqHw9b55twYMwxVV1enrGhoX82wo6MDXq83beU9p2rQn//8Z2zevBnhcBhnnXUWHn74YQDJiojZSXWqiphtr6qqsjpk/f39EBEreJx55pk4++yz8ctf/hKRSMRxX7/+/n7rMSQSCSilcg6BA451vvUcutmzZ+Occ84BAHzwgx8EkNyoXd+uU7XJ6bRsAcY+/LKhoQGJRMKqpjgth9/Y2GjtTaeUQm9vr9Xh1ce5t7fXehxtbW0YGBhICatmtdAMctkqdfr2GhsbcfToUavjbm+jfi5ramqQSCRSVrKsqqpCd3d3zuGXnZ2d1nw9/XpxCkc6/Omqjb6cuZqofUjpTTfdBI/H4zhX0aktQPrcztdeew1AMhDrhWV6e3vh8XjSNqvPJ9QlEomUDcuzVer0e4Jum54H2draitmzZzuGOqUUqqqqUhbG0ZxCnT7uww11+nk2K4+5Qp0OzPZQpx9nb28v+vv7HZ+jqqoq63Hq4Ob3+60vBuLxuLWo0re+9a2S9pEzVupE5KND8+emiciPjX/3AxibW6lTyTHUkdsw1FEuSqk5SqkThv7Nsf0rWqAbci6A7UqpnUqpKIDfAri2mHdoH36Zac8xu97e3pShifr6uvNvhkOzUue0sbYWi8XQ3d2NmTNnpl3GqQ1r1661OljmSpTvv/9+yn07hTqz4+v1elOGJfr9fixcuBBz587FeeedZ3UMzXBqXt+cb5ZpA2gn+jJNTU340Ic+hI9//OMZL2O/T80p1GUa2mfexuDgIAYGBlBZWYmGhgYr1Djd3tSpU60VT3UQ0IFLh7L29na8+uqrGBgYsOY7zp4927ENZqjLFrZmzJiBiy++GFdffTUCgUDKUD2Tvo1QKGRVe+zDL/OZU2f/gsHpWDgNATVDncfjSXuNh0KhlCG+QOq2FU6PxXxNrV271tpOoLa21rrMwMAAKioq4Pf74fV6rS9Lsg01BVLnSerjZJ9TpwONrtSZbdNDgvVt6cdlH35YVVVlXdZcUMgMfzrs6Y3k8xnCGI/H8dBDD2H79u1pG6bbh1/av+DIVqnTgXJgYCBjpc48Tb/nVFRUWBVrsyKp9yYslWzvOAeR/LbymqH/tR4AXy1mo8hdGOrITRjqKBcRWaCUel9EznI6Xym1poh3Pw3APuP3/QA+UMT7S6vUOYUne/VOD3EzOzD6+jrUmRtAZwt10Wg0beGHGTNmWKvnAcnOk+5I69upr69PCZXm/T333HNob2/HVVddhYGBAcchfvbPLnt4qq+vx003JadQ6jARiUSs6syXvvQldHd345FHHkmpLgwn1OmAJSI4//zzHS9j3k4+lTp7RSjT5aPRKMLhMCZMmAC/34+2tjZr3zm76dOnY+3atdi7d68111IfU12JWblyJbZt24be3l50dHRg7ty5mDVrlmMbzIVNsvF6vbjsssusdmcKdfo5CYVCiEajVqXOPHa5Ql1fXx/i8XjK5ZyCl16wxL6ARiwWs1a+zLRFg8lpg3mznX19fVYA0dWsz33uc9a8MP1Zpn8OhULW30CuSp2+bzPU2St1EydOTFtwRh/3QCCAmpoa9PT0pIRV++qPVVVVqKmpsS6rw7P5BYwOq4FAAIFAAIODg9aG5ZkcOHAAO3bswN69e9O2jNCVuqamJuzfvz/tb98MdWaA1Ivc6Meh59TZme+FPT091mI5+r3JfE/avn07urq64PP5cPLJJ2d8PKMl4zuOUupdAO+KyENKKVbmyBErdeRGDHWUw9cA3ALgew7nKQCXF/G+nd5QU16wInILku1Lq2iNhO6k6GFF5rfXiUQCHo8nbcjhtGnTsG3btrTbGhgYsObimXuZmberA1xlZWXa/elKg/1x6cqL7rQDwGc/+1m89NJL2Lp1K4DU5cWj0SjeeecdLFmyBOFw2Nr82u4f//EfrQ6piFjDPu2hwQxC+vI1NTWO2yCMJNRlM9xKnblHlxN9+UgkYlXqfD4ftm7daq1AaKeP3zvvvGMdfx3IJkyYgOrqauv1oBfQybZVAQB87Wtfy+sYme3OFOr0Pm9NTU2IxWIIh8Po7e2F3++3Xt+55tTpjr4Z1iZOnIjp06dj1qxZ8Pl8eOWVV6zQY6/U6Q59tuGkwLEAmGkuoW5nf3+/Nb8uGo2irq7OWmhFRFBZWWlV6gBYoc5pg3o7HVbNzcz13Dgdbqurq9Ha2poy79U8No2Njejp6Umr1OkVI/V8TRHBxIkTU0KdfX9E4NgQRiD5d5at2qzfY4LBoPU+Yw91n/jEJ7Bz586U+Zv6fsxQZ86n1LelK3VOwy/NY9vd3Z0yr9KsalZUVKC1tRUrVqwAAHz729/O+HhGS8a/pqHlnNXQz2nnK6VOL16zyC0Y6shtuPk45WHp0P9fUkrtLPF97wcww/h9OpIjZyxKqXsA3AMAixcvLvgbCt1hq66uTvtmPhaLpXSMtalTp1qdeHPPq61bt1or4ulv7SdMmICWlhaEw2F4vV6rs1ZdXZ0x1OlOuqYrL7W1tdZlqqurcd5552HPnj2IRCJWqPvwhz+Mffv2Yd26dejs7Mw4/BJIn/tUUVHhGOrMoZnmZZ025h7J8MtsnDYON9lDWK6gqJeg7+npseYb1tTUIB6P4+jRo45bDOj7MOdF6UUzRAQzZszA5s2bASQrv9mOuZZp+GEmgUDAeh3an58LLrgA06dPx8yZM61O94YNG1ICVrb7MwOfGVz8fj++9KUvAUg+9zrU2St1Pp8PfX196OvrS1nh0slNN92E7du3Zwx/5pw6HYbs7dJttoc63ZZczH3tzOsFAgGrWq6Pl66c2dughyHW1dWlVOoqKipSKqfmbfl8Pni93rSKnt4AXt/+66+/nlKl3b17N4LBIPbu3ZvSRhGx2qZvUw+/bGxsTFllVfP5fNb7lX4e4/E4uru7rffCjo4Oa06g3TXXXINly5Zhy5YtGBgYsEKj/jJJv0dMnTo1Zcip03zV0ZYtyl+N1KWc7f+IWPEg1+HwS8rD7UP//74M9/0OgHkiMkdE/ABuRHJrhaIxQ525wARwbL6bvVKn99sCgI9+9KNWJ/vQoUMQkZShRjNnzkQ8Hsdbb70Fv99vdQB1h8ke6rxeL6qrq3HDDTfgmmuSi23rSp0ZYCorKzFnzhx8/etfB3BsfksoFMKiRYus06LRaNYqjSnTFgMiYi1bb86pCwQC8Hq9KStDDifU5dOuXMMvJ0+eDL/fn7MyZqqtrUVrayuUUgiFQlaQs1egNN0510Nrb7/99pTLmfetN5rO95jnK9tcNxHBrFmzICKYM2cO5s2bByD5HH34wx/GwoUL074oMJlhJdMwTTPYm3vwAce2NMhU3THNmjULS5YsyfiFuPnYzGNoP576/nW7dHDKNZ8OOLZaY09PT9rr2SnU2Vf7BJJBetasWZg3b15KqPN6vdbfgD3U6cCnq1k6dOnHYoa65cuXIx6PQymFBx54AHfddReee+45PPXUU9YXOObfnVmpy/a3Zx9+GQgEUFFRkTJ8Ww8rdwp1kyZNwrXXHpvmrI+/DnW6HVOmTEmp4DtVJ0dbxlCnlNqT7V/RW0auwEoduQ1DHeXhiIi8DGCOiDxt/1fMOx6a7vBlAH8GsBnA40qpTcW8T139yFSpMy+jNTY24tJLL8WiRYtw6qmn4vbbb7c6aA0NDSlzpczNtr1er/X3Zy7YoXV2dqKhoQEiggULFljhUIdNs8OsP3v0Ztm6U1ZZWWl1xvR8nVxVIy3bnmZ62Nbg4CC8Xq+1d5qeLwQkh2PpDmE+38rn065cwy+DwSBuv/12XHTRRQDym+deV1dnbSJvrlCY6T7MCmtVVVXa8TGHt+oVAfM95vnKtYCJSYdMr9eLmTNn4tOf/nTW5yNTpc6k57Lpaox9+GVfXx8SiUTGrQryZbYzU8AzfzeHAwPIOhdNExFUV1ejt7c3ZauRyspKa06YGersC6UAySHYn//851FbW5tWqdOvQf33qt8PotFoSqXOXkmzP8bOzk7HhVN0tV5/2aRvUymVsyLmFOp0wNVt1e97mZ5LezXXvF19PCdNmpRynVKEumzDL1copS4UkR6kjucXAEoplX12Kx0XGOrIbRjqKA8fA3AWgAfhPK+uqJRSzwF4roT3B+BYqMunUhcKhXDJJZeknKY7N+bqfEAy5F133XV48skn0dvbawWA2bNnY8eOHWmVOnNYWiAQgMfjsTZjdupk6UUKdKgLBAJWoNABazRCne60eTyelKBVW1trdQjN/cyydSw/9rGP4a233sqrA56rUqfpDrTTlgt25513Hnbs2AHgWKjLNJ/QvH37NgXa9OnTreFz5t5do8mpI52JDqn5Lo+fT6VO32+mSp0OH4WGOvOxVVRUWHPw8q3UmfvbZWP/Esfn8yEUCllbkZhBzCnUmXQb9HDe+vp67N+/33oezJU1nUKd/t1++7pyb2dul6Jvv7OzE7FYzFo8JhNdVY1Go9i5cycWLFiAnp4e6294woQJ1ntJpudSvwfo4elA6mtDL7RkKmuoA/DXAKCUGt6gZzquMNSR2zDUUS5DWwm8JSIXKKXay92eYjNDXTweT1mZTnf4dKXuQx/6EJqbmx3f9829wuyVD3Po2/z58/EP//APCAaDWLZsWVqomzHj2JRCEUEwGExZBOWzn/1sWrAwQ10hlTpzKJWd7rTZV5esqalJ62Sat+Vk8eLFWLx4cV5tMhdmyDakccqUKaitrcVHPvKRnLdpzvuqrq629jrr7OzMeKxCoRAOHz7s2NENBoP45je/iTfffBNLly61ThtN9spYNjpM5BtwzOOabfikrtY6LZSijWao83q9VnhwmlMHpFfq8p0zrufk6b9xr9ebUmG3D7/U896cmAul1NTU4Prrr8eWLVus7Qz0bcXjcfh8PmvYpD7fvg+e1tHRkXGFVL2BOpB8DXd2dmZcSMekv5x58cUXASRDXHt7u/U+1NDQYO0Nmeu1EIvFUoZsmyuj2hfCGe7+eyORbU7d7wBARJYVvRXkWgx15DYMdZSv4yHQAckOlV4SHUDKktz2St3UqVMz7j2mO1I1NTUpnTOv14uJEyfC4/FYG2s3NTWlrCgJJL/JjkQiad9wV1VVpWyqPG/ePEydOtXxvgFYw6n8fn/WuTFOdOfUKTTozqDZkdOPt6enJ60zPZyVHbMxP2NzVZG++tWv5r10+oc//GFUVlZaHWsdwjJ1ZPXpmc43X0NAfvMFh2M4wy+bmpogIvjAB/LbDcR8fWTaagBIHWJnXyjF6bZGwhy+aC46kqlSp19nuupl7iGXTTAYtLYw0dsimAFK/6xDbLbXnvla1+HwnHPOsR6HDtmnnXaatRiR2WZ9/JxCXaZ968whvzo0Ztqc3qSfQ72H3EUXXZTSfnO1zGzPpX0eoD3w20NduSt1HhH5NoCTRORr9jOVUt8vXrPILRjqyG0Y6ohSKaXg8XiyhjodWLItla47avbhl0Cyo/eNb3zDcX6YDnV6nox99cWqqiornGUKCk7D54LBoHWbuRavsLcpW6VOD4nT9BwcvcCE/bZGU7aO9XCdd955OO+886zfZ82ahZaWFmtVSzvdwc3W0TUDUTGHX+Zasj8YDOLf/u3f8g7W5hcJ2YbE+v1+9PX1QSlVtEqdHk6sh/Fl2pJB/67b0djYiI9//OM5V9/U9LYD5hw0c4XQUChkXSbTpvSa+fidjnkoFMLXvvY1hEIh3H333dbpjY2NOPfcc3HmmWdabTK9+eabjquxAslQp4cQ21ehzRXqEokEOjo6cO6551pbOQDJ5948Bvns92h/z+jv77dWmD333HMRCoXw8ssvlz3U3QjgE0OX4RBMyoihjtyEoY4olf5yTndGs1XqsnV4deeztrbWMXzZO0h6Xko0GsWOHTvQ2toKID3UBYNB6xv4TKHGnNeiO/zBYNAKWvmGOn3dTHPqent7MTg4mHK+rmjoBULMy4+20Qx1dldccQVOPvnkjKto6pCWrQJnhrp8j3m+8lnV0TScSmk+cxt1G/QXBfY5dVqhoU7fTyQSseZ/AZkrdfo1KyI466yz8r4PvRWJGerM6pLet/Htt99GKBTKWsE0H3+m4+60kIvP58NHP/rRlN/t1q5d63h7ZqVuuKEOSA7N1WHeXJE33wqz+Z5j3q65TYR+bK+99lpJhl9m23x8C4D/FpH1Sqnni94SciVW6shtGOpopETkfwE4AuCJoVUqxwU9/FJ32s2lve2rX2arkJxxxhnYs2ePNfQtH36/H11dXXjooYes05wqdVqmDpfuWJnn68ejlywfjkyhLhqNwufzpQ2/BI7N3zMvP9qKGer0SpGZ5LOJt9nxH24Iy2W0b8/u1ltvzXl8fT6f9UWBWYk0n+vReI7MeVr6b9B+3Asd3ltZWQmlFPr7+63704H+hBNOAABr4Zu+vj7HPd+c2pIrINtDncl83/j85z+P+++/36rSa3PnzsXRo0dTQp1+3eU7p06zh7pgMDji+bf6/97eXsf3sLKGOo2BjrJhqCO3YaijAgiACwF8DsA1ZW7LqNHDL3Vnxux8DKdSd/rpp+PUU0+Fx+OxOqK5Orh+vz9lkZFAIJB2HbOTlSvUOW2OPJyKkf48y7algd4EXdO3bx9+OVpz6kyjPaRxOM4++2wcOnQIp556asbLmO0b7b5BMQMtkN9cNL/fb4Vb83VlBoXReNxO+yXaX/t6yfxsQTwbfXvd3d3W/QSDQdx2221WSLr++utxzz33WOdlYr4v5Hrd68t6PJ6sXxLNmjUL9fX11siBa665BhMmTMCMGTMQi8VSFljSz0W+c+o0XZk0Q50Or+ZenE7s7xX6/3A4nPb+EQqFxkaoI8qGoY7chqGORkop9bNyt6EY9Pu4HrqYSCSsFffsoS7XXCZ9fkVFBa6//vqcG2L7/X60tbVZv5sdNc2c45Jr+KVTpW4koc7pfjJV6vRl7Z22fIf05eOSSy7Be++9V/Rgk01DQwNuuummrJfJ9fooxGgvvDIS5vPuFOpG6/GbC6Vo9lA1Z84c3HrrrVkraNno2+vp6UnZU81cKKS5uRmnnHIK3nvvvayhTkSs94xcoS7bYkQA8KlPfcp63zEXSVq0aFHK8GjzWOsQms/wS/Nx6IqaGepCoRA+//nPp1QCs7EPvzRP08yVOouJoY4Kws4xuQ1DHeVLRL4C4NcAegD8EsCZAL6hlHqxrA0bZXr4pR6C2dfXh6qqKnR3d6ctlDKcoGJuOp5JdXV1SqhzmhNkdjIzdSydKnX6svZV6LLRHfVMcwL15uNOHThdJdBG8wvPSy+9FJdeeumo3V4xffSjH01bwXQ06NfB6aefPuq3nS+zs26GOh0KRit46tdOtkodkP9Kl0707Q0MDGQNQTr45HpseqhovsMvM4U/sxKsq+0+ny8tMJvXH86cOnNopH5M+r1C39+sWbOyPgaTvVIHpH8pFAqFUt7nimXYoU5EFgNoUUodKEJ7yGVYqSO3YaijYfiiUupHIvJhAJMAfAHJkDeuQp0efgnACnXV1dXo7u7Gm2++iaamprwrdcOlq3CNjY24+eabHRdjMCsRuSp1hQ6//PCHP4xJkyZZc4rs9xGPxxEOh1M6jXo5+FJ8E+8G5557blFu1+fz4atf/eqoL8Ay3DZo5hcMejiuWVUuhP478/l8uPjii7F8+fKsC5WMRKY5gXb5bmqeqwKn6VCXz5xT/Tecaz6lfU5dttvWXzgEAgGr/2oPdfnQX3iZWxpo9vZOmjQJ7777Lvr7+0dlIZ1MRlKpuw3A6SKyVSl1w2g3iNyFoY7chqGOhkG/uV0F4NdKqXdlHL7hme/j5gqHXq8XfX19eOSRR6xV3EZzSCFwrDM2ceLEjB3ihoYGTJgwIetQTvtKgABw8sknY8eOHXnvVaZv5/zzz3c8z9yCwey06aGrOtR96lOfyrgMOxUm00bUpWJ2yM3XWlNTEy6++GIsWrRoVO7HHH55ySWX4JJLLhn1L1TMyls+IcismDvJth2IaTihLp8VV/VtmV+s5Foo5frrr095PPrYDucYL1myBL///e8xffp063Y1+5dPet7j2rVr8cEPfjDv+xiuYYc6pdTNACAi3OaAGOrIdfh6pWFYLSIvApgD4Pahz71Ejuu4jh5+CaSuGOn1eq0KXbEqdXr4WLZhZCKCL3/5y2mbe5uc5jTV1dXhc5/73Ci1NPs38YFAAD09PQCAadOmMdSNUzpU2v8ORASXXXbZqN+ffe7YaMq3UnfSSSfhpptucqxem3RYG81Qp0N0pqGa1157LXp7e1P29svntu1Dw/XlhzN8dubMmfja145t451t+OWMGTNw9dVXZ11kaDTkFepE5DokV/xSAF5TSv1BKdVT1JaRKzDUkduwUkfD8CUAZwDYqZTqF5FGJIdgjiv24ZdAslOihxcBI5tTl4/TTz8d8XgcCxcuzHo5vWdWJrp9xVhxUsu2wbTf77feV4qxlQGNDbqaXMwhdKZiLg6jhx8qpXIugjJ37tyct+c0D9BJvuEPOHac9ZdKdmeccYb1sw51ud4rnJx11lmIRqM455xzhnU9U7ZQByRXjy22nO9+IvJzACcCeHTopL8XkSuVUrcWtWXkCuwck9sw1FG+lFIJEZkN4CYRUQBWKKWeKnOzRp355ZzuRJohBTg2n2a0qwYej2dUOjt63t1wFjgYrkyLZNjPK2awpPJqampCc3MzLrzwwqLezyc+8Qm8+uqrmDZtWtHuQ69YOTg4OCpbZegvVopRqcsU6ky6Wq6HYg5HMBgsuNKaK9SVQj7vPJcAOFUNvbuLyAMANhS1VeQqrNSR2zDUUT4cvtT8OxG5Yrx9qek0p87+TXc4HHY8fayYO3cubrvttpzzfgqRaTl7ILVDx0rd+BUIBHDLLbcU/X4aGxtx3XXXFf1+tNHc/7AYC6VkG3qtOW0tUErmF15jOdRtATATwJ6h32cAWF+0FpGrcPgluQ0rdTQMx8WXmolEwuqQ6FBk7lsFwBrWNFbf70WkqIEOyF6p0x1JESnqXm1Eo8n+ZU4hhjunLp/hl7pd+XxmlzvUmcq1p2I+oa4RwGYReXvo93MAvCkiTwOAUuqaYjWOxj6GOnIbhjoahuPiS03zffzUU09FTU0NZs6ciaefftq6TCQSOe7DirmkvH0hFLNDyc9EcotAIIBoNDoqWzHo132usKbPz+f9RH95Ys6dy8Rpa4FS03tZlmuV1nxC3beK3gpyLYY6chuGOspFRJ5BcmGwOqR+qXkugDfK1rAiMd/HRQSzZ88GAFx33XX44x//aO3NNlaHXpaKXtp98uTJaR1SXR3gfDpyk09/+tN4/fXXMWXKlIJva8qUKTh06BDq6uqyXk6Hr3w+h6urq/H1r389r70JnfaqLLVPfOITaGtrG3uVOhH5KYBHlFKvlrA95DIMdeQ2DHWUhzvL3YBSModfmk477TQEAgE8+uijrNQhWVn4+te/7thpzHePLqKxZObMmdYeaoW66qqrcPLJJ6OpqSnr5fSXQ/l+Due76br+2ytXoAKSWyXYt0sopWxfKW0D8D0RaQbwGIBHlVLrRuuORcQLYBWAA0qpq0frdqm0GOrIbRjqKBfzy0wRmYzktAMAeFsp1VaeVhVPtvdxHVZYqUvK1MHUHUqGOjpe+Xw+nHTSSTkvV6zwNRZCXbll/NpNKfUjpdT5SE4UPwrg1yKyWUS+JSK5n7XcvgJg8yjcDpURQx25DUMd5UtE/grA2wCuB/BXAFaKyKfL26rRl2+oO94rddlw+CVRfhYuXIiLL74YF1988aje7liYU1duOd+hlVJ7lFL/rZQ6E8BnAXwSBYYxEZkO4GMAflnI7VD5MdSR2zDU0TB8E8A5SqmblVJ/jeScuv+3zG0adZmGXwKpoY6BJbPjuSNJNBxerxeXXXbZqG6jABx7rzqev3zK+chFxCciHxeRhwE8D2ArgE8VeL8/BPC/AeTeeILGNHaOyW0Y6mgYPLbhlkeQx+em2+RTqYvH48d1ZykXc0sDIiq9WCwGYGxsaVAuGd+hReRKEfkVgP0AbgHwHIC5SqkblFJ/GOkdisjVANqUUqtzXO4WEVklIqva29tHendUAvwQIzdhqKNheEFE/iwinxeRzwN4FsnPwnEln1AHjN2Nx8cCVuqIymvevHnw+/049dRTy92Ussk2luLfADwC4J+VUkdH8T4/COAaEbkKQCWAWhF5SCl1k3khpdQ9AO4BgMWLF7MHNkZx+CW5DUMd5Usp9S8i8ikkP7cEwD1KqafK3KxRl8/wS4ChLpvjuTpANBY0Nzfj9ttvL3czyipjqFNKXVaMO1RK3Q7gdgAQkUuRDI03ZbsOjV0MdeQ2DHU0HEqpJwA8Ue52FJNSKmOoM+fRMdRlpucH6SFgRESlxlnPVBCGOnIbhjrKRUR6kNx8PO0sAEopVVviJhVVtvdxr9dr/c0w1GU2ZcoUBAIBXHHFFeVuChEdp8oa6pRSrwB4pZxtoMIw1JHbMNRRLkqpmnK3oZSyDb8UEVRUVGBwcJChLovKykp84xvfKHcziOg4xqWsqCAMdeQ2DHVEqXK9j+v5Ygx1RERjF0MdjZjuGDPUkZvo1yuDHVESQx0Rkfsx1FHBGOrITfh6JUqVbfglwFBHROQGDHU0Yqx0kBuxUkeUipU6IiL3Y6ijEePwS3IjhjqiVLlCnd7WIFs1j4iIyovv0DRiDHXkRgx1VE4icoeIvC8i60XkKRGpN867XUS2i8gWEflwqdrE4ZdERO7HUEcjxlBHbsRQR2W2FMCpSqnTAWwFcDsAiMgpAG4EsBDARwD8XERKkqI4/JKIyP0Y6mjEGOrIjRjqqJyUUi8qpWJDv74FYPrQz9cC+K1SKqKU2gVgO4BzS9QmhjoiIpdjqKMRY6gjN2KoozHkiwCeH/p5GoB9xnn7h05LIyK3iMgqEVnV3t5ecCNyDb/Uc+p0uCMiorGnotwNIPdjqCM3YqijYhGRlwBMcTjrm0qpPw5d5psAYgAe1ldzuLzji1QpdQ+AewBg8eLFBb+Qc1XqNIY6IqKxi6GORoyVOnIjVuqo2JRSV2Q7X0RuBnA1gCXq2AtxP4AZxsWmAzhYnBamyhXqdBP9fn8pmkNERCPA4Zc0Ygx15EYMdVROIvIRAP8K4BqlVL9x1tMAbhSRgIjMATAPwNulaFMikcgr1OlhmERENPbwHZpGjKGO3IihjsrspwACAJYOvRbfUkr9vVJqk4g8DuA9JIdl3qqUipeiQUqpvPag43s9EdHYxVBHI8ZOMbkRQx2Vk1LqxCznfQfAd0rYHH2/WQPb5Zdfjmg0ilNOOaWErSIiouFgqKMRY6WO3IihjihVruGXdXV1uOGGG0rYIiIiGi7OqaMRY6gjN2KoI0qV7/BLIiIau/guTiPGUEduxFBHlCrfLQ2IiGjsYqijEWOoIzdiqCNKVVdXh6qqqnI3g4iICsA5dVQwhjpyE4Y6olS33npruZtAREQFYqWORoyVOnIjhjoiIiIabxjqaMQY6siNGOqIiIhovGGooxFjqCM3YqgjIiKi8YahjkaMnWJyI4Y6IiIiGm8Y6mjEWKkjN2KoIyIiovGGoY5GjKGO3IivVyIiIhpvGOpoxBjqyI1YqSMiIqLxhqGORoyhjtyIoY6IiIjGG4Y6GjGGOnIjhjoiIiIabxjqaMQY6siNGOqIiIhovGGooxHTnWKPhy8jcg+GOiIiIhpv2BunEWOljtyIoY6IiIjGG4Y6GjGGOnIjhjoiIiIabxjqaMQY6siNGOqIiIhovGGooxFLJBIAGOrIXRjqiIiIaLxhqKMRY6WO3IihjoiIiMYbhjoaMYY6ciOGOiIiIhpvGOpoxBjqyM0Y6oiIiGi8YKijEWOoIzdipY6IiIjGG4Y6GjFuPk5uxFBHRERE4w174zRirNSRGzHUERER0XjDUEcjxlBHbsRQR0REROMNQx2NGPepIzdiqCMiIqLxpuShTkRmiMjLIrJZRDaJyFdK3QYaHazUkRsx1BEREdF4U1GG+4wB+LpSao2I1ABYLSJLlVLvlaEtVACGOnIjhjoiIiIab0peqVNKtSil1gz93ANgM4BppW4HFY6hjtyIoY6IiIjGm7LOqROR2QDOBLDS4bxbRGSViKxqb28vedsoN4Y6ciOGOiIiIhpvyhbqRKQawBMA/kkp1W0/Xyl1j1JqsVJq8aRJk0rfQMqJoY7ciKGOxgIR+WcRUSIy0TjtdhHZLiJbROTD5WwfERG5Sznm1EFEfEgGuoeVUk+Wow1UOG4+Tm7EUEflJiIzAFwJYK9x2ikAbgSwEMBUAC+JyElKqXh5WklERG5SjtUvBcB9ADYrpb5f6vun0cNKHbkRQx2NAT8A8L8BmC/CawH8VikVUUrtArAdwLnlaBwREblPOUosHwTw/wC4XETWDf27qgztoAIx1JEbMdRROYnINQAOKKXetZ01DcA+4/f9yLCIGOecExGRXcmHXyqlVgBgChgHuPk4uRFDHRWbiLwEYIrDWd8E8G8APuR0NYfTHF+kSql7ANwDAIsXL+YLmYiIyjOnjsYHVurIjfh6pWJTSl3hdLqInAZgDoB3h16H0wGsEZFzkazMzTAuPh3AwSI3lYiIxgmucEEjxlBHbsRKHZWLUmqDUqpJKTVbKTUbySB3llLqEICnAdwoIgERmQNgHoC3y9hcIiJyEVbqaMQY6siN9OtVDx8mGguUUptE5HEA7wGIAbiVK18SEVG+GOpoxBjqyI30FhwMdVRuQ9U68/fvAPhOeVpDRERuxuGXNGIMdeRGOtRx+CURERGNFwx1NGLcfJzciJU6IiIiGm/YG6cRY6WO3Ihz6oiIiGi8YaijEWOoIzdipY6IiIjGG4Y6GjFuPk5uxFBHRERE4w1DHY0YK3XkRgx1RERENN4w1NGIMdSRG3HzcSIiIhpvGOpoxBjqyK08Hg8rdURERDRuMNTRiDHUkVsx1I2+jRs34o033ih3M4iIiI5LDHU0Ygx15FYMdaNvy5YtWL16dbmbQUREdFxiqKMRU0ox0JErMdSNvlgshoqKinI3g4iI6LjEUEcjlkgkrJUEidxERBjqRhlDHRERUfmwR04jFo/HGerIlTweD1e/HGUMdUREROXDHjmNWCKRgNfrLXcziIaNwy9HH0MdERFR+TDU0Yhx+CW5FUPd6GOoIyIiKh/2yGnEGOrIrTj8cvQx1BEREZUPe+Q0Ygx15Fas1I0+hjoiIqLyYY+cRoxz6situPrl6IvH43w/ICIiKhOGOhoxVurIrVipG32s1BEREZUPe+Q0YtzSgNyKoW70MdQRERGVD3vkZbZnzx68/vrr5W7GiLBSR27FUDe6lFIMdURERGXEHvkIbd++HXfccQdaW1sdz1+xYgVeffXVnLfz4IMP4qWXXkJ/f/9oN7HoOKeO3IqrXwK7du3CsmXLRuW2EokElFIMdURERGXCUDdC69evR39/P3bs2OF4/rJly/DKK6/kvJ14PA4A6O3tHc3mlQQrdeRWHo/H+tsrhfb29qJXBhOJBHbu3Jl3WH344YexYsUKHD16tOD7jsViAMBQR0REVCbskY+Q7hB2d3ennWd2qsLhcF6358ZKHefUkVt5vd6Shbq9e/fi5z//OVauXFnU+1mxYgUefPBB7Nq1K6/L68d/+PDhgu9b3xZDHRERUXmwRz5COoT19PSknae/tQacQ5+TgYGB0WlYCbFSR25VUVGR8ndaTPv27QOQnD9bTO3t7QCAI0eODOt6+Vy+s7MT9957r3UfdqzUERERlRd75CPU19cHwDm0RSIR6+d8Q50bK3WcU0duVcpKXUdHBwAUPUTqEQL5fEFktiWfSt3u3btx8OBBrFixIuvtMdQRERGVB0PdCOlQ51SpM0OdvlwmOhS5NdSxUkduVIxQd/DgQTzwwAPYs2dPym13dnYCGNm82XA4jMceewwvv/xyXpcF8nsvMYeF51Op04/HfG8zRaNRAIDP58t5W0RERDT62CM39PX1Zey0mBKJRMrwS/vCBOZt5OrI6evmO/duNCilRmXlP4Y6cqtiDL9ct24ddu/ejfvvvx9/+tOfrNN1qHP6AigbpRQeeeQRvP/++1i+fHnO9ur3mnxCnQ5hXq83ZUilUgr33Xcfli9fnnJ5/Z6WabEXfX4gEMh530RERDT62CMfopTCnXfeiYceeijnZfXwpgkTJqQEPC3fUBePx61OUinn1K1Zswbf//73C75PLpRCblWM1S/1MMtQKIQtW7YASL6vdHV1AUj+jQ/ny5SWlhbs27cPs2fPBoCM89k0PSogn1A3ODgIAJg6dSr6+/ut6/b19WH//v1plUH9pZO+nh1DHRERUXmxRz5Ed1b279+f8fwtW7YgkUhYHaDm5mYAwJ133pmy4ly+wy/1t+VAaSt1r7zyCnp7e3HgwIGCbodz6sitKioqRj3UdXV1YcGCBTjvvPMwMDCAaDSKgYEBxGIx1NfXQymVcSSAUipt2wMd4s4999yU3zNdX7/X5DPaQL/3TJs2LeW2M1UTcw3tZKgjIiIqL4a6Ibm+3X777bfx29/+Fu+9957VeZoyZYp1/tatW62fdQenqqoqa6XO/Na70FCnlMLrr7+Obdu25XVZAGhrayvoPlmpI7fyer0jGn6ZSCQy7usWiURQWVmJuro6AMmQp6t0kydPBpC5Ir9nzx78/Oc/x9tvv22dpodt6kqdvi0n4XB4WEO5daibPn06AODQoUPWY8j02IDMX1Ix1BEREZUXe+RDzM6K07wRvZjAu+++ay1NPmfOHOt8syOlOziNjY15V+oKHQq5cuVKvPTSS3j88cczznvp6+vD7373O6tNhW46PDg4yIURyJVGulDKiy++iJ/85CeOFa1oNAq/34/a2loAyaqXXv22qakJQOa/c/23qLc/AJKhrrq6GsFgEFVVVVlDnf5Syuv15hXq9BdKEyZMgN/vt4aOmqHOab/N/v5+xyGkDHVERETlxVAHYMuWLdi7d6/1u1OnSAew7du349VXXwUANDQ04Gtf+1paeDNDXVtbG+655x7HjpDuWAUCgYIqdZFIxJoDE4vFMi5RvmHDBrz33nvW72YnMZFIDHvxFIY6cquKiooRveZ1Rd5e5dZDK/1+PyorKwEk30d0qNNV/UyhTr9/mJXvjo4ONDQ0AADq6urQ1dWFwcFBxy9tdKibMGHCsIZf6hCqRxSY1zV/1u9PSinH96qBgQF4PB6+H+RJRG4TkS0isklE/sc4/XYR2T503ofL2UYiInKX4z7U9ff347e//S1efPFF67Senh48//zzKUMZnSpuwWAQNTU1aGhoSDk/Go3C4/Fg5syZAJILHjhVxXSoq6mpcezs9fX1Yfny5Tk7aQcPHkQ0GsWHPvQhAMBbb72FpUuXpnVYzaGgPp/P+nZeKYW7774bTz/9dNb7MSmlEI1G2YkjV9JzQePxOPr6+nDXXXfh/fffz/t69mATi8WglEIgEEgLdR6PBxMnTgSQOdTp2zOHhHZ2dqaEutbWVtx55534y1/+knZ9HeoaGhowODiIeDwOpRT++Mc/YuPGjWmXN0NdTU2NVXk032vMtpqP12moekdHB+rr6yEijo+PjhGRywBcC+B0pdRCAHcOnX4KgBsBLATwEQA/FxFOWiYiorwc96HOaY+md999F2+//TYeeeQRq/PT19eHadOm4ZxzzrEupzswVVVVaaHO7/fj5JNPRn19PQDnBQj0bTc2NiISiaQMxwSAF154AS+//HLKPBsnej7MwoULISJYu3Yt3njjDWtOjma2cd68eejs7IRSCr29vWhra8O6deusKkB/f781zNSJ7nwy1JEb6XAWi8WwefNmtLa2pi3j70T/zdvDmRmS9BDESCSCnp4eVFdXo6qqyvF6mg5NOlTF43F0d3db7x91dXXo6elBNBrF66+/nnZ9M9Tp2zl8+DDWrVuHJ554Iu3y+gsln8+XMdS98cYb+OlPf4pwOIxIJILq6moAzgu2mFVFyukfAHxXKRUBAKWULvteC+C3SqmIUmoXgO0Azi1TG4mIyGWOi1DX09OTcVVLpwqcueiJrtb19fVhypQpuPLKKwEkq2taZWVlyjfZOtRVVlbixhtvBOD87bbuWE2aNAnAsSXRgWQnb9OmTQByL2jS19cHj8eDmpoaqxMIpC+sEIlE4PV6cd1112H27NmIx+Po7e1NuZweuvnYY4/h/vvvz7iAjO6cBoPBrG0jGosqKioAHKuGA/l9QaFDnb1SZ4Y6v99vXWZgYABVVVXW30muUKf/7+rqglIqJdRpTu00h18Cyb/1nTt3Wufbq/b2EKrDnBnqVq1ahSNHjmDv3r0Ih8PWyIM//OEPKRXFWCyGtrY2a94g5XQSgItEZKWIvCoi+pvCaQD2GZfbP3RaGhG5RURWiciqXFtdEBHR8eG4CHXvvPMO7rvvPsf5M06h5ciRI2hsbEQwGMS2bdusvehCoRB8Ph8+//nP42//9m+tyweDQUQiEavKpUMdgJRv7e10x0qvjGdWDffv3w+lFDweT84FTfr7+1FVVQURsRZpANI7kJFIBM3NzTjttNOsb9WPHDmSUtFrbW0FAGuOYab71sdNVyCI3ET/fW7btg09PT3w+Xx5LVakF1fJVqnzeDzw+/2IRCIIh8OorKyE1+uF3+/PeB/6dP0+of8m9d+p+WWN04qzPT09qKiosP7+w+EwNm/ebJ1vf5+LRqPwer3weDzWnN5MWy50dnYiEolg4sSJOO+886wqoNbS0oJ4PI4ZM2Y4PrbjkYi8JCIbHf5dC6ACQAOA8wD8C4DHJfltgdPYVcdJn0qpe5RSi5VSi/WXgkREdHwrS6gTkY8MTQTfLiLfKPb96Q6c0xLmmTpZdXV1OPHEE7Ft2zarmhcKhQAAs2bNSqvUAcc6ZGao0//bh1YCxyp106dPh8/nw+7du63zdMCbP39+SgXPia4GAKkhy/7YdAcTOLYaX3t7e0qo27lzJ371q19Zv/f19TkuzKCre2YFgcgt9JcterXJBQsWOH7Bk0gkUl7/9oqaZl/9sbKyEpFIBAMDA9bfXDAYzLggkn34pf6bd6rURSKRtJU7jxw5gokTJ1r3v3//fuzZswezZs1KuT1tcHDQem+qrKyEUgqDg4OIRqNp8+J0JaiyshKLFi2y7k/TXwAx1B2jlLpCKXWqw78/IlmBe1IlvQ0gAWDi0OnmQZwO4GDpW09ERG5U8lA3NPH7ZwA+CuAUAJ8ZmiBeNHq4klOwyjS8sLa2FvPnz0d/fz9WrlwJIHXIpUl32nSIGm6lLhgMYubMmSmhrqenB16vF5MnT7Y2MM5EV+oA4IorrsAHPvCBlPZokUjEak9NTQ0CgQDa2trQ1dWFYDCIpqYmrFu3LmVZ9WXLluE///M/09qvO4mcR0NupP8+Dx8+jKqqKtTV1WFgYCCtmv/QQw/hl7/8pXW60zBFILVSBxxb0db8IiUYDOLo0aO45557sGXLlpTr28NiZ2cnPB6PVXmbNGkSZs+ebQUn+7BxPbpA39eOHTsAAOeddx6A5D6b5mMzV64136MikUjaFzW6KldZWWkN7zRD3b59+zBhwgRrzh3l9AcAlwOAiJwEwA/gMICnAdwoIgERmQNgHoDsE6qJiIiGlKNSdy6A7UqpnUqpKIDfIjlBvGh0R8vc7FvTwyobGhpw5ZVXWgsoVFdX4+STT8bkyZOthQnMoY0mPV/G/LZd36fX64XX681aqfP5fJgzZw7a29vxzDPP4MEHH0R3dzdqampS9rzKxAx1EyZMwIc//GF4vd60wBoOh60OnIigqanJqtTV1dVZw0AB4LrrrgNw7Ft6PSxT6+jogN/v55w6ciX999nZ2YmqqipUVVUhkUikLeO/a9cutLS04ODBg4jH49aXK9nm1AHHKnX2ULd37160tLTgz3/+c8r19Rcw+j7036Qeaunz+XDzzTc7fmETi8XQ0dGREup27tyJQCCAuXPnAkhuZ7Jhw4aU9ppt1Y8pHA6nvM81NjZaX+BUVlbC7/cjFApZc/4GBgawZ88ea74d5eVXAE4QkY1Ifv7dPFS12wTgcQDvAXgBwK1KqeFvpkhERMelcoS6vCaDj+ZEcP2N9MqVK/HEE0+kfGM9MDCAUCiEf/zHf8QFF1xgDWuqra2Fx+PBxRdfbF02U6gzO0VAaocJgDW/xs6c16I3Ml+zZg127tyJ/fv3o6amxqoO2kNdLBbDihUr0NXVhf7+/pRwJSKOQ70ikYjVViD57b+u1NXX11uh7sQTT8Rpp52WspGwPSD29fWhpqaGS5iTK+nXdjQaRSgUSqm2d3V1IRaLpXyRsWPHjpS/p3wqdf39/RgcHEwJdZr5HqT3ftPnRyIRa4sAO/sXSMCx94b6+nrry53BwUFMnToVPp8Pp5ySHAhhvo9mqtTp4aJz5sxBfX09amtrraHW+nHU19ejs7MTL7/8Mv7nf/4H4XAYZ5xxRvpBJkdKqahS6qah4ZhnKaX+Ypz3HaXUXKXUfKXU8+VsJxERuUs5Ql1ek8FHcyK47mi99dZb2LhxIzo6OtDZ2Yn169enVLlMukO1YMECTJgwAcFgMOPwIt3Z2bNnD1avXp0W6gKBQMZKnb6c3pxY6+joQCgUsoKk3sRY27p1K5YtW4aXXnopZU6d2Saz46crAGZQmzRpEgYGBtDe3o6GhgZMnz4dAHDyyScDSJ2fZw91vb29HG5FrmX+fZqrUx4+fBg/+tGP8Mwzz1h/cxUVFdi1a1fWUOc0p07PVdW3bX6hYs7T09fV7znhcDhnqDMrdTrU6SHV+rE1NzcDAK6//nrU1tamfDFk7jFphjodLj/zmc/gtttuSwmi+nL19fU4evQoVq1aBZ/Ph09+8pPW3D0iIiIqj4oy3GfJJ4ObHTggGZieeeYZay6ZrpKZdIfK4/HgS1/6EgBkrErpzpq5z5W9Upcp1OmOlcfjwdy5c625MEByYZZMlTo9p2Xv3r1QSuUMdfpns2NpLkHe2NiIWbNm4etf/7q1IExVVZU19Mop1NmDKJFbZAp1W7duhVIK69evt17fp512GtavX4/e3l7r8uFwGLt370Zzc3PKlzZmpU6HNadKXW9vL5RSEBEroDU0NKClpcWqvjvNV3UKdTp86i+AQqEQotEoTjjhhJTrme8Hg4OD1nuGOdJAV+r0+5LZZrNSp7dbueGGG7BgwYKMx5mIiIhKoxyVuncAzBOROSLiB3AjkhPEi8a+r1N/f781pGhgYCCl43LllVeisbExpUOl59xk4jSvzKyIZQp19vv+5Cc/iS9+8YvW73pYWEVFRVqlToc8fbpTqDM7frlCnf65uro6ZVN1s62m3t5eK/wRuY3592mGOnNvN71Y0WmnnYZ4PI41a9YAgFX1euCBB/Dss88CSFa+PB6PNSfX/DtzCnV6mxTg2N+mfs9paWkBgLwrdfpn/fd69dVX44ILLkj5sioYDKZcx6lSNzAwgGg0mtJ2p1A3e/Zs67QTTzwxrY1ERERUeiWv1CmlYiLyZQB/BuAF8KuhCeJFY6/U2atOZji54IILcMEFFwzr9n0+HzweT8qQKvM28w11oVAo5Xo6YNmHTgHplTt7wAoGgykr1DmFOr3vXjwex7Rp6XvcmpVJ85gNDg4iEolw+CW5lg5fAFLm1Jn7Mh46dAg1NTWYPXs2mpqasH79egDJsHXo0CEAyX3ugGOLEOm/GTM0OoU6ALj77rvxuc99zgpbemVJHeqcKnV+vx8i4jgUVN/nCSeckFKl020w3w/sWxoAx+bcmX/XTsMvTzjhBFx44YWYOXOmtYk7ERERlVdZPpGVUs8BeK5U9+dUqTOHJxYaTkQkbS838zb9fr81dMs0MDCAiRMnpp3u9XoRj8etoFZTU5MW4rq7u1OCpD3U6SXVNadQJyK45ZZbrE3O7czVQltaWvDjH/8Ys2bNspZVZ6ij8aCmpiYlvOiq1sGDBzFp0iSICE488US0tbUBSK2gDQ4OWpt2O1XnzJ91SJs4cSIOHz6Mnp4evP7661a1S78X6H3fGhsb09qqF0Eyv2SJRCLweDxZA5Z9OLZZqdNBUYdJHS71sdB0EPZ4PFiyZEnG+yIiIqLSK8vm46XmVKkzA0sxwol5m5kWSrFX6jS9CqXuBNbW1joOvzSHVzlV6sLhsLXKnlOoA5IdyUwL0ZxzzjmoqqpCQ0MDWltb0dHRgXXr1uGZZ56x2kXkdtXV1Slf/Jx00kkAkFKNNr98MSto8Xgc3/3ud7Fr166MoU4Pi5w9ezauvfZaXH/99dZ5eksRILmwid/vR09PD6qrqzNuF1JbW4vVq1dbIUzvP5ltJVr78EtzPq+IoLKyEgcPHkx7fNyyhIiIyB2Ou1BXVVWF/v5+a+sCIPOm4oXcl9kx8vl8aaFOz6lxmqv38Y9/HJdddpm1UEN1dTU6Ozutb/ATiQR6e3ut1e304zJVVlZaFQTg2Lwbe6jL5uSTT8a//Mu/pCyIoju8wOgeN6JysX85Yb7Gc4U6IFn16u3tTRlyaZ+zByTD0xlnnIGmpiZcccUVmDVrFg4dOoSXX37ZGgqt7yfbapK6gvfwww9DKYVoNJpyf04qKysRi8UQj8eRSCQQi8VS3hcrKysRj8cRCARSKpEMdURERO5wXIQ6c/5MXV2dVfXy+XyorKxM2XR7pC688EI0NTXhy1/+Mj73uc+lDb8Mh8N4+umnrXk5R48eRSKRcBx+OWXKFFx88cXWN+91dXUAgF//+tcIh8Po6+uDUgq1tbWYP38+5s2bl/IYgWMdz3379qGlpcWq1I2kk2YukGBWGXS7iNzohhtuwJlnnmm9jnWQ0ht2A8cCn/l3qhcVOuecc3D99ddbf+uZKnVOQ5s/+MEP4qqrrrKqZXoO3FlnnYWGhoas83ovvPBC1NTUoK+vD5s2bbIqddmY2xboUQpmdVK3d+rUqSkVPx3whvNlEBEREZXecTHLXUQgIlBKobKyEocPHwYAXHXVVTj99NMdO13DtWTJEmueib2C5ff7kUgksHbtWqxduxann366NT/HXIEyk1NPPRWrV69Ge3s7Wltbrc5YTU0NbrzxRsfr6CGVjzzyCEQEixYtyjnvJhP9eBobG1FRUYGzzz47r44k0Vi2YMGClOX4P/vZz6K/vz/lda0DXzAYRGVlJWbPno26ujrceuutqKurg8/nw+7du/HOO++kLUKUS1NTE26//XYcOXLEup+zzz4bZ599dtbrTZkyBbfddhvuvfdevPLKK6itrc2rUgckh2HrCp1ZqdPtnTp1asr1amtrcemll2L+/Pk5Hw8RERGVz3ER6gDgtttug8/nw3PPPWctOuL3+0cl0OVin9PX09NjBUunxRDsQqEQPvvZz+JHP/oR2tvbrQ5YtjltjY2NOOmkk6x9t7Zt24ZgMJh13k222wJgrZB59dVXD/s2iMY6v9+f9rdqVqO/9rWvWe8XTpU7pzDotIKlSUQcq/W5+Hw+LFy4EK+88go8Ho/j9gcm3bZwOGwNPXda2dK+96SI4JJLLhl2+4iIiKi0jptQpztXmea9FJO9o9jS0oIjR46gtrY27bxM6urq4PV60dHRgVgsBsB5HytNRPCZz3wGg4OD+K//+i/09fXlVRV0ctppp6G2tjbrPB+i8eSiiy7CqlWrUuat2lfR1U499VQcPHgwZchkRUUFvvCFLxR1L0cdHI8cOZLzb1tX6iKRiDW/15yHe/755yMWi2HevHlFai0REREV03ET6jRziFSpQp39fnSoy6dKp4kI6uvr0dnZiUQiAZ/Pl9f8OJ/Ph8bGRhw+fHjEc+BEJGXDYaLx7rLLLsOll16aVyW/srIS11xzTdrpM2fOLEbTLHouXyKRyHv45bp166yh32aomzZtWsah3ERERDT2HRcLpZjMUJdvlaxQZuepsbERLS0tOHz48LBCHQAr1HV1daGuri7voZT6W3wubEKUHxEpydDsQphVwHwXSlm/fr21cbrTyrtERETkTmO711IEmVaoKya9me8JJ5yA5uZmbNmyBZFIJOP+cJnoUHf06NGcc2hMOtQN9/6IaOwyV9jN9V7mdH4x9uckIiKi8uDwyxKor6/HDTfcgObmZuzatQsbN24EkN/Kl/bb6e/vR39/P0488cS8r/eBD3wAlZWVOPPMM4d1f0Q0dpmVtuGEulmzZmHevHljvhJJRERE+TuuQ12phl8CsJZOX7hwIZYtW4ZIJJKyCEM+zOqcfZW6bCorK/GBD3xgWPdFRGObuTdlri+ozKHan/rUp9K2XSEiIiJ3O+5Cndn5Gcny/oXy+Xy45ZZbEI1Gh10pNEOd3l6AiCifoeTnn38+9u7dy2GXRERE49BxF+pKNY8um5F+Sz558mQEg0E0NDTk3P+KiI4f+ayE+6EPfQhKqbJ8mUVERETFxVDnIj6fD7feemvKsCsiOn6deOKJ2L59e95f8jDQERERjU/HXajL5xvtsayYmxkTkbt8/OMfR1tbG+fIERERHeeOu1AXCARw6aWXDnuREiKisaa2tha1tbXlbgYRERGV2XEX6gDgkksuKXcTiIiIiIiIRgU3KiIiIiIiInIxhjoiIiIiIiIXY6gjIiIiIiJyMYY6IiIiIiIiF2OoIyIiIiIicjGGOiIiIiIiIhdjqCMiIiIiInIxhjoiIiIiIiIXY6gjIiIiIiJyMYY6IiKiEhGRM0TkLRFZJyKrRORc47zbRWS7iGwRkQ+Xs51EROQuFeVuABER0XHkfwD8H6XU8yJy1dDvl4rIKQBuBLAQwFQAL4nISUqpeBnbSkRELsFKHRERUekoALVDP9cBODj087UAfquUiiildgHYDuBch+sTERGlYaWOiIiodP4JwJ9F5E4kv1i9YOj0aQDeMi63f+g0IiKinFwR6lavXn1YRPYUeDMTARwejfaUgJvaCrirvWxr8bipvWxrcYxGW2eNRkPKSUReAjDF4axvAlgC4KtKqSdE5K8A3AfgCgDicHmV4fZvAXDL0K+9IrKl8FYfd6+zUnFTWwF3tZdtLQ43tRVwV3uL+hkpSjl+Zow7IrJKKbW43O3Ih5vaCrirvWxr8bipvWxrcbipreUiIl0A6pVSSkQEQJdSqlZEbgcApdR/DV3uzwD+Qyn1Zona5Zrnjm0tHje1l20tDje1FXBXe4vdVs6pIyIiKp2DAC4Z+vlyANuGfn4awI0iEhCROQDmAXi7DO0jIiIXcsXwSyIionHibwH8SEQqAIQxNIxSKbVJRB4H8B6AGIBbufIlERHl63gKdfeUuwHD4Ka2Au5qL9taPG5qL9taHG5qa1kopVYAODvDed8B8J3StsjipueObS0eN7WXbS0ON7UVcFd7i9rW42ZOHRERERER0XjEOXVEREREREQuNu5CnYh8RES2iMh2EfmGw/kiIj8eOn+9iJxVpnbOEJGXRWSziGwSka84XOZSEekSkXVD/75VjrYa7dktIhuG2rLK4fyxcmznG8dsnYh0i8g/2S5TtmMrIr8SkTYR2WicNkFElorItqH/GzJcN+vru0RtvUNE3h96jp8SkfoM1836eilhe/9DRA4Yz/VVGa47Fo7tY0Y7d4vIugzXLemxzfR+NVZft+TMLZ+PQ21x1WckPx9HtY38jCxdW8fk52OW9o65z8gx9fmolBo3/wB4AewAcAIAP4B3AZxiu8xVAJ5Hck+g8wCsLFNbmwGcNfRzDYCtDm29FMCfyn1cjfbsBjAxy/lj4tg6vCYOAZg1Vo4tgIsBnAVgo3Ha/wD4xtDP3wDw3xkeS9bXd4na+iEAFUM//7dTW/N5vZSwvf8B4J/zeJ2U/djazv8egG+NhWOb6f1qrL5u+c/xOXTN5+NQW1z1GcnPx1FtFz8jS9fWMfn5mKm9tvPHxGfkWPp8HG+VunMBbFdK7VRKRQH8FsC1tstcC+A3KuktAPUi0lzqhiqlWpRSa4Z+7gGwGcC0UrdjlI2JY2uzBMAOpVShm9ePGqXUcgBHbSdfC+CBoZ8fAPAJh6vm8/oeVU5tVUq9qJSKDf36FoDpxWzDcGQ4tvkYE8dWExEB8FcAHi1mG/KV5f1qTL5uyZFrPh+BcfkZOWaOrWHMfT4C/IwsFjd9PgLu+YwcS5+P4y3UTQOwz/h9P9I/BPK5TEmJyGwAZwJY6XD2+SLyrog8LyILS9uyNArAiyKyWkRucTh/zB1bADci8x/9WDq2k5VSLUDyDQJAk8NlxuLx/SKS3z47yfV6KaUvDw2F+VWGIRBj7dheBKBVKbUtw/llO7a29yu3vm6PR678fARc8xnJz8ficut7jRs+I932+QiM0c/Icn8+jrdQJw6n2Zf3zOcyJSMi1QCeAPBPSqlu29lrkBwWsQjATwD8ocTNs/ugUuosAB8FcKuIXGw7f6wdWz+AawD8zuHssXZs8zHWju83kdxP6+EMF8n1eimVXwCYC+AMAC1IDtmwG1PHFsBnkP0byLIc2xzvVxmv5nAal10uPdd9PgKu+ozk52P5jbVj7IbPSDd+PgJj8DNyLHw+jrdQtx/ADOP36QAOjuAyJSEiPiRfAA8rpZ60n6+U6lZK9Q79/BwAn4hMLHEzzfYcHPq/DcBTSJaNTWPm2A75KIA1SqlW+xlj7dgCaNVDcYb+b3O4zJg5viJyM4CrAXxODQ0Mt8vj9VISSqlWpVRcKZUAcG+GdoylY1sB4DoAj2W6TDmObYb3K1e9bo9zrvp8BNz1GcnPx6Jz1XuNWz4j3fb5CIzNz8ix8vk43kLdOwDmicicoW+hbgTwtO0yTwP4a0k6D0CXLo+W0tB44PsAbFZKfT/DZaYMXQ4ici6Sz9eR0rUypS0hEanRPyM5EXij7WJj4tgaMn6TM5aO7ZCnAdw89PPNAP7ocJl8Xt9FJyIfAfCvAK5RSvVnuEw+r5eSsM1b+WSGdoyJYzvkCgDvK6X2O51ZjmOb5f3KNa9bcs/nI+Cuz0h+PpaEa95r3PQZ6cLPR2CMfUaOqc9HVaJVd0r1D8kVprYiuZrMN4dO+3sAfz/0swD42dD5GwAsLlM7L0SyxLoewLqhf1fZ2vplAJuQXA3nLQAXlPG4njDUjneH2jRmj+1QW6qQ/BCqM04bE8cWyQ/SFgCDSH5L8yUAjQCWAdg29P+EoctOBfBcttd3Gdq6Hckx4Pp1e5e9rZleL2Vq74NDr8f1SL5ZNo/VYzt0+v36dWpctqzHNsv71Zh83fJfxufRFZ+PQ21xzWdkpr/JMXxsx+zn49D98zOydG0dk5+Pmdo7dPr9GEOfkVneq0r+mpWhGyQiIiIiIiIXGm/DL4mIiIiIiI4rDHVEREREREQuxlBHRERERETkYgx1RERERERELsZQR0RERERE5GIMdURERERERC7GUEdEREREo0ZEGkVk3dC/QyJyYOjnXhH5eZHu859E5K9H4XZ+KyLzRqNNRKXEfeqIiIiIqChE5D8A9Cql7izifVQAWAPgLKVUrMDbugTATUqpvx2VxhGVCCt1RERERFR0InKpiPxp6Of/EJEHRORFEdktIteJyP+IyAYReUFEfEOXO1tEXhWR1SLyZxFpdrjpywGs0YFORF4RkR+IyHIR2Swi54jIkyKyTUT+79BlQiLyrIi8KyIbReSGodt6DcAVQ0GRyDUY6oiIiIioHOYC+BiAawE8BOBlpdRpAAYAfGwo2P0EwKeVUmcD+BWA7zjczgcBrLadFlVKXQzgLgB/BHArgFMBfF5EGgF8BMBBpdQipdSpAF4AAKVUAsB2AItG9ZESFRlDHRERERGVw/NKqUEAGwB4MRSshn6fDWA+kkFsqYisA/DvAKY73E4zgHbbaU8bt7VJKdWilIoA2AlgxtDpV4jIf4vIRUqpLuO6bQCmFvjYiEqKpWUiIiIiKocIkKyOicigOrbQQwLJPqogGcjOz3E7AwAqnW576LYixukJABVKqa0icjaAqwD8l4i8qJT6/w1dpnLoNolcg5U6IiIiIhqLtgCYJCLnA4CI+ERkocPlNgM4cTg3LCJTAfQrpR4CcCeAs4yzTwKwaWRNJioPVuqIiIiIaMxRSkVF5NMAfiwidUj2W3+I9MD1PIAHh3nzpwG4Q0QSAAYB/AMAiMhkAANKqZZC2k5UatzSgIiIiIhcTUSeAvC/lVLbCrydrwLoVkrdNzotIyoNDr8kIiIiIrf7BpILphSqE8ADo3A7RCXFSh0REREREZGLsVJHRERERETkYgx1RERERERELsZQR0RERERE5GIMdURERERERC7GUEdERERERORi/3+WDlVL6kgOWwAAAABJRU5ErkJggg==\n", "text/plain": [ "
" ] @@ -798,7 +798,7 @@ "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", - " Cf * dot(Vo) + Cm * dot(Ve) + Cp * dot(Vr)\n", + " Cf * dot(Vo) + Cm_est * dot(Ve) + Cp_est * dot(Vr)\n", " ) / (Cp + Cf)\n", " in [mV]\n", "dot(Vo) = (Vr - Vp) / tau_amp\n", @@ -821,7 +821,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -872,7 +872,7 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -941,13 +941,13 @@ }, { "cell_type": "code", - "execution_count": 24, + "execution_count": 29, "id": "cc768289", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -960,7 +960,7 @@ ], "source": [ "s.reset()\n", - "s.set_constant('amp.Cm_est', 24)\n", + "s.set_constant('amp.Cm_est', 24.3)\n", "s.pre(5)\n", "d = s.run(20, log_interval=1e-3) \n", "\n", From e07f9adde5704890318a6998f9e061ed8f7ae7dc Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 23 Jan 2024 23:26:09 +0000 Subject: [PATCH 35/77] Trying to fix equations in captions --- artefacts/artefacts-1-modelling-patch-clamp.ipynb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index bafa043..2e4401a 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -135,7 +135,7 @@ "source": [ "\n", "\n", - "_**Figure 3**: A difference amplifier buffers $V_\\text{out}$._" + "_**Figure 3**: A difference amplifier buffers_ $V_\\text{out}$." ] }, { From 2f4890f11c1f717d47440056b4feca9d994ea3dc Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 23 Jan 2024 23:27:27 +0000 Subject: [PATCH 36/77] Trying to fix equations in captions --- artefacts/artefacts-1-modelling-patch-clamp.ipynb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 2e4401a..030c180 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -232,7 +232,7 @@ "\n", "\n", "_**Figure 5**: The pipette, or lumped parasitic capacitance._\n", - "_We have renamed_ $V_-$ _to_ $V_p$_, as we will use_ $V_p$ _and- $C_p$ _together in the equations._" + "_We have renamed_ $V_-$ _to_ $V_p$_, as we will use_ $V_p$ _and_ $C_p$ _together in the equations._" ] }, { From 3785b98a0b31586f5984b5c131366dfe7425c61b Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 23 Jan 2024 23:32:25 +0000 Subject: [PATCH 37/77] Trying to fix equations in captions --- artefacts/artefacts-2-compensation.ipynb | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index a44d538..dc57082 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -192,7 +192,8 @@ "### \"Correction\" reduces the voltage drop\n", "\n", "\n", - "A common method to compensate for the voltage drop, is to _feed a fraction of $V_\\text{out}$ forward into $V_c$_ ([Hodgkin et al. 1952](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716)). In the schematic, we'll show this with two new components: an active component labelled _R_ that generates the voltage to be added, and a _summing amplifier_, labelled $\\Sigma$:" + "A common method to compensate for the voltage drop, is to _feed a fraction of_ $V_\\text{out}$ _forward into_ $V_c$ ([Hodgkin et al. 1952](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716)).\n", + "In the schematic, we'll show this with two new components: an active component labelled _R_ that generates the voltage to be added, and a _summing amplifier_, labelled $\\Sigma$:" ] }, { @@ -202,7 +203,7 @@ "source": [ "\n", "\n", - "_**Figure 3**: Series resistance correction feeds a fraction of $V_\\text{out}$ into $V_\\text{ref}$ via a summing amplifier._" + "_**Figure 3**: Series resistance correction feeds a fraction of_ $V_\\text{out}$ _into_ $V_\\text{ref}$ _via a summing amplifier._" ] }, { @@ -228,7 +229,7 @@ "source": [ "\n", "\n", - "_**Figure 4**: A simplified schematic for $R_s$ correction._" + "_**Figure 4**: A simplified schematic for_ $R_s$ _correction._" ] }, { @@ -306,7 +307,7 @@ "source": [ "\n", "\n", - "_**Figure 5**: A simplified schematic for $R_s$ prediction (left) and correction (right)._" + "_**Figure 5**: A simplified schematic for_ $R_s$ _prediction (left) and correction (right)._" ] }, { From a8eb1f2a8480458ac95717e9469d718eb3731185 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 24 Jan 2024 15:52:26 +0000 Subject: [PATCH 38/77] Reorganising appendices --- artefacts/README.md | 29 +- ...-op-amp.ipynb => appendix-A1-op-amp.ipynb} | 5 +- ... => appendix-A2-laplace-and-filters.ipynb} | 6 +- ...ynb => appendix-A3-non-ideal-op-amp.ipynb} | 13 +- artefacts/appendix-B-Rf-and-Cf.ipynb | 132 ------- ...=> appendix-B1-uncompensated-models.ipynb} | 7 +- ...b => appendix-B2-compensated-models.ipynb} | 7 +- ...rs.ipynb => appendix-B3-sigworth-rs.ipynb} | 5 +- artefacts/appendix-C-tau-amp.ipynb | 79 ----- ...ymbols.ipynb => appendix-C1-symbols.ipynb} | 65 +--- ...b => appendix-C2-parameter-defaults.ipynb} | 25 +- artefacts/appendix-C3-parameter-values.ipynb | 333 ++++++++++++++++++ ...ies.ipynb => appendix-D1-strategies.ipynb} | 9 +- ...ynb => appendix-D2-inspecting-noise.ipynb} | 3 +- ...pendix-D3-liquid-junction-potential.ipynb} | 5 +- artefacts/appendix-D4-leak.ipynb | 57 +++ .../appendix-D5-remaining-Cp-artefacts.ipynb | 44 +++ artefacts/appendix-L-tau-sum.ipynb | 61 ---- .../artefacts-1-modelling-patch-clamp.ipynb | 18 +- artefacts/artefacts-2-compensation.ipynb | 43 ++- artefacts/artefacts-3-simulations.ipynb | 5 +- artefacts/artefacts-4-simplified.ipynb | 40 ++- ...ix-Z-references.ipynb => references.ipynb} | 2 +- 23 files changed, 567 insertions(+), 426 deletions(-) rename artefacts/{appendix-A-op-amp.ipynb => appendix-A1-op-amp.ipynb} (97%) rename artefacts/{appendix-D-laplace-and-filters.ipynb => appendix-A2-laplace-and-filters.ipynb} (99%) rename artefacts/{appendix-E-non-ideal-op-amp.ipynb => appendix-A3-non-ideal-op-amp.ipynb} (99%) delete mode 100644 artefacts/appendix-B-Rf-and-Cf.ipynb rename artefacts/{appendix-F-uncompensated-models.ipynb => appendix-B1-uncompensated-models.ipynb} (99%) rename artefacts/{appendix-M-compensated-models.ipynb => appendix-B2-compensated-models.ipynb} (99%) rename artefacts/{appendix-K-sigworth-rs.ipynb => appendix-B3-sigworth-rs.ipynb} (94%) delete mode 100644 artefacts/appendix-C-tau-amp.ipynb rename artefacts/{appendix-G-symbols.ipynb => appendix-C1-symbols.ipynb} (67%) rename artefacts/{appendix-N-parameter-values.ipynb => appendix-C2-parameter-defaults.ipynb} (86%) create mode 100644 artefacts/appendix-C3-parameter-values.ipynb rename artefacts/{appendix-H-strategies.ipynb => appendix-D1-strategies.ipynb} (96%) rename artefacts/{appendix-I-inspecting-noise.ipynb => appendix-D2-inspecting-noise.ipynb} (99%) rename artefacts/{appendix-J-liquid-junction-potential.ipynb => appendix-D3-liquid-junction-potential.ipynb} (98%) create mode 100644 artefacts/appendix-D4-leak.ipynb create mode 100644 artefacts/appendix-D5-remaining-Cp-artefacts.ipynb delete mode 100644 artefacts/appendix-L-tau-sum.ipynb rename artefacts/{appendix-Z-references.ipynb => references.ipynb} (99%) diff --git a/artefacts/README.md b/artefacts/README.md index 9c371d7..e66493b 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -3,39 +3,14 @@ When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest _and_ the experimental set up. In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with various experimental artefacts, and (2) a model of the corrections applied by patch-clamp amplifiers to mitigate these effects. -Both models and the exposition here draw heavily on a book chapter by [Sigworth (1995a)](https://doi.org/10.1007/978-1-4419-1229-9_4), as well as a recent paper from the same group ([Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419)). +Both models and their exposition draw heavily on a book chapter by [Sigworth (1995a)](https://doi.org/10.1007/978-1-4419-1229-9_4). I have tried to keep things as to-the-point as possible, but a lot of extra detail is provided in the appendices. - ## Modelling patch-clamp experiments [![github](../img/github.svg)](artefacts-1-modelling-patch-clamp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-1-modelling-patch-clamp.ipynb) The first notebook] describes the uncompensated patch-clamp set up, and shows how to derive both an electrical schematic and an ODE model. -It first introduces a basic op-amp based current measuring device, then adds in the effects of stray and parasitic (pipette) capacitance, before briefly discussing the finite speed of the amplifier. -It then adds series resistance and membrane capacitance, a voltage offset, and leak current, culminating in a 3-state ODE model of whole-cell voltage clamp. ## Modelling electronic compensation [![github](../img/github.svg)](artefacts-2-compensation.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-2-compensation.ipynb) -In this notebook we update the model to include simplified equations to describe the compensation electronic commonly used during a patch-clamp experiment. - -## Simplifications - -In this notebook we look at simplifications of the artefact model. - -## Parameter values - -In this notebook we discuss parameter values, and where we might find them. - -## Possible to-do: - -Other contamination -- [ ] Endogeneous currents -- [ ] Gating currents? (~100x smaller than ionic currents) - -Information loss -- [ ] Info loss when cutting out artefacts - -Protocols to remove or quantify artefacts -- [ ] Subtraction protocol -- [ ] Leak ramp -- [ ] Reversal potential ramp +In this notebook we update the model to include the compensation circuitry commonly used in patch-clamp amplifiers. diff --git a/artefacts/appendix-A-op-amp.ipynb b/artefacts/appendix-A1-op-amp.ipynb similarity index 97% rename from artefacts/appendix-A-op-amp.ipynb rename to artefacts/appendix-A1-op-amp.ipynb index f6e187f..f8abd5e 100644 --- a/artefacts/appendix-A-op-amp.ipynb +++ b/artefacts/appendix-A1-op-amp.ipynb @@ -4,14 +4,15 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Appendix A: Ideal op amps" + "# Appendix A1: Ideal op amps\n", + "**Appendix A provides extra background for path clamp electronics.**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "In this notebook we take a quick look at op amps, connected in a negative feedback loop like below:" + "In this notebook we take a look at op amps, connected in a negative feedback loop like below:" ] }, { diff --git a/artefacts/appendix-D-laplace-and-filters.ipynb b/artefacts/appendix-A2-laplace-and-filters.ipynb similarity index 99% rename from artefacts/appendix-D-laplace-and-filters.ipynb rename to artefacts/appendix-A2-laplace-and-filters.ipynb index 5aa65f6..234beb2 100644 --- a/artefacts/appendix-D-laplace-and-filters.ipynb +++ b/artefacts/appendix-A2-laplace-and-filters.ipynb @@ -5,7 +5,8 @@ "id": "44ff9bab", "metadata": {}, "source": [ - "# Appendix D: Laplace transforms & filters" + "# Appendix A2: Laplace transforms & filters\n", + "**Appendix A provides extra background for path clamp electronics.**" ] }, { @@ -16,8 +17,7 @@ "This notebook discusses laplace transforms and their use in analysing a system's response to an input signal $u(t)$.\n", "In particular, a _filter's_ response to a (co)sinusoidal input.\n", "\n", - "The text glosses over the tricky maths of using the Dirac delta, but writes out a lot of the easy stuff.\n", - "Derivations can be skipped." + "It's very long and glosses over a lot of details and so is best used as a reminder, not a first introduction." ] }, { diff --git a/artefacts/appendix-E-non-ideal-op-amp.ipynb b/artefacts/appendix-A3-non-ideal-op-amp.ipynb similarity index 99% rename from artefacts/appendix-E-non-ideal-op-amp.ipynb rename to artefacts/appendix-A3-non-ideal-op-amp.ipynb index 03a3ad3..ff23b0e 100644 --- a/artefacts/appendix-E-non-ideal-op-amp.ipynb +++ b/artefacts/appendix-A3-non-ideal-op-amp.ipynb @@ -5,7 +5,8 @@ "id": "730357a8", "metadata": {}, "source": [ - "# Appendix E: Non-ideal op amps" + "# Appendix A3: Non-ideal op amps\n", + "**Appendix A provides extra background for path clamp electronics.**" ] }, { @@ -13,7 +14,7 @@ "id": "11447cae", "metadata": {}, "source": [ - "In this notebook we go a little bit further than [Appendix A](./appendix-A-op-amp.ipynb) and consider the _speed_ of an op amp, using some of the concepts from [Appendix D](./appendix-D-laplace-and-filters.ipynb).\n", + "In this notebook we go a little bit further than [Appendix A1](./appendix-A1-op-amp.ipynb) and consider the _speed_ of an op amp, using some of the concepts from [Appendix A2](./appendix-A2-laplace-and-filters.ipynb).\n", "\n", "Analysis of non-ideal op amps is usually divided into two parts:\n", "- In the _small signal_ range the amplifier acts \"linearly\": its gain within this range does not depend on the absolute values of $V_+$ and $V_-$, and there are no history effects.\n", @@ -98,7 +99,7 @@ "Op-amps are complex devices that have a very non-trivial transfer function.\n", "However, to simplify their analysis and use, they are commonly designed to have a _dominant pole_, so that we can approximate their transfer function with a _dominant pole approximation_.\n", "\n", - "For op-amps, a commonly used approximate transfer function is that of a low-pass filter (see [Appendix C](./appendix-C-laplace-and-filters.ipynb)), with an additional amplification factor $A_0$:\n", + "For op-amps, a commonly used approximate transfer function is that of a low-pass filter (see [Appendix A2](./appendix-A2-laplace-and-filters.ipynb)), with an additional amplification factor $A_0$:\n", "\n", "\\begin{align}\n", "H(s) = \\frac{V_\\text{out}}{V_\\text{in}} = A_\\text{OL}(s) = \\frac{A_0}{1 + s/\\omega_c}\n", @@ -138,7 +139,7 @@ "source": [ "### Bode plot / frequency response\n", "\n", - "In Appendix C, we saw that systems with a transfer function\n", + "In Appendix A2, we saw that systems with a transfer function\n", "$$H(s) = \\frac{\\omega_c}{s + \\omega_c}$$\n", "have a frequency response with gain\n", "\\begin{align}\n", @@ -437,7 +438,7 @@ "#### The patch-clamp amp as a damped harmonic oscillator\n", "\n", "We described $C_f$ as a \"stray capacitance\", but mentioned that [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419) introduced an extra $C_f$ as a stability measure.\n", - "To see why, we can equate the transfer function above to the damped harmonic oscillator equation (see [Appendix C](./appendix-C-laplace-and-filters.ipynb)):\n", + "To see why, we can equate the transfer function above to the damped harmonic oscillator equation (see [Appendix A2](./appendix-A2-laplace-and-filters.ipynb)):\n", "\n", "$$ H(s) = R_f \\frac{1}{\\tau_0^2s^2 + 2\\zeta\\tau_0s + 1} $$\n", "with\n", @@ -654,7 +655,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-B-Rf-and-Cf.ipynb b/artefacts/appendix-B-Rf-and-Cf.ipynb deleted file mode 100644 index 16e6ed9..0000000 --- a/artefacts/appendix-B-Rf-and-Cf.ipynb +++ /dev/null @@ -1,132 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "44ff9bab", - "metadata": {}, - "source": [ - "# Appendix B: Values for $R_f$ and $C_f$" - ] - }, - { - "cell_type": "markdown", - "id": "5c18a21f", - "metadata": {}, - "source": [ - "Some values for $R_f$ and $C_f$ are given below:\n", - "\n", - "| Amplifier / Source | $R_f$ | $C_f$ | $R_f C_f$ |\n", - "|:------------------------------------------|------------------|---------|--------------|\n", - "| HEKA EPC 10, default gain | 500 M$\\Omega$ | | |\n", - "| HEKA EPC 10, low gain | 5 M$\\Omega$ | | |\n", - "| HEKA EPC 10, high gain | 50 G$\\Omega$ | | |\n", - "| HEKA EPC 9, default gain | 495 M$\\Omega$ | 0.16 pF | 79.2 $\\mu$s* |\n", - "| HEKA EPC 9, low gain | 5 M$\\Omega$ | | |\n", - "| HEKA EPC 9, high gain | 50 G$\\Omega$ | 0.02 pF | 1000 $\\mu$s* |\n", - "| Axon HS-9A, standard | 10 M$\\Omega$ | | |\n", - "| Axon HS-9A, \"large currents\" | 1 M$\\Omega$ | | |\n", - "| Axon HS-9A, \"ion-sensitive\" | 100 G$\\Omega$ | | |\n", - "| Axon CV 203BU, whole-cell, default | 500 M$\\Omega$ | 1 pF | 500 $\\mu$s |\n", - "| Axon CV 203BU, whole-cell, large currents | 50 M$\\Omega$ | 1 pF | 50 $\\mu$s |\n", - "| Sutter IPA | 500 M$\\Omega$ | | |\n", - "| Example in Levis & Rae 1992 | 50 G$\\Omega$ | 0.1 pF | 5000 $\\mu$s |\n", - "| \"Typical\" values in Sigworth 1995 | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", - "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", - "| [Armstrong & Chow 1987](https://doi.org/10.1016/S0006-3495(87)83198-3) | 10 M$\\Omega$ | 0.5 pF | 5 $\\mu$s |" - ] - }, - { - "cell_type": "markdown", - "id": "13a9abfa", - "metadata": {}, - "source": [ - "#### $\\tau_f$ might not be the relevant value for HEKA\n", - "\n", - "The HEKA values are presumably compensated as described in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 2.3, which is said to reduce the amplifier's pole at $\\tau_1 \\approx \\tau_f$ from $\\tau_1 \\approx \\tau_f \\approx 1\\text{ms}$ to an effective value of 4 $\\mu$s." - ] - }, - { - "cell_type": "markdown", - "id": "ec291149", - "metadata": {}, - "source": [ - "#### HEKA sources\n", - "\n", - "EPC10 data is from the HEKA Patchmaster Reference Manual 2x90.2, page 76.\n", - "\n", - "EPC9 data is from [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4).\n", - "Fig 2. in this paper also shows that the 50GOhm resistor is always connected, resulting in the 495MOhm for default gain instead of 500MOhm.\n", - "This is presumably true for the EPC10 too, but I can't find a reference for that." - ] - }, - { - "cell_type": "markdown", - "id": "400e523e", - "metadata": {}, - "source": [ - "#### Axon sources\n", - "\n", - "Axon HS-9A numbers are from The Axon Guide, 5th edition, page 47.\n", - "\n", - "Axon CV 203BU numbers are from the Axon Axopatch 200B Microelectrode Amplifier Data Sheet (accessed 2023-08-16), pages 4 and 6." - ] - }, - { - "cell_type": "markdown", - "id": "4ddeba26", - "metadata": {}, - "source": [ - "#### Sutter sources\n", - "\n", - "https://www.wpi-europe.com/products/amplifiers/patch-clamp/ipa.aspx" - ] - }, - { - "cell_type": "markdown", - "id": "90782f92", - "metadata": {}, - "source": [ - "#### $C_f$ is a simplification\n", - "\n", - "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", - "\n", - "[Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) discusses scenarios where $C_f$ is evenly distributed along the resistor, and notes that this need not be the case.\n", - "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable.\n" - ] - }, - { - "cell_type": "markdown", - "id": "08b69861", - "metadata": {}, - "source": [ - "## Capacitor-feedback amplifiers\n", - "\n", - "Finally, some amplifiers (e.g. the Axon 200B in \"single channel mode\" - but not in whole-cell mode) use a \"capacitor-feedback\" system, where the resistor $R_f$ is omitted entirely.\n", - "This requires some special tricks that are not covered in these notebooks.\n", - "For more on this, see [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), [Levis & Rae 1992](https://doi.org/10.1016/0076-6879(92)07004-8), or [Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093).\n", - "All three are book chapters but in my cupboard if you need them." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.6" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/artefacts/appendix-F-uncompensated-models.ipynb b/artefacts/appendix-B1-uncompensated-models.ipynb similarity index 99% rename from artefacts/appendix-F-uncompensated-models.ipynb rename to artefacts/appendix-B1-uncompensated-models.ipynb index 88aa297..123b66f 100644 --- a/artefacts/appendix-F-uncompensated-models.ipynb +++ b/artefacts/appendix-B1-uncompensated-models.ipynb @@ -5,7 +5,8 @@ "id": "fc24dbb4", "metadata": {}, "source": [ - "# Appendix F: Models of uncompensated patch-clamp" + "# Appendix B1: Models without compensation\n", + "**Appendix B discusses and compares patch clamp model equations**" ] }, { @@ -13,7 +14,7 @@ "id": "aff7c8af", "metadata": {}, "source": [ - "Based on the discussion in [Appendix E](./appendix-E-non-ideal-op-amp.ipynb) we now look at models of uncompensated patch clamp, with voltage offset and leak current omitted for simplicity." + "Based on the discussion in [Appendix A3](./appendix-A3-non-ideal-op-amp.ipynb) we now look at models of uncompensated patch clamp, with voltage offset and leak current omitted for simplicity." ] }, { @@ -217,7 +218,7 @@ "source": [ "## Simulations\n", "\n", - "We now run simulations for a single step from 0 to 10 mV, using the parameter values given in [appendix N](./appendix-N-parameter-values.ipynb)." + "We now run simulations for a single step from 0 to 10 mV, using the parameter values given in [appendix C2](./appendix-C2-parameter-defaults.ipynb)." ] }, { diff --git a/artefacts/appendix-M-compensated-models.ipynb b/artefacts/appendix-B2-compensated-models.ipynb similarity index 99% rename from artefacts/appendix-M-compensated-models.ipynb rename to artefacts/appendix-B2-compensated-models.ipynb index d839d5e..8284362 100644 --- a/artefacts/appendix-M-compensated-models.ipynb +++ b/artefacts/appendix-B2-compensated-models.ipynb @@ -5,7 +5,8 @@ "id": "fc24dbb4", "metadata": {}, "source": [ - "# Appendix L: Compensated patch-clamp models" + "# Appendix B2: Models with compensation\n", + "**Appendix B discusses and compares patch clamp model equations**" ] }, { @@ -13,8 +14,8 @@ "id": "aff7c8af", "metadata": {}, "source": [ - "In [Appendix F](./appendix-E-non-ideal-op-amp.ipynb) we compared uncompensated patch-clamp models, omitting voltage offset and leak current for simplicity.\n", - "In this appendix, we compare models of _compensated_ patch clamp, again omitting offset and leak." + "In [Appendix B1](./appendix-B1-uncompensated-models.ipynb) we compared uncompensated patch-clamp models, omitting voltage offset and leak current for simplicity.\n", + "In this notebook, we continue the same approach for models of _compensated_ patch clamp." ] }, { diff --git a/artefacts/appendix-K-sigworth-rs.ipynb b/artefacts/appendix-B3-sigworth-rs.ipynb similarity index 94% rename from artefacts/appendix-K-sigworth-rs.ipynb rename to artefacts/appendix-B3-sigworth-rs.ipynb index e0a6989..09a36a7 100644 --- a/artefacts/appendix-K-sigworth-rs.ipynb +++ b/artefacts/appendix-B3-sigworth-rs.ipynb @@ -5,9 +5,10 @@ "id": "8cb8168d", "metadata": {}, "source": [ - "# Appendix K: Sigworth 1983/1995 Rs compensation\n", + "# Appendix B3: Sigworth 1983/1995 Rs compensation\n", + "**Appendix B discusses and compares patch clamp model equations**\n", "\n", - "In this appendix notebook, we look in some more detail at the $R_s$ compensation and capacitance transient cancellation scheme in figures 18 and 19 of [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), and re-derive the equations found in **[REF-TO-PREPRINT-WITH-SUPERCHARGING]**." + "In this notebook, we take a detailed look at the $R_s$ compensation and slow capacitance transient cancellation scheme in figures 18 and 19 of [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), and re-derive the equations found in **[REF-TO-PREPRINT-WITH-SUPERCHARGING]**." ] }, { diff --git a/artefacts/appendix-C-tau-amp.ipynb b/artefacts/appendix-C-tau-amp.ipynb deleted file mode 100644 index 23da6e4..0000000 --- a/artefacts/appendix-C-tau-amp.ipynb +++ /dev/null @@ -1,79 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "e7fdae0f", - "metadata": {}, - "source": [ - "# Appendix C: Amplifier time constants" - ] - }, - { - "cell_type": "markdown", - "id": "22f7aaad", - "metadata": {}, - "source": [ - "Here we give values for the op amp time constant, taken from [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) and [Weerakoon et al., 2009](https://doi.org/10.1109/TBCAS.2008.2005419).\n", - "\n", - "Three different notations are used:\n", - "\n", - "\\begin{align}\n", - "\\omega_a = 2 \\pi f_a = 1 / \\tau_a\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "0626bd26", - "metadata": {}, - "source": [ - "| Source | page | $\\omega$ | $f$ | $\\tau$ |\n", - "|:---------------|:--------|:-------------|:---------|:-----------|\n", - "| Sigworth 1995a | 96 | 1e7 rad/s | 1.59 MHz | 100 ns |\n", - "| | 98, 101 | 6.28e7 rad/s | 10 MHz | 15.9 ns |\n", - "| Weerakoon 2009 | 3 | 2e7 rad/s | 3.18 MHz | 50 ns |" - ] - }, - { - "cell_type": "markdown", - "id": "199fd3de", - "metadata": {}, - "source": [ - "## Units\n", - "\n", - "I am confused about the units used.\n", - "\n", - "The equation\n", - "\\begin{align}\n", - "\\frac{d}{dt}V = \\omega (V_+ - V_-)\n", - "\\end{align}\n", - "needs $\\omega$ to be in $\\text{s}^{-1}$ to make the units match, and correspondingly Sigworth always gives values for $\\omega$ in units of $\\text{s}^{-1}$.\n", - "When converting to $\\tau$ we simply take the reciprocal, again suggesting that $\\omega$ is in $\\text{s}^{-1}$.\n", - "\n", - "However, when introducing $\\omega$ he says the units are $\\text{rad}/s$.\n", - "And when converting to $f$ we divide by $2 \\pi\\,\\text{rad}$, suggesting the \"Hz\" used are really 1/s/rad?" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.6" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/artefacts/appendix-G-symbols.ipynb b/artefacts/appendix-C1-symbols.ipynb similarity index 67% rename from artefacts/appendix-G-symbols.ipynb rename to artefacts/appendix-C1-symbols.ipynb index f2ce7d2..c8813bf 100644 --- a/artefacts/appendix-G-symbols.ipynb +++ b/artefacts/appendix-C1-symbols.ipynb @@ -5,7 +5,8 @@ "id": "d0146af1", "metadata": {}, "source": [ - "# Appendix G: Names & symbols" + "# Appendix C1: Names & symbols\n", + "**Appendix C discusses variable names and values**" ] }, { @@ -26,10 +27,10 @@ "| $R_\\text{leak}$ | Leak or Seal R | | | $R_\\text{seal}$ | $R_\\text{memb}$ | $R_\\text{seal}$ | $1/g_\\text{leak}$ |\n", "| $R_s$ | Series R | ✓ | $R_S$ | ✓ | $R_s$, R-series | $R_a$, $R_s$ | Access R |\n", "| $R_f$ | Feedback R | ✓ | ✓ | ✓ | Feedback R | | |\n", - "| $C_f$ | Feedback C | ✓ | ✓ | ✓ | | | Stray C, Shunt C |\n", - "| $C_p$ | Parasitic C | $C_\\text{in}$ | $C_\\text{prs}$ | ✓ | | | Pipette C |\n", + "| $C_f$ | Feedback C | ✓ | ✓ | ✓ | | | Stray, Shunt |\n", + "| $C_p$ | Parasitic C | $C_\\text{in}$ | $C_\\text{prs}$ | ✓ | | | Pipette, Fast, Stray |\n", "| $C_p+C_f$ | Total input C | $C_t$ | $C_t$ | | | | |\n", - "| $C_m$ | Membrane C | ✓ | ✓ | ✓ | C-slow | | |\n", + "| $C_m$ | Membrane C | ✓ | ✓ | ✓ | | | Slow |\n", "| $\\tau_f$ | Feedback tau | ✓ | $\\tau_z$ | $\\tau_Z$ | | | Transductor tau |\n", "| $\\tau_a$ | Op amp tau | $\\tau_A$ | $\\tau_\\text{Amp}$ | | | | |\n", "| $\\tau_c$ | | $\\tau_2$ | $\\tau_\\text{clamp}$ | $\\tau_\\text{clamp}$ | | | |\n", @@ -46,13 +47,13 @@ "id": "595f4595", "metadata": {}, "source": [ - "| Symbol | Description | Sigworth 1995a | Lei et al 2020 |\n", - "|:----------------:|:-------------------------|:--------------:|:-----------------|\n", - "| $R_s^*$ | Estimated $R_s$ | | ✓ |\n", - "| $C_p^*$ | Estimated $C_p$ | $(A_1 - 1)C_i$ | $C_\\text{inj}$ |\n", - "| $C_m^*$ | Estimated $C_m$ | | ✓ |\n", - "| $V_\\text{ref}$ | Corrected command V | $V_\\text{ref}$ | $V_\\text{clamp}$ |\n", - "| $E_\\text{off}^*$ | Estimated $E_\\text{off}$ | | $V_\\text{off}^*$ |\n" + "| Symbol | Description | Sigworth 1995a | Lei et al 2020 | Other names |\n", + "|:----------------:|:-------------------------|:--------------:|:-----------------|:------------|\n", + "| $R_s^*$ | Estimated $R_s$ | | ✓ | |\n", + "| $C_p^*$ | Estimated $C_p$ | $(A_1 - 1)C_i$ | $C_\\text{inj}$ | C fast |\n", + "| $C_m^*$ | Estimated $C_m$ | | ✓ | C slow |\n", + "| $V_\\text{ref}$ | Adjusted command V | $V_\\text{ref}$ | $V_\\text{clamp}$ | |\n", + "| $E_\\text{off}^*$ | Estimated $E_\\text{off}$ | | $V_\\text{off}^*$ | |\n" ] }, { @@ -62,45 +63,13 @@ "source": [ "_✓ indicates the same signal is used as in these notebooks._\n", "\n", - "_R, C, V, I, and tau indicate resistance, capacitance, voltage, current, and time-constants._" - ] - }, - { - "cell_type": "markdown", - "id": "ed2ae781", - "metadata": {}, - "source": [ - "#### Software can mix names for measurements & corrections\n", + "_R, C, V, I, and tau indicate resistance, capacitance, voltage, current, and time-constants._\n", "\n", - "In the PatchMaster manual, sometimes $R_s$ is used to mean the R-series estimate used in correction, while R-series is the true value.\n", - "Conversly, C-slow can be the correction, not the actual $C_m$." - ] - }, - { - "cell_type": "markdown", - "id": "37e5f4f8", - "metadata": {}, - "source": [ - "#### Leak current is still tricky\n", - "\n", - "The pClamp manual 10.4.1 defines $R_a$ as\n", - "> Access resistance (Ra) is the sum of the electrode resistance and resistance due to current-impeding factors near the electrode tip, e.g. cellular debris, etc.\n", - "and defines a separate $R_e$\n", - "> Electrode resistance (Re), also called pipette resistance (Rp), is the resistance due to the electrode.\n", - "> It does not include resistance due to environmental current-impeding factors near the electrode tip, e.g. cellular debris, air bubbles, poorly conducting solution etc.\n", - "Later on, they write $R_a = R_e + R_\\text{debris}$.\n", + "_Names for variables and their estimates are sometimes used interchangeably._\n", "\n", - "However, in figure 4-1 they do draw the leak current as being connected directly to $V_m$ (and the ground), just after $R_a$." - ] - }, - { - "cell_type": "markdown", - "id": "fcf0dfc7", - "metadata": {}, - "source": [ - "#### Shunt?\n", + "_Althought the symbol $C_p$ is commonly used, \"pipette\" capacitance is due to other factors such as the pipette holder._\n", "\n", - "$C_f$ is sometimes called a [\"_shunt_\"](https://en.wikipedia.org/wiki/Shunt_(electrical)) capacitance, where \"to shunt\" means to move, bypass, or divert." + "_\"To shunt\" means to move, bypass, or divert, and is often_ [_used in electronics_](https://en.wikipedia.org/wiki/Shunt_(electrical))." ] } ], @@ -120,7 +89,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-N-parameter-values.ipynb b/artefacts/appendix-C2-parameter-defaults.ipynb similarity index 86% rename from artefacts/appendix-N-parameter-values.ipynb rename to artefacts/appendix-C2-parameter-defaults.ipynb index cf75405..3d4b052 100644 --- a/artefacts/appendix-N-parameter-values.ipynb +++ b/artefacts/appendix-C2-parameter-defaults.ipynb @@ -5,7 +5,8 @@ "id": "fc24dbb4", "metadata": {}, "source": [ - "# Appendix N: Parameter values" + "# Appendix C2: Default parameter values\n", + "**Appendix C discusses variable names and values**" ] }, { @@ -13,7 +14,7 @@ "id": "e184af6f", "metadata": {}, "source": [ - "Here we present and motivate the \"default\" values for the patch clamp model parameters used in these notebooks.\n", + "Here we present the \"default\" values used in these notebooks.\n", "Other choices are possible." ] }, @@ -33,7 +34,7 @@ "| $C_f$ | 0.15 pF | Similar to HEKA ([appendix B](./appendix-B-Rf-and-Cf.ipynb)) |\n", "| $\\tau_\\text{amp}$ | 20e-6 ms | Based on Sigworth 1995 ([appendix C](appendix-C-tau-amp.ipynb)) |\n", "\n", - "Some values are rounded, to reflect the uncertainty in the true values." + "Values are rounded to reflect uncertainty in the true values." ] }, { @@ -41,7 +42,15 @@ "id": "482bff5f", "metadata": {}, "source": [ - "## Compensation" + "## Compensation\n", + "\n", + "\n", + "| Parameter | Value | Motivation |\n", + "|:------------------|:----------|:---------------------------------------------------------------|\n", + "| $\\alpha$ | 0.7 | Typical setting |\n", + "| $\\beta$ | 0.7 | Typical setting |\n", + "| $\\tau_\\text{sum}$ | 10 $\\mu$s | Default for HEKA and Axon ([appendix L](./appendix-L-tau-sum)) |\n", + "\n" ] }, { @@ -49,13 +58,9 @@ "id": "92f0e461", "metadata": {}, "source": [ + "## Estimates\n", "\n", - "| Parameter | Value | Motivation |\n", - "|:------------------|:----------|:---------------------------------------------------------------|\n", - "| $\\alpha$ | 0.7 | Typical setting |\n", - "| $\\beta$ | 0.7 | Typical setting |\n", - "| $\\tau_\\text{sum}$ | 10 $\\mu$s | Default for HEKA and Axon ([appendix L](./appendix-L-tau-sum)) |\n", - "\n" + "Estimates for $R_s$, $C_m$, and $C_p$ are set at or near their true values" ] } ], diff --git a/artefacts/appendix-C3-parameter-values.ipynb b/artefacts/appendix-C3-parameter-values.ipynb new file mode 100644 index 0000000..f069098 --- /dev/null +++ b/artefacts/appendix-C3-parameter-values.ipynb @@ -0,0 +1,333 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "44ff9bab", + "metadata": {}, + "source": [ + "# Appendix C3: Parameter values\n", + "**Appendix C discusses variable names and values**" + ] + }, + { + "cell_type": "markdown", + "id": "d36bb82b", + "metadata": {}, + "source": [ + "In this notebook, we discuss estimates for $R_s$, $C_m$, $C_p$, $R_f$ and $C_f$, $\\tau_\\text{amp}$, and $\\tau_\\text{sum}$.\n", + "\n", + "For $R_s$, $C_m$, and $C_p$ we mostly record _the expected range used in compensation_, rather than experimentally obtained values." + ] + }, + { + "cell_type": "markdown", + "id": "e3df4447", + "metadata": {}, + "source": [ + "## 1. Series resistance $R_s$\n", + "\n", + "\n", + "\n", + "### Values\n", + "\n", + "| Source | $R_s$ |\n", + "|:-----------------------------------|---------------|\n", + "| Alembic VE-2 (low range) | 0-20 MOhm |\n", + "| Alembic VE-2 (high range) | 0-100 MOhm |\n", + "| Alembic VE-2 model cell | 5 MOhm |\n", + "| A-M Systems 2400 | 0-100 MOhm |\n", + "| Axon Axopatch 200B range | 0-100 MOhm |\n", + "| Axon Patch-1U model cell | 10 MOhm |\n", + "| HEKA EPC-9 | 0.1-10e3 MOhm |\n", + "| HEKA EPC-10 | 0.1-10e3 MOhm |\n", + "| HEKA EPC-10 manual \"typical value\" | 5 MOhm |\n", + "| HEKA Model cell MC9 | 5.1 MOhm |\n", + "| Molleman recommendation (page 107) | $<20$ MOhm |\n", + "| Sutter IPA | 1-100 MOhm |\n", + "| Warner PC-505B | 0-10 MOhm |\n", + "\n", + "\n", + "| 2-10pically 2-10 Mil,\n", + "\n", + "\n", + "### Origin and definition\n", + "\n", + "\n", + "\n", + "\n", + "#### Pipette resistance\n", + "\n", + "The pipette resistance is visible before the seal is made, and indicates the size of the aperture in the pipette.\n", + "Once a seal is made, it adds to the total series/access resistance.\n", + "\n", + "\n", + "Axon guide \"Conventional patch pipettes are fabricated. When filled with pipette solution, their resistance is\n", + "2–4 MΩ .\"\n", + "\n", + "#### pClamp\n", + "\n", + "The pClamp manual 10.4.1 defines $R_a$ as\n", + "> Access resistance (Ra) is the sum of the electrode resistance and resistance due to current-impeding factors near the electrode tip, e.g. cellular debris, etc.\n", + "and defines a separate $R_e$\n", + "> Electrode resistance (Re), also called pipette resistance (Rp), is the resistance due to the electrode.\n", + "> It does not include resistance due to environmental current-impeding factors near the electrode tip, e.g. cellular debris, air bubbles, poorly conducting solution etc.\n", + "Later on, they write $R_a = R_e + R_\\text{debris}$.\n", + "\n", + "However, in figure 4-1 they do draw the leak current as being connected directly to $V_m$ (and the ground), just after $R_a$.\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "7b6489c1", + "metadata": {}, + "source": [ + "## 2. Membrane capacitance $C_m$\n", + "\n", + "### Values\n", + "\n", + "| Source | $C_m$ |\n", + "|:-----------------------------------------|--------------|\n", + "| Alembic VE-2 model cell | 47 pF |\n", + "| A-M Systems 2400 | 0-100 pF |\n", + "| Axon Axopatch 200B range | 0.3-1000 pF |\n", + "| Axon Axopatch 1D | 0-100 pF |\n", + "| Axon Patch-1U model cell | 33 pF |\n", + "| HEKA EPC-9 | 0.12-1000 pF |\n", + "| HEKA EPC-10 | 0-1000 pF |\n", + "| HEKA EPC-10 manual \"typical value\" | 20 pF |\n", + "| HEKA Model cell MC9 | 22 pF |\n", + "| Sutter IPA | 1-100 pF |\n", + "| Warner PC-505B | 0-100 pF |\n", + "\n", + "### Origin and definition\n", + "\n", + "\n", + "\n", + "#### Membrane resistance $R_m$\n", + "\n", + "100 MOhm in Alembic model cell.\n", + "500 MOhm in Axon model cell Patch-1U.\n", + "500 MOhm in HEKA Model cell MC9.\n" + ] + }, + { + "cell_type": "markdown", + "id": "5d6c1942", + "metadata": {}, + "source": [ + "## 3. Pipette capacitance $C_p$\n", + "\n", + "\n", + "\n", + "\n", + "### Values\n", + "\n", + "| Source | $C_p$ |\n", + "|:----------------------------------------------|----------|\n", + "| Alembic VE-2 | 0-10pF |\n", + "| Alembic VE-2 model cell | 2pF |\n", + "| A-M Systems 2400 | 0-10 pF |\n", + "| Axon Axopatch 1D front panel (fast component) | 0-10 pF |\n", + "| Axon Axopatch 200B range (fast component) | 0-10 pF |\n", + "| Axon Patch-1U model cell | 4 pF |\n", + "| HEKA EPC-9 | 0-15 pF |\n", + "| HEKA EPC-10 | 0-15 pF |\n", + "| Poler et al 2005 | 10-15 pF |\n", + "| Sutter IPA | 0-25 pF |\n", + "| Warner PC-505B (2nd component) | 0-15 pF |\n", + "\n", + "### Origin and definition\n", + "\n", + "\n", + "\n", + "\n", + "#### \"Pipette\" capacitance\n", + "\n", + "The \"fast capacitance\" $C_p$ is described by Sigworth (1995) as\n", + "\n", + "> ...Cp is the capacitance of the pipette and holder...\n", + "\n", + "> ...fast capacitance Cp, which arises mainly in the pipette and holder and has negligible series resistance...\n", + "\n", + "> ...the capacitance Cp, which includes contributions from the input connector, the pipette\n", + "holder, and the capacitance of the pipette itself.\n", + "\n", + "Poler et al. (2005) blame it mostly on other sources:\n", + "\n", + "> The deeper the microelectrode is immersed, the higher the capacitance (typically 1 pF/mm). In addition, the pipette holder also introduces a capacity of 1–2 pF, and the input amplifier adds another 2–10 pF, resulting in a total stray capacitance of 10–15 pF\n", + "\n", + "EPC-10 manual mentions _without anything attached to the probe input_, it measures about 1-1.5pF.\n", + "\n", + "#### Extended settings\n", + "\n", + "The Axopatch 200b splits $C_p$ compensation into fast (0-10pF, 0.2-2us lag) and slow (0-1pF, 0.1-10ms lag).\n", + "\n", + "The Axopatch 1D front panel displays fast (0-10pF, 0.2-5us lag) and slow (0-1pF, 0.1-10ms lag).\n", + "\n", + "The EPC-9 uses a tau from 0.5 to 8 us.\n", + "\n", + "The PC-505B has fast (0-15pF, 0.33-8.5us lag) and very fast (0-5pF, 0.1-1.75us).\n" + ] + }, + { + "cell_type": "markdown", + "id": "5c18a21f", + "metadata": {}, + "source": [ + "## 4. Measuring resistance $R_f$ and its capacitance $C_f$\n", + "\n", + "### Values\n", + "\n", + "| Amplifier / Source | $R_f$ | $C_f$ | $R_f C_f$ |\n", + "|:------------------------------------------|------------------|---------|--------------|\n", + "| Alembic VE-2 | 10 M$\\Omega$ | | | \n", + "| A-M Systems 2400 | 10 M$\\Omega$ | | |\n", + "| A-M Systems 2400 | 100 M$\\Omega$ | | |\n", + "| A-M Systems 2400 | 1 G$\\Omega$ | | |\n", + "| A-M Systems 2400 | 10 G$\\Omega$ | | |\n", + "| Armstrong & Chow 1987 | 10 M$\\Omega$ | 0.5 pF | 5 $\\mu$s |\n", + "| Axon CV 203BU, whole-cell, default | 500 M$\\Omega$ | 1 pF | 500 $\\mu$s |\n", + "| Axon CV 203BU, whole-cell, large currents | 50 M$\\Omega$ | 1 pF | 50 $\\mu$s |\n", + "| Axon HS-9A, standard | 10 M$\\Omega$ | | |\n", + "| Axon HS-9A, \"large currents\" | 1 M$\\Omega$ | | |\n", + "| Axon HS-9A, \"ion-sensitive\" | 100 G$\\Omega$ | | |\n", + "| HEKA EPC 9, default gain | 495 M$\\Omega$ | 0.16 pF | 79.2 $\\mu$s* |\n", + "| HEKA EPC 9, low gain | 5 M$\\Omega$ | | |\n", + "| HEKA EPC 9, high gain | 50 G$\\Omega$ | 0.02 pF | 1000 $\\mu$s* |\n", + "| HEKA EPC 10, default gain | 500 M$\\Omega$ | | |\n", + "| HEKA EPC 10, low gain | 5 M$\\Omega$ | | |\n", + "| HEKA EPC 10, high gain | 50 G$\\Omega$ | | |\n", + "| Levis & Rae 1992 example | 50 G$\\Omega$ | 0.1 pF | 5000 $\\mu$s |\n", + "| Sigworth 1995 \"Typical\" values | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", + "| Sutter IPA | 500 M$\\Omega$ | | |\n", + "| Warner LC-201B, low gain | 500 M$\\Omega$ | | |\n", + "| Warner LC-201B, high gain | 50 G$\\Omega$ | | |\n", + "| Warner LC-202B, low gain | 50 M$\\Omega$ | | |\n", + "| Warner LC-202B, high gain | 50 G$\\Omega$ | | |\n", + "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", + "\n", + "Names are typically of headstages rather than amplifiers.\n", + "\n", + "### Origin and definition\n", + "\n", + "\n", + "#### $\\tau_f$ might not be the relevant value for HEKA\n", + "The HEKA values are presumably compensated as described in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 2.3, which is said to reduce the amplifier's pole at $\\tau_1 \\approx \\tau_f$ from $\\tau_1 \\approx \\tau_f \\approx 1\\text{ms}$ to an effective value of 4 $\\mu$s.\n", + "\n", + "#### HEKA sources\n", + "EPC10 data is from the HEKA Patchmaster Reference Manual 2x90.2, page 76.\n", + "\n", + "EPC9 data is from [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4).\n", + "Fig 2. in this paper also shows that the 50GOhm resistor is always connected, resulting in the 495MOhm for default gain instead of 500MOhm.\n", + "This is presumably true for the EPC10 too, but I can't find a reference for that.\n", + "\n", + "#### Axon sources\n", + "Axon HS-9A numbers are from The Axon Guide, 5th edition, page 47.\n", + "\n", + "Axon CV 203BU numbers are from the Axon Axopatch 200B Microelectrode Amplifier Data Sheet (accessed 2023-08-16), pages 4 and 6.\n", + "\n", + "#### Sutter sources\n", + "Sutter data is from the [WPI website](https://www.wpi-europe.com/products/amplifiers/patch-clamp/ipa.aspx).\n", + "\n", + "#### $C_f$ is a simplification\n", + "\n", + "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", + "\n", + "[Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) discusses scenarios where $C_f$ is evenly distributed along the resistor, and notes that this need not be the case.\n", + "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable.\n", + "\n", + "#### Capacitor-feedback amplifiers\n", + "\n", + "Finally, some amplifiers (e.g. the Axon 200B in \"single channel mode\" - but not in whole-cell mode) use a \"capacitor-feedback\" system, where the resistor $R_f$ is omitted entirely.\n", + "This requires some special tricks that are not covered in these notebooks.\n", + "For more on this, see [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), [Levis & Rae 1992](https://doi.org/10.1016/0076-6879(92)07004-8), or [Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093).\n", + "All three are book chapters but in my cupboard if you need them." + ] + }, + { + "cell_type": "markdown", + "id": "3d933f3e", + "metadata": {}, + "source": [ + "## 5. Op-amp time constant $\\tau_a$\n", + "\n", + "### Values\n", + "\n", + "| Source | page | $\\omega$ | $f$ | $\\tau$ |\n", + "|:---------------|:--------|--------------|----------|------------|\n", + "| Sigworth 1995a | 96 | 1e7 rad/s | 1.59 MHz | 100 ns |\n", + "| | 98, 101 | 6.28e7 rad/s | 10 MHz | 15.9 ns |\n", + "| Weerakoon 2009 | 3 | 2e7 rad/s | 3.18 MHz | 50 ns |\n", + "\n", + "\n", + "### Origin and definition\n", + "\n", + "Three different notations are used:\n", + "\n", + "\\begin{align}\n", + "\\omega_a = 2 \\pi f_a = 1 / \\tau_a\n", + "\\end{align}\n", + "\n", + "#### Units \n", + "I am confused about the units used.\n", + "\n", + "The equation\n", + "\\begin{align}\n", + "\\frac{d}{dt}V = \\omega (V_+ - V_-)\n", + "\\end{align}\n", + "needs $\\omega$ to be in $\\text{s}^{-1}$ to make the units match, and correspondingly Sigworth always gives values for $\\omega$ in units of $\\text{s}^{-1}$.\n", + "When converting to $\\tau$ we simply take the reciprocal, again suggesting that $\\omega$ is in $\\text{s}^{-1}$.\n", + "However, when introducing $\\omega$ he says the units are $\\text{rad}/s$.\n", + "And when converting to $f$ we divide by $2 \\pi\\,\\text{rad}$, suggesting the \"Hz\" used are really 1/s/rad?" + ] + }, + { + "cell_type": "markdown", + "id": "6b7e3828", + "metadata": {}, + "source": [ + "## 6. Series resistance compensation \"lag\" $\\tau_\\text{sum}$\n", + "\n", + "### Values\n", + "\n", + "| Source | page | $\\tau_\\text{sum} (\\mu s)$ |\n", + "|:--------------------------|:--------|:---------------------------------------|\n", + "| A-M Systems 2400 | | 1-100 |\n", + "| Axopatch 1D front panel | | 1-100 (10 at 12 o'clock) |\n", + "| Axopatch 200b front panel | | 1, 2, 3, 5, 7, 10, 20, 35, 60, 80, 100 |\n", + "| HEKA EPC 9 | 25 | 2, 10, 100 |\n", + "| HEKA EPC 10 | 32 | 2, 10, 100 |\n", + "| HEKA Patchmaster manual | 86, 87 | 2, 5, 10, 100 |\n", + "| Sutter IPA | | 20-200 |\n", + "\n", + "The HEKA manuals describe $10 \\mu s$ as medium, while on the Axopatches it is the value at 12 o'clock of the potentiometer. It seems safe to assume this is a good default value.\n", + "\n", + "### Origin and definition\n", + "\n", + "This is a deliberately added 1st order filter over $V_c$, used to reduce fast capacitance artefacts (by \"rounding\") and make series resistance compensation more stable.\n" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-H-strategies.ipynb b/artefacts/appendix-D1-strategies.ipynb similarity index 96% rename from artefacts/appendix-H-strategies.ipynb rename to artefacts/appendix-D1-strategies.ipynb index 8b3b252..d01d516 100644 --- a/artefacts/appendix-H-strategies.ipynb +++ b/artefacts/appendix-D1-strategies.ipynb @@ -4,16 +4,15 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Appendix H: Strategies for dealing with experimental error" + "# Appendix D1: Strategies for dealing with experimental error\n", + "**Appendix D discusses remaining noise and errors**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "In this appendix we provide a high-level overview of noise, artefacts, and imperfect control, and discuss general strategies for dealing with them.\n", - "\n", - "\n" + "In this notebook we provide a high-level overview of noise, artefacts, and imperfect control, and discuss general strategies for dealing with them." ] }, { @@ -127,7 +126,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-I-inspecting-noise.ipynb b/artefacts/appendix-D2-inspecting-noise.ipynb similarity index 99% rename from artefacts/appendix-I-inspecting-noise.ipynb rename to artefacts/appendix-D2-inspecting-noise.ipynb index 45d6614..07cfdd8 100644 --- a/artefacts/appendix-I-inspecting-noise.ipynb +++ b/artefacts/appendix-D2-inspecting-noise.ipynb @@ -4,7 +4,8 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Appendix I: Stochastic and periodic noise" + "# Appendix D2: Stochastic and periodic noise\n", + "**Appendix D discusses remaining noise and errors**" ] }, { diff --git a/artefacts/appendix-J-liquid-junction-potential.ipynb b/artefacts/appendix-D3-liquid-junction-potential.ipynb similarity index 98% rename from artefacts/appendix-J-liquid-junction-potential.ipynb rename to artefacts/appendix-D3-liquid-junction-potential.ipynb index 4979a5d..07d946b 100644 --- a/artefacts/appendix-J-liquid-junction-potential.ipynb +++ b/artefacts/appendix-D3-liquid-junction-potential.ipynb @@ -4,7 +4,8 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Appendix J: Liquid junction potential" + "# Appendix D3: Liquid junction potential\n", + "**Appendix D discusses remaining noise and errors**" ] }, { @@ -221,7 +222,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.5" + "version": "3.11.6" } }, "nbformat": 4, diff --git a/artefacts/appendix-D4-leak.ipynb b/artefacts/appendix-D4-leak.ipynb new file mode 100644 index 0000000..45be545 --- /dev/null +++ b/artefacts/appendix-D4-leak.ipynb @@ -0,0 +1,57 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "e7fdae0f", + "metadata": {}, + "source": [ + "# Appendix D4: Leak\n", + "**Appendix D discusses remaining noise and errors**" + ] + }, + { + "cell_type": "markdown", + "id": "22f7aaad", + "metadata": {}, + "source": [ + "I don't know!" + ] + }, + { + "cell_type": "markdown", + "id": "866c6899", + "metadata": {}, + "source": [ + "See also:\n", + "- Endogeneous currents\n", + "- Gating currents? (~100x smaller than ionic currents)\n", + "- Protocols to remove or quantify \"leak\"\n", + " - Subtraction protocol\n", + " - Leak ramp\n", + "\n", + "\n" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-D5-remaining-Cp-artefacts.ipynb b/artefacts/appendix-D5-remaining-Cp-artefacts.ipynb new file mode 100644 index 0000000..974a6a7 --- /dev/null +++ b/artefacts/appendix-D5-remaining-Cp-artefacts.ipynb @@ -0,0 +1,44 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "7fb2df6f", + "metadata": {}, + "source": [ + "# Appendix D5: Handling remaining capacitance artefacts\n", + "**Appendix D discusses remaining noise and errors**" + ] + }, + { + "cell_type": "markdown", + "id": "e2ffeda4", + "metadata": {}, + "source": [ + "- Cutting out. Take information loss into account in sensitivity analysis (Clerx 2015).\n", + "\n", + "- Just leave in and let the optimiser deal with it?" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-L-tau-sum.ipynb b/artefacts/appendix-L-tau-sum.ipynb deleted file mode 100644 index 09dcb7f..0000000 --- a/artefacts/appendix-L-tau-sum.ipynb +++ /dev/null @@ -1,61 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "e7fdae0f", - "metadata": {}, - "source": [ - "# Appendix L: Series resistance compensation speed" - ] - }, - { - "cell_type": "markdown", - "id": "22f7aaad", - "metadata": {}, - "source": [ - "Here we give values for the time constant of series resistance compensation." - ] - }, - { - "cell_type": "markdown", - "id": "0626bd26", - "metadata": {}, - "source": [ - "| Source | page | $\\tau (\\mu s)$ |\n", - "|:--------------------------|:--------|:---------------------------------------|\n", - "| HEKA Patchmaster manual | 86, 87 | 2, 5, 10, 100 |\n", - "| HEKA EPC 10 manual | 32 | 2, 10, 100 |\n", - "| Axopatch 200D front panel | | 1, 2, 3, 5, 7, 10, 20, 35, 60, 80, 100 |" - ] - }, - { - "cell_type": "markdown", - "id": "effeac2d", - "metadata": {}, - "source": [ - "The HEKA manuals describe $10 \\mu s$ as medium, while on the Axopatch it is the value at 12 o'clock of the potentiometer. It seems safe to assume this is a good default value." - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.6" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 030c180..857c76d 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -143,7 +143,7 @@ "id": "5597d996", "metadata": {}, "source": [ - "For more about op amps and difference amplifiers, see [Appendix A](./appendix-A-op-amp.ipynb)." + "For more about op amps and difference amplifiers, see [Appendix A1](./appendix-A1-op-amp.ipynb)." ] }, { @@ -202,7 +202,7 @@ "\\end{align}\n", "\n", "This means that $I_\\text{obs}$ will follow $I$ with a time constant $\\tau_f = R_f C_f$.\n", - "Typical values for $R_f$ and $C_f$ are given in [Appendix B](./appendix-B-Rf-and-Cf.ipynb).\n", + "Typical values for $R_f$ and $C_f$ are given in [Appendix C3](./appendix-C3-parameter-values.ipynb).\n", "For whole-cell experiments with standard gain settings, you might expect a $\\tau_f$ on the order of $80\\,{\\mu}s$ (HEKA) to $500\\,{\\mu}s$ (Axon)." ] }, @@ -270,12 +270,12 @@ "\\tau_c = \\frac{C_f + C_p}{C_f} \\tau_a\n", "\\end{align}\n", "\n", - "Typical values for $\\tau_a$ are in the order of 10 to 100 ns, as given in [Appendix C](./appendix-C-tau-amp.ipynb).\n", + "Typical values for $\\tau_a$ are in the order of 10 to 100 ns, as given in [Appendix C3](./appendix-C3-parameter-values.ipynb).\n", "\n", "A detailed analysis of the amplifier's \"bandwidth\", used as a measure for how fast the amplifier can respond to changes in $V_c$, is given in Sigworth 1995a.\n", - "It involves transfer function representations, which are discussed in [Appendix D](./appendix-D-laplace-and-filters.ipynb), while parts of the analysis are recapitulated in [Appendix E](./appendix-E-non-ideal-op-amp.ipynb).\n", - "In summary, the equations used by Weerakoon and Lei et al. are a simplification based on Sigworth's analysis.\n", - "They give rise to slightly different behaviour, as can be seen in [Appendix F](./appendix-F-uncompensated-models.ipynb), but for the analysis of many patch-clamp experiments their influence is overshadowed by the effects of the _series resistance_ and _membrane capacitance_, which are discussed below." + "It involves transfer function representations, which are discussed in [Appendix A2](./appendix-A2-laplace-and-filters.ipynb), while parts of the analysis are recapitulated in [Appendix A3](./appendix-A3-non-ideal-op-amp.ipynb).\n", + "In short, the equations used by Weerakoon and Lei et al. are a simplification based on Sigworth's analysis.\n", + "They give rise to slightly different behaviour, as can be seen in [Appendix B1](./appendix-B1-uncompensated-models.ipynb), but for the analysis of many patch-clamp experiments their influence is overshadowed by the effects of the _series resistance_ and _membrane capacitance_, which are discussed below." ] }, { @@ -464,7 +464,7 @@ "This gives us a 3 state variable ODE model of the patch-clamp set-up without compensation.\n", "\n", "The model above differs subtly from the uncompensated model used in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", - "A comparison is provided in [Appendix F](./appendix-F-uncompensated-models.ipynb)." + "A comparison is provided in [Appendix B1](./appendix-B1-uncompensated-models.ipynb)." ] }, { @@ -474,7 +474,7 @@ "source": [ "## Names & symbols\n", "\n", - "A list of alternative names and symbols for the components above is given in [Appendix G](./appendix-G-symbols.ipynb).\n", + "A list of alternative names and symbols for the components above is given in [Appendix C1](./appendix-C1-symbols.ipynb).\n", "\n", "Notably $R_\\text{leak}$ is often called _seal resistance_, while $R_s$ is also referred to as _access resistance_." ] @@ -495,7 +495,7 @@ "2. The initial membrane potential is set to Vm = -80\n", "3. We leave out $E_\\text{off}$ and $I_\\text{leak}$, and set $I = 0$ (for now), leaving only the capacitative currents. As a result, at steady state we have $V_p = V_o = V_m$.\n", "\n", - "The parameters used here are representitative of a small-cell experiment, and are motivated in [appendix N](./appendix-N-parameter-values.ipynb)." + "The parameters used here are representitative of a small-cell experiment, and are given in [appendix C2](./appendix-C2-parameter-defaults.ipynb)." ] }, { diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index dc57082..0a7eeb4 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -12,8 +12,8 @@ "In this notebook we extend our model to include the effects of these compensations, as modelled in [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348).\n", "\n", "We will deal mostly with _transient_ distortions of the recorded output signal, which we call _artefacts_, and with transient differences between the true and intended membrane potential, which are an example of _imperfect control_.\n", - "These terms, and general strategies for dealing with their effects, are discussed in [Appendix H](./appendix-H-strategies.ipynb).\n", - "Stochastic and periodic noise are not discussed here, but a brief discussion is given in [Appendix I](./appendix-I-inspecting-noise.ipynb)." + "These terms, and general strategies for dealing with their effects, are discussed in [Appendix D1](./appendix-D1-strategies.ipynb).\n", + "Stochastic and periodic noise are not discussed here, but a brief discussion is given in [Appendix D2](./appendix-D2-inspecting-noise.ipynb)." ] }, { @@ -63,7 +63,7 @@ "\n", "Some systems allow the LJP to be entered into the recording software, so that *a priori* correction can be performed without further user input.\n", "\n", - "A detailed description of the correction procedure is provided in [Appendix J](./appendix-J-liquid-junction-potential.ipynb).\n", + "A detailed description of the correction procedure is provided in [Appendix D3](./appendix-D3-liquid-junction-potential.ipynb).\n", "In short, if you have to do manual *a posteriori* correction then you **subtract** the LJP from the applied or measured voltage to get the true value." ] }, @@ -323,7 +323,7 @@ "\n", "The addition of the predicted charging current causes a significant \"overshoot\" in $V_\\text{ref}$, which has been likened to the _supercharging_ method of [Armstrong & Chow (1987)](https://doi.org/10.1016/S0006-3495(87)83198-3).\n", "\n", - "A derivation of the prediction equations from the schematics by Sigworth is given in [appendix K](./appendix-K-sigworth-rs.ipynb)." + "A derivation of the prediction equations from the schematics by Sigworth is given in [appendix B3](./appendix-B3-sigworth-rs.ipynb)." ] }, { @@ -340,7 +340,7 @@ "\\dot{V}_\\text{ref} = \\frac{V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}}{\\tau_\\text{sum}}\n", "\\end{align}\n", "\n", - "where typical values for $\\tau_\\text{sum}$ are $2\\mu s$ (fast), $10\\mu s$ (average), or $100\\mu s$ (slow, see [appendix L](./appendix-L-tau-sum.ipynb))." + "where typical values for $\\tau_\\text{sum}$ are $2\\mu s$ (fast), $10\\mu s$ (average), or $100\\mu s$ (slow, see [Appendix C3](./appendix-C3-parameter-values.ipynb))." ] }, { @@ -368,10 +368,9 @@ "id": "803954c5", "metadata": {}, "source": [ - "Correspondingly, we split $I_\\text{inj}$ up into two currents $I_\\text{FC}$ and $I_\\text{SC}$.\n", + "Correspondingly, we split $I_\\text{inj}$ up into two currents $I_\\text{FC}$ and $I_\\text{SC}$, where\n", "\n", "\\begin{align}\n", - "I_\\text{FC} = C_p^* \\dot{V}_\\text{ref} && \\text{Fast capacitance correction} \\\\\n", "I_\\text{SC} = C_m^* \\dot{V}_\\text{est} && \\text{Slow capacitance correction}\n", "\\end{align}\n", "\n", @@ -387,13 +386,22 @@ "id": "f0e20b1a", "metadata": {}, "source": [ - "### What about $C_p$?\n", + "### An updated fast capacitance correction\n", "\n", "In our schematic, we have placed $R_s$ after $C_p$, to reflect the fact that the fast capacitative current pathway \"has negligible series resistance\" ([Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 5.2).\n", - "As a result, we don't need to take $C_p$ into account for the series resistance compensation.\n", + "As a result, we don't need to take $C_p$ into account for the model of series resistance compensation.\n", + "(However, a good $C_p$ correction is essential for stable $R_s$ compensation in practice!)\n", "\n", - "However, if the large peaks caused by $C_p\\dot{V}_p$ are not filtered from $I_\\text{obs}$, they will appear in the feed-forward series resistance correction term and destabilise the system.\n", - "As a result, good $C_p$ correction is essential for series resistance compensation." + "However, we _will_ update the model slightly to use $V_\\text{ref}$, which purposefully lags behind $V_c$ (and \"rounds\" it) as the basis for our fast capacitance cancellation:\n", + "\n", + "\\begin{align}\n", + "I_\\text{FC} = C_p^* \\dot{V}_\\text{ref} && \\text{Fast capacitance correction}\n", + "\\end{align}\n", + "\n", + "In practice, many patch clamp amplifiers use a seperate \"lag\" time constant for $I_\\text{FC}$.\n", + "Some even allow two \"fast\" components, each with their own $C$ and $\\tau$.\n", + "The published information doesn't make it quite clear whether these lags are added onto $V_\\text{ref}$ or onto $V_c$.\n", + "Here, we will simplify by modelling $I_\\text{FC}$ as a single component correction based on $V_\\text{ref}$." ] }, { @@ -464,7 +472,7 @@ "\n", "Instead of working out the transfer function etc., we'll simulate the application of the analog filter using a digital filter from SciPy.\n", "I'm not 100% sure this is the best way to do it.\n", - "Some discussion can be found [here](https://dsp.stackexchange.com/questions/8319/simulating-analog-filter-using-convolution-or-converting-with-fft)." + "Some discussion can be found [on stack exchange](https://dsp.stackexchange.com/questions/8319)." ] }, { @@ -650,6 +658,17 @@ "For now, we will not include the filter in our model." ] }, + { + "cell_type": "markdown", + "id": "cb286752", + "metadata": {}, + "source": [ + "## Variations\n", + "\n", + "As with the uncompensated model, we can create model variations by choosing slightly different equations to model the main op-amp.\n", + "These are discussed in [Appendix B2](./appendix-B2-compensated-models.ipynb)" + ] + }, { "cell_type": "markdown", "id": "3e18bb3d", diff --git a/artefacts/artefacts-3-simulations.ipynb b/artefacts/artefacts-3-simulations.ipynb index 6336f75..b34f955 100644 --- a/artefacts/artefacts-3-simulations.ipynb +++ b/artefacts/artefacts-3-simulations.ipynb @@ -5,9 +5,10 @@ "id": "cf0eda98", "metadata": {}, "source": [ - "# Simulatingggggggggg\n", + "# Simulating a patch clamp experiment\n", "\n", - "In the [last notebook](./artefacts-2-compensation.ipynb), " + "In the [last notebook](./artefacts-2-compensation.ipynb) we completed our model of whole-cell patch clamp in voltage-clamp mode, with compensation circuitry.\n", + "Here, we use this model to simulate a manual patch clamp experiment." ] }, { diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb index c00115d..50424d4 100644 --- a/artefacts/artefacts-4-simplified.ipynb +++ b/artefacts/artefacts-4-simplified.ipynb @@ -7,8 +7,8 @@ "source": [ "# Modelling patch-clamp experiments: simplified models\n", "\n", - "In the [previous notebook](./artefacts-2-compensation.ipynb) we presented an update model of patch-clamp experiments that included electronic compensation.\n", - "Here, we show how this model can be simplified by omitting the fastest processes.\n", + "In the previous notebooks we presented a model of patch-clamp experiments.\n", + "Here, we explore how it can be simplified for parameter estimation purposes.\n", "\n", "We start from the main schematic and equations." ] @@ -18,7 +18,7 @@ "id": "18a35d71", "metadata": {}, "source": [ - "\n", + "\n", "\n", "_**Figure 1**: The full patch-clamp schematic_" ] @@ -29,33 +29,37 @@ "metadata": {}, "source": [ "\\begin{align}\n", - "C1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "2.1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + (C_p^* + C_m^*) \\dot{V}_\\text{ref}\n", + "2.2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", + "2.3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C4. && \\tau_\\text{sum} \\dot{V}_\\text{ref} = V_c + \\alpha R_s^*I_\\text{obs} - V_\\text{ref}\n", + "2.4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", "\\end{align}\n", "\n", "\\begin{align}\n", - "C5. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", + "2.5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2.6. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", "\\end{align}" ] }, { - "cell_type": "code", - "execution_count": null, - "id": "a4cd0624", + "cell_type": "markdown", + "id": "c80d70be", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "## Op-amp speed" + ] }, { "cell_type": "code", @@ -74,12 +78,12 @@ "source": [] }, { - "cell_type": "code", - "execution_count": null, - "id": "bd9e82b5", + "cell_type": "markdown", + "id": "a710185e", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "## Fast capacitance" + ] }, { "cell_type": "code", diff --git a/artefacts/appendix-Z-references.ipynb b/artefacts/references.ipynb similarity index 99% rename from artefacts/appendix-Z-references.ipynb rename to artefacts/references.ipynb index db04e91..7cfdd77 100644 --- a/artefacts/appendix-Z-references.ipynb +++ b/artefacts/references.ipynb @@ -5,7 +5,7 @@ "id": "fc24dbb4", "metadata": {}, "source": [ - "# Appendix Z: References" + "# References" ] }, { From c2b95086e0e9b3b5aadb694b48cc144c3c0f38e1 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 24 Jan 2024 16:42:51 +0000 Subject: [PATCH 39/77] Working on parameter values. --- artefacts/appendix-C3-parameter-values.ipynb | 182 +++++++++---------- 1 file changed, 86 insertions(+), 96 deletions(-) diff --git a/artefacts/appendix-C3-parameter-values.ipynb b/artefacts/appendix-C3-parameter-values.ipynb index f069098..b068a01 100644 --- a/artefacts/appendix-C3-parameter-values.ipynb +++ b/artefacts/appendix-C3-parameter-values.ipynb @@ -14,7 +14,7 @@ "id": "d36bb82b", "metadata": {}, "source": [ - "In this notebook, we discuss estimates for $R_s$, $C_m$, $C_p$, $R_f$ and $C_f$, $\\tau_\\text{amp}$, and $\\tau_\\text{sum}$.\n", + "In this notebook, we discuss estimates for $R_s$, $C_m$, $C_p$, $R_f$ and $C_f$, $\\tau_\\text{sum}$, and $\\tau_\\text{amp}$.\n", "\n", "For $R_s$, $C_m$, and $C_p$ we mostly record _the expected range used in compensation_, rather than experimentally obtained values." ] @@ -26,18 +26,18 @@ "source": [ "## 1. Series resistance $R_s$\n", "\n", - "\n", - "\n", "### Values\n", "\n", "| Source | $R_s$ |\n", "|:-----------------------------------|---------------|\n", + "| Armstrong & Chow 1987 | 4 MOhm |\n", "| Alembic VE-2 (low range) | 0-20 MOhm |\n", "| Alembic VE-2 (high range) | 0-100 MOhm |\n", "| Alembic VE-2 model cell | 5 MOhm |\n", "| A-M Systems 2400 | 0-100 MOhm |\n", "| Axon Axopatch 200B range | 0-100 MOhm |\n", "| Axon Patch-1U model cell | 10 MOhm |\n", + "| Hamill et al. 1981 | 20 MOhm |\n", "| HEKA EPC-9 | 0.1-10e3 MOhm |\n", "| HEKA EPC-10 | 0.1-10e3 MOhm |\n", "| HEKA EPC-10 manual \"typical value\" | 5 MOhm |\n", @@ -46,35 +46,33 @@ "| Sutter IPA | 1-100 MOhm |\n", "| Warner PC-505B | 0-10 MOhm |\n", "\n", - "\n", - "| 2-10pically 2-10 Mil,\n", - "\n", - "\n", "### Origin and definition\n", "\n", + "Consists _at least_ of the pipette resistance, followed by the resistance of the connection to the cell.\n", + "Many of the papers in the [references](./references.ipynb) page have schematics.\n", "\n", - "\n", + "The Axon pClamp manual 10.4.1 defines \"access resistance\" $R_a$ as\n", + "> Access resistance ($R_a$) is the sum of the electrode resistance and resistance due to current-impeding factors near the electrode tip, e.g. cellular debris, etc.\n", + "> ...\n", + "> Electrode resistance ($R_e$), also called pipette resistance ($R_p$), is the resistance due to the electrode.\n", + "> It does not include resistance due to environmental current-impeding factors near the electrode tip, e.g. cellular debris, air bubbles, poorly conducting solution etc.\n", + "> ...\n", + "> $R_a = R_e + R_\\text{debris}$\n", "\n", "#### Pipette resistance\n", "\n", - "The pipette resistance is visible before the seal is made, and indicates the size of the aperture in the pipette.\n", + "The pipette resistance is visible before the seal is made, and indicates the size of the aperture in the pipette (plus an offset for whatever resistance was incurred before the tip).\n", "Once a seal is made, it adds to the total series/access resistance.\n", "\n", + "Some values:\n", "\n", - "Axon guide \"Conventional patch pipettes are fabricated. When filled with pipette solution, their resistance is\n", - "2–4 MΩ .\"\n", - "\n", - "#### pClamp\n", - "\n", - "The pClamp manual 10.4.1 defines $R_a$ as\n", - "> Access resistance (Ra) is the sum of the electrode resistance and resistance due to current-impeding factors near the electrode tip, e.g. cellular debris, etc.\n", - "and defines a separate $R_e$\n", - "> Electrode resistance (Re), also called pipette resistance (Rp), is the resistance due to the electrode.\n", - "> It does not include resistance due to environmental current-impeding factors near the electrode tip, e.g. cellular debris, air bubbles, poorly conducting solution etc.\n", - "Later on, they write $R_a = R_e + R_\\text{debris}$.\n", - "\n", - "However, in figure 4-1 they do draw the leak current as being connected directly to $V_m$ (and the ground), just after $R_a$.\n", - "\n" + "| Source | Value |\n", + "|:-----------------------------------------|-----------|\n", + "| Axon guide \"conventional patch pipettes\" | 2-4 MOhm |\n", + "| Cahalan & Neher | 1-10 MOhm |\n", + "| Molleman 2002 \"low resistance\" | $<2$ MOhm |\n", + "| Molleman 2002 \"medium\" | 2-7 MOhm |\n", + "| Molleman 2002 \"very small tip\" | $>7$ MOhm |\n" ] }, { @@ -90,6 +88,7 @@ "|:-----------------------------------------|--------------|\n", "| Alembic VE-2 model cell | 47 pF |\n", "| A-M Systems 2400 | 0-100 pF |\n", + "| Armstrong & Chow 1987 | 18 pF |\n", "| Axon Axopatch 200B range | 0.3-1000 pF |\n", "| Axon Axopatch 1D | 0-100 pF |\n", "| Axon Patch-1U model cell | 33 pF |\n", @@ -102,13 +101,21 @@ "\n", "### Origin and definition\n", "\n", + "Depends on the cell size.\n", + "Usually taken to be about 1pF per $\\text{cm}^2$, although when error bars are given it can easily be 2.\n", "\n", + "The membrane of ventricular cells is often estimated by approximating it as a cylinder and then multiplying by 2 to account for the membrane's many tubules and invaginations.\n", "\n", "#### Membrane resistance $R_m$\n", "\n", - "100 MOhm in Alembic model cell.\n", - "500 MOhm in Axon model cell Patch-1U.\n", - "500 MOhm in HEKA Model cell MC9.\n" + "A related quantity is the cell resistance, which is typically used to create model cells.\n", + "A few examples:\n", + "\n", + "| Source | Value |\n", + "|:-------------------------|----------|\n", + "| Alembic model cell | 100 MOhm |\n", + "| Axon model cell Patch-1U | 500 MOhm |\n", + "| HEKA Model cell MC9 | 500 MOhm |\n" ] }, { @@ -118,9 +125,6 @@ "source": [ "## 3. Pipette capacitance $C_p$\n", "\n", - "\n", - "\n", - "\n", "### Values\n", "\n", "| Source | $C_p$ |\n", @@ -131,6 +135,7 @@ "| Axon Axopatch 1D front panel (fast component) | 0-10 pF |\n", "| Axon Axopatch 200B range (fast component) | 0-10 pF |\n", "| Axon Patch-1U model cell | 4 pF |\n", + "| Hamill et al. 1981 | 5 pF |\n", "| HEKA EPC-9 | 0-15 pF |\n", "| HEKA EPC-10 | 0-15 pF |\n", "| Poler et al 2005 | 10-15 pF |\n", @@ -139,35 +144,29 @@ "\n", "### Origin and definition\n", "\n", - "\n", - "\n", - "\n", - "#### \"Pipette\" capacitance\n", + "More properly called the \"fast\" or \"parasitic\" capacitance, it represents the lumped capacitances of the pipette and many things attached to the pipette.\n", "\n", "The \"fast capacitance\" $C_p$ is described by Sigworth (1995) as\n", "\n", - "> ...Cp is the capacitance of the pipette and holder...\n", - "\n", - "> ...fast capacitance Cp, which arises mainly in the pipette and holder and has negligible series resistance...\n", - "\n", - "> ...the capacitance Cp, which includes contributions from the input connector, the pipette\n", + "> ...$C_p$ is the capacitance of the pipette and holder...\n", + "> ...fast capacitance $C_p$, which arises mainly in the pipette and holder and has negligible series resistance...\n", + "> ...the capacitance $C_p$, which includes contributions from the input connector, the pipette\n", "holder, and the capacitance of the pipette itself.\n", "\n", "Poler et al. (2005) blame it mostly on other sources:\n", "\n", "> The deeper the microelectrode is immersed, the higher the capacitance (typically 1 pF/mm). In addition, the pipette holder also introduces a capacity of 1–2 pF, and the input amplifier adds another 2–10 pF, resulting in a total stray capacitance of 10–15 pF\n", "\n", - "EPC-10 manual mentions _without anything attached to the probe input_, it measures about 1-1.5pF.\n", + "The EPC-10 manual mentions that, _without anything attached to the probe input_, it measures about 1-1.5pF.\n", "\n", - "#### Extended settings\n", + "#### Two-component model and lag\n", "\n", - "The Axopatch 200b splits $C_p$ compensation into fast (0-10pF, 0.2-2us lag) and slow (0-1pF, 0.1-10ms lag).\n", + "Compensation circuitry often splits $C_p$ into two components, and provides an additional \"lag\" control for its filtering.\n", "\n", + "The Axopatch 200b splits $C_p$ compensation into fast (0-10pF, 0.2-2us lag) and slow (0-1pF, 0.1-10ms lag).\n", "The Axopatch 1D front panel displays fast (0-10pF, 0.2-5us lag) and slow (0-1pF, 0.1-10ms lag).\n", - "\n", "The EPC-9 uses a tau from 0.5 to 8 us.\n", - "\n", - "The PC-505B has fast (0-15pF, 0.33-8.5us lag) and very fast (0-5pF, 0.1-1.75us).\n" + "The PC-505B has fast (0-15pF, 0.33-8.5us lag) and very fast (0-5pF, 0.1-1.75us)." ] }, { @@ -202,55 +201,71 @@ "| Sigworth 1995 \"Typical\" values | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", "| Sutter IPA | 500 M$\\Omega$ | | |\n", "| Warner LC-201B, low gain | 500 M$\\Omega$ | | |\n", - "| Warner LC-201B, high gain | 50 G$\\Omega$ | | |\n", + "| Warner LC-201B, high gain | 50 G$\\Omega$ | | |\n", "| Warner LC-202B, low gain | 50 M$\\Omega$ | | |\n", - "| Warner LC-202B, high gain | 50 G$\\Omega$ | | |\n", + "| Warner LC-202B, high gain | 50 G$\\Omega$ | | |\n", "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", "\n", "Names are typically of headstages rather than amplifiers.\n", "\n", "### Origin and definition\n", "\n", + "The voltage drop over $R_f$ is used to measure currents. To measure small currents, we make it large.\n", + "The capacitance $C_f$ is either incurred accidentally, or added by design (Weerakoon 2009).\n", "\n", "#### $\\tau_f$ might not be the relevant value for HEKA\n", "The HEKA values are presumably compensated as described in [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), section 2.3, which is said to reduce the amplifier's pole at $\\tau_1 \\approx \\tau_f$ from $\\tau_1 \\approx \\tau_f \\approx 1\\text{ms}$ to an effective value of 4 $\\mu$s.\n", "\n", - "#### HEKA sources\n", - "EPC10 data is from the HEKA Patchmaster Reference Manual 2x90.2, page 76.\n", - "\n", - "EPC9 data is from [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4).\n", - "Fig 2. in this paper also shows that the 50GOhm resistor is always connected, resulting in the 495MOhm for default gain instead of 500MOhm.\n", + "#### 495 MOhm ?\n", + "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) shows that, in the EPC-9, the 50GOhm resistor is always connected, resulting in the 495MOhm for default gain instead of 500MOhm.\n", "This is presumably true for the EPC10 too, but I can't find a reference for that.\n", "\n", - "#### Axon sources\n", - "Axon HS-9A numbers are from The Axon Guide, 5th edition, page 47.\n", - "\n", - "Axon CV 203BU numbers are from the Axon Axopatch 200B Microelectrode Amplifier Data Sheet (accessed 2023-08-16), pages 4 and 6.\n", - "\n", - "#### Sutter sources\n", - "Sutter data is from the [WPI website](https://www.wpi-europe.com/products/amplifiers/patch-clamp/ipa.aspx).\n", - "\n", "#### $C_f$ is a simplification\n", "\n", - "[Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", - "\n", - "[Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) discusses scenarios where $C_f$ is evenly distributed along the resistor, and notes that this need not be the case.\n", - "[Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable.\n", + "- [Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) notes that \"In practice, $C_f$ consists of many resistor-capacitor components that make the frequency response of $R_f$ very complicated\".\n", + "- [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4) discusses scenarios where $C_f$ is evenly distributed along the resistor, and notes that this need not be the case.\n", + "- [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) states that \"both the resistance of the 50 GOhm resistor and its stray capacitance can vary considerably\" (presumably between components with identical specs), so that compensation circuitry needs to be adjustable.\n", "\n", "#### Capacitor-feedback amplifiers\n", "\n", - "Finally, some amplifiers (e.g. the Axon 200B in \"single channel mode\" - but not in whole-cell mode) use a \"capacitor-feedback\" system, where the resistor $R_f$ is omitted entirely.\n", + "Some amplifiers (e.g. the Axon 200B in \"single channel mode\" - but not in whole-cell mode) use a \"capacitor-feedback\" system, where the resistor $R_f$ is omitted entirely.\n", "This requires some special tricks that are not covered in these notebooks.\n", "For more on this, see [Sigworth 1995a](https://doi.org/10.1007/978-1-4419-1229-9_4), [Levis & Rae 1992](https://doi.org/10.1016/0076-6879(92)07004-8), or [Finkel 1991](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093).\n", "All three are book chapters but in my cupboard if you need them." ] }, + { + "cell_type": "markdown", + "id": "6b7e3828", + "metadata": {}, + "source": [ + "## 5. Series resistance compensation \"lag\" $\\tau_\\text{sum}$\n", + "\n", + "### Values\n", + "\n", + "| Source | page | $\\tau_\\text{sum} (\\mu s)$ |\n", + "|:--------------------------|:--------|:---------------------------------------|\n", + "| A-M Systems 2400 | | 1-100 |\n", + "| Axopatch 1D front panel | | 1-100 (10 at 12 o'clock) |\n", + "| Axopatch 200b front panel | | 1, 2, 3, 5, 7, 10, 20, 35, 60, 80, 100 |\n", + "| HEKA EPC 9 | 25 | 2, 10, 100 |\n", + "| HEKA EPC 10 | 32 | 2, 10, 100 |\n", + "| HEKA Patchmaster manual | 86, 87 | 2, 5, 10, 100 |\n", + "| Sutter IPA | | 20-200 |\n", + "\n", + "The HEKA manuals describe $10 \\mu s$ as medium, while on the Axopatches it is the value at 12 o'clock of the potentiometer. It seems safe to assume this is a good default value.\n", + "\n", + "### Origin and definition\n", + "\n", + "This is a deliberately added 1st order filter over $V_c$, used to reduce fast capacitance artefacts (by \"rounding\") and make series resistance compensation more stable.\n" + ] + }, { "cell_type": "markdown", "id": "3d933f3e", "metadata": {}, "source": [ - "## 5. Op-amp time constant $\\tau_a$\n", + "## 6. Op-amp time constant $\\tau_a$\n", "\n", "### Values\n", "\n", @@ -260,15 +275,16 @@ "| | 98, 101 | 6.28e7 rad/s | 10 MHz | 15.9 ns |\n", "| Weerakoon 2009 | 3 | 2e7 rad/s | 3.18 MHz | 50 ns |\n", "\n", - "\n", - "### Origin and definition\n", - "\n", - "Three different notations are used:\n", - "\n", + "where\n", "\\begin{align}\n", "\\omega_a = 2 \\pi f_a = 1 / \\tau_a\n", "\\end{align}\n", "\n", + "\n", + "### Origin and definition\n", + "\n", + "Discussed in detail in [appendix A3](./appendix-A3-non-ideal-op-amp.ipynb).\n", + "\n", "#### Units \n", "I am confused about the units used.\n", "\n", @@ -281,32 +297,6 @@ "However, when introducing $\\omega$ he says the units are $\\text{rad}/s$.\n", "And when converting to $f$ we divide by $2 \\pi\\,\\text{rad}$, suggesting the \"Hz\" used are really 1/s/rad?" ] - }, - { - "cell_type": "markdown", - "id": "6b7e3828", - "metadata": {}, - "source": [ - "## 6. Series resistance compensation \"lag\" $\\tau_\\text{sum}$\n", - "\n", - "### Values\n", - "\n", - "| Source | page | $\\tau_\\text{sum} (\\mu s)$ |\n", - "|:--------------------------|:--------|:---------------------------------------|\n", - "| A-M Systems 2400 | | 1-100 |\n", - "| Axopatch 1D front panel | | 1-100 (10 at 12 o'clock) |\n", - "| Axopatch 200b front panel | | 1, 2, 3, 5, 7, 10, 20, 35, 60, 80, 100 |\n", - "| HEKA EPC 9 | 25 | 2, 10, 100 |\n", - "| HEKA EPC 10 | 32 | 2, 10, 100 |\n", - "| HEKA Patchmaster manual | 86, 87 | 2, 5, 10, 100 |\n", - "| Sutter IPA | | 20-200 |\n", - "\n", - "The HEKA manuals describe $10 \\mu s$ as medium, while on the Axopatches it is the value at 12 o'clock of the potentiometer. It seems safe to assume this is a good default value.\n", - "\n", - "### Origin and definition\n", - "\n", - "This is a deliberately added 1st order filter over $V_c$, used to reduce fast capacitance artefacts (by \"rounding\") and make series resistance compensation more stable.\n" - ] } ], "metadata": { From 751c4722d329dbeab225655a8fd8cbda4f1c4fa1 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 25 Jan 2024 22:22:58 +0000 Subject: [PATCH 40/77] Finished mini lit rev --- artefacts/appendix-C3-parameter-values.ipynb | 4 +- artefacts/references.ipynb | 139 ++++++++++--------- 2 files changed, 80 insertions(+), 63 deletions(-) diff --git a/artefacts/appendix-C3-parameter-values.ipynb b/artefacts/appendix-C3-parameter-values.ipynb index b068a01..e133076 100644 --- a/artefacts/appendix-C3-parameter-values.ipynb +++ b/artefacts/appendix-C3-parameter-values.ipynb @@ -43,6 +43,7 @@ "| HEKA EPC-10 manual \"typical value\" | 5 MOhm |\n", "| HEKA Model cell MC9 | 5.1 MOhm |\n", "| Molleman recommendation (page 107) | $<20$ MOhm |\n", + "| Penner 1995 \"typical value\" | 5 MOhm |\n", "| Sutter IPA | 1-100 MOhm |\n", "| Warner PC-505B | 0-10 MOhm |\n", "\n", @@ -72,7 +73,8 @@ "| Cahalan & Neher | 1-10 MOhm |\n", "| Molleman 2002 \"low resistance\" | $<2$ MOhm |\n", "| Molleman 2002 \"medium\" | 2-7 MOhm |\n", - "| Molleman 2002 \"very small tip\" | $>7$ MOhm |\n" + "| Molleman 2002 \"very small tip\" | $>7$ MOhm |\n", + "| Penner 1995 \"typical value\" | 2 MOhm |\n" ] }, { diff --git a/artefacts/references.ipynb b/artefacts/references.ipynb index 7cfdd77..e14fcae 100644 --- a/artefacts/references.ipynb +++ b/artefacts/references.ipynb @@ -8,23 +8,6 @@ "# References" ] }, - { - "cell_type": "markdown", - "id": "aff7c8af", - "metadata": {}, - "source": [ - "- [Auerbach, Sachs (1984) Patch Clamp Studies of Single Ionic Channels](https://doi.org/10.1146/annurev.bb.13.060184.001413)\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "id": "13d37e5d", - "metadata": {}, - "source": [ - "\n" - ] - }, { "cell_type": "markdown", "id": "fcdf2bc5", @@ -32,12 +15,17 @@ "source": [ "## Amplifier design\n", "\n", - "- [Finkel (1985) Useful Circuits for Voltage Clamping With Microelectrodes](https://doi.org/10.1007/978-1-4614-7601-6_2)\n", - "- [Finkel (1991) Progress in instrumentation technology for recording from single channels and small cells](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) \n", - "- [Levis & Rae (1998) Low-noise patch-clamp techniques](https://doi.org/10.1016/S0076-6879(98)93017-8)\n", - "- [Sigworth (1983) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4615-7858-1_1)\n", - "- [Sigworth (1995a) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4419-1229-9_4)\n", - "- [Weerakoon, Culurciello, Klemic, Sigworth (2009) An Integrated Patch-Clamp Potentiostat With Electrode Compensation](https://doi.org/10.1109/TBCAS.2008.2005419)" + "- [Finkel, Redman (1984) Theory and operation of a single microelectrode voltage clamp](https://doi.org/10.1016/0165-0270(84)90029-3)\n", + "- [Finkel (1985) Useful Circuits for Voltage Clamping With Microelectrodes](https://doi.org/10.1007/978-1-4614-7601-6_2) in Voltage and Patch Clamping with Microelectrodes\n", + "- [Finkel (1991) Progress in instrumentation technology for recording from single channels and small cells](https://www.amazon.co.uk/Molecular-Neurobiology-Practical-Approach/dp/0199631093) in Molecular Neurobiology: A Practical Approach\n", + "- [Lecar & Smith 1985 voltage clamping small cells](https://doi.org/10.1007/978-1-4614-7601-6_11) in Voltage and Patch Clamping with Microelectrodes\n", + "- [Magistretti, Mantegazza, Guatteo, Wanke (1996) Action potentials recorded with patch-clamp amplifiers; are they genuine](https://doi.org/10.1016/s0166-2236(96)40004-2)\n", + "- [Sigworth (1983) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4615-7858-1_1) in Single-channel Recording\n", + "- [Sigworth (1995a) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4419-1229-9_4) in Single-channel Recording\n", + "- [Sigworth (1995b) Design of the EPC-9, a computer-controlled patch-clamp amplifier, 1 Hardware](https://doi.org/10.1016/0165-0270(94)00129-5)\n", + "- [Strickholm (1995b) A single electrode voltage, current- and patch-clamp amplifier with complete stable series resistance compensation](https://doi.org/10.1016/0165-0270(95)00021-L)\n", + "- [Weerakoon, Culurciello, Klemic, Sigworth (2009) An Integrated Patch-Clamp Potentiostat With Electrode Compensation](https://doi.org/10.1109/TBCAS.2008.2005419)\n", + "- [Wilson, Goldner (1975) Voltage clamping with a single microelectrode](https://doi.org/10.1002/neu.480060406)\n" ] }, { @@ -45,11 +33,12 @@ "id": "b391edd0", "metadata": {}, "source": [ - "## Amplifiers\n", + "## Amplifier manuals\n", "\n", + "- Alembic manual is available from [alembicinstruments.com](http://www.alembicinstruments.com)\n", + "- A-M systems manuals are available from [a-msystems.com](https://www.a-msystems.com)\n", "- Axon manuals are available from [moleculardevices.com](https://support.moleculardevices.com)\n", - "- HEKA manuals and tutorials are available from [heka.com](https://www.heka.com)\n", - "- [Sigworth (1995b) Design of the EPC-9, a computer-controlled patch-clamp amplifier, 1 Hardware](https://doi.org/10.1016/0165-0270(94)00129-5)" + "- HEKA manuals and tutorials are available from [heka.com](https://www.heka.com)" ] }, { @@ -59,7 +48,8 @@ "source": [ "## Leak\n", "\n", - "- [Lei, Fabbri et al. De Boer (2021) A nonlinear and time-dependent leak current in the presence of calcium fluoride patch-clamp seal enhancer](https://doi.org/10.12688/wellcomeopenres.15968.2)" + "- [Lei, Fabbri et al. De Boer (2021) A nonlinear and time-dependent leak current in the presence of calcium fluoride patch-clamp seal enhancer](https://doi.org/10.12688/wellcomeopenres.15968.2)\n", + "- [Milton, Caldwell (1990) How do patch clamp seals form? A lipid bleb model](https://doi.org/10.1007/BF00370626)" ] }, { @@ -69,22 +59,27 @@ "source": [ "## Liquid junction potential\n", "\n", + "- [Barry, Diamond (1970) Junction potentials, electrode standard potentials, and other problems in interpreting electrical properties in membranes](https://doi.org/10.1007/BF01868010)\n", + "- [Barry, Lynch (1991) Liquid junction potentials and small cell effects in patch-clamp analysis](https://doi.org/10.1007/bf01870526)\n", "- [Barry (1994) JPCalc, a software package for calculating liquid junction potential corrections in patch-clamp, intracellular, epithelial and bilayer measurements and for correcting junction potential measurements](https://doi.org/10.1016/0165-0270(94)90031-0)\n", "- [Dickinson, Freitag, Compton (2010) Dynamic Theory of Liquid Junction Potentials](https://doi.org/10.1021/jp908024s)\n", "- [Figl, Lewis, Barry (2004) Axon Instruments; Liquid Junction Potential Corrections](https://medicalsciences.med.unsw.edu.au/sites/default/files/soms/page/ElectroPhysSW/Figl%20App%20Note2004.pdf)\n", "- [Harden, Brogioli (2020) LJPcalc Liquid Junction Potential Calculator](https://swharden.com/LJPcalc/)\n", "- [Marino, Misuri, Brogioli (2014) A new open source software for the calculation of the liquid junction potential between two solutions according to the stationary Nernst-Planck equation](https://arxiv.org/abs/1403.3640).\n", - "- [Neher (1992) Correction for liquid junction potentials in patch clamp experiments](https://doi.org/10.1016/0076-6879(92)07008-C)\n" + "- [Neher (1992) Correction for liquid junction potentials in patch clamp experiments](https://doi.org/10.1016/0076-6879(92)07008-C)\n", + "- [Neher (1995) Voltage Offsets in Patch-Clamp Experiments](https://doi.org/10.1007/978-1-4419-1229-9_6) in Single-Channel Recording\n", + "- [Ng, Barry (1995) The measurement of ionic conductivities and mobilities of certain less common organic ions needed for junction potential corrections in electrophysiology](https://doi.org/10.1016/0165-0270(94)00087-W)" ] }, { "cell_type": "markdown", - "id": "df427405", + "id": "9b444e87", "metadata": {}, "source": [ "## Membrane capacitance\n", "\n", - "- [Thompson, Lindau, Webb (2001) Robust, High-Resolution, Whole Cell Patch-Clamp Capacitance Measurements Using Square Wave Stimulation](https://doi.org/10.1016/S0006-3495(01)75752-9)" + "- Too much too list on this topic: do a search!\n", + "- [Taylor (2012) What we talk about when we talk about capacitance measured with the voltage-clamp step method](https://doi.org/10.1007/s10827-011-0346-8)" ] }, { @@ -98,7 +93,8 @@ "- [Clerx, Collins, Volders (2015) Applying novel identification protocols to Markov models of INa](http://michaelclerx.com/publications/files/clerx-collins-volders-2015-applied-estimation-pre-print.pdf)\n", "- [Lei, Clerx et al. Mirams (2020) Accounting for variability in ion current recordings using a mathematical model of artefacts in voltage-clamp experiments](https://doi.org/10.1098/rsta.2019.0348)\n", "- [Lei (2020) DPhil Thesis; Model-Driven Design and Uncertainty Quantification for Cardiac Electrophysiology Experiments](https://ora.ox.ac.uk/objects/uuid:528c2771-ae4f-4f3c-b649-44904acdf259)\n", - "- [Montnach, Lorenzini et al., Loussouarn (2021) Computer modeling of whole-cell voltage-clamp analyses to delineate guidelines for good practice of manual and automated patch-clamp](https://doi.org/10.1038/s41598-021-82077-8)" + "- [Montnach, Lorenzini et al., Loussouarn (2021) Computer modeling of whole-cell voltage-clamp analyses to delineate guidelines for good practice of manual and automated patch-clamp](https://doi.org/10.1038/s41598-021-82077-8)\n", + "- Ypey, DeFelice (2000) The patch-clamp technique explained and exercised with the use of simple electrical equivalent circuits" ] }, { @@ -106,9 +102,9 @@ "id": "9400cb91", "metadata": {}, "source": [ - "## Noise\n", + "## Noise (see also: pipettes)\n", "\n", - "- [Benndorf (1995) Low-Noise Recording](https://doi.org/10.1007/978-1-4419-1229-9_5)\n", + "- [Benndorf (1995) Low-Noise Recording](https://doi.org/10.1007/978-1-4419-1229-9_5) in Single-channel Recording\n", "- [Sigworth (1995a) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4419-1229-9_4)\n", "- [The Axon Guide](https://www.moleculardevices.com/en/assets/ebook/dd/cns/axon-guide-to-electrophysiology-and-biophysics-laboratory-techniques)" ] @@ -132,55 +128,74 @@ "source": [ "## Patch-clamp in practice\n", "\n", + "- [Bebarova (2012) Advances in patch-clamp technique; towards higher quality and quantity](https://doi.org/10.4149/gpb_2012_016)\n", + "- [Cahalan, Neher (1992) Patch Clamp Techniques; An overview](https://doi.org/10.1016/0076-6879(92)07003-7)\n", "- [Hamill, Marty et al., Sigworth (1981) Improved patch-clamp techniques for high-resolution current recording from cells and cell-free membrane patches](https://doi.org/10.1007/BF00656997)\n", - "- [Molleman (2002) Patch Clamping](https://doi.org/10.1002/0470856521)\n", - "- [Penner (1995) A Practical Guide to Patch Clamping](https://doi.org/10.1007/978-1-4419-1229-9_1)" + "- [Levis & Rae (1992) Constructing a patch clamp setup](https://doi.org/10.1016/0076-6879(92)07004-8)\n", + "- [Marty & Neher (1995) Tight-seal whole-cell recording](https://doi.org/10.1007/978-1-4615-7858-1_7) in Single-Channel Recording\n", + "- [Molleman (2002) Patch Clamping](https://doi.org/10.1002/0470856521) (book)\n", + "- [Ogden, Stanfield (1994) Patch clamp techniques for single channel and whole-cell recording](https://doi.org/10.1093/oso/9780199632442.003.0003) in Monitoring Neuronal Activity - A practical approach\n", + "- [Okada (2012) Patch Clamp Techniques](https://doi.org/10.1007/978-4-431-53993-3) (book)\n", + "- [Penner (1995) A Practical Guide to Patch Clamping](https://doi.org/10.1007/978-1-4419-1229-9_1) in Single-Channel Recording\n", + "- [Poler, Weskamp, Linz, Meyer (2005) Voltage-clamp and patch-clamp techniques](https://doi.org/10.1007/3-540-26574-0_16)\n", + "- [Sakmann, Neher (1984) Patch Clamp Techniques for Studying Ionic Channels in Excitable Membranes](https://doi.org/10.1146/annurev.ph.46.030184.002323)\n", + "- [Sheets, Ten Eick (1994) Whole-Cell Voltage Clamp of Cardiac Sodium Current](https://doi.org/10.1016/B978-0-12-185287-0.50015-6)\n", + "- [Smith, Lecar, Redman, Gage (1985) Voltage and patch clamping with microelectrodes](https://doi.org/10.1007/978-1-4614-7601-6) (book)" ] }, { "cell_type": "markdown", - "id": "f4271f52", + "id": "d9c7766e", "metadata": {}, "source": [ - "## Series-resistance compensation\n", + "## Perforated patch\n", "\n", - "- [Hodgkin, Huxley, Katz (1952) Measurement of current-voltage relations in the membrane of the giant axon of Loligo](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716) Feed-forward \n", - "- [Brennecke, Lindemann (1974) Theory of a membrane‐voltage clamp with discontinuous feedback through a pulsed current clamp](https://doi.org/10.1063/1.1686583) Chopping\n", - "- [Armstrong, Chow (1987) Supercharging; a method for improving patch-clamp performance](https://doi.org/10.1016/S0006-3495(87)83198-3) Supercharging\n", - "- [Sherman, Shrier, Cooper (1999) Series Resistance Compensation for Whole-Cell Patch-Clamp Studies Using a Membrane State Estimator](https://doi.org/10.1016/S0006-3495(99)77093-1),\n", - "- [Strickholm (1995a) A single electrode voltage, current- and patch-clamp amplifier with complete stable series resistance compensation](https://doi.org/10.1016/0165-0270(95)00021-L)\n", - "- [Strickholm (1995b) A supercharger for single electrode voltage and current clamping](https://doi.org/10.1016/0165-0270(95)00022-M)" + "- [Rae, Cooper, Gates, Watsky (1991) Low access resistance perforated patch recordings using amphotericin B](https://doi.org/10.1016/0165-0270(91)90017-T)" ] }, { - "cell_type": "code", - "execution_count": null, - "id": "9ca8d636", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "7e5d3406", + "cell_type": "markdown", + "id": "7ba07850", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "## Pipettes, capacitance, and noise\n", + "\n", + "- [Levis & Rae (1993) The Use of Quartz Patch Pipettes for Low Noise Single Channel Recording](https://doi.org/10.1016/s0006-3495(93)81224-4)\n", + "- [Levis & Rae (1998) Low-noise patch-clamp techniques](https://doi.org/10.1016/S0076-6879(98)93017-8)\n", + "- [Rae & Levis (1992) Glass technology for patch clamp electrodes](https://doi.org/10.1016/0076-6879(92)07005-9)\n", + "- [Rae, Levis (1992) A method for exceptionally low noise single channel recordings](https://doi.org/10.1007/BF00374642)\n", + "- [Sakmann & Neher (1983) Geometric parameters of pipettes and membrane patches](https://doi.org/10.1007/978-1-4419-1229-9_21) in Single-Channel Recording\n", + "- [Suzuki, Rohlicek, Froemter (1978) A quasi-totally shielded, low-capacitance glass-microelectrode with suitable amplifiers for high-frequency intracellular potential and impedance measurements](https://doi.org/10.1007/BF00584447)\n", + "- [Tang, Wang, Quandt, Eisenberg (1990) Perfusing pipettes](https://doi.org/10.1007/BF00392072)" + ] }, { - "cell_type": "code", - "execution_count": null, - "id": "c02a963d", + "cell_type": "markdown", + "id": "f4271f52", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "## Series-resistance compensation\n", + "\n", + "- [Armstrong, Chow (1987) Supercharging; a method for improving patch-clamp performance](https://doi.org/10.1016/S0006-3495(87)83198-3) Supercharging\n", + "- [Armstrong, Gilly (1992) Access resistance and space clamp problems associated with whole-cell patch clamping](https://doi.org/10.1016/0076-6879(92)07007-b)\n", + "- [Brennecke, Lindemann (1974) Theory of a membrane‐voltage clamp with discontinuous feedback through a pulsed current clamp](https://doi.org/10.1063/1.1686583) Chopping\n", + "- [Gray, Santin (2023) Series resistance errors in whole cell voltage clamp measured directly with dual patch-clamp recordings; not as bad as you think](https://doi.org/10.1152/jn.00476.2022)\n", + "- [Hodgkin, Huxley, Katz (1952) Measurement of current-voltage relations in the membrane of the giant axon of Loligo](https://physoc.onlinelibrary.wiley.com/doi/10.1113/jphysiol.1952.sp004716) Feed-forward \n", + "- [Moore, Hines, Harris (1984) Compensation for resistance in series with excitable membranes](https://doi.org/10.1016/S0006-3495(84)84048-5)\n", + "- [Sherman, Shrier, Cooper (1999) Series Resistance Compensation for Whole-Cell Patch-Clamp Studies Using a Membrane State Estimator](https://doi.org/10.1016/S0006-3495(99)77093-1),\n", + "- [Strickholm (1995a) A single electrode voltage, current- and patch-clamp amplifier with complete stable series resistance compensation](https://doi.org/10.1016/0165-0270(95)00021-L)\n", + "- [Strickholm (1995b) A supercharger for single electrode voltage and current clamping](https://doi.org/10.1016/0165-0270(95)00022-M)\n", + "- [Traynelis (1998) Software-based correction of single compartment series resistance errors](https://doi.org/10.1016/s0165-0270(98)00140-x)" + ] }, { "cell_type": "markdown", "id": "92f0e461", "metadata": {}, - "source": [] + "source": [ + "## Other\n", + "- [Fischmeister, Ayer, DeHaan (1986) Some limitations of patch clamp techniques](https://doi.org/10.1007/BF00582957)" + ] } ], "metadata": { From 3dbd30af548f28b1342cbba3ff6c44b15fc459eb Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 26 Jan 2024 16:31:56 +0000 Subject: [PATCH 41/77] Looking at Rs Cm estimates --- artefacts/appendix-C3-parameter-values.ipynb | 13 +- .../appendix-D5-remaining-Cp-artefacts.ipynb | 2 +- artefacts/appendix-E-estimating-rs-cm.ipynb | 414 ++++++++++++++++++ artefacts/resources/estimating-rs-cm.png | Bin 0 -> 2814 bytes artefacts/resources/rscm-1-circuit.png | Bin 0 -> 2813 bytes artefacts/resources/rscm-2-protocol.png | Bin 0 -> 17676 bytes 6 files changed, 423 insertions(+), 6 deletions(-) create mode 100644 artefacts/appendix-E-estimating-rs-cm.ipynb create mode 100644 artefacts/resources/estimating-rs-cm.png create mode 100644 artefacts/resources/rscm-1-circuit.png create mode 100644 artefacts/resources/rscm-2-protocol.png diff --git a/artefacts/appendix-C3-parameter-values.ipynb b/artefacts/appendix-C3-parameter-values.ipynb index e133076..5094fb3 100644 --- a/artefacts/appendix-C3-parameter-values.ipynb +++ b/artefacts/appendix-C3-parameter-values.ipynb @@ -164,11 +164,14 @@ "#### Two-component model and lag\n", "\n", "Compensation circuitry often splits $C_p$ into two components, and provides an additional \"lag\" control for its filtering.\n", - "\n", - "The Axopatch 200b splits $C_p$ compensation into fast (0-10pF, 0.2-2us lag) and slow (0-1pF, 0.1-10ms lag).\n", - "The Axopatch 1D front panel displays fast (0-10pF, 0.2-5us lag) and slow (0-1pF, 0.1-10ms lag).\n", - "The EPC-9 uses a tau from 0.5 to 8 us.\n", - "The PC-505B has fast (0-15pF, 0.33-8.5us lag) and very fast (0-5pF, 0.1-1.75us)." + "Axon devices use a \"slow\" and a \"fast\" component, while Warner use a fast and an even faster component.\n", + "\n", + "| Source | Slow Cp | tau | Fast Cp | tau | Faster Cp | tau |\n", + "|:--------------|---------|----------|---------|-------------|-----------|-------------|\n", + "| Axopatch 200b | 0-1 pF | 0.1-10ms | 0-10 pF | 0.2-2 us | | |\n", + "| Axopatch 1D | 0-1 pF | 0.1-10ms | 0-10 pF | 0.2-5 us | | |\n", + "| EPC-9 | | | 0-15 pF | 0.5-8 us | | |\n", + "| PC-505B | | | 0-15 pF | 0.33-8.5 us | 0-5 pF | 0.1-1.75 us |" ] }, { diff --git a/artefacts/appendix-D5-remaining-Cp-artefacts.ipynb b/artefacts/appendix-D5-remaining-Cp-artefacts.ipynb index 974a6a7..5905bdd 100644 --- a/artefacts/appendix-D5-remaining-Cp-artefacts.ipynb +++ b/artefacts/appendix-D5-remaining-Cp-artefacts.ipynb @@ -5,7 +5,7 @@ "id": "7fb2df6f", "metadata": {}, "source": [ - "# Appendix D5: Handling remaining capacitance artefacts\n", + "# Appendix D6: Handling remaining capacitance artefacts\n", "**Appendix D discusses remaining noise and errors**" ] }, diff --git a/artefacts/appendix-E-estimating-rs-cm.ipynb b/artefacts/appendix-E-estimating-rs-cm.ipynb new file mode 100644 index 0000000..4eeef26 --- /dev/null +++ b/artefacts/appendix-E-estimating-rs-cm.ipynb @@ -0,0 +1,414 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "7fb2df6f", + "metadata": {}, + "source": [ + "# Appendix E: Estimating Rs and Cm\n", + "**Appendix NOT SURE WHERE TO PUT THIS**" + ] + }, + { + "cell_type": "markdown", + "id": "e2ffeda4", + "metadata": {}, + "source": [ + "During a patch clamp experiment, estimates of $R_s$ and $C_m$ must be made to facilitate slow capacitance and series resistance compensation.\n", + "Here we review two methods: A \"one-shot\" method, that uses current measured during a test pulse without $R_s$ or $C_m$ compensation to make a single prediction, and an \"iterative\" method, that uses currents measured during successive test pulses while $R_s$ and $C_m$ compensations are refined." + ] + }, + { + "cell_type": "markdown", + "id": "78252eb3", + "metadata": {}, + "source": [ + "## One-shot approach\n", + "\n", + "In this approach, we follow the pCLAMP 10 User Guide, as available from [Molecular devices](https://support.moleculardevices.com/s/article/pCLAMP-Software-Manual-Download-Page) (the section is absent from the v11 manual).\n", + "The relevant section starts on page 199.\n", + "\n", + "We start with a simplified model of the cell." + ] + }, + { + "cell_type": "markdown", + "id": "96026015", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "609dd8fc", + "metadata": {}, + "source": [ + "The command potential (no $V_p$ or delays etc) is applied to the series resistance $R_s$, causing a voltage drop to $V_m$.\n", + "The cell is represented by its capacitance $C_m$ and a membrane resistance $R_m$.\n", + "No leak or offsets are included.\n", + "\n", + "The current through $R_s$ is given by\n", + "\n", + "\\begin{align}\n", + "I = \\frac{V_c - V_m}{R_s} = \\frac{V_m}{R_m} + C_m \\dot{V}_m\n", + "\\end{align}\n", + "\n", + "If we take $\\dot{V}_c$ to be zero, we can write\n", + "\\begin{align}\n", + "R_s \\dot{I} &= -\\dot{V}_m \\\\\n", + "C_m R_s \\dot{I} &= -C_m \\dot{V}_m \n", + " = \\frac{V_m}{R_m} - I \n", + " = \\frac{V_c - I R_s}{R_m} - I\n", + " = \\frac{V_c}{R_m} - \\frac{I R_s}{R_m} - I\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "\\dot{I} &= \\frac{\\frac{V_c}{R_m} - \\frac{I R_s}{R_m} - I}{C_m R_s}\n", + " = \\frac{V_c - I R_s - I R_m}{C_m R_s R_m}\n", + " = \\frac{\\frac{V_c}{R_s + R_m} - I}{C_m \\frac{R_s R_m}{R_s + R_m}}\n", + " = \\frac{I_\\infty - I}{\\tau} \n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "2a4f2ad6", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "6e7c4da8", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "d70c3032", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "36888e5d", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "2c79db67", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "cd9302ba", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "import numpy as np" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "efd71b05", + "metadata": {}, + "outputs": [], + "source": [ + "m = myokit.parse_model('''\n", + "[[model]]\n", + "step.I = 0\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[step]\n", + "Rs = 5e-3 [GOhm] in [GOhm]\n", + "Rm = 0.5 [GOhm] in [GOhm]\n", + "Cm = 40 [pF] in [pF]\n", + "Vc = 1 [mV] * engine.pace\n", + " in [mV]\n", + "dot(I) = (inf - I) / tau\n", + " in [pA]\n", + " inf = Vc / (Rs + Rm)\n", + " in [pA]\n", + " tau = Cm * Rs * Rm / (Rs + Rm)\n", + " in [ms]\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "a1040b8f", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": 32, + "id": "c2dd7b36", + "metadata": {}, + "outputs": [], + "source": [ + "m = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rm = 0.5 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "tau_est = 1e-8 [ms]\n", + " in [ms]\n", + "I = Vm / Rm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs + Cf * dot(Vo)) / (Cp + Cf)\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / tau_est\n", + " in [mV]\n", + "dot(Vr) = (Vc - Vr) / tau_sum\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 33, + "id": "043060a7", + "metadata": {}, + "outputs": [], + "source": [ + "p = myokit.Protocol()\n", + "p.schedule(start=0, level=-60, duration=10, period=20)\n", + "p.schedule(start=10, level=-70, duration=10, period=20)" + ] + }, + { + "cell_type": "code", + "execution_count": 34, + "id": "245620d1", + "metadata": {}, + "outputs": [], + "source": [ + "s = myokit.Simulation(m, p)\n", + "s.pre(200)\n", + "d = s.run(22, log_interval=1e-5)" + ] + }, + { + "cell_type": "code", + "execution_count": 35, + "id": "d8c1f6d9", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "\n", + "\n", + "import matplotlib.pyplot as plt\n", + "fig = plt.figure()\n", + "ax = fig.add_subplot(2, 1, 1)\n", + "ax.plot(d.time(), d['amp.Vc'])\n", + "ax = fig.add_subplot(2, 1, 2)\n", + "ax.plot(d.time(), d['amp.I_obs'])\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "578f4dbf", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "442b7141", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "0f74194a", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "ce8f936e", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "5ad4107d", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "03f2dfc2", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "f00b7783", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "129f9b33", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "ee6fa4b5", + "metadata": {}, + "source": [ + "## Recap: 1st order equations\n", + "\n", + "Equations of the form\n", + "\\begin{align}\n", + "\\dot{x} = \\frac{x_\\infty - x}{\\tau}\n", + "\\end{align}\n", + "\n", + "can be solved by substituting $y = x - x_\\infty$ for\n", + "\\begin{align}\n", + "\\frac{dy}{dt} = \\frac{-y}{\\tau} \\quad\\longrightarrow\\quad\n", + "\\frac{dy}{y} = \\frac{-dt}{\\tau} \\quad\\longrightarrow\\quad\n", + "\\ln y = e^{-t/\\tau} + C\n", + "\\end{align}\n", + "\n", + "taking the exponential on both sides, and solving for the integration constant gives\n", + "\\begin{align}\n", + "y = c e^{-t/\\tau}\n", + " = y_0 e^{-t/\\tau}\n", + " = (x_0 - x_\\infty) e^{-t/\\tau}\n", + "\\end{align}\n", + "\n", + "for\n", + "\\begin{align}\n", + "x = x_\\infty - (x_\\infty - x_0) e^{-t/\\tau}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "07954e63", + "metadata": {}, + "source": [ + "### Integrating\n", + "\n", + "The integral under $x$ from $0$ to $T$ is\n", + "\n", + "\\begin{align}\n", + "\\int_0^T x &= T x_\\infty - (x_\\infty - x_0) \\left[ -\\tau e^{-t/\\tau} \\right]_0^T \\\\\n", + " &= T x_\\infty - (x_\\infty - x_0) \\left[ -\\tau e^{-T/\\tau} + \\tau \\right] \\\\\n", + " &\\approx T x_\\infty - (x_\\infty - x_0) \\tau, \\quad \\text{if } T \\gg \\tau\n", + "\\end{align}\n", + "\n", + "where $T$ doesn't need to be _that_ much greater than $\\tau$. \n", + "For $T/\\tau$ we already find $e^{-20}\\approx 2\\cdot10^{-9}$.\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "f3c00d5d", + "metadata": {}, + "source": [ + "### Electronics version\n", + "\n", + "In a scenario with $x = I$, $\\tau = RC$, and $I_\\infty - I_0 = \\Delta I$, the contribution of the second term is given by\n", + "\n", + "\\begin{align}\n", + "-\\Delta I \\tau = -\\frac{\\Delta V}{R} RC = - \\Delta V C\n", + "\\end{align}\n", + "\n" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/resources/estimating-rs-cm.png b/artefacts/resources/estimating-rs-cm.png new file mode 100644 index 0000000000000000000000000000000000000000..1aff00c12ba585de747ffd23d119a1b743848a3c GIT binary patch literal 2814 zcmVq5?%Cbr2OO5~+hBc?%Hfe@Gex91QH8 z6tw{}JOC%uu?LD&g{090h50?Ob@F!y@UWzzdCHat8h|g2NF7Le68H!>+V<+CY!vV! zaE;U}aviSM8e$ZM%y1}B5el5 z9w<@`PXb#?8e5_6GGGR<4KNir4tNci4*VAQ2XF{*W;UW`L+pVfy~g&NlI{ZjDCuz9 z?*K?ToY2FZkepfoer(&4CIYwEepJ#3;J{pjPl?zAMXKdg;BDY_NwX#W6SxyN&-Me! zIq#G#s;&Y4n|xnQlRa~>2Z~gM?RMZuNjm|bC(L_Zvc0V2v_dYz)RNKGWB?Dfpq z1C8j35NRlqMgn7P&rFW@2j<#dDrs9_lQU1(I}yq~}Zi=1OXlbXBDvJW?~y0FP8CpA0M`crP?9&1^*230MML2Yd(! z*aesZYyq5{pJWgy6y4no_%wlxg-kP#0{^kaRn6y`-7IXo9zJ zJ8)5QoJPAVB;5;~2s~I)bqL`%sI-n!HOZpomm6`fDi}@}8kaLH`TU}!4>Oc!q3z7t zYi0#{jj)5VoU;kLDvt(k14a?ninb$c{7TEj-3mM*X{7DtN!iK3ZMHia)W$}H=r~!k zq(a#)$!DhXO+vi7nHxN-K@4bLI7#~et8Bk0X-D9BU^m+zq}1LkgwRi|$rk7(1joyH z4Z8nOg5l&a!mu<8o(7iN{(6}#mjjc4oyjha!Uw=N%GUl;rI~x6-mnSKDrpL#Ct3{r zC&l(|B@E+Qf#ZP}ipnGD=L7}*A+Q3t6!;lo&&>^0J{0Y?mo`%H?vS(=SX-g0ShlRB z$4y(7M%-f%VXg4J;*Q&%7%)bJO4xo3I0g7DL3#E~IXvwhz&?pv|M!7gO6pDk&IN8D z3^CgXLyp?G9s2jKzoj!`Bw}3gezf-AxJq1eE5)J_7CyS5e z^?L(rZ9mswS*vBlkRe01y!P5_b9o6&nlx!mM@Pq|)n1nj!!olHMbc%xhcAicbpauo z?yshiZhXKZCD@){40&sNsqME*>R#`|$*bB48y3r1MR4RzU2aKR zNxGRZPrO9ZUMaP6d*Z>ej_wrbzU1@JvhtIFskS$m#zNBI#7T1*utL&bCGE1I>ms!w zX*}>ka`=FxOm^Jb9&h{G70NHO{b}2&E}}~M6k%I=aVRnlm?bFA zl5{6w(QkSp=m_8l!nT0ka29Z3$?*h27PB$7S5+x^qz5EzM;P`M=VV&|w@O-U`|tVUCYXb0@q3UT@Cl!sG5^|nt-p(5P<8#{qlPaKEaS3%Y#(6aTPzz%js|2tUTn>spa^ zCAgYw4%~0Md|uSuyk~o0%W8z|h7shuHX?$@!0;SpDAHZzn_=CQHxsi6^Q`h`;S$@w zuJ&eHsdXePbT&m&vjR@Dl3}Kc?p(PNvvyOB`1x^NA8Y1zep)`x{z> zoJvrfp8^lqE=Jk^Ch)B7du`7l7#m(GyY^SW-6hA1fMXh=Jdv*0euc1Eu=u|%0GHdI zmY-DFVfzBYJ}49X2@gyuiB~IdRcS$wJ&^6?k{%)`(7`2DBMGkK#q$OXzV*H%2WWEbajy zX|kkKx@q)1+w-FGWJ1xp`B9H&MHP+yeiB$J={~~h`5^@NpG@iO?9{AT zv$Ad$fR2uirrQdVZ1USPXE7F48qws)G}eFq*HM!hIC1wmf{^xnByeGG7FQchdwcsv zHu=0x)61gpRhhJRhm*>9^Y%l8IvUXh?x!!}3`^%4ugxIR%1CRJX&nQu?g|MIvcn zdo?h&x99f>m5)UFD^Y)yKkx3;W&kIH1#;M7knr&%uKSU#v!?IjE7&&d$4R z-@V~8`vy^gB9S_XbD&714*K1gGm;-k@RHpL(SC~2h?WC$ZO_k5upEgB6sd+|finm@ zl8bBu>@De{TEa;6ccKDCs%5_I<4Ox8oeo?r>6$!tCg(|<14SCa0=f?C1`M$Wid4%m zNjnw46VlmV06dXZV&lF(;j3Ff99Zpc7MT8{CSLP{HUc@0>q*|U$(v}PdjwHn8 zUQi+5E0RVeN!gGzOwz^~%bGe-fg&|y`yoQM!q#F^D@h|IJtt{8A#cwdNoNByfHx%_ zlb^(mCn`{+UTz{}NVrAPP{OXzv4q&%V}SR8ZEf#MFoBdIq zN%4x3B-HN$vrE1=)i5p^5hB%aGa;a57i_;NX$;Vj6qU#3ZrZr$QGp`8#`fYK>Ry9& zxuXUQaR?V_GzDN@$?;o+Y~27WfLF5?C{5yahDf9iVh_GWl0r5K!HHOR*emdQ9uw7Nf8kK z5NM(WMQ}h!TK2YtC1I(65Ev{=>_8VNTZL*dT`Z-Rb~<1GIQNy;*E`GG?wxt>e3F;< z-Z|&p^P9~5-Lw4`*(MT+RK}3J1&BljGf{yekt&D^6p2*9(7XkR3_c_c0geR5Cq*5= zBW}V4bsT{rWg%%dg2LPY?2^201MZj9nx|}epeB51M5;j2%60`_1g6`* zI#2nsCQjiZ{YKJPfkT143d#du`(Khy1J>syTV6y3ij>3Gfn~PeD6OzPF9&&YC$51a z<*)8+QVuJCozp5L?Eq{5wgV0XHUWnLj{&a&QweLQ>uvup6KOLbjzE!e zcpTVC(g7)TR|1a!y8|}*8MhByL6`i<>3CEWqsBRgz}vva7`Pp{(Dv-4%{xVh zs+)k-$>)tU*gY3Vph#KRUJD#2X-{BZ!n)@r+pCJ2b>|{n4nzftR7A3sz0G!Zvk@&3 zA~i+QXy5?bk0sv^1v+i7l(Yx1tL?w%DPt{(3KWS{K|?L*BH1Hpl%$WPl#h@!Mw0T7 zD=V5pfh3(J>G`5pr=6xdOpG6aTBly zFb%i|n2?`nk-6+gz;IyyG~bq5BWXWixa}8H>UIOh7n#9C z1`*9Pg?ruhMYb;@Y#O`|Tra7m&_L2SNz){qEh*J(zd|Mek4YMyQg=S^;~MrA$&hAJ zpe@+`05}ae1o$ODV%v2mumIQ${4I$-Qw#1OjP~CuE|fHsV8Ps8qkbY8(@ayit+2gV z(iOm^l4cVe!CDB$k$)zfZPdg}!}dB!cLS#abBn4b5&j2h=O|^999n+47S}3+5kQ)Q zTwC(KzohpwlxL~!?E16a@Gsy@;F^^3p#)R>OOx+YfG2@9gs@GmHI(5X!i_e%sO&D_ z>KgXZN>KW&U&~WunUj+&M`U?#HN^;I`;R@8cab=ON!l0qr|m-|?F7sNz6Ly9BN?8N z^ak)fpgnPcJ{m~#?yQz?1JBjrx@i<1IHfECjw9@LW_3*PpN#t1LQ^PEKQVBNq$>$i zx$S^gYTU;yglSwma5C_M?S%l6ehxej{0LYFTmhUz@VU9pTOR`V#ad@{Ff3^^Va-@d zp=_1yGzF`NEVa1C5W@N33MU@7z0s7L2x-_}OjwhQBy4#fYpH5p_Ti>l~%#0Z`Hg|S*?%1EU$uz8-4S~o2 zW*Y^vZAq69qDh`!T$k)n+y(p!7)S~Pz?3Od7&U5C=Kao{JGZ;Dv$NXEOa|UcK0g3F zQB?OO(s#NdIn&cFTsv@LitW}Bz$oC}ayse31}sv9?L|&P-r8Pi`|YB-*PWbB4nWot z>vEjfJEZNta1l z1+0_wS4n%9Ep?<{NIDpJA^AF6(v&RvwSBPdqf^SSwEc10bv;CtG?L&}J~b(t06Z+1^m5;E`UC^eMu$ zuP}f81mRG4x$Q^ulPnXC2R>I))(ZSiQmO??9}Fv}ac{d;?Z7jVMkgo9CjoCb#iykQ z(w=~y2cAeqrCO3};0oJAfnz0={v)&EGkl~x1w@!JG`a%q+C%vSV805kSJrVsj|yb_ zMM(>R6A0GHlYtwz^b&5CbAU0xy|zo&MLn&1J`L=$w8bdI@Xvh*Y9HhGm59A)FZN)T%0!1RV!uCeW z2=p=_R6Y_JtV9KhM5-W8;Tn_sC2gwUcda#-qzt;dyN3hkNjjs#OJshW5EZDg=pwkF zRLU1gVuj~HqVx~*kw01`RP7pE4pD&`h{S}YV*58qN06@qbptOCCL6xE28u+gASzHK zQUy_gB9SVHYoN$h*yfBgD%}LDj-^YN4!ZkM12$rlLGehTg*Yk*GMi*gezN1_5n%Hc%dCj^h= z0=om_C0$xc7^%TdRG>(?EV6x4ae<_>fNLd99|)ewSrXSkky@~Xt*3QOLmYu3<Q??KdTj13pZON@H_3Y+UrHK#_i9dwDN)ufdkw zQB6af!bNILH?Xki`&)!;-2m%=SF;wVoAN|bR)S4A|*&SC`c$sOUELqEFj$>NJt|f z4Zp$9_n-IuV=pddXLn}KbK*Ytxu1=Ctf@qBm-;RM00b(^2ps@`Sb{GaTx{^juW)ls z@XsALWg|}jz*oL~L2kVI8o+~8UW$fZx~_I!zE&Pj0bgHVUI!OPPa7+@r@XEn_F219 z)BwN;s37F@{IYlEUj&jJU&-uGUcj3hag}7>s$ptNMdJ|f117b4c+F83#sx?Uu4zVN zlZu&%I-xJ0KP!Ei2y@ym!C`bz{MO_5u22T+7db*ZK_S6JS$=mLy?%9JBii6Zl1R`D zZ?4H(U#TKW%oy5kIi&xa^UdB)n!(zRBRZdBjZ`1!9Y=IxnI1%+OtC7G3k4n|*Tyo` zChKPY1aL>^8zSymDr%F(lt9ZkqOD<1koOd|ALQSy%;$(!{C_^=Zb*kTirnx3IMJrm zb(fexJ%ke1@*$GhZX#?88`40BA_TNN+?Mc}C9qJ_=*y-TGID{;`Sd_J#O*#31IV>n zbCsVcz2s7*aSFj!M-Vcf(;!~}<%A~dnETSmB*-NZ$`IMnk-9>4j(TF1kKr#&L z7cp`HZK{e0050#MOqr>PWcd#Rimou-qca>MpRvLxh4@(V4}o7$KWeV_Xb<%|F$`WV zuBNYB$1cxpju|puU?V4b=3B^6Spy;Gf}V89r$8_!BdM&Xl{o%8Nf->-4s8(4JYcW> zu}s4XY;;uEWLi{AUJ!w=_?3%0$%vI>f6;sQ?wMJ6(+*q4Kp%uF278G&X%9b+GcJU|>t!{*(y-_PEK5n#DNklh`M0t0-oW8A-T z+}{ed_ThQ^PTI(L1qozNKniN6N&E;e!v>Ia~>fnb6q3!n(2ffmKeOl@V}a1ayO zgmFrQY))@%-1v0K^<9890J4C?c!|%2hq_*xY?ob(BaUPm*ma6(O2xYTV=AL3->WK~%`BNKLG`Iqo?M)U4Hx9flu=pMD({ z%AsSmn8i>%5e6${aa(H+&$yXVO-f%&%h95e zeg@DZaQMZl-xYJ89~lNTK^sCd772}H@I&Q2q~ZNb)swJ>bzxEzrk#u6dT}HlLg-#7 zZ@61?`U}g-H{UDrYdgrXPBZLt#f>J9hq17*Zg$as5y{c0dl&NqS`OZpS<>j1_N&xk z1;jc=$I){=!|~~zjK+kF_T6XhxGpx&4+yBSmZJ1iZ*W74dpAvt>>CYN4R8f? zaRm-f^L0rGU=P^}YrDIrZT(2fpA;4rPTpavYcefg)$b(<#RBM=@;lHiEVgIDfcf2p znR^t=0`04-s~;n*<~YOsTt+^q1bmL`b=#uJ?OYi14It_)`AWbgF_Qhmw;X^TVHs#{a_A@Z+3c;J)8NX% z++1*J7Z@#bDtI4~vpy5%C#^yJXi@gSg8iD=0C)PCC@R5Zw2aL6%v+514f-;@$l)gj zCa3nhKQ!Vdb2z4^rh(${#jOLs<;{GpGU5baUl{2Mpsm){O&n@+GG3?CWo5LO?=e77 zD+iYgn}%eEeys~9ksGdOXJ@WPbo~d_iVXZvjF#|taZ8g9_MSBs{-iGEn9eGESdKb^ z-GuJ7b<@vN7mm?zyW_l%shm7KJnZ?tb1o-~pW_OX?m{lZGD^z`KL{VBFLuXJ_}-J> z2HZZ*?kre(OZgOl_t=~bQF>M%f?nMrt4A%^%as`)=ii!##s%IN2h&1r0Gq_Lqgs1{^tPuhFo;KRBzS#Gv9S7Sf)CAdB0;McdLCuvWTGcp#X zss7Z6GUSl>Vu|V8@ut9p9W&)i5Bu@iwQ1qLe}o^C;D>fqR8+)9+Ux4*V4Kjz(0#mo z#5+8QT*th*iYn9w>m@?&E14xT7^2L;%g7%7!%u781QHCXBy_pEkE&kZ$9VlYPEH^% z`3H?xU3ZmMIu!pMWoQ%~$C10{`s>B zQGcT?rZQA^S@dkqSyr@@oRqLD2m*eS7zBviu;_TIlyg<&kj;jfO&(rs$T4me&vYlH z4;Nye*;6O>*WChX)4hJ!+J)X*|MiQ^2((eRO|Y7(svulYP=B*2*SGtgLE!WM+_^D) z10ya$H?pLDTyDy6ZdH_Sr%8~`*oSeVcB`V@9S=2k zU9u^*uF}Ec&;VCeQ{!_Jqs6(enO$P{Br?Y-wC3#`iQVt6C(hi$F62XnC1 z;j6$jZt|xv;I>H}$G3qjAcIkae2VZ2=&MdZ)TTxn&o#;-RuNu`tQS-MeT{9}#nI2Y zN?GF`j$7~KK7Y^qi7n$%4p$w$M|nMHL;eChYkXuV;BwdKFATW=@DeyHBkTc6qNR}g zU0+foebOuQZ14G=rRZz|sU#m?`&i3?H)iK0rw-Z2M4CixJg~b?&R~NLC|Ra|^Oc`5 z$6NBYE049s3G=5c-u*Z%$THot<8$*M@vo{ay3e&DwvYF(sn7J&F92`Ue?BK6Jh+|a zkWCF*kg3QX)ln5#f%zDFi2yKoRTd0EX+?6dOr;W2svWH=pNw{Y3la()TZuPRVg0SS zo3#6@M1ABFE1?GCml%z>fU;)B9%zZxnik{|C$z>20N^1|OG&`jZTJU(Ukp|t6L##)Xg;0gM z-~#E`meTMn!6FRUQw&P$p0-47sWk)KpMV=DOi?HxdPIP$Jt4*jLg*uNi79&r;I9cq z8SRfV4Xi;LVk4VaemsG>pM>ResOCqnQth(=Z3I3tLMFINo0cJb>1Q+mH!z3y4jc7- zaC^hDb?OsM$-_av6inT5S(8#~4i|cFee`?qm?58&`<%@SimoTXUswXWZHDYpYONDc z8rE+P-6un~i)g_aK3)l1Gbqm|=Q(|%={7I5d5kVrdp>2s^4Ew?NvNwD5RH!z=5jha z6gx*>$N+;xynD%HIO3NiWZy&(UiDU#7n03dt5fK{3Wb8m>l+E$IAdlHJf^xXt|D7I z{op9sLEQMDmgs4s4s^(#WiuCm)mu~X-soCjwv_fExZ0~8JTkdKT)lRWf-meg*Of+s zFHa97p!EnY0ZaX0UAj3>W#1rtXvjl$A(Kn;-}re$zM08?++1ABd9IVAM8T}~^{c#q zbyuV(4GoP4 zI+3R_P&6Z5tmeGjdsbdMN;H329Lq!`N9mgcj2uLQM)y-Ze7m`*IrM{3NX0 zu1`axnb478SE4NFnK$iyKMJP!gVzlhiw_+#g{ZwGj=KqMVo0!!nIb{Kux4^_vV|3_k{0^S{XimfHLdXPGDNsM0IKObd-1 zWX|`6`F--Df<3fVMBd4@P-At5V7rOKa-6-1MaX^62EKn+h42sj_WD~bk*eu;4<(N9 zqDHy{g2012<+DUfc6QeS$YCIuGJBz4@AmUk`9y}=+i@90q}rUwZ@@VVzfT>#=?TwE z3aN~YFwg3bLq5>Ri!icFPe&GLlAjL&Z!;|l0<81H4aRJWdEb|}+|S6ofAD2oAblHb znjw@()R7qvCeBx1{=@rl40sZ+wuN?l&TTSuU&MmZ=2yRXv>Lml2J8zFSv1!7ROyPA z4CWKxi_x1H9sKTJ-HlTfwt!gT#mLE+46k6#X9A*$8)WTGn&i9#9BJ#scEO4Uf*k`4 zLk!v%GtT$m6=H)Ymw3pLl{Xp=W>RZl%_@z{uon^*-l>#G9kjHQL1q9hL>5X2b|(H# zw3pq2osX03^7`c6+uQjXwr7XNrTax^e>A~2W&Tld>>w{GX(dMAQdQ0Fm#?hoO1|<; zJhkw5ndf6A_SW~}snQ#}gW3^7#G&lVe?D*fgRbzAaN1FsXazd8#EA+Q!@!Q4!)pXk zFPA2jh#6nj(0WhhE5`VzBq!TG5v#5tePN8P;ApsB)JrTgQ7}a~pYgGLqYjJP! z^OnB|@{4{iDGxdj2f89}_`Uq>O<_0}3SG7qq)XN!6Oc2+fhT|_OY8=*^M z528t7!ts~|o4e#v;|X<~gsS59?=UQOMT4I-_;rLD_NF?azq@u_y>Wk#4>is4c*&@D z67_^X=Si`n39d)$xI4$%jAwf8Tg0Nh+v{sBYJ7W*0z?v1fZ&t}!?K^1elV3^kYmcq zVwHagTu~1>N!GXpm{JuOqJ7dM$2w`MNDnWA4ZQ|z0Q#+G7k~czafz@B#9GpI?*H#8Iirj&n3AIg008XSC0q2KG# zLbAy`ClHJ2CIi)iKv1rbIm_ki8yw4ss0&?aW-qM^FKQ2H+%CB7^D#QseWk~0y80ybiytKT#yFhN>pKm^kjvGJT-(Cv>lp0j@%r$yy>yb5lc$@Jt*DxMFL^_;W zr!T#a!l0B66!Y-#kT|k?_3D+_PVMOaVcrexn>TOLrGq5GiBAVygTtEl+GuoC0p#pX z#N^hi&Y$~WQ=Qce(B7zTqn&yuS7^t^#=5km&sa}>kG`hhHSLQb=UM*iG+q5F;Ao=* z{4S+bjXdcb2LFxsbt0VcVR_=^=3pz`ucoFJ;`k@HUWnl>1`grA@T6&Hnwbw3pJ~He znalmox;QgD*Omk9JkLRKItTyeZ!#99?F%o~b9TWGZy%mL%4k>|l_%=!We7pr=XjGZ z2JE%Axc!u0sIpO-RjMH1Yds>d@c72YlUiI{e3;5(BGxce(&Y*^;x^OmAu=Ma3;1S} zRnD^_ABwQo9<=N>ZT*;TFNSCv`!kLeU!Glz=3SE+SOj^;tV;UsB5tm)25=|ou^Jj0 z#6ZU7#7}BHqJoCs5-4xK_D@bpp*tfb1wa=ZWC<}2|K8V!y1u#?E}a}MF!{SVj@RtF z=Q3>Wkkh|nfZ|`I68=TCiwzgdoV;}h4 zm%APTA#umSU^|Y@d~ODYVSGaYN}O)JhDuUO`VpCU{Z)cHm)jgWEOpC)NtHM5rMVQ$jaOgCpJo`)zZ@H zWujL z^EHDAOBYMxvRrJEro^3#zdM~hZ)i*&ZV`w+`@tAXBTDEy?@i&eGxJI@hTLj6U$NmQ zHayQoKW-9y*edXfdQYBsZ!~cK<@ejS1RX;1hj;7z3U6W869cnXAdpbc7K81zUGU!K z3^ujYcTG(aYgIGL_)~i)7a)N#oBg3iD^J>QzXM0WdsFX2QIYk0llM;Bdd-k@jO1Pm z=}K>WZxnlOmTprwBMMBOGjAM1{q^8^6?R=r9SA`7%uqf(YU`+5bv`Qq$Tzf z)6^~0Ka$VfAycHOs_MYfWXpai_l=25BbI%(J2t)l29tWORO7(Ob0;T+vonv5j?O-q zF?UM5wH_XmHTmrL|GH4)YZcn0aB>!)Hs+?s*@u&!oZLr7-QVTgJqv7GkQ!$Z?i zEUn{STIdpQV7NScR>H~*zd&j!SU#qQ6DTa*%(&MmQiM-#(dn6*&i$6d%JIQUZ?6{vLWuix_4`u01qT0NZZ#L_NeVGo3p1@- ziR^fp^JigV3&H6ZMoMnCWd%AHErV_046-}*Su6ECtvl&L8glOvg4X~$$5=@ezuNLN}JZu@2an_FM(_a?fc{ZhvmGu zcGnRZ{bg|q0u=Dxfl>YF7ccX?YwYGCIZenc<9iPwNTS;}(|T95>yd2lL9UeMa~zW~ z$X-i^MUo317VGy~862cryzU(CL*EGD+qD0WHE=p$1QUNNKI$QT{JPR@Dev7nzJ>r& z^g|3~Zx8}WlUqSJC_MbQ#b=_3_uw&abavKQu-$w|AvN*Y_u13Vz=q6+Szb-yd zIxjwBap2px-%|iY6#>$1F%*2eT;Wq(h1qYjvYLlC{k9+7b|ir`##+pq*8c;>eSO4$ zzhmZFtpvHG&eL!$fW?~iei(I2?6mgA_inJ*3cQFVBCw_uuMNv6*n3c@@5H%O-}$v2La$C zKP)KNVOLLgqeOiRy7>EqBGMXFb~%^# zO86xpW#)i)I~^JaNsohLs}Y*3IFN;WpB|IZUMqp!9cb}HqJA@RAlskI4qWTSOQ}vs z{y6?Snb`?6>ap-1Haw++f(fKrbeJPm0gS}YY?UrnC{m0^!#goEI`gf8B6dF-k9Tv$`fBaj00VL>b zy;4!JS;FlLmbAtPM`Ms%u;=8Co&EE}0{}RDLH;^FJu-5Th1naOgbyp;Ai1i>Q*AQ& zkNe$+g%gHQg54Azu;AM~-z^Z!;qX8HYjkupjdXaNH_fqT{9x+Mw=*`mYfs2!JLMjG z5%~4A%VlaVfzqGDoVBprtRIA_cz|7PS=j>u)HEP?%devcD{K??L$0QZ07p#hC9!Sg zv)u72j%X4SOv>=CJgi=jBfEJ1WeCuNl)$J5G2Rt%Ye99shZxg^SxdLey?%wFSGa#I z%wR&cq~PrpiL0T01gmP|NP2Mh?%fH)+mpUMvyK{PY?5e3ZJFx+IxwNrwV%!hip6dH zlg5NP42NRXB&!Kv^G=kHo|M_B>D&F9+&cb?0mS##6tnDrYy2Ec3PbjG-Vk1F^mjg( zkOo|Ol7J`W=_DM&y1kzdlFSO#zan>1m`jJ3;(>Fld*pX>ADYlR(sH3*qm>;9Uy(K?JqgmOvW=cEKHn_;oz0bD} z&p@IzG&`HtMBSO>mJU}-us6ADnYX>E+!wN+TIA6ApVj%Q47KuCPOf`dOzv6Q@gp_R zV!dEHpcutN-V#c6Cx|84ny$ zdv3i;HS$$_i2P1?4q#`6Myrnie)JU zw%q~#93JNFC|~sRHkXm3PHd?4NZ=sv(2)@YfAb!YMJdTH#}PPzU=&Rztxc+ofxPAJ zso&A*l;F9mEa7ydB_f1EYr!{R0A-6Dvpa)Iog%~~N;J}EH=KiqCunT_Py^1LZ%Z0~ zc6=5{1!5W3i@>(gTO*hCRU}(uKonjt8YenTNEdud#Y(?J)0mTx7`YJ$6sMEvjDQ_z zQDLEY#+edgY~dJENLtp%cgL9p*tFiT&-ya-tokVg+vXwCv!dx!7dgC`D|)0!Sn5NhU@lH_puYB z#6>E3?(T;gJM+P)Guth6lTVH}UY_9y2|kj)XF1Eo!QnT#NlA7{MM8k{{7dU1&rbrz zCUjGz#1I&Qgap*GUPn6SMES7ZsqHktJnE^b3h&z4v41O-c}0Ea=%UyyiPpp{EPUK= z*ppI*?&s59f-^80IstBk_sH*?KCJ1c+IM((d{}QF;s1)4<%fhF>-`{5Uhtn5!1Tw2 zh)exN*pyOg%Wcp+q`RzE=+us5kTJ)p6!U?xiPrqd+I-s@ar9#dXI~JgRB%Dcne}W- ztmpw2UH&)2$!_fe60ueE;V{Iz3H`LRG$OOwXW9%kovP&j@oiOp2g{zSs;VQOZ`bDg z-ez&JGmhI^t5^}w_F>CesFSh{9dfros-o7PJK2hoPa;P%{+kus8<5_fsXX{Uf-Ks1ax6nZ8qDP% zPeT`@TSyQ#pQF{Hc!qebJjKBo}ASWrXZfJ zRvDa!bTy1zMv}q(q;dmq8P3UfD@*H(5(W{xqr#0`iqS%;8S8+dqxLfxXjwNC%2NiU zCvT?qNKMMP1?jL{jg2ZZm}{e>?_!|HIiD&tze$NS%2*`OvZ!Zx$M=f(j_^zl&mi)` zH;tXHWY~C)Xy)-x!<5`r*B^A}hCt!_EpU8CHVRdjxBFEOG6u!K+q}G+ytvw-{{B+P zyS1P80OYj;;Va{BN-R0!L<4;e0d5RMygdGdIqyX$D-h>KXS5~&|3kJ|;Ck+d@WQmE1UU@?& zU%{4JWC}!Cedm{(H&{Px0{B?0dZ6{-@a8W@JZ@foWCpvS=U3Vi1Q>$e2 zlBOJ#KRG(j|7l+z$2cMnBVBvPLB~=UXr_ABbW7I=vW`Cyj?%Z6BiDHVb(8byP`gqm zEY$_;xvxP~P7|UOixPl!S3-N8vMg2Z!N&m z^771^QGgy*-5rGL^cObUKmM6aI%C{^-S|7D0B^0eM`tc}=?cZ0rbeJfsqk<0{CI(1 z>+3@s$`{%NkIo=||I30O38k$b*B9Xd51u(cO!CV`aSxuf2s#y>Y&Ov6j*#1ZjC{ViJlr(`>RVw>^(%lT~{GgS>1JWDuQ90zVpPGOx3^qevo)S41&mA2}J+3SL zC=AY0^bqQz=3e%J@mJYGSn2jdsa<}=DCcP`w)xL-O4`X&4o>?+EV4r5zv(t}t5rwH zEP)c`t!pUqIVz<2A8wGOF>fd*R}W$8+55`yHJTl)&nAT8`XZb8^9l8JQqolkq4LoA zfXY-I7h|1^XFn*Jo#JwN{YI%GpsckH@oxL_O!_|l#>|*s zM@U{4y@8F~ELa}H@uj=pzgJV5t>A3(ry~tkL}d%)zF6-T6k#hW$7@SwUHZ^g+fAmLJZR zU&R@OfK4KD11uALn_}|6n{w|i(KBy4f*F-;=*-MSYBk8(6k|UObmB#OZ>fRAIsikq zDe3B%P>GlFB0MbZ<1VgZRmGEqk9bs41gLF++_U!Luk!s{d8-hFf(GdhoK<4HvF-P_ z7V08&e`M9mJN-TA>=5VL6i(R1FVt-8gqm}#`1NWj|5k?og>a>KFOQEgz$whqR1ZViZq zOu_1#^JHdX(52mfuy&Hl8WUNb873N=+lZ=87`<)kKBh-rQ#4to_0e|2uz`BhPg@f=zcpPUrMoV2k zYq_ZcQPvdGTWq(nU~(|&fX0%bD4~B8o(Dg;*YxYNjon~~FB*L|8P|L^T|PXM=3%Od z+m`&{EAxIvd|XQRq_YLw{`(tzeEYAWXhV)4$64DMhYU19{V!p|Gg^*`KBi+JEll(w zc~x9oJjA6`V_$U16Ue1mF>-^ULRvdIlCu8;p)Wnnr|{XKbRc&j0 ze4>=xDwZpm`5za1_%9nM9D)ayyd=Ky<44ywY=7lFGrO5PQUyE#wD{uTcYc*^=rB>o zWwymA{1FA(0;lIL?gAr0pqJP~$I;YYcAw{SNgsGnIrjonFF!AB+1uC+NMa@OTJOI~ zbo;_MMBbKQCG%am$Ok?pKlWtQ&`-`{2Q4Nd7JiHO%kkVbxzjQK-rj`dyF4y7@or2GKI} zouZ3}E9f7^KgpZtcR6L3UJ}FO@#iOgx|yb?rv9}8>0d);E~44JyY;hIolC(KkOnC) zHn>F!I6e!wI-VRF5pvd;skpwlwxxs3uX!})^6>HPIa5A5J(_>wQr~Rh zBd2mgWs?&Elb?fnON#JzH z55x}J_w9n2w?A8WG|%TQ)iGdDQa6q8$8K|%H!SPs4W(f$rBpgEf^Q~;&9>nzy{v4w zzxM0bWd*nhP-cI=?RB$tkH#K|tdY?)H#(d2@4_T#(U4o9FO0vkQt7=2E`e3Y)io(< zz-lijt$)?O>CO3%4WH&3=I!J(P z*#%=+*$2x<^2twVVZ3`)zBlpN`FDD>z44D40QRQN z?h&mn^G%|5L%BTt-@j`n=;Yq3^AFI}9ApP7!Dfg7ru|&;vwG>F8V*o4m(_HXw39+r zpr!dcKqpm9E$zprEn5jdPjvq}W%eo$19dv8t0aNR@T5z0Z}qsD>rz-j)6JG(hbQ87 zd8zC2_opUT3>c`sBsz)@l8X8p=X5x$Ypp5%PlnV#_g9jBsZp3bBlu+2TyS6mP)h%J zVQj~BeAnz|b)jcghwe|y(b17>=Z%G+r%m7F5cJe_!=L$_4AB2`|*v{ zn#Oy)aAKw0ugv*0ACi^kL(D--+?(4=+`nN!)WUyl%@!t`8JqBh8{Wo6-GxHi_2=Y2 zC9lK1%ofS!{(5pgJsr}UzI#7EKZ~cMS=Me5q8}WxZvW4Y{3_$vTSkPwR6HCaR|$F+S6={< zvpvTrt^22cReL}0$%tz>wtL^YDjD;Pw8O{;pJEYhX8T9M?2CuXX+Y)1`z=k7lmJ_t zWbnynFN1H?lGwEt7O%-wS<&D3y6XqwV56HC_;YBI{cj#@R#lCrz7fBb`lLO^qD0-cUH~}E z!A1%o$i4_zM?K?5;s8f+Jr|tODI{yWxXjdemaKprVkP5+!y$+mUw=R(J?-G^6}%G~ zW7r?bL5dOu2%#mi?<}gJsCr<6`G<@cAxa#mepx{e_+wjOKxlz|31k+vw}0!oKEvFvE#KONn%>g2 zgGW$x#3Z!DdTpd~wH>nbbj`Ih6%UY+Uvft!g~hZ(`9mXfZS7vDYF%x+exg;He>9St zot^z!@=AeY2NYcfHBqiV`ltLMz`YbSum(A439J*!DKi-w)JwxX?g{l)TqT4iKm<8e z<1P((rO#W&Ut_z*o&JIhDF{48OK~-*LuEfjl*_ZCr&~x~Mg}6h-0kbUpU;hdtfHnC z`i2Wp`h;yl>su2ufeA1`#9l?V^`i6ATW(kar5Cq=1<&UBn@TQh$r#3V_RbH*hvaj`i8vxasxwnhU*ex1OzEyn{Ss9sn0BJ1=|up>drVWzS9M@Nx=vAX};|nOmvc;TxIDX!x+j`fNQ$y(|dq!zCe&l zz0fWfE^Hw3L1Bx|Im^eQByQ5SB7+1oWgFZHOL#0+eB|2JGnQbMfqru8Rs zmTjwplZ7HOOS{}xKDlqL*7S!gGp2E+*?gJWVot09?(|08Q6zvQ&L<8vSza!Zol?O( z_9g}i^s1bDn$-RzgToGfs*ZGD_ zOn3R=dx)j-I}cBdyU>!ElcLlmc14<6j2~I=x66$Ohkd$jfGOa=-(Z94J5q}sGo*sh!zbg!-RuR|=l^7sNG$C@pI;%@L5Y)$Eu*_f0D9^_Q_zE`g$*)qiI1 zzHJRBeh$24P0IgfzEiKW{RsEN2gz;sZ5A$6rz_7lH2+bdKy=}#4&C5;OmgWw*Py=T z6Yw%-z|!HFIkubrh6v&)CApdm)w7=w5VgUQ*6(fOHXFjsuVqvs37$9t4ieu-SY1jw z%};{lWb{&92RzI6VF}H^S1k*N+{3fOfHk;rvZ#hLUb!A!2(u2@S2H)jE4baUnA|7_Y#oxFA zwIsnzetVmr_Dw;A3F~k^giSDLAZ|PwP2;g9+ld(APFIECR5l+3Va14}*~)re@8w<*q0b&=kB@YwfSg9Y?B`iCh`l_`2PE2HM3|1?HFbev|x~G#cCb zA$`?zvpo@Z-Ucy>3sul^n{&d9=*I^1CJQ`PG+W7lh+|UH>`cnJ7EQrtzJ&)WPw4hE zyct(M@6oJ^209=Lw zlZ@$ru-Tnl`nkU)=Z`HcLfp;G%?Ttp@}YAjPtQkVqZ5_u1UgZ>f0h@h&M}$&Ic*OT z99$zyXhZKX#9OX?M2rpb$JWykDsA`xmE5+oH_$0qlMZeb>21hxfr9+6^`CJa>a#QA zsY{WOb%3mynHku`^k~mwUr1-oeg;ve^M!lg}6k5_hANpyXXx5b^m#fHLCph3EF?Un_myP-bHS6l1=S-8Ct!)q%37SeDWLXD<^O zJmf*R*z=(MDWL#1v5`ez$?{T1T$g6HKohg|f!m&s<>yAg!N-rYG*{Ja3qxkqnPLSU zLsS8uV%vv;gMy15ZETHgAi{noCxR?0HS$nLt{#wBYrC1(kioRpa0|1Y`>y__nVUdw z{2R-78mak#B?W*BcbORD9=1-1E_?p$;o%`^uxftzCWcGQ;+{p3gK^s}$NkBPICY_0{?#k%v0dGE z_DEjaC>SHPChEj6@&P{x0H~MfFlPqCyAh|Vf_omsd!?kc_A9!hPA}?FplHPF)9emC z*zwGJ_P&Z7#CAIeNA!QZ&1811oK`|XuD{Hv#s@m(;7uFd_&lqtey2XV{Fay$up&wC zu^+8Ggm~HXh(4$^RyM!;L*~vYLg$#`z{Bpjh~0hQgmjo4-6BrCr-CrTjU{bud-ras z<5`Qn6u3zuc=KbiAQ&zFL+JuG0ZR2u%<;tX4_ja?;5e7b)}Qs?AXiXOuty?ikT6f2 zH1yG+AwNi1VI%Pn7?42dSIMq)ZbROi%F|5OnSeaoAK8mpE=>-d+!Za$z`n5?$IJk( z*B4s(C2Ez!EdW};Ji)>r++_(EF;(OO{t!y?%X38_ejrEES6NqgG}NfZ&imBbpha~? z+LG-+aR!Gr%G32ll~(ka9se^4hytVq=Z7Owem=MXV7M?Lf=Ir@7?yF8 zb{=u^4*i}c0W*txErBb zOg#J>$b~N1t)2g!nawb}>qaN<5~rUSX8YU;RJlAIGUeCBJs{#I=l@wg?pc%>Y_CYN z8G(8rp7U9znfT8;4dxm}$l%bB1-@m~KlQBc=g+&_b$W&z)xJ4@Sz+^CsLzfqx{^Y$ z5)zt@;;Bb0ZtgDL8;bRA^zruL4x!zK^7wu9cpcvu#$TmSg2Pu;PH%vln!3T~XxB=S zF%!zfXQulh+EqwseUd*m^4u%xnIP0gHUKasv=oM!(S#AN#PPT&fTDo!*lyl(avcwE zRXFy`bbKKIuo`bpDs4C-@a8W%gf^Up(rY?1pObrbQ9kNEGc|$bJ){fTR0B~AJhNVN zeYwSl!Jn3a^QA6GTYFdmFz4jpFtjoLAz&Q?w`A)2h#-^pNVoQMAeVm;oLTe!y;XjZ!@T4LJ;I9@YGY(U(%QFdFp>-5{`T}J zchpXq^L6u}W?0em(%07nu;Ir*1x7Epal-*UrnmYkEFopBVs zoJdr+JNGn>J~cVP2I<3wgP<-D z-sHR2<_vB;A<*vucdrfb!9ytf8Q7TiW=)K&(9ui|l~-}%b``9b z;i%2`cozpOzsTzlb}`WGyu5Z|l>F@=`^#44#G0Nc#X_|uKV+T6rYVYv^Zve{6u5hq z$vCkQ&zb_7bj?|1G(gQ7r@s7L%7NRro_Hf)UrO`#2q7V7mia@O_ zUtzK<8Z0$Q<5jeL;7U7YiqN9wZ{=6qa7DuJ>IpnOv24&#Hv!yDbJu_-$^UJ`-Hio@ z5R1y3q9D_F`cJcg-s_{P$c8K-aHT&1Y01eSK+&=cXE;YTs1Y-;>wW4Ab}zT{c6WDn3ANqC@HGbYbXx#ox;DDX9jgR#s)X5?qOXl#-5P1*^Zik-2wJi8okIN`{&Z&CQ znZ9>Ju`3mBLiD>nbmjK0oW=YT&kZ6ae={+2)l1;g)ZA~Ec#2VCe0cPQr{{HfNlA(Q zn#n6-3ven(^7!mcHqY+A&HRax^nd-N!am0-3Jl%MUoEc#`!k)BISVaL>K&Ijlwu*? z!(-$p*xY}Yj{U*yC-b+v!0RMZSDxPHrf>||N365SX=%RPoSZ80pfr0Z_L4-dpb|1o zC6zdnTOL8qV?0*+;lr==umYUVX}&m< z`M)9XqMfa6$t;nL?0*hfm^^uL6IJbgMS-PlyQ@9oIaiAXhANhR&U0>s$ zbZe|_~LLJ{;^Wfc{w32b9olI zXJ2j%s^Wi-78@ATDb#}7e*SHi|I*Ol_fH_OnaOqGt&wt-+0MS^Dfg$q#<_sCZFzb5 z_mI>f`s1yj54;VX?@o65HW2mh;9QfLq-LDM|$@e=Ijc3zy+2MA!Yd`BR^) zeN+qwY2{Yb1g&7j2Y-dagi#?E&$2(0xt@o2kmH-&J4P;Z~Hh zk4LG*DD znbK8ow=!{QLkhT#t}PqTSIXx|(7`fP@wx&6t5*-A-st1}?}%U7c}NOoA$azFxSkEb z9i;-^$iW?9y#HI%L%Og_I3O8`Id*KJXtiaC0J;h$~_++QDEe0 r%w5{HI0SUe$E&6Q{HJ~VsoyVuw)p1ee_FtkOc*>}{an^LB{Ts5bfxYM literal 0 HcmV?d00001 From b788bc21fe709c4cbebe5750e06c8e6e9236856f Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Mon, 29 Jan 2024 15:02:57 +0000 Subject: [PATCH 42/77] Worked out simplified models. --- artefacts/artefacts-4-simplified.ipynb | 604 ++++++++++++++++++++++++- 1 file changed, 584 insertions(+), 20 deletions(-) diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb index 50424d4..9378054 100644 --- a/artefacts/artefacts-4-simplified.ipynb +++ b/artefacts/artefacts-4-simplified.ipynb @@ -10,7 +10,7 @@ "In the previous notebooks we presented a model of patch-clamp experiments.\n", "Here, we explore how it can be simplified for parameter estimation purposes.\n", "\n", - "We start from the main schematic and equations." + "We start from the main schematic and equations (but omitting leak and voltage offset for simplicity)." ] }, { @@ -28,16 +28,20 @@ "id": "15d7b73b", "metadata": {}, "source": [ + "We derived two models: **(1, 2a, 3a, 4, 5, 6a)** and **(1, 2b, 3b, 4, 5, 6b)**.\n", + "\n", "\\begin{align}\n", - "2.1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "2.1. && C_m\\dot{V}_m = \\frac{V_p - V_m}{R_s} - I\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "2.2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref}\n", + "2.2a. && (C_p+C_f)\\dot{V}_p &= \\frac{V_o - V_p}{R_f} - \\frac{V_p - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref} \\\\\n", + "2.2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "2.3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", + "2.3a. && \\tau_a \\dot{V}_o &= V_\\text{ref} - V_p \\\\\n", + "2.3b. && \\tau_c\\dot{V}_p &= V_\\text{ref} - V_p\n", "\\end{align}\n", "\n", "\\begin{align}\n", @@ -49,8 +53,11 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "2.6. && R_f I_\\text{obs} = V_o - V_\\text{ref}\n", - "\\end{align}" + "2.6a. && R_f I_\\text{obs} &= V_o - V_\\text{ref} \\\\\n", + "2.6b. && R_f I_\\text{obs} &= V_o - V_p\n", + "\\end{align}\n", + "\n", + "where 2.2a and 2.2b are different ways to express the same relation, so that the models only differ in equations 2.3 and 2.6." ] }, { @@ -58,55 +65,612 @@ "id": "c80d70be", "metadata": {}, "source": [ - "## Op-amp speed" + "## Op-amp speed\n", + "\n", + "To derive a simplified \"Sigworth-style\" model, we omit op-amp equation 2.3a, and switch from 2.2a to 2.2b as an equation for $V_o$.\n", + "Next, we set $V_p = V_\\text{ref}$ and $\\dot{V}_p = \\dot{V}_\\text{ref}$ to find\n", + "\n", + "\\begin{align}\n", + "3.1. && C_m\\dot{V}_m = \\frac{V_\\text{ref} - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3.2. && C_f\\dot{V}_o &= \\frac{V_\\text{ref} - V_m}{R_s} - \\frac{V_o - V_\\text{ref}}{R_f} + \\left(C_f+C_p- C_p^*\\right)\\dot{V}_\\text{ref} - C_m^* \\dot{V}_\\text{est}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3.3. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3.4. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3.5. && R_f I_\\text{obs} &= V_o - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "Equivalently, we can start from the \"Lei-style\" model, omit 2.3b, and equate $V_p$ with $V_\\text{ref}$ to find the same model. " + ] + }, + { + "cell_type": "markdown", + "id": "a3b74e15", + "metadata": {}, + "source": [ + "The new form of equation 2 makes it interpretable:\n", + "\n", + "\\begin{align}\n", + "C_f\\dot{V}_o &= \\frac{V_\\text{ref} - V_m}{R_s} - \\frac{V_o - V_\\text{ref}}{R_f} + \\left(C_f+C_p- C_p^*\\right)\\dot{V}_\\text{ref} - C_m^* \\dot{V}_\\text{est}\n", + "\\end{align}\n", + "\n", + "1. In steady-state, the first two terms must cancel each other out, so stability is reached when the voltage drop over $R_s$ equals the voltage drop over $R_f$.\n", + "2. If $V_\\text{ref}$ goes up (or $V_m$ goes down), the derivative will become positive so that $V_o$ will grow until a new equilibrium is reached.\n", + "3. The final two terms cause transient capacitative spikes any time $V_\\text{ref}$ or $V_\\text{est}$ change, and the effects of $C_p$ are cancelled out by $C_p^*$." ] }, { "cell_type": "code", - "execution_count": null, - "id": "a0152b77", + "execution_count": 1, + "id": "a6385fdd", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "import myokit\n", + "\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "61658598", + "execution_count": 2, + "id": "2b4d23f7", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "mAs = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Simplified model\n", + "amp.Vm = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 14e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 24 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Cp_est = 4.9 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vr - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vo) = ((Vr - Vm) / Rs - (Vo - Vr) / Rf +\n", + " (Cf + Cp - Cp_est) * dot(Vr) - Cm_est * dot(Ve)\n", + " ) / Cf\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + "''')\n", + "mAs.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "234267e9", + "metadata": {}, + "outputs": [], + "source": [ + "mA = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Compensated model (1, 2a, 3a, 4, 5, 6a)\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 14e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 24 [pF] in [pF]\n", + "Cp = 5 [pF] in [pF]\n", + "Cp_est = 4.9 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm : Eq 1\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm_est * dot(Ve) + Cp_est * dot(Vr)\n", + " ) / (Cp + Cf) : Eq 2a\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp : Eq 3a\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est) : Eq 4\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum : Eq 5\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf : Eq 6a\n", + " in [pA]\n", + "''')\n", + "mA.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "c90994d8", + "metadata": {}, + "outputs": [], + "source": [ + "vlo, vhi = -80, 20\n", + "p = myokit.Protocol()\n", + "p.add_step(level=vlo, duration=5)\n", + "p.add_step(level=vhi, duration=15)" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "fb62b0df", + "metadata": {}, + "outputs": [], + "source": [ + "def axs(fig, sub=(1, 1, 1), xlabel='Time (ms)', ylabel=''):\n", + " ax = fig.add_subplot(*sub)\n", + " ax.set_xlabel(xlabel)\n", + " ax.set_ylabel(ylabel)\n", + " return ax\n", + "\n", + "def ins(ax, loc=(0.05, 0.20, 0.40, 0.65)):\n", + " ins = ax.inset_axes(loc)\n", + " ins.set_yticklabels([])\n", + " ins.set_xlim(t1, t2)\n", + " ins.patch.set_alpha(0.5) \n", + " return ins\n", + "\n", + "def plot(d, t1, t2, axes=None, label=None, ls=None):\n", + " if axes is None:\n", + " fig = plt.figure(figsize=(15, 12))\n", + " \n", + " ax1 = axs(fig, (3, 2, 1), 'Vm (mV)')\n", + " ax2 = axs(fig, (3, 2, 2), 'Vp (mV)')\n", + " ax3 = axs(fig, (3, 2, 3), 'Vest (mV)')\n", + " ax4 = axs(fig, (3, 2, 4), 'Vo (mV)')\n", + " ax5 = axs(fig, (3, 2, 5), 'Vref (mV)')\n", + " ax6 = axs(fig, (3, 2, 6), 'Iobs (mV)')\n", + " in1, in2 = ins(ax1), ins(ax2)\n", + " in4, in5, in6 = ins(ax4), ins(ax5), ins(ax6)\n", + " in1.set_xlim(5, 10)\n", + " in1.set_ylim(10, 23)\n", + " in5.set_ylim(-30, 60)\n", + " else:\n", + " [ax1, ax2, ax3, ax4, ax5, ax6, in1, in2, in4, in5, in6] = axes\n", + " \n", + " ax1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", + " in1.plot(d.time(), d['amp.Vm'], label=label, ls=ls)\n", + " if 'amp.Vp' in d:\n", + " ax2.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " in2.plot(d.time(), d['amp.Vp'], ls=ls)\n", + " ax3.plot(d.time(), d['amp.Ve'], ls=ls)\n", + " if 'amp.Vo' in d:\n", + " ax4.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " in4.plot(d.time(), d['amp.Vo'], ls=ls)\n", + " ax5.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " in5.plot(d.time(), d['amp.Vr'], ls=ls)\n", + " ax6.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " in6.plot(d.time(), d['amp.I_obs'], ls=ls)\n", + " \n", + " return [ax1, ax2, ax3, ax4, ax5, ax6, in1, in2, in4, in5, in6]" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "7b821a0a", + "metadata": {}, + "outputs": [], + "source": [ + "tol = 1e-8\n", + "\n", + "t0 = 10\n", + "t1 = 4.9\n", + "t2 = 6\n", + "\n", + "sA = myokit.Simulation(mA, p)\n", + "sA.set_tolerance(tol, tol)\n", + "\n", + "sAs = myokit.Simulation(mAs, p)\n", + "sAs.set_tolerance(tol, tol)" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "e77c62b8", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sA.set_constant('amp.alpha', 0) # No correction\n", + "sA.set_constant('amp.beta', 0) # No prediction\n", + "\n", + "sAs.set_constant('amp.alpha', 0) # No correction\n", + "sAs.set_constant('amp.beta', 0) # No prediction\n", + "\n", + "sA.pre(t1)\n", + "sAs.pre(t1)\n", + "dA = sA.run(t0)\n", + "dAs = sAs.run(t0)\n", + "ax = plot(dA, t1, t2)\n", + "ax = plot(dAs, t1, t2, ls='--', axes=ax)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "9bfa168d", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "for s in (sA, sAs):\n", + " s.reset()\n", + " s.set_constant('amp.alpha', 0.7)\n", + " s.set_constant('amp.beta', 0.7)\n", + " s.set_tolerance(1e-10, 1e-10)\n", + " s.pre(t1)\n", + "\n", + "dt = 1e-3\n", + "dA = sA.run(t0)\n", + "dAs = sAs.run(t0)\n", + "\n", + "tz = 0.05\n", + "ax = plot(dA, t1, t2, label='A')\n", + "ax = plot(dAs, t1, t2, ax, label='As', ls='--')\n", + "ax[0].legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d10d4afd", + "metadata": {}, + "source": [ + "Both simulations look very similar indeed!\n", + "\n", + "We can experiment a little to see at which values of $\\tau_\\text{amp}$ we might expect a difference (with all other parameter unchanged):" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "195a25d1", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "ax = plot(dA, t1, t2, label=str(1e-6))\n", + "\n", + "for tau in (1e-5, 1e-4, 1e-3, 1e-2):\n", + " sA.reset()\n", + " sA.set_constant('amp.tau_amp', tau)\n", + " sA.pre(t1)\n", + " d = sA.run(t0)\n", + " plot(d, t1, t2, ax, label=str(tau), ls='--')\n", + "\n", + "ax[0].legend(loc='lower right') \n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "97597af7", + "metadata": {}, + "source": [ + "This shows that we need to go up several orders of magnitude before $\\tau_\\text{amp}$ has a significant impact on this experiment." + ] }, { "cell_type": "markdown", "id": "a710185e", "metadata": {}, "source": [ - "## Fast capacitance" + "## Fast capacitance\n", + "\n", + "In the above equations, the only appearance of the \"fast\" capacitance $C_p$ is in the term\n", + "\n", + "\\begin{align}\n", + "\\left(C_f + C_p - C_p^*\\right)\\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "If we assume that\n", + "\n", + "1. $C_p$ is well matched by $C_p^*$\n", + "2. The duration of the remaining artefact is too short to affect most ionic currents\n", + "\n", + "then it might make sense to omit the $C_p - C_p^*$ term entirely, and remove one parameter to be estimated from the system.\n", + "\n", + "A second reason why this might be a good idea is how the contribution of $C_p$ and $C_p^*$ shows up in the observable output $I_\\text{obs}$.\n", + "Here, it takes the form of two exponentials of a very large magnitude, but with opposite signs and highly similar time constants.\n", + "Remembering that the use of a single $C_p$ was an approximation to represent several capacitative effects, it seems very unlikely that our model could fit the fast-capacitance part of our observations well." + ] + }, + { + "cell_type": "markdown", + "id": "7fc4d4a7", + "metadata": {}, + "source": [ + "With this reasoning, we can propose a 4th model, specifically for use in parameter estimation of currents expected to have much slower dynamics than the fast capacitative transients.\n", + "\n", + "\\begin{align}\n", + "3.2b. && C_f\\dot{V}_o &= \\frac{V_\\text{ref} - V_m}{R_s} - \\frac{V_o - V_\\text{ref}}{R_f} + C_f \\dot{V}_\\text{ref} - C_m^* \\dot{V}_\\text{est}\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "98880e36", + "metadata": {}, + "outputs": [], + "source": [ + "mBs = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Simplified model without Cp and Cp_est\n", + "amp.Vm = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 14e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 24 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vr - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vo) = ((Vr - Vm) / Rs - (Vo - Vr) / Rf + Cf * dot(Vr) - Cm_est * dot(Ve)) / Cf\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + "''')\n", + "mBs.check_units(myokit.UNIT_STRICT)" ] }, { "cell_type": "code", - "execution_count": null, - "id": "519d6fb7", + "execution_count": 14, + "id": "7bbe5119", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "sAs = myokit.Simulation(mAs, p)\n", + "sAs.set_tolerance(tol, tol)\n", + "sBs = myokit.Simulation(mBs, p)\n", + "sBs.set_tolerance(tol, tol)" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "id": "9c7a03b0", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sAs.pre(t1)\n", + "sBs.pre(t1)\n", + "dAs = sAs.run(t0)\n", + "dBs = sBs.run(t0)\n", + "ax = plot(dAs, t1, t2)\n", + "ax = plot(dBs, t1, t2, ls='--', axes=ax)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "208ccd64", + "metadata": {}, + "source": [ + "Similarly, we might chose to omit the term $C_f \\dot{V}_\\text{ref}$, although note that we still need to maintain $C_f$ in the model for its role in determining the time constants $R_fC_f$ and $R_sC_f$ of the first terms." + ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 16, "id": "bb7dd063", "metadata": {}, "outputs": [], - "source": [] + "source": [ + "mCs = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Simplified model without Cp and Cp_est, and omitting the \"spike\" from Cf\n", + "amp.Vm = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 14e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 24 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vr - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vo) = ((Vr - Vm) / Rs - (Vo - Vr) / Rf - Cm_est * dot(Ve)) / Cf\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "I_obs = (Vo - Vr) / Rf\n", + " in [pA]\n", + "''')\n", + "mCs.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "id": "8f889575", + "metadata": {}, + "outputs": [], + "source": [ + "sCs = myokit.Simulation(mCs, p)\n", + "sCs.set_tolerance(tol, tol)\n", + "sCs.pre(t1)\n", + "dCs = sCs.run(t0)" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "id": "695fee27", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "ax = plot(dAs, t1, t2)\n", + "ax = plot(dBs, t1, t2, ls='--', axes=ax)\n", + "ax = plot(dCs, t1, t2, ls=':', axes=ax)\n", + "plt.show()" + ] }, { "cell_type": "markdown", "id": "4e957331", "metadata": {}, "source": [ - "## Conclusion" + "## Conclusion\n", + "\n", + "We have shown that\n", + "\n", + "1. Omitting the op-amp dynamics removes any differences between the model formulation based on Sigworth 1995 and on Lei 2020, and leads to visually indistinguishable results.\n", + "2. Omitting the effects of $C_p$ and $C_p^*$, or even the capacitative spike due to $C_f, leads to transient differences at the start of any step change, but may be beneficial for parameter estimation purposes." ] } ], From 7190ea6e9768f4aba15fd65c4af96595e37caa32 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Mon, 29 Jan 2024 15:03:57 +0000 Subject: [PATCH 43/77] Fixed typo --- artefacts/appendix-B2-compensated-models.ipynb | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/artefacts/appendix-B2-compensated-models.ipynb b/artefacts/appendix-B2-compensated-models.ipynb index 8284362..5af5daf 100644 --- a/artefacts/appendix-B2-compensated-models.ipynb +++ b/artefacts/appendix-B2-compensated-models.ipynb @@ -40,8 +40,8 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "2a. && (C_p+C_f)\\dot{V}_p &= \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref} \\\\\n", - "2b. && C_f\\dot{V}_o &= \\frac{V_p-V_o}{R_f} + \\frac{V_p-V_m}{R_s} + \\left(C_p+C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", + "2a. && (C_p+C_f)\\dot{V}_p &= \\frac{V_o - V_p}{R_f} - \\frac{V_p - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref} \\\\\n", + "2b. && C_f\\dot{V}_o &= \\frac{V_p - V_o}{R_f} + \\frac{V_p - V_m}{R_s} + \\left(C_p + C_f\\right)\\dot{V}_p - C_m^* \\dot{V}_\\text{est} - C_p^* \\dot{V}_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", From d39e242e122eb6acb7dee04b5671b7dbb8fa4d2a Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 30 Jan 2024 01:55:10 +0000 Subject: [PATCH 44/77] Looking at Rs Cm estimates --- artefacts/appendix-E-estimating-rs-cm.ipynb | 513 ++++++++++++++++---- artefacts/artefacts-4-simplified.ipynb | 74 +++ 2 files changed, 485 insertions(+), 102 deletions(-) diff --git a/artefacts/appendix-E-estimating-rs-cm.ipynb b/artefacts/appendix-E-estimating-rs-cm.ipynb index 4eeef26..43ae80d 100644 --- a/artefacts/appendix-E-estimating-rs-cm.ipynb +++ b/artefacts/appendix-E-estimating-rs-cm.ipynb @@ -25,17 +25,75 @@ "source": [ "## One-shot approach\n", "\n", - "In this approach, we follow the pCLAMP 10 User Guide, as available from [Molecular devices](https://support.moleculardevices.com/s/article/pCLAMP-Software-Manual-Download-Page) (the section is absent from the v11 manual).\n", - "The relevant section starts on page 199.\n", + "In this approach, we follow the pCLAMP 9 User Guide Rev D, as available from [Molecular devices](https://support.moleculardevices.com/s/article/pCLAMP-Software-Manual-Download-Page), starting on page 229.\n", + "(The formatting deteriorates in subsequent editions)." + ] + }, + { + "cell_type": "markdown", + "id": "179a32c8", + "metadata": {}, + "source": [ + "A cell is attached to the pipette, the fast artefact has been cancelled out, but no $R_s$ compensation or slow transient cancellation has been applied.\n", "\n", - "We start with a simplified model of the cell." + "A periodic step protocol is applied, consisting of repeating high ($V_1$) and low ($V_2$) steps of equal duration $T$.\n", + "Both steps are analysed identically, so we will discuss only the step at $V_c = V_1$." + ] + }, + { + "cell_type": "markdown", + "id": "2a4f2ad6", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "312a300e", + "metadata": {}, + "source": [ + "**TODO: Show slant of upstroke in diagram**" ] }, + { + "cell_type": "markdown", + "id": "3fc82793", + "metadata": {}, + "source": [ + "The following quantities are measured:\n", + "\n", + "1. The mean currents in the last 20% of each step, yielding $I_1$ and $I_2$\n", + "2. The area under the current curve, yielding $Q$\n", + "3. A single exponential fit is performed, yielding $\\tau$.\n", + "\n", + "The fit is described as a \"fast logarithmic exponential fit ... using a look-up table for the log transforms\", suggesting a fit to $\\log(I)$, probably after transposing and inverting for step 2.\n", + "It is unclear whether $I_1$ and $I_2$ are used in or derived from this step, or whether no info is shared between the estimates." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "15dbd9e0", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "1e97893b", + "metadata": {}, + "outputs": [], + "source": [] + }, { "cell_type": "markdown", "id": "96026015", "metadata": {}, "source": [ + "To analyse this, they start with a simplified model of the cell.\n", + "\n", "" ] }, @@ -52,39 +110,57 @@ "\n", "\\begin{align}\n", "I = \\frac{V_c - V_m}{R_s} = \\frac{V_m}{R_m} + C_m \\dot{V}_m\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "1d5ad6c9", + "metadata": {}, + "source": [ + "We can use this relation to write a model for $V_m$:\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_m = \\frac{\\frac{R_m}{R_m + R_s}V_c - V_m}{\\frac{R_mR_s}{R_m+R_s}C_m} = \\frac{V_\\infty - V}{\\tau}\n", "\\end{align}\n", "\n", - "If we take $\\dot{V}_c$ to be zero, we can write\n", + "where, for the first step \n", "\\begin{align}\n", - "R_s \\dot{I} &= -\\dot{V}_m \\\\\n", - "C_m R_s \\dot{I} &= -C_m \\dot{V}_m \n", - " = \\frac{V_m}{R_m} - I \n", - " = \\frac{V_c - I R_s}{R_m} - I\n", - " = \\frac{V_c}{R_m} - \\frac{I R_s}{R_m} - I\n", + "V_\\infty = \\frac{R_m}{R_m+R_s}V_1 & \\quad & V_0 = \\frac{R_m}{R_m+R_s}V_2\n", "\\end{align}\n", - "for\n", + "\n", + "and \n", "\\begin{align}\n", - "\\dot{I} &= \\frac{\\frac{V_c}{R_m} - \\frac{I R_s}{R_m} - I}{C_m R_s}\n", - " = \\frac{V_c - I R_s - I R_m}{C_m R_s R_m}\n", - " = \\frac{\\frac{V_c}{R_s + R_m} - I}{C_m \\frac{R_s R_m}{R_s + R_m}}\n", - " = \\frac{I_\\infty - I}{\\tau} \n", + "V(t) = V_\\infty - \\left(V_\\infty - V_0\\right) e^{-t/\\tau}\n", "\\end{align}" ] }, { "cell_type": "markdown", - "id": "2a4f2ad6", + "id": "8baf193f", "metadata": {}, "source": [ - "" + "Alternatively, we can write a model for $I$ as \n", + "\n", + "\\begin{align}\n", + "\\dot{I} = \\frac{\\frac{V_c}{R_s + R_m} - I}{\\frac{R_sR_m}{R_s+R_m}C_m} + \\frac{\\dot{V}_c}{R_s}\n", + " = \\frac{I_\\infty - I}{\\tau} + \\frac{\\dot{V}_c}{R_s}\n", + "\\end{align}\n", + "\n", + "analysing only the part of the first step where $V_c$ is constant, we can write\n", + "\n", + "\\begin{align}\n", + "I_\\infty = \\frac{1}{R_m + R_s} V_1 &\\quad&\n", + "I_0 = \\frac{V_1 - V_0}{R_s}\n", + " = \\frac{V_1 - \\frac{R_m}{R_m+R_s}V_2}{R_s}\n", + "\\end{align}\n", + "\n", + "and \n", + "\\begin{align}\n", + "I(t) = I_\\infty - \\left(I_\\infty - I_0\\right) e^{-t/\\tau}\n", + "\\end{align}" ] }, - { - "cell_type": "markdown", - "id": "6e7c4da8", - "metadata": {}, - "source": [] - }, { "cell_type": "markdown", "id": "d70c3032", @@ -105,163 +181,340 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 1, "id": "cd9302ba", "metadata": {}, "outputs": [], "source": [ "import myokit\n", - "import numpy as np" + "import numpy as np\n", + "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 2, "id": "efd71b05", "metadata": {}, "outputs": [], "source": [ - "m = myokit.parse_model('''\n", + "mA = myokit.parse_model('''\n", "[[model]]\n", - "step.I = 0\n", + "#amp.I_obs = 0\n", + "amp.Vm = -70\n", "\n", "[engine]\n", "time = 0 [ms] in [ms] bind time\n", "pace = 0 bind pace\n", "\n", - "[step]\n", - "Rs = 5e-3 [GOhm] in [GOhm]\n", - "Rm = 0.5 [GOhm] in [GOhm]\n", - "Cm = 40 [pF] in [pF]\n", + "[amp]\n", + "Rs = 11.7e-3 [GOhm] in [GOhm]\n", + "Cm = 31.89 [pF] in [pF]\n", + "Rm = 0.5003 [GOhm] in [GOhm]\n", "Vc = 1 [mV] * engine.pace\n", " in [mV]\n", - "dot(I) = (inf - I) / tau\n", + "dot(Vm) = (Rm * I_obs - Vm) / (Rm * Cm)\n", + " in [mV]\n", + "I_obs = (Vc - Vm) / Rs\n", " in [pA]\n", - " inf = Vc / (Rs + Rm)\n", - " in [pA]\n", - " tau = Cm * Rs * Rm / (Rs + Rm)\n", - " in [ms]\n", "''')\n", - "m.check_units(myokit.UNIT_STRICT)" + "mA.check_units(myokit.UNIT_STRICT)\n", + "\n", + "# Get model constants\n", + "Rs = mA.get('amp.Rs').eval()\n", + "Rm = mA.get('amp.Rm').eval()\n", + "Cm = mA.get('amp.Cm').eval()" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "043060a7", + "metadata": {}, + "outputs": [], + "source": [ + "T = 10\n", + "V1 = -60\n", + "V2 = -70\n", + "dV = V1 - V2\n", + "\n", + "p = myokit.Protocol()\n", + "p.schedule(start=0, level=V1, duration=T, period=2*T)\n", + "p.schedule(start=T, level=V2, duration=T, period=2*T)" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "245620d1", + "metadata": {}, + "outputs": [], + "source": [ + "sA = myokit.Simulation(mA, p)\n", + "sA.set_tolerance(1e-15, 1e-15)\n", + "sA.set_max_step_size(1e-3)\n", + "sA.pre(2*T)\n", + "dA = sA.run(2*T).npview()" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "id": "d8c1f6d9", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "-68.400390625 -58.62890624999999 0.3645867849609375\n", + "717.9821047008547 -117.1875\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 5))\n", + "ax1 = fig.add_subplot(1, 2, 1)\n", + "ax1.plot(dA.time(), dA['amp.Vm'])\n", + "ax2 = fig.add_subplot(1, 2, 2)\n", + "ax2.plot(dA.time(), dA['amp.I_obs'])\n", + "\n", + "# Calculate analytical solutions for V\n", + "V0 = Rm / (Rm + Rs) * V2\n", + "V8 = Rm / (Rm + Rs) * V1\n", + "tau = (Rm * Rs) / (Rm + Rs) * Cm\n", + "print(V0, V8, tau)\n", + "\n", + "# Show limits and exponential fit\n", + "ax1.axhline(V0, color='grey', ls='--')\n", + "ax1.axhline(V00, color='grey', ls='--')\n", + "ax1.plot(dA.time(), V8 - (V8 - V0) * np.exp(-dA.time() / tau), '--')\n", + "\n", + "# Calculate analytical solutions for I\n", + "I0 = (V1 - Rm / (Rm + Rs) * V2) / Rs\n", + "I8 = 1 / (Rm + Rs) * V1\n", + "print(I0, I8)\n", + "\n", + "# Show limits and exponential fit\n", + "ax2.axhline(I0, color='grey', ls='--')\n", + "ax2.axhline(I8, color='grey', ls='--')\n", + "ax2.plot(dA.time(), I8 - (I8 - I0) * np.exp(-dA.time() / tau), '--')\n", + "\n", + "plt.show()" ] }, { "cell_type": "code", "execution_count": null, - "id": "a1040b8f", + "id": "578f4dbf", "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", - "execution_count": 32, + "execution_count": null, + "id": "442b7141", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "0f74194a", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, "id": "c2dd7b36", "metadata": {}, "outputs": [], "source": [ - "m = myokit.parse_model('''\n", + "mB = myokit.parse_model('''\n", "[[model]]\n", - "amp.Vm = -80\n", - "amp.Vp = -80\n", - "amp.Vo = -80\n", - "amp.Ve = -80\n", - "amp.Vr = -80\n", + "desc: Simplified model of uncompensated patch clamp\n", + "amp.Vm = -70\n", + "amp.Vo = -70\n", "\n", "[engine]\n", "time = 0 [ms] in [ms] bind time\n", "pace = 0 bind pace\n", "\n", "[amp]\n", - "Rs = 15e-3 [GOhm] in [GOhm]\n", - "Rm = 0.5 [GOhm] in [GOhm]\n", - "Cm = 25 [pF] in [pF]\n", - "Cp = 5 [pF] in [pF]\n", + "Rm = 0.5003 [GOhm] in [GOhm]\n", + "Rs = 11.7e-3 [GOhm] in [GOhm]\n", + "Cm = 31.89 [pF] in [pF]\n", "Rf = 0.5 [GOhm] in [GOhm]\n", "Cf = 0.15 [pF] in [pF]\n", - "tau_amp = 20e-6 [ms] in [ms]\n", - "tau_sum = 10e-3 [ms] in [ms]\n", - "tau_est = 1e-8 [ms]\n", - " in [ms]\n", "I = Vm / Rm\n", " in [pA]\n", "Vc = engine.pace * 1 [mV]\n", " in [mV]\n", - "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + "dot(Vm) = (Vc - Vm) / (Rs * Cm) - I / Cm\n", " in [mV]\n", - "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs + Cf * dot(Vo)) / (Cp + Cf)\n", + "dot(Vo) = ((Vc - Vm) / Rs - (Vo - Vc) / Rf) / Cf\n", " in [mV]\n", - "dot(Vo) = (Vr - Vp) / tau_amp\n", - " in [mV]\n", - "dot(Ve) = (Vc - Ve) / tau_est\n", - " in [mV]\n", - "dot(Vr) = (Vc - Vr) / tau_sum\n", - " in [mV]\n", - "I_obs = (Vo - Vr) / Rf\n", + "I_obs = (Vo - Vc) / Rf\n", " in [pA]\n", "''')\n", - "m.check_units(myokit.UNIT_STRICT)" + "mB.check_units(myokit.UNIT_STRICT)" ] }, { "cell_type": "code", - "execution_count": 33, - "id": "043060a7", + "execution_count": null, + "id": "ce8f936e", "metadata": {}, "outputs": [], "source": [ - "p = myokit.Protocol()\n", - "p.schedule(start=0, level=-60, duration=10, period=20)\n", - "p.schedule(start=10, level=-70, duration=10, period=20)" + "dt = (2 * T) / 500 # Axon uses 500 samples\n", + "sB = myokit.Simulation(mB, p)\n", + "sB.set_tolerance(1e-12, 1e-12)\n", + "sB.pre(4 * T)\n", + "dB = sB.run(2 * T, log_interval=dt).npview()\n", + "\n", + "print(f'Using dt={dt} for a total of {len(dB.time())} samples')" ] }, { "cell_type": "code", - "execution_count": 34, - "id": "245620d1", + "execution_count": null, + "id": "5ad4107d", "metadata": {}, "outputs": [], "source": [ - "s = myokit.Simulation(m, p)\n", - "s.pre(200)\n", - "d = s.run(22, log_interval=1e-5)" + "fig = plt.figure(figsize=(15, 5))\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.plot(dB.time(), dB['amp.Vc'], color='grey', label='Vc')\n", + "ax.plot(dB.time(), dB['amp.Vm'], label='Vm')\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.plot(dB.time(), dB['amp.I_obs'], label='Iobs')\n", + "ax.axhline()\n", + "\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "216457d4", + "metadata": {}, + "source": [ + "The guide sets boundaries at 10%, 80% and 100%, which would correspond to samples 25, 200, and 250 in the first step.\n", + "However, the whole software seems to use an additional offset of about 0.5ms (or possibly a number set in samples), so that we get more similar results using 15, 190, and 240." ] }, { "cell_type": "code", - "execution_count": 35, - "id": "d8c1f6d9", + "execution_count": null, + "id": "89128117", "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], + "outputs": [], "source": [ + "i10 = 15\n", + "i80 = 190\n", + "i100 = 240" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "16f67549", + "metadata": {}, + "outputs": [], + "source": [ + "Iobs = dB['amp.I_obs']\n", + "I1 = np.mean(Iobs[i80:i100])\n", + "I2 = np.mean(Iobs[250 + i80:250 + i100])\n", + "print(f'I1 = {I1:.2f}, I2 = {I2:.2f}')" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "9124f12c", + "metadata": {}, + "outputs": [], + "source": [ + "# This step would involve 175 log table look-ups, and some\n", + "# mechanism (e.g. an offset) to avoid logs of negative numbers\n", + "logt = dB.time()[i10:i80]\n", + "logi = np.log(Iobs[i10:i80] - I1)\n", + "\n", + "fig = plt.figure(figsize=(15, 5))\n", + "ax = fig.add_subplot()\n", + "ax.plot(logt, logi)\n", "\n", + "# From this, we can calculate a very cheap tau\n", + "tau_est = (0.7 * T) / (logi[0] - logi[-1])\n", + "print(tau_est)\n", "\n", - "import matplotlib.pyplot as plt\n", - "fig = plt.figure()\n", - "ax = fig.add_subplot(2, 1, 1)\n", - "ax.plot(d.time(), d['amp.Vc'])\n", - "ax = fig.add_subplot(2, 1, 2)\n", - "ax.plot(d.time(), d['amp.I_obs'])\n", + "# Or do a bit more work for a manual linear least squares\n", + "mx = np.mean(logt)\n", + "my = np.mean(logi)\n", + "rx = logt - mx\n", + "ry = logi - my\n", + "b = np.sum(rx * ry) / np.sum(rx ** 2)\n", + "tau_est = -1 / b\n", + "print(tau_est)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "f328cd6a", + "metadata": {}, + "outputs": [], + "source": [ + "a = my - b * mx\n", + "I0 = np.exp(a) - I1\n", + "print(I0)\n", + "Rs_est = I0 / dv\n", + "print(f'Rs_est {Rs_est} GOhm')" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "30b2123c", + "metadata": {}, + "outputs": [], + "source": [ + "fig = plt.figure(figsize=(15, 5))\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.plot(dB.time(), dB['amp.Vc'], color='grey', label='Vc')\n", + "ax.plot(dB.time(), dB['amp.Vm'], label='Vm')\n", + "ax.legend()\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.plot(dB.time(), dB['amp.I_obs'], label='Iobs')\n", + "\n", + "ax.plot(logt, I1 + (I0 - I1) * np.exp(-logt / tau_est))\n", + "\n", + "ax.legend()\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, - "id": "578f4dbf", + "id": "4b0220ba", "metadata": {}, "outputs": [], "source": [] @@ -269,7 +522,30 @@ { "cell_type": "code", "execution_count": null, - "id": "442b7141", + "id": "a6fdf6e7", + "metadata": {}, + "outputs": [], + "source": [ + "Rm = 0.5003 \n", + "Rs = 11.7e-3 \n", + "Cm = 31.89\n", + "Rf = 0.5 \n", + "Cf = 0.15\n", + "\n", + "I0 = dV/Rs\n", + "print(I0)\n", + "tau = Rs * Rm / (Rs + Rm) * Cm\n", + "print(tau)\n", + "\n", + "print((Rs * Rm) / (Rs + Rm))\n", + "print((Rs) / (Rs + Rm))\n", + "print((Rm) / (Rs + Rm))\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "8ae84739", "metadata": {}, "outputs": [], "source": [] @@ -277,7 +553,7 @@ { "cell_type": "code", "execution_count": null, - "id": "0f74194a", + "id": "691dc9b1", "metadata": {}, "outputs": [], "source": [] @@ -285,7 +561,20 @@ { "cell_type": "code", "execution_count": null, - "id": "ce8f936e", + "id": "03f2dfc2", + "metadata": {}, + "outputs": [], + "source": [ + "\n", + "Vc = dB['amp.Vc']\n", + "print(f'Vc[249]={Vc[249]}, Vc[250]={Vc[250]}')\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "f00b7783", "metadata": {}, "outputs": [], "source": [] @@ -293,7 +582,19 @@ { "cell_type": "code", "execution_count": null, - "id": "5ad4107d", + "id": "0a2b4eb4", + "metadata": {}, + "outputs": [], + "source": [ + "# pA * ms = fC\n", + "Q = dB.integrate('amp.I_obs')[249]\n", + "print(f'Q = {Q} fC')" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "014e5fe4", "metadata": {}, "outputs": [], "source": [] @@ -301,7 +602,7 @@ { "cell_type": "code", "execution_count": null, - "id": "03f2dfc2", + "id": "3c417c77", "metadata": {}, "outputs": [], "source": [] @@ -309,7 +610,15 @@ { "cell_type": "code", "execution_count": null, - "id": "f00b7783", + "id": "03ecfad3", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "7c0fc4c9", "metadata": {}, "outputs": [], "source": [] diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb index 9378054..f6baec4 100644 --- a/artefacts/artefacts-4-simplified.ipynb +++ b/artefacts/artefacts-4-simplified.ipynb @@ -660,6 +660,80 @@ "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "92852f44", + "metadata": {}, + "source": [ + "## Simplified uncompensated\n", + "\n", + "\\begin{align}\n", + "5.1. && C_m\\dot{V}_m = \\frac{V_\\text{ref} - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5.2. && C_f\\dot{V}_o &= \\frac{V_\\text{ref} - V_m}{R_s} - \\frac{V_o - V_\\text{ref}}{R_f} + \\left(C_f+C_p- C_p^*\\right)\\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5.3. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5.4. && R_f I_\\text{obs} &= V_o - V_\\text{ref}\n", + "\\end{align}\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "0f8a9888", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "1dc8e296", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "6.1. && C_m\\dot{V}_m = \\frac{V_c - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.2. && C_f\\dot{V}_o &= \\frac{V_c - V_m}{R_s} - \\frac{V_o - V_c}{R_f}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.3. && R_f I_\\text{obs} &= V_o - V_c\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "c81645be", + "metadata": {}, + "source": [ + "Can go one step further but then I_obs(0) becomes important" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "caf64920", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "85368a7f", + "metadata": {}, + "outputs": [], + "source": [] + }, { "cell_type": "markdown", "id": "4e957331", From e0d53acccc2e015aa856d98ce2920a0246058764 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 30 Jan 2024 17:39:05 +0000 Subject: [PATCH 45/77] Finished Rs-Cm estimates 1/2. --- artefacts/appendix-E-estimating-rs-cm.ipynb | 723 ----------- artefacts/appendix-E1-rs-cm-one-shot.ipynb | 1278 +++++++++++++++++++ 2 files changed, 1278 insertions(+), 723 deletions(-) delete mode 100644 artefacts/appendix-E-estimating-rs-cm.ipynb create mode 100644 artefacts/appendix-E1-rs-cm-one-shot.ipynb diff --git a/artefacts/appendix-E-estimating-rs-cm.ipynb b/artefacts/appendix-E-estimating-rs-cm.ipynb deleted file mode 100644 index 43ae80d..0000000 --- a/artefacts/appendix-E-estimating-rs-cm.ipynb +++ /dev/null @@ -1,723 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "7fb2df6f", - "metadata": {}, - "source": [ - "# Appendix E: Estimating Rs and Cm\n", - "**Appendix NOT SURE WHERE TO PUT THIS**" - ] - }, - { - "cell_type": "markdown", - "id": "e2ffeda4", - "metadata": {}, - "source": [ - "During a patch clamp experiment, estimates of $R_s$ and $C_m$ must be made to facilitate slow capacitance and series resistance compensation.\n", - "Here we review two methods: A \"one-shot\" method, that uses current measured during a test pulse without $R_s$ or $C_m$ compensation to make a single prediction, and an \"iterative\" method, that uses currents measured during successive test pulses while $R_s$ and $C_m$ compensations are refined." - ] - }, - { - "cell_type": "markdown", - "id": "78252eb3", - "metadata": {}, - "source": [ - "## One-shot approach\n", - "\n", - "In this approach, we follow the pCLAMP 9 User Guide Rev D, as available from [Molecular devices](https://support.moleculardevices.com/s/article/pCLAMP-Software-Manual-Download-Page), starting on page 229.\n", - "(The formatting deteriorates in subsequent editions)." - ] - }, - { - "cell_type": "markdown", - "id": "179a32c8", - "metadata": {}, - "source": [ - "A cell is attached to the pipette, the fast artefact has been cancelled out, but no $R_s$ compensation or slow transient cancellation has been applied.\n", - "\n", - "A periodic step protocol is applied, consisting of repeating high ($V_1$) and low ($V_2$) steps of equal duration $T$.\n", - "Both steps are analysed identically, so we will discuss only the step at $V_c = V_1$." - ] - }, - { - "cell_type": "markdown", - "id": "2a4f2ad6", - "metadata": {}, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "id": "312a300e", - "metadata": {}, - "source": [ - "**TODO: Show slant of upstroke in diagram**" - ] - }, - { - "cell_type": "markdown", - "id": "3fc82793", - "metadata": {}, - "source": [ - "The following quantities are measured:\n", - "\n", - "1. The mean currents in the last 20% of each step, yielding $I_1$ and $I_2$\n", - "2. The area under the current curve, yielding $Q$\n", - "3. A single exponential fit is performed, yielding $\\tau$.\n", - "\n", - "The fit is described as a \"fast logarithmic exponential fit ... using a look-up table for the log transforms\", suggesting a fit to $\\log(I)$, probably after transposing and inverting for step 2.\n", - "It is unclear whether $I_1$ and $I_2$ are used in or derived from this step, or whether no info is shared between the estimates." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "15dbd9e0", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "1e97893b", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "id": "96026015", - "metadata": {}, - "source": [ - "To analyse this, they start with a simplified model of the cell.\n", - "\n", - "" - ] - }, - { - "cell_type": "markdown", - "id": "609dd8fc", - "metadata": {}, - "source": [ - "The command potential (no $V_p$ or delays etc) is applied to the series resistance $R_s$, causing a voltage drop to $V_m$.\n", - "The cell is represented by its capacitance $C_m$ and a membrane resistance $R_m$.\n", - "No leak or offsets are included.\n", - "\n", - "The current through $R_s$ is given by\n", - "\n", - "\\begin{align}\n", - "I = \\frac{V_c - V_m}{R_s} = \\frac{V_m}{R_m} + C_m \\dot{V}_m\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "1d5ad6c9", - "metadata": {}, - "source": [ - "We can use this relation to write a model for $V_m$:\n", - "\n", - "\\begin{align}\n", - "\\dot{V}_m = \\frac{\\frac{R_m}{R_m + R_s}V_c - V_m}{\\frac{R_mR_s}{R_m+R_s}C_m} = \\frac{V_\\infty - V}{\\tau}\n", - "\\end{align}\n", - "\n", - "where, for the first step \n", - "\\begin{align}\n", - "V_\\infty = \\frac{R_m}{R_m+R_s}V_1 & \\quad & V_0 = \\frac{R_m}{R_m+R_s}V_2\n", - "\\end{align}\n", - "\n", - "and \n", - "\\begin{align}\n", - "V(t) = V_\\infty - \\left(V_\\infty - V_0\\right) e^{-t/\\tau}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "8baf193f", - "metadata": {}, - "source": [ - "Alternatively, we can write a model for $I$ as \n", - "\n", - "\\begin{align}\n", - "\\dot{I} = \\frac{\\frac{V_c}{R_s + R_m} - I}{\\frac{R_sR_m}{R_s+R_m}C_m} + \\frac{\\dot{V}_c}{R_s}\n", - " = \\frac{I_\\infty - I}{\\tau} + \\frac{\\dot{V}_c}{R_s}\n", - "\\end{align}\n", - "\n", - "analysing only the part of the first step where $V_c$ is constant, we can write\n", - "\n", - "\\begin{align}\n", - "I_\\infty = \\frac{1}{R_m + R_s} V_1 &\\quad&\n", - "I_0 = \\frac{V_1 - V_0}{R_s}\n", - " = \\frac{V_1 - \\frac{R_m}{R_m+R_s}V_2}{R_s}\n", - "\\end{align}\n", - "\n", - "and \n", - "\\begin{align}\n", - "I(t) = I_\\infty - \\left(I_\\infty - I_0\\right) e^{-t/\\tau}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "d70c3032", - "metadata": {}, - "source": [] - }, - { - "cell_type": "markdown", - "id": "36888e5d", - "metadata": {}, - "source": [] - }, - { - "cell_type": "markdown", - "id": "2c79db67", - "metadata": {}, - "source": [] - }, - { - "cell_type": "code", - "execution_count": 1, - "id": "cd9302ba", - "metadata": {}, - "outputs": [], - "source": [ - "import myokit\n", - "import numpy as np\n", - "import matplotlib.pyplot as plt" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "id": "efd71b05", - "metadata": {}, - "outputs": [], - "source": [ - "mA = myokit.parse_model('''\n", - "[[model]]\n", - "#amp.I_obs = 0\n", - "amp.Vm = -70\n", - "\n", - "[engine]\n", - "time = 0 [ms] in [ms] bind time\n", - "pace = 0 bind pace\n", - "\n", - "[amp]\n", - "Rs = 11.7e-3 [GOhm] in [GOhm]\n", - "Cm = 31.89 [pF] in [pF]\n", - "Rm = 0.5003 [GOhm] in [GOhm]\n", - "Vc = 1 [mV] * engine.pace\n", - " in [mV]\n", - "dot(Vm) = (Rm * I_obs - Vm) / (Rm * Cm)\n", - " in [mV]\n", - "I_obs = (Vc - Vm) / Rs\n", - " in [pA]\n", - "''')\n", - "mA.check_units(myokit.UNIT_STRICT)\n", - "\n", - "# Get model constants\n", - "Rs = mA.get('amp.Rs').eval()\n", - "Rm = mA.get('amp.Rm').eval()\n", - "Cm = mA.get('amp.Cm').eval()" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "id": "043060a7", - "metadata": {}, - "outputs": [], - "source": [ - "T = 10\n", - "V1 = -60\n", - "V2 = -70\n", - "dV = V1 - V2\n", - "\n", - "p = myokit.Protocol()\n", - "p.schedule(start=0, level=V1, duration=T, period=2*T)\n", - "p.schedule(start=T, level=V2, duration=T, period=2*T)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "id": "245620d1", - "metadata": {}, - "outputs": [], - "source": [ - "sA = myokit.Simulation(mA, p)\n", - "sA.set_tolerance(1e-15, 1e-15)\n", - "sA.set_max_step_size(1e-3)\n", - "sA.pre(2*T)\n", - "dA = sA.run(2*T).npview()" - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "id": "d8c1f6d9", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "-68.400390625 -58.62890624999999 0.3645867849609375\n", - "717.9821047008547 -117.1875\n" - ] - }, - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "fig = plt.figure(figsize=(15, 5))\n", - "ax1 = fig.add_subplot(1, 2, 1)\n", - "ax1.plot(dA.time(), dA['amp.Vm'])\n", - "ax2 = fig.add_subplot(1, 2, 2)\n", - "ax2.plot(dA.time(), dA['amp.I_obs'])\n", - "\n", - "# Calculate analytical solutions for V\n", - "V0 = Rm / (Rm + Rs) * V2\n", - "V8 = Rm / (Rm + Rs) * V1\n", - "tau = (Rm * Rs) / (Rm + Rs) * Cm\n", - "print(V0, V8, tau)\n", - "\n", - "# Show limits and exponential fit\n", - "ax1.axhline(V0, color='grey', ls='--')\n", - "ax1.axhline(V00, color='grey', ls='--')\n", - "ax1.plot(dA.time(), V8 - (V8 - V0) * np.exp(-dA.time() / tau), '--')\n", - "\n", - "# Calculate analytical solutions for I\n", - "I0 = (V1 - Rm / (Rm + Rs) * V2) / Rs\n", - "I8 = 1 / (Rm + Rs) * V1\n", - "print(I0, I8)\n", - "\n", - "# Show limits and exponential fit\n", - "ax2.axhline(I0, color='grey', ls='--')\n", - "ax2.axhline(I8, color='grey', ls='--')\n", - "ax2.plot(dA.time(), I8 - (I8 - I0) * np.exp(-dA.time() / tau), '--')\n", - "\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "578f4dbf", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "442b7141", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "0f74194a", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "c2dd7b36", - "metadata": {}, - "outputs": [], - "source": [ - "mB = myokit.parse_model('''\n", - "[[model]]\n", - "desc: Simplified model of uncompensated patch clamp\n", - "amp.Vm = -70\n", - "amp.Vo = -70\n", - "\n", - "[engine]\n", - "time = 0 [ms] in [ms] bind time\n", - "pace = 0 bind pace\n", - "\n", - "[amp]\n", - "Rm = 0.5003 [GOhm] in [GOhm]\n", - "Rs = 11.7e-3 [GOhm] in [GOhm]\n", - "Cm = 31.89 [pF] in [pF]\n", - "Rf = 0.5 [GOhm] in [GOhm]\n", - "Cf = 0.15 [pF] in [pF]\n", - "I = Vm / Rm\n", - " in [pA]\n", - "Vc = engine.pace * 1 [mV]\n", - " in [mV]\n", - "dot(Vm) = (Vc - Vm) / (Rs * Cm) - I / Cm\n", - " in [mV]\n", - "dot(Vo) = ((Vc - Vm) / Rs - (Vo - Vc) / Rf) / Cf\n", - " in [mV]\n", - "I_obs = (Vo - Vc) / Rf\n", - " in [pA]\n", - "''')\n", - "mB.check_units(myokit.UNIT_STRICT)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "ce8f936e", - "metadata": {}, - "outputs": [], - "source": [ - "dt = (2 * T) / 500 # Axon uses 500 samples\n", - "sB = myokit.Simulation(mB, p)\n", - "sB.set_tolerance(1e-12, 1e-12)\n", - "sB.pre(4 * T)\n", - "dB = sB.run(2 * T, log_interval=dt).npview()\n", - "\n", - "print(f'Using dt={dt} for a total of {len(dB.time())} samples')" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "5ad4107d", - "metadata": {}, - "outputs": [], - "source": [ - "fig = plt.figure(figsize=(15, 5))\n", - "ax = fig.add_subplot(1, 2, 1)\n", - "ax.plot(dB.time(), dB['amp.Vc'], color='grey', label='Vc')\n", - "ax.plot(dB.time(), dB['amp.Vm'], label='Vm')\n", - "ax.legend()\n", - "ax = fig.add_subplot(1, 2, 2)\n", - "ax.plot(dB.time(), dB['amp.I_obs'], label='Iobs')\n", - "ax.axhline()\n", - "\n", - "ax.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "216457d4", - "metadata": {}, - "source": [ - "The guide sets boundaries at 10%, 80% and 100%, which would correspond to samples 25, 200, and 250 in the first step.\n", - "However, the whole software seems to use an additional offset of about 0.5ms (or possibly a number set in samples), so that we get more similar results using 15, 190, and 240." - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "89128117", - "metadata": {}, - "outputs": [], - "source": [ - "i10 = 15\n", - "i80 = 190\n", - "i100 = 240" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "16f67549", - "metadata": {}, - "outputs": [], - "source": [ - "Iobs = dB['amp.I_obs']\n", - "I1 = np.mean(Iobs[i80:i100])\n", - "I2 = np.mean(Iobs[250 + i80:250 + i100])\n", - "print(f'I1 = {I1:.2f}, I2 = {I2:.2f}')" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "9124f12c", - "metadata": {}, - "outputs": [], - "source": [ - "# This step would involve 175 log table look-ups, and some\n", - "# mechanism (e.g. an offset) to avoid logs of negative numbers\n", - "logt = dB.time()[i10:i80]\n", - "logi = np.log(Iobs[i10:i80] - I1)\n", - "\n", - "fig = plt.figure(figsize=(15, 5))\n", - "ax = fig.add_subplot()\n", - "ax.plot(logt, logi)\n", - "\n", - "# From this, we can calculate a very cheap tau\n", - "tau_est = (0.7 * T) / (logi[0] - logi[-1])\n", - "print(tau_est)\n", - "\n", - "# Or do a bit more work for a manual linear least squares\n", - "mx = np.mean(logt)\n", - "my = np.mean(logi)\n", - "rx = logt - mx\n", - "ry = logi - my\n", - "b = np.sum(rx * ry) / np.sum(rx ** 2)\n", - "tau_est = -1 / b\n", - "print(tau_est)" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "f328cd6a", - "metadata": {}, - "outputs": [], - "source": [ - "a = my - b * mx\n", - "I0 = np.exp(a) - I1\n", - "print(I0)\n", - "Rs_est = I0 / dv\n", - "print(f'Rs_est {Rs_est} GOhm')" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "30b2123c", - "metadata": {}, - "outputs": [], - "source": [ - "fig = plt.figure(figsize=(15, 5))\n", - "ax = fig.add_subplot(1, 2, 1)\n", - "ax.plot(dB.time(), dB['amp.Vc'], color='grey', label='Vc')\n", - "ax.plot(dB.time(), dB['amp.Vm'], label='Vm')\n", - "ax.legend()\n", - "ax = fig.add_subplot(1, 2, 2)\n", - "ax.plot(dB.time(), dB['amp.I_obs'], label='Iobs')\n", - "\n", - "ax.plot(logt, I1 + (I0 - I1) * np.exp(-logt / tau_est))\n", - "\n", - "ax.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "4b0220ba", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "a6fdf6e7", - "metadata": {}, - "outputs": [], - "source": [ - "Rm = 0.5003 \n", - "Rs = 11.7e-3 \n", - "Cm = 31.89\n", - "Rf = 0.5 \n", - "Cf = 0.15\n", - "\n", - "I0 = dV/Rs\n", - "print(I0)\n", - "tau = Rs * Rm / (Rs + Rm) * Cm\n", - "print(tau)\n", - "\n", - "print((Rs * Rm) / (Rs + Rm))\n", - "print((Rs) / (Rs + Rm))\n", - "print((Rm) / (Rs + Rm))\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "8ae84739", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "691dc9b1", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "03f2dfc2", - "metadata": {}, - "outputs": [], - "source": [ - "\n", - "Vc = dB['amp.Vc']\n", - "print(f'Vc[249]={Vc[249]}, Vc[250]={Vc[250]}')\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "f00b7783", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "0a2b4eb4", - "metadata": {}, - "outputs": [], - "source": [ - "# pA * ms = fC\n", - "Q = dB.integrate('amp.I_obs')[249]\n", - "print(f'Q = {Q} fC')" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "014e5fe4", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "3c417c77", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "03ecfad3", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "7c0fc4c9", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "code", - "execution_count": null, - "id": "129f9b33", - "metadata": {}, - "outputs": [], - "source": [] - }, - { - "cell_type": "markdown", - "id": "ee6fa4b5", - "metadata": {}, - "source": [ - "## Recap: 1st order equations\n", - "\n", - "Equations of the form\n", - "\\begin{align}\n", - "\\dot{x} = \\frac{x_\\infty - x}{\\tau}\n", - "\\end{align}\n", - "\n", - "can be solved by substituting $y = x - x_\\infty$ for\n", - "\\begin{align}\n", - "\\frac{dy}{dt} = \\frac{-y}{\\tau} \\quad\\longrightarrow\\quad\n", - "\\frac{dy}{y} = \\frac{-dt}{\\tau} \\quad\\longrightarrow\\quad\n", - "\\ln y = e^{-t/\\tau} + C\n", - "\\end{align}\n", - "\n", - "taking the exponential on both sides, and solving for the integration constant gives\n", - "\\begin{align}\n", - "y = c e^{-t/\\tau}\n", - " = y_0 e^{-t/\\tau}\n", - " = (x_0 - x_\\infty) e^{-t/\\tau}\n", - "\\end{align}\n", - "\n", - "for\n", - "\\begin{align}\n", - "x = x_\\infty - (x_\\infty - x_0) e^{-t/\\tau}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "07954e63", - "metadata": {}, - "source": [ - "### Integrating\n", - "\n", - "The integral under $x$ from $0$ to $T$ is\n", - "\n", - "\\begin{align}\n", - "\\int_0^T x &= T x_\\infty - (x_\\infty - x_0) \\left[ -\\tau e^{-t/\\tau} \\right]_0^T \\\\\n", - " &= T x_\\infty - (x_\\infty - x_0) \\left[ -\\tau e^{-T/\\tau} + \\tau \\right] \\\\\n", - " &\\approx T x_\\infty - (x_\\infty - x_0) \\tau, \\quad \\text{if } T \\gg \\tau\n", - "\\end{align}\n", - "\n", - "where $T$ doesn't need to be _that_ much greater than $\\tau$. \n", - "For $T/\\tau$ we already find $e^{-20}\\approx 2\\cdot10^{-9}$.\n", - "\n" - ] - }, - { - "cell_type": "markdown", - "id": "f3c00d5d", - "metadata": {}, - "source": [ - "### Electronics version\n", - "\n", - "In a scenario with $x = I$, $\\tau = RC$, and $I_\\infty - I_0 = \\Delta I$, the contribution of the second term is given by\n", - "\n", - "\\begin{align}\n", - "-\\Delta I \\tau = -\\frac{\\Delta V}{R} RC = - \\Delta V C\n", - "\\end{align}\n", - "\n" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3 (ipykernel)", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.11.6" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/artefacts/appendix-E1-rs-cm-one-shot.ipynb b/artefacts/appendix-E1-rs-cm-one-shot.ipynb new file mode 100644 index 0000000..012cf26 --- /dev/null +++ b/artefacts/appendix-E1-rs-cm-one-shot.ipynb @@ -0,0 +1,1278 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "7fb2df6f", + "metadata": {}, + "source": [ + "# Appendix E1: Estimating Rs and Cm; a one-shot approach\n", + "**Appendix E describes Rs and Cm estimation methods**" + ] + }, + { + "cell_type": "markdown", + "id": "e2ffeda4", + "metadata": {}, + "source": [ + "During a patch clamp experiment, estimates of $R_s$ and $C_m$ must be made to facilitate slow capacitance and series resistance compensation.\n", + "Here we review two methods: A \"one-shot\" method, that uses current measured during a test pulse without $R_s$ or $C_m$ compensation to make a single prediction, and an \"iterative\" method, that uses currents measured during successive test pulses while $R_s$ and $C_m$ compensations are refined.\n", + "\n", + "This notebook describes the one-shot approach described in Axon's pCLAMP manual, where it is attributed to Dr. Fernando Garcia-Diaz. As reference we use the pCLAMP 9 User Guide Rev D, as available from [Molecular devices](https://support.moleculardevices.com/s/article/pCLAMP-Software-Manual-Download-Page), starting on page 229." + ] + }, + { + "cell_type": "markdown", + "id": "78252eb3", + "metadata": {}, + "source": [ + "## The set-up\n", + "\n", + "A cell is attached to the pipette, the fast artefact has been cancelled out, but no $R_s$ compensation or slow transient cancellation has been applied.\n", + "\n", + "A periodic step protocol is applied, consisting of repeating high ($V_{c,1}$) and low ($V_{c,2}$) steps of equal duration $T$.\n", + "Both steps are analysed identically, so we will discuss only the step at $V_c = V_{c,1}$.\n", + "\n", + "We will use:\n", + "- $T = 10$ ms, based on screenshots in the pClamp manuals\n", + "- $V_1 = -60$ mV, $V_2=-70$ mv, again based on screenshots. This means a holding potential of $-70$ mV with a $10$ mV \"pulse\".\n", + "- A sampling rate of $25$ kHz ($\\text{dt}=0.04$ ms), for 250 samples per 10ms step. This is based on the algorithm description.\n", + "- For the constants, we'll use numbers from screenshots in the manual: $R_s = 11.7 M\\Omega$, $R_m = 500.3 M\\Omega$, and $C_m = 31.89$ pF." + ] + }, + { + "cell_type": "markdown", + "id": "2a4f2ad6", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "96026015", + "metadata": {}, + "source": [ + "## Simple cell-and-access model\n", + "\n", + "The analysis uses the following model for the cell and access resistance:" + ] + }, + { + "cell_type": "markdown", + "id": "d0d13324", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "609dd8fc", + "metadata": {}, + "source": [ + "The command potential (no $V_p$ or delays etc) is applied to the series resistance $R_s$, causing a voltage drop to $V_m$.\n", + "The cell is represented by its capacitance $C_m$ and a constant membrane resistance $R_m$.\n", + "No leak or offsets are included.\n", + "\n", + "The current through $R_s$ (which we'll assume is observed without error) is given by\n", + "\n", + "\\begin{align}\n", + "I = \\frac{V_c - V_m}{R_s} = \\frac{V_m}{R_m} + C_m \\dot{V}_m\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "1d5ad6c9", + "metadata": {}, + "source": [ + "### A model for Vm\n", + "\n", + "We can use these relationships to write an ODE for $V_m$\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_m = \\frac{\\frac{R_m}{R_m + R_s}V_c - V_m}{\\frac{R_mR_s}{R_m+R_s}C_m} = \\frac{V_\\infty - V_m}{\\tau}\n", + "\\end{align}\n", + "\n", + "with analytical solution\n", + "\\begin{align}\n", + "V_m(t) &= V_\\infty - \\left(V_\\infty - V_0\\right) e^{-t/\\tau} \\\\\n", + "\\end{align}\n", + "\n", + "For the first step\n", + "\\begin{align}\n", + "V_{\\infty,1} = \\frac{R_m}{R_m+R_s}V_{c,1} &&\n", + " V_{0,1} = \\frac{R_m}{R_m+R_s}V_{c,2} \n", + "\\end{align}\n", + "while for the second\n", + "\\begin{align}\n", + "V_{\\infty,2} = V_{0,1} &&\n", + " V_{0,2} = V_{\\infty,1}\n", + "\\end{align}\n", + "\n", + "We can also express these relationships in terms of a holding and a step potential:\n", + "\n", + "\\begin{align}\n", + "V_h &= V_{c,2} && \\Delta V_c = V_{c,1} - V_{c,2}\n", + "\\end{align}\n", + "allowing us to write\n", + "\\begin{align}\n", + "V_m(t) = \\frac{R_m}{R_m + R_s} \\left[ V_h + \\Delta V_c (1 - e^{-t/\\tau}) \\right] &&\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "8baf193f", + "metadata": {}, + "source": [ + "### A model for I\n", + "\n", + "Alternatively, we can rephrase this as a model for $I$\n", + "\n", + "\\begin{align}\n", + "\\dot{I}_\\text{Rs} = \\frac{\\frac{V_c}{R_s + R_m} - I}{\\frac{R_sR_m}{R_s+R_m}C_m} + \\frac{\\dot{V}_c}{R_s}\n", + " = \\frac{I_\\infty - I}{\\tau} + \\frac{\\dot{V}_c}{R_s}\n", + "\\end{align}\n", + "\n", + "But now we get all the problems of a discontinuous $V_c$.\n", + "We can bypass a lot of issues by analysing only the parts of the steps where $V_c$ is constant.\n", + "In that case, we get a similar solution\n", + "\\begin{align}\n", + "I(t) = I_\\infty - \\left(I_\\infty - I_0\\right) e^{-t/\\tau}\n", + "\\end{align}\n", + "\n", + "But the constants no longer have a nice symmetry:\n", + "\\begin{align}\n", + "I_{\\infty,1} = \\frac{1}{R_m + R_s} V_{c,1} \\neq I_{0,2}\n", + " &\\quad&\n", + "I_{0,1} = \\frac{V_{c,1} - V_0}{R_s}\n", + " = \\frac{V_{c,1} - \\frac{R_m}{R_m+R_s}V_{c,2}}{R_s} \\neq I_{\\infty,2}\n", + "\\end{align}\n", + "\n", + "As with $V$, we will also define\n", + "\\begin{align}\n", + "\\Delta I = I_{\\infty,1} - I_{\\infty,2} = \\frac{\\Delta V_c}{R_m + R_s}\n", + "\\end{align}\n", + "\n", + "The charge carried by a current will prove quite useful, so we work out the integral of $I$ too:\n", + "\n", + "\\begin{align}\n", + "\\int_0^T I\n", + " &= T I_\\infty - (I_\\infty - I_0) \\left[ -\\tau e^{-T/\\tau} + \\tau \\right] \\\\\n", + " &\\approx T I_\\infty - (I_\\infty - I_0) \\tau, \\quad \\text{if } T \\gg \\tau\n", + "\\end{align}\n", + "\n", + "The approximation is quite a good one: for $T/\\tau = 10$ we already find $e^{T/\\tau}\\approx 5\\cdot10^-5$." + ] + }, + { + "cell_type": "markdown", + "id": "bab9b21f", + "metadata": {}, + "source": [ + "### Simulations\n", + "\n", + "We'll now code up the V model, and run a simulation to compare with our analytical results." + ] + }, + { + "cell_type": "code", + "execution_count": 39, + "id": "cd9302ba", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 40, + "id": "efd71b05", + "metadata": {}, + "outputs": [], + "source": [ + "mA = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vm = -70\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "Rs = 11.7e-3 [GOhm] in [GOhm]\n", + "Cm = 31.89 [pF] in [pF]\n", + "Rm = 0.5003 [GOhm] in [GOhm]\n", + "Vc = 1 [mV] * engine.pace\n", + " in [mV]\n", + "dot(Vm) = (Rm * I_obs - Vm) / (Rm * Cm)\n", + " in [mV]\n", + "I_obs = (Vc - Vm) / Rs\n", + " in [pA]\n", + "''')\n", + "mA.check_units(myokit.UNIT_STRICT)\n", + "\n", + "# Get model constants\n", + "Rs = mA.get('amp.Rs').eval()\n", + "Rm = mA.get('amp.Rm').eval()\n", + "Cm = mA.get('amp.Cm').eval()" + ] + }, + { + "cell_type": "code", + "execution_count": 41, + "id": "043060a7", + "metadata": {}, + "outputs": [], + "source": [ + "T = 10\n", + "V1 = -60\n", + "V2 = -70\n", + "dV = V1 - V2\n", + "\n", + "p = myokit.Protocol()\n", + "p.schedule(start=0, level=V1, duration=T, period=2*T)\n", + "p.schedule(start=T, level=V2, duration=T, period=2*T)" + ] + }, + { + "cell_type": "code", + "execution_count": 42, + "id": "245620d1", + "metadata": {}, + "outputs": [], + "source": [ + "sA = myokit.Simulation(mA, p)\n", + "sA.set_tolerance(1e-12, 1e-12)\n", + "sA.pre(2 * T)\n", + "dA = sA.run(2 * T).npview()" + ] + }, + { + "cell_type": "code", + "execution_count": 43, + "id": "d8c1f6d9", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "V0, V8, tau -68.400390625 -58.62890624999999 0.3645867849609375\n", + "V0 = -68.40 mV\n", + "Voo = -58.63 ,V\n", + "tau = 0.365 ms\n", + "I0 = 717.98 pA\n", + "Ioo = -117.2 pA\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 5))\n", + "ax1 = fig.add_subplot(1, 2, 1)\n", + "ax2 = fig.add_subplot(1, 2, 2)\n", + "\n", + "# Calculate analytical solutions for V\n", + "V0 = Rm / (Rm + Rs) * V2\n", + "V8 = Rm / (Rm + Rs) * V1\n", + "tau = (Rm * Rs) / (Rm + Rs) * Cm\n", + "print('V0, V8, tau', V0, V8, tau)\n", + "print(f'V0 = {V0:.2f} mV')\n", + "print(f'Voo = {V8:.2f} ,V')\n", + "print(f'tau = {tau:> .3f} ms')\n", + "\n", + "# Show limits and exponential fit\n", + "ax1.axhline(V0, color='r', ls='-.', label='$V_0$')\n", + "ax1.axhline(V8, color='grey', ls='--', label='$V_\\infty$')\n", + "ax1.plot(dA.time(), dA['amp.Vm'], lw=3, label='Vm')\n", + "ax1.plot(dA.time(), V8 - (V8 - V0) * np.exp(-dA.time() / tau), '--', label='exponential')\n", + "ax1.legend(loc='center right')\n", + "\n", + "# Calculate analytical solutions for I\n", + "I0 = (V1 - Rm / (Rm + Rs) * V2) / Rs\n", + "I8 = 1 / (Rm + Rs) * V1\n", + "print(f'I0 = {I0:.2f} pA')\n", + "print(f'Ioo = {I8:.1f} pA')\n", + "\n", + "# Show limits and exponential fit\n", + "ax2.axhline(I0, color='r', ls='-.', label='$I_0$')\n", + "ax2.axhline(I8, color='grey', ls='--', label='$I_\\infty$')\n", + "ax2.plot(dA.time(), dA['amp.I_obs'], label='$Iobs$')\n", + "ax2.plot(dA.time(), I8 - (I8 - I0) * np.exp(-dA.time() / tau), '--', label='exponential')\n", + "ax2.legend(loc='lower right')\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 44, + "id": "d90e4c6b", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "True Rs 11.7 MOhm\n", + "True Rm 500.3 MOhm\n", + "True Cm 31.89 pF\n" + ] + } + ], + "source": [ + "print(f'True Rs {1e3 * Rs:>5.1f} MOhm')\n", + "print(f'True Rm {1e3 * Rm:.1f} MOhm')\n", + "print(f'True Cm {Cm:>5.2f} pF')" + ] + }, + { + "cell_type": "markdown", + "id": "a5a3ff12", + "metadata": {}, + "source": [ + "## Suggested analysis\n", + "\n", + "Here we'll try to recapitulate the suggested procedure, using asterisks to distinguish observed or estimated quantities from their theoretical or user-determined counterparts." + ] + }, + { + "cell_type": "markdown", + "id": "54a930de", + "metadata": {}, + "source": [ + "### Average the tail-ends to estimate $I_{\\infty,1}$ and $I_{\\infty,2}$\n", + "\n", + "Estimate $I_{\\infty,1}$ and $I_{\\infty,2}$ as the mean observed current of the final 20% of each step.\n", + "\n", + "\\begin{align}\n", + "I_{\\infty,1}^* &= \\text{mean observed I between 0.8T and T} \\\\\n", + "I_{\\infty,2}^* &= \\text{mean observed I between 1.8T and 2T} \\\\\n", + "\\end{align}\n", + "\n", + "and use\n", + "\n", + "\\begin{align}\n", + "\\Delta I^* = I_{\\infty,1}^* - I_{\\infty,2}^* \n", + " = \\frac{V_{c,1} - V_{c,2}}{R_m^* + R_s^*}\n", + " = \\frac{\\Delta V_c}{R_t^*}\n", + "\\end{align}\n", + "\n", + "to find an estimate of $R_t^* = R_m^* + R_s^*$." + ] + }, + { + "cell_type": "markdown", + "id": "8c30c755", + "metadata": {}, + "source": [ + "### Fit an exponential to find $\\tau^*$\n", + "\n", + "A single exponential curve is fit to the observed current between 0.1T and 0.8T for step 1, and 1.1T and 1.8T for step 2.\n", + "Both should yield the same time constant $\\tau^*$, for which\n", + "\n", + "\\begin{align}\n", + "\\tau^* = \\frac{R_m^*R_s^*}{R_t^*} C_m^*\n", + "\\end{align}\n", + "\n", + "Estimates for $I_{0,1}^*$ and $I_{0,2}^*$ can be obtained in the same step, but are not mentioned in the text.\n", + "They are probably made, however, and used to show the quality of fit." + ] + }, + { + "cell_type": "markdown", + "id": "149299bf", + "metadata": {}, + "source": [ + "### Integrate to find the charge used by $C_m$\n", + "\n", + "In this step, an estimate of the current used to charge $C_m$ is made.\n", + "To do this, we numerically find the integral of\n", + "\n", + "\\begin{align}\n", + "I = \\frac{V_m}{R_m} + C_m\\dot{V}_m\n", + "\\end{align}\n", + "\n", + "and then subtract the part due to $V_m/R_m$.\n", + "\n", + "For the total charge carried in the first step, we can write\n", + "\\begin{align}\n", + "Q_T = \\int_0^T I(t)dt \\approx T I_{\\infty,1} - (I_{\\infty,1} - I_{0,1}) \\tau\n", + "\\end{align}\n", + "where the approximation holds if the step is long enough.\n", + "\n", + "For the charge due to $V_m/R_m$ we find\n", + "\n", + "\\begin{align}\n", + "Q_R = \\frac{1}{R_m} \\int_0^T V_m(t)dt\n", + " \\approx \\frac{T V_{\\infty,1} - (V_{\\infty,1} - V_{\\infty,2}) \\tau}{R_m}\n", + " = T I_{\\infty,1} - (I_{\\infty,1} - I_{\\infty,2}) \\tau\n", + "\\end{align}\n", + "\n", + "So that the charge needed to charge $C_m$ is given by\n", + "\n", + "\\begin{align}\n", + "Q_m = Q_T - Q_R = (I_{0,1} - I_{\\infty,1}) \\tau + \\Delta I \\, \\tau\n", + "\\end{align}\n", + "\n", + "To approximate $Q_m$ we then estimate the first by numerically integrating the transient current response above $I = I_{\\infty,1}$, while we calculate the second term from our estimated $\\Delta I \\tau$:\n", + "\n", + "\\begin{align}\n", + "Q_m^* = Q_1^* + Q_2^* = Q_1^* + \\Delta I^* \\tau^*\n", + "\\end{align}\n", + "\n", + "Finally, we use the fact that, no matter how we got to this state, the charge stored in the capacitor relative to the previous step will be $C_m \\Delta V_m$.\n", + "This gives us the relationship\n", + "\n", + "\\begin{align}\n", + "Q_m^* = Q_1^* - \\Delta I^* \\tau^* = \\frac{R_m^*}{R_t^*} C_m^* \\Delta V_c\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "efe6aaf0", + "metadata": {}, + "source": [ + "### Solving\n", + "\n", + "Finally, we combine $\\tau = \\frac{R_mR_s}{R_t}$ and $C_m^*=\\frac{Q_m^*R_t^*}{R_m^*\\Delta V_c}$ to find\n", + "\n", + "\\begin{align}\n", + "R_s^* = \\frac{\\tau^* \\Delta V_c}{Q_m^*}\n", + "\\end{align}\n", + "\n", + "We can then write\n", + "\n", + "\\begin{align}\n", + "\\Delta I^* &= I_{\\infty,1}^* - I_{\\infty,2}^*, \\quad \\text{From means}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\tau^* &= \\text{From a single exponential fit}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "Q_1^* &= \\text{Area under the curve}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "Q_m^* = Q_1^* + \\Delta I^* \\tau^*\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "R_s^* = \\frac{\\tau^* \\Delta V_c}{Q_m^*}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "R_m^* = \\frac{\\Delta V_c}{\\Delta I^*} - R_s^*\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C_m^* = \\frac{Q_m^* (R_m^* + R_s^*)}{R_m^* \\Delta V_c}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "265db76e", + "metadata": {}, + "source": [ + "## Implementation\n", + "\n", + "We can try this out on our model from above." + ] + }, + { + "cell_type": "code", + "execution_count": 45, + "id": "41af3aa6", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Using dt=0.04 for a total of 500 samples\n" + ] + } + ], + "source": [ + "N = 500\n", + "dt = (2 * T) / N\n", + "print(f'Using dt={dt} for a total of {N} samples')" + ] + }, + { + "cell_type": "code", + "execution_count": 46, + "id": "5d490160", + "metadata": {}, + "outputs": [], + "source": [ + "sA.reset()\n", + "dA = sA.run(2 * T, log_interval=dt).npview()" + ] + }, + { + "cell_type": "markdown", + "id": "e8cbbf93", + "metadata": {}, + "source": [ + "Now we find points at 10% and 80% of each step.\n", + "Annoyingly, Axon always gives the protocol a little offset, which we will account for here by moving the points slightly to the left." + ] + }, + { + "cell_type": "code", + "execution_count": 47, + "id": "5cb9b988", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "t(10%) 0.40\n", + "t(80%) 7.40\n" + ] + } + ], + "source": [ + "ipad = 15\n", + "i10 = 25 - ipad\n", + "i80 = 200 - ipad\n", + "i100 = 250 - ipad\n", + "i110, i180, i200 = 250 + i10, 250 + i80, 250 + i100\n", + "\n", + "print(f't(10%) {dA.time()[i10]:>4.2f}')\n", + "print(f't(80%) {dA.time()[i80]:>4.2f}')" + ] + }, + { + "cell_type": "markdown", + "id": "6ee4303e", + "metadata": {}, + "source": [ + "With these points we perform the estimates for $I_{\\infty,1}$, $I_{\\infty,2}$, and $dI$." + ] + }, + { + "cell_type": "code", + "execution_count": 48, + "id": "0f05fe21", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "I1* = -117.1874998, real I1 = -117.1875000\n", + "I2* = -136.7188, real dI = -136.7188\n", + "dI* = 19.5313, real dI = 19.5312\n" + ] + } + ], + "source": [ + "t, I = dA.time(), dA['amp.I_obs']\n", + "I1_est = np.mean(I[i80:i100])\n", + "I2_est = np.mean(I[i180:i200])\n", + "dI_est = I1_est - I2_est\n", + "dI = dV / (Rm + Rs)\n", + "print(f'I1* = {I1_est:.7f}, real I1 = {I8:.7f}')\n", + "print(f'I2* = {I2_est:>9.4f}, real dI = {I8 - dI:>9.4f}')\n", + "print(f'dI* = {dI_est:>9.4f}, real dI = {dI:>9.4f}')" + ] + }, + { + "cell_type": "markdown", + "id": "a6627655", + "metadata": {}, + "source": [ + "Next, we fit a single polynomial.\n", + "To stay true to the manual (and the 80s/90s technology?) we do this using a log-transform and manual linear least squares.\n", + "\n", + "According to the algorithm section, this is performed using a lookup table.\n", + "It's likely that there's also some mechanism to avoid divide-by-zero issues." + ] + }, + { + "cell_type": "code", + "execution_count": 51, + "id": "101bda3c", + "metadata": { + "scrolled": false + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Tau, lazy estimate 0.363\n", + "Tau, least squares 0.364\n", + "Tau, known value 0.365\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "tlog = t[i10:i80]\n", + "ilog = np.log(I[i10:i80] - I1_est)\n", + "\n", + "# From this, we can calculate a very cheap tau\n", + "tau_lazy = (0.7 * T) / (ilog[0] - ilog[-1])\n", + "\n", + "# Or do a bit more work for a manual linear least squares\n", + "mx = np.mean(tlog)\n", + "my = np.mean(ilog)\n", + "rx = tlog - mx\n", + "ry = ilog - my\n", + "b = np.sum(rx * ry) / np.sum(rx ** 2)\n", + "a = my - b * mx\n", + "tau_est = -1 / b\n", + "\n", + "fig = plt.figure(figsize=(15, 5))\n", + "ax = fig.add_subplot()\n", + "ax.plot(tlog, ilog, lw=3, label='Data')\n", + "ax.plot(tlog, a + b * tlog, '--', label='Least squares fit')\n", + "ax.legend()\n", + "\n", + "print(f'Tau, lazy estimate {tau_lazy:.3f}')\n", + "print(f'Tau, least squares {tau_est:.3f}')\n", + "print(f'Tau, known value {tau:.3f}')" + ] + }, + { + "cell_type": "markdown", + "id": "3c1779f1", + "metadata": {}, + "source": [ + "As promised, we get a bonus $I_0$ estimate:" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "id": "e36d4c2f", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "I0, least squares 734.65, real 717.98\n" + ] + } + ], + "source": [ + "I0_est = np.exp(a) + I1_est\n", + "print(f'I0, least squares {I0_est:.2f}, real {I0:.2f}')" + ] + }, + { + "cell_type": "markdown", + "id": "a034ef3a", + "metadata": {}, + "source": [ + "It's a bit off, which is to be expected when we extrapolate up an exponential, and probably the reason that we don't use this result beyond plotting.\n", + "\n", + "We can now show the obtained fits" + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "34b6584e", + "metadata": { + "scrolled": true + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(12, 5))\n", + "ax = fig.add_subplot()\n", + "\n", + "dot = dict(color='grey', ls='--', lw=1)\n", + "ora = dict(color='tab:orange', lw=2)\n", + "ax.axvline(t[i10], **dot)\n", + "ax.axvline(t[i80], **dot)\n", + "ax.axvline(t[i100], **dot)\n", + "ax.plot(t, I, label='$Iobs$')\n", + "ax.plot((t[i80], t[i100]), (I1_est, I1_est), **ora)\n", + "ax.plot((t[i180], t[i200]), (I2_est, I2_est), **ora)\n", + "te = t[i10 // 3:i10 + 50]\n", + "ax.plot(te, I1_est - (I1_est - I0_est) * np.exp(-te / tau_est))\n", + "\n", + "ax.legend(loc='lower right')\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "dc644f03", + "metadata": {}, + "source": [ + "Now we integrate the positive parts of $I - I_{\\infty,1}$ for step 1 to find Qm." + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "b8665de4", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated Qm 328.60 fC, real 311.61\n" + ] + } + ], + "source": [ + "# Integrate part above I1 to find Q1\n", + "iup = I[:i80] - I1_est\n", + "iup = iup[iup > 0]\n", + "Qm_est = np.sum(iup) * dt\n", + "\n", + "# And correct to find Qm, in pA * ms = fC\n", + "Qm_est += dI_est * tau_est\n", + "print(f'Estimated Qm {Qm_est:.2f} fC, real {(I0 - I8 + dI) * tau:.2f}')" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "id": "e6a214ad", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated Rs 11.1 MOhm, real 11.7 Mohm\n", + "Estimated Rm 500.9 MOhm, real 500.3 MOhm\n", + "Estimated Cm 33.59 pF, real 31.89 pF\n" + ] + } + ], + "source": [ + "Rs_est = tau_est * dV / Qm_est\n", + "Rm_est = dV / dI_est - Rs_est\n", + "Cm_est = Qm_est * (Rm_est + Rs_est) / (Rm_est * dV)\n", + "\n", + "print(f'Estimated Rs {1e3 * Rs_est:>5.1f} MOhm, real {1e3 * Rs:>5.1f} Mohm')\n", + "print(f'Estimated Rm {1e3 * Rm_est:>5.1f} MOhm, real {1e3 * Rm:>5.1f} MOhm')\n", + "print(f'Estimated Cm {Cm_est:>5.2f} pF, real {Cm:>5.2f} pF')" + ] + }, + { + "cell_type": "markdown", + "id": "5837f881", + "metadata": {}, + "source": [ + "And there we go!" + ] + }, + { + "cell_type": "markdown", + "id": "95939e72", + "metadata": {}, + "source": [ + "## Test on a trickier model\n", + "\n", + "We can apply the same methods to a model with slightly more realistic characteristics.\n", + "We'll leave out $C_p$ and any corrections, but maintain a $V_o$ that's filtered by $R_fC_f$." + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "id": "c2dd7b36", + "metadata": {}, + "outputs": [], + "source": [ + "mB = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vm = -70\n", + "amp.Vo = -70\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "Rm = 0.5003 [GOhm] in [GOhm]\n", + "Rs = 11.7e-3 [GOhm] in [GOhm]\n", + "Cm = 31.89 [pF] in [pF]\n", + "Rf = 0.5 [GOhm] in [GOhm]\n", + "Cf = 0.15 [pF] in [pF]\n", + "I = Vm / Rm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vc - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vo) = (Vc - Vm) / (Rs * Cf) - (Vo - Vc) / (Rf * Cf)\n", + " in [mV]\n", + "I_obs = (Vo - Vc) / Rf\n", + " in [pA]\n", + "''')\n", + "mB.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "id": "8635b7d4", + "metadata": {}, + "outputs": [], + "source": [ + "sB = myokit.Simulation(mB, p)\n", + "sB.set_tolerance(1e-12, 1e-12)\n", + "sB.pre(2 * T)\n", + "dB = sB.run(2 * T, log_interval=dt).npview()" + ] + }, + { + "cell_type": "markdown", + "id": "5da33062", + "metadata": {}, + "source": [ + "This model has trickier behaviour:" + ] + }, + { + "cell_type": "code", + "execution_count": 19, + "id": "7c458cc9", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(15, 5))\n", + "ax1 = fig.add_subplot(1, 2, 1)\n", + "ax2 = fig.add_subplot(1, 2, 2)\n", + "ax1.plot(dB.time(), dB['amp.Vm'])\n", + "ax2.plot(dB.time(), dB['amp.I_obs'])\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "22e69618", + "metadata": {}, + "source": [ + "Estimate $I_{\\infty,1}$, $I_{\\infty,2}$, and $dI$." + ] + }, + { + "cell_type": "code", + "execution_count": 20, + "id": "7df8290d", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "I1* = -117.1874997\n", + "I2* = -136.7188\n", + "dI* = 19.5313\n" + ] + } + ], + "source": [ + "t, I = dB.time(), dB['amp.I_obs']\n", + "I1_est = np.mean(I[i80:i100])\n", + "I2_est = np.mean(I[i180:i200])\n", + "dI_est = I1_est - I2_est\n", + "print(f'I1* = {I1_est:.7f}')\n", + "print(f'I2* = {I2_est:>9.4f}')\n", + "print(f'dI* = {dI_est:>9.4f}')" + ] + }, + { + "cell_type": "markdown", + "id": "5aa44d0b", + "metadata": {}, + "source": [ + "Estimate $\\tau$" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "id": "f679d93e", + "metadata": { + "scrolled": false + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Tau* = 0.364 ms\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "tlog = t[i10:i80]\n", + "ilog = np.log(I[i10:i80] - I1_est)\n", + "\n", + "mx = np.mean(tlog)\n", + "my = np.mean(ilog)\n", + "rx = tlog - mx\n", + "ry = ilog - my\n", + "b = np.sum(rx * ry) / np.sum(rx ** 2)\n", + "a = my - b * mx\n", + "\n", + "tau_est = -1 / b\n", + "I0_est = np.exp(a) + I1_est\n", + "\n", + "fig = plt.figure(figsize=(15, 5))\n", + "ax = fig.add_subplot()\n", + "ax.plot(tlog, ilog, lw=3, label='Data')\n", + "ax.plot(tlog, a + b * tlog, '--', label='Least squares fit')\n", + "ax.legend()\n", + "\n", + "print(f'Tau* = {tau_est:.3f} ms')" + ] + }, + { + "cell_type": "markdown", + "id": "8b15aecc", + "metadata": {}, + "source": [ + "Show the obtained fits" + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "id": "fb869736", + "metadata": { + "scrolled": true + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(12, 5))\n", + "ax = fig.add_subplot()\n", + "\n", + "dot = dict(color='grey', ls='--', lw=1)\n", + "ora = dict(color='tab:orange', lw=2)\n", + "ax.axvline(t[i10], **dot)\n", + "ax.axvline(t[i80], **dot)\n", + "ax.axvline(t[i100], **dot)\n", + "ax.plot(t, I, label='$Iobs$')\n", + "ax.plot((t[i80], t[i100]), (I1_est, I1_est), **ora)\n", + "ax.plot((t[i180], t[i200]), (I2_est, I2_est), **ora)\n", + "te = t[i10 // 3:i10 + 50]\n", + "ax.plot(te, I1_est - (I1_est - I0_est) * np.exp(-te / tau_est))\n", + "\n", + "ax.legend(loc='lower right')\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "2b3f4f33", + "metadata": {}, + "source": [ + "Find Qm" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "id": "766af556", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated Qm 307.87 fC\n" + ] + } + ], + "source": [ + "iup = I[:i80] - I1_est\n", + "iup = iup[iup > 0]\n", + "Qm_est = np.sum(iup) * dt + dI_est * tau_est\n", + "print(f'Estimated Qm {Qm_est:.2f} fC')" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "id": "748f68d8", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated Rs 11.8 MOhm\n", + "Estimated Rm 500.2 MOhm\n", + "Estimated Cm 31.51 pF\n" + ] + } + ], + "source": [ + "Rs_est = tau_est * dV / Qm_est\n", + "Rm_est = dV / dI_est - Rs_est\n", + "Cm_est = Qm_est * (Rm_est + Rs_est) / (Rm_est * dV)\n", + "\n", + "print(f'Estimated Rs {1e3 * Rs_est:>5.1f} MOhm')\n", + "print(f'Estimated Rm {1e3 * Rm_est:>5.1f} MOhm')\n", + "print(f'Estimated Cm {Cm_est:>5.2f} pF')" + ] + }, + { + "cell_type": "markdown", + "id": "ed4511ef", + "metadata": {}, + "source": [ + "So the procedure seems reasonably robust!" + ] + }, + { + "cell_type": "markdown", + "id": "9dea0d6c", + "metadata": {}, + "source": [ + "## One more time with noise\n", + "\n", + "Now we add noise, and discover why other ways of fitting exponentials are nicer." + ] + }, + { + "cell_type": "code", + "execution_count": 80, + "id": "b7428001", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Tau* = 0.364 ms\n", + "I0* = 925.915 pA\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "I = dB['amp.I_obs'] + np.random.normal(0, 5, t.shape)\n", + "I1_est = np.mean(I[i80:i100])\n", + "I2_est = np.mean(I[i180:i200])\n", + "dI_est = I1_est - I2_est\n", + "\n", + "# Find points in the 10-80% range\n", + "tlog = t[i10:i80]\n", + "ilog = I[i10:i80] - I1_est\n", + "# But instead of 80%, cut-off when the data gets\n", + "# 1. too close to zero\n", + "# 2. too noisy\n", + "std = np.std(I[i80:i100])\n", + "istd = np.where(ilog < 2 * std)[0][0]\n", + "tlog = tlog[:istd]\n", + "ilog = ilog[:istd]\n", + "ilog = np.log(ilog)\n", + "\n", + "fig = plt.figure(figsize=(15, 5))\n", + "ax = fig.add_subplot()\n", + "ax.plot(tlog, ilog, lw=3, label='Data')\n", + "ax.plot(tlog, a + b * tlog, '--', label='Least squares fit')\n", + "ax.legend()\n", + "\n", + "mx = np.mean(tlog)\n", + "my = np.mean(ilog)\n", + "rx = tlog - mx\n", + "ry = ilog - my\n", + "b = np.sum(rx * ry) / np.sum(rx ** 2)\n", + "a = my - b * mx\n", + "\n", + "tau_est = -1 / b\n", + "I0_est = np.exp(a) + I1_est\n", + "print(f'Tau* = {tau_est:.3f} ms')\n", + "print(f'I0* = {I0_est:.3f} pA')" + ] + }, + { + "cell_type": "code", + "execution_count": 81, + "id": "6f934729", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAs4AAAEvCAYAAABVHEeWAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAABPM0lEQVR4nO3deXxU9b3/8dc3+06AJEAI+w6Ksoi74FK1FbXt/bWFLuq9VbrYVW9rbW9r6a1Vb6u2dhXUaq0VaesGgmsLbggGBNn3JSF7Qsi+zXx/f8wkBsgySU5mhjnv5+ORR2bOOTPn8zmc7/DJd77ne4y1FhERERER6VpUqAMQERERETkdqHAWEREREQmACmcRERERkQCocBYRERERCYAKZxERERGRAKhwFhEREREJQEyoAwhURkaGHT16dKjD6FZ1dTWpqamhDkMkorixXbkxZxGRcLBx48Yya21mR+tOm8J59OjR5ObmhjqMbi1evJi77ror1GGIRBQ3tis35iwiEg6MMYc7XXe63ABl9uzZ9nQonAsKCsjOzg51GCIRxY3tyo05i4iEA2PMRmvt7I7WaYyziIiIiEgAVDg7bOnSpaEOQSTiuLFduTFnEZFwp8JZRERERCQAKpxFRERERAKgwtlhc+fODXUIIhHHje3KjTmLiIQ7zaohIiIiIuKnWTWC6P777w91CCIRx43tyo05i4iEOxXODqupqQl1CCIRx43tyo05i4iEOxXOgbIWPvgr5L0f6khEREREJARUOAfKGFh9B2x/tsvNhg0bFqSARNzDje3KjTmLiIQ7XRzYE7+eDiPmwH88Eto4RERERKRf6OJApyRnQm1Zl5usWLEiSMGIuIcb25UbcxYRCXeOFM7GmHRjzD+MMbuMMTuNMecbYwYZY14zxuz1/x7Ybvs7jTH7jDG7jTFXORFDUCRnQF3XhfOmTZuCFIyIe7ixXbkxZxGRcOdUj/NvgJettZOBs4CdwA+AN6y1E4A3/M8xxkwFFgDTgKuBPxhjoh2Ko38lZ3Tb4ywiIiIikanPhbMxJg24BHgUwFrbZK2tBK4HnvBv9gTwSf/j64Fl1tpGa+1BYB8wp69xBEWSv3A+TcaFi4iIiIhznOhxHguUAn82xnxgjHnEGJMMDLHWFgL4f2f5tx8O5LV7fb5/WfhLzgBvMzRWdbrJbbfdFsSARNzBje3KjTmLiIQ7JwrnGGAm8Edr7QygFv+wjE6YDpZ12IVrjFlkjMk1xuSWlpb2PdK+Ss70/e5iuEZBQUGQghFxDze2KzfmLCIS7pwonPOBfGvtev/zf+ArpIuNMcMA/L9L2m0/ot3rc4AO/4ew1i6x1s621s7OzMx0INQ+Ssrw/e6icF62bFmQghFxDze2KzfmLCIS7vpcOFtri4A8Y8wk/6LLgR3Ai8CN/mU3Ai/4H78ILDDGxBtjxgATgA19jSMokv2Fczcza4iIiIhI5Ilx6H2+CTxljIkDDgD/ia8oX26M+TJwBPgMgLV2uzFmOb7iugW41VrrcSiO/tVaONeGwbAREREREQkqRwpna+1moKM7rFzeyfZ3A3c7se+gCmCoxvz584MUjIh7uLFduTFnEZFwpzsH9kRsAsSlQl15p5vMmjUriAGJuIMb25UbcxYRCXcqnHsqeXCXQzUWL14cxGBE3MGN7cqNOYuIhDsVzj2VnKm7B4qIiIi4kArnnkrO1MWBIiIiIi6kwrmnUrKgpqTT1RMnTgxiMCLu4MZ25cacRUTCnQrnnkoZ4pvH2dvxDHoLFy4MckAikc+N7cqNOYuIhDsVzj2VkgXW2+k456effjrIAYlEPje2KzfmLCIS7lQ491Rylu93TXGHq/fs2RPEYETcwY3tyo05i4iEOxXOPZUyxPe7i3HOIiIiIhJ5VDj3VErXPc4iIiIiEpmMtTbUMQRk9uzZNjc3N9RhQFMt/CIbrvgpXPTdUEcjIiIiIg4yxmy01s7uaJ16nHsqLtl32+1Ohmps3LgxyAGJRD43tis35iwiEu5UOPdGSmanQzVWrlwZ5GBEIp8b25UbcxYRCXcqnHsjZYguDhQRERFxGRXOvZGSpYsDRURERFxGhXNvpAzptHBesGBBkIMRiXxubFduzFlEJNypcO6NlCHQcBya609ZlZ2dHYKARCKbG9uVG3MWEQl3Kpx7I3WY73d14SmrHnjggSAHIxL53Niu3JiziEi4U+HcG2mthXNRaOMQERERkaBR4dwbrT3OVQWhjUNEREREgkaFc290MVRj5syZQQ5GJPK5sV25MWcRkXCnwrk3EgZAbFKHQzWuvfbaEAQkEtnc2K7cmLOISLhT4dwbxkDq0A6HaixZsiQEAYlENje2KzfmLCIS7lQ491ZqdodDNQoLT10mIn3jxnblxpxFRMKdCufeShumiwNFREREXCQm1AGcbspqGvFaS1bqUN8YZ2t9Qzf8UlJSQhidSGRyY7tyY84iIuHOWGtDHUNAZs+ebXNzc0MaQ1lNI9f99m2y0xP5x9mb4ZU74fsHIWlQSOMSEREREWcYYzZaa2d3tE5DNXrgtuVbKDjewIf5x2lOHupbeNJwjTVr1gQ/MJEI58Z25cacRUTCnWOFszEm2hjzgTFmpf/5IGPMa8aYvf7fA9tte6cxZp8xZrcx5iqnYuhPHq/lrb2ljM1Ipsnj5XDzAN+KkwrntWvXhiA6kcjmxnblxpxFRMKdkz3O3wZ2tnv+A+ANa+0E4A3/c4wxU4EFwDTgauAPxphoB+PoFxW1TVgLH5s6BIDN1am+FcfzQhiViIiIiASLI4WzMSYHuAZ4pN3i64En/I+fAD7Zbvkya22jtfYgsA+Y40Qc/amsphGAs0akMzg5jvUlMRAVA8fzQxyZiIiIiASDUz3Ovwa+D3jbLRtirS0E8P/O8i8fDrTvps33Lwtr5TVNAGSkxDM9ZwBbjlb75nKuOnrCdrfccksowhOJaG5sV27MWUQk3PW5cDbGzAdKrLUbA31JB8s6nNrDGLPIGJNrjMktLS3tdYxOaO1xHpwSx+zRg9hbUkND8jD1OIuIiIi4hBM9zhcC1xljDgHLgMuMMX8Fio0xwwD8v0v82+cDI9q9Pgfo8E4i1tol1trZ1trZmZmZDoTae62Fc0ZKPJ+ZlUNMlGFP/YBTxjgvXbo0FOGJRDQ3tis35iwiEu76XDhba++01uZYa0fju+jvX9baLwIvAjf6N7sReMH/+EVggTEm3hgzBpgAbOhrHP2trKaJuOgo0hJiyEpL4Nrp2ayvSMRWFYLXE+rwRERERKSf9ec8zvcCHzPG7AU+5n+OtXY7sBzYAbwM3GqtDfvKs6ymkcEpcRj/XQL/Y1YOh1sGYbzNUFPSzatFRERE5HTn6C23rbVrgDX+x+XA5Z1sdzdwt5P77m/l/sK51YSsFB61g31PjudD2jAA5s6dG4rwRCKaG9uVG3MWEQl3unNggMpqmshIiW97npkaT2Wsb07n9uOc582bF+TIRCKfG9uVG3MWEQl3KpwDVF7TeELhbIwhbtBI35N2hfP9998f7NBEIp4b25UbcxYRCXcqnANgraWspumEoRoAWVlDqCYZKo+0LaupqQl2eCIRz43tyo05i4iEOxXOAahubKHJ4yWzXY8zwJiMZA57M/FUHApNYCIiIiISNCqcA1BW/dHNT9obm5lMns3EU36obdmwYcOCGZqIK7ixXbkxZxGRcKfCOQA1jS0ApCXEnrB8TEYyeTaL6Koj4PXdbXzRokVBj08k0rmxXbkxZxGRcKfCOQD1Tb5pphNjo09YPjYzhTybSbS3CWqKAVixYkXQ4xOJdG5sV27MWUQk3KlwDkB9s69wTog7sXBOiY+hISXH96TyMACbNm0KamwibuDGduXGnEVEwp0K5wA0NHfc4wyQmDXO9+DY4WCGJCIiIiJBpsI5APVdFM6ZIyYA0FR+MKgxiYiIiEhwqXAOQH2T78K/xLhTC+eJwzMptulUF+4D4LbbbgtqbCJu4MZ25cacRUTCnQrnALSNce6gx3nqsDQO2aF4yvYDUFBQENTYRNzAje3KjTmLiIQ7Fc4B6GqMc87ARI6aYSRW+8Y4L1u2LKixibiBG9uVG3MWEQl3KpwDUNfUQnSUITbanLLOGENtyihSW8qhsToE0YmIiIhIMKhwDkB9k5fE2GiMObVwBvCkj/U9qDgQxKhEREREJJhUOAegvtnT4YWBrWKH+GfWKN7L/PnzgxWWiGu4sV25MWcRkXCnwjkADc2eDsc3t0ofPgmA40d3MWvWrGCFJeIabmxXbsxZRCTcqXAOQH1T14XzyCEZFNpBNJXsZfHixUGMTMQd3Niu3JiziEi4U+EcgPpmzym3225vdEYSh7xDiTqmm6CIiIiIRCoVzgGob/aQGNv5oUpNiKUwZjhptYeCF5SIiIiIBJUK5wB0N8YZ4HjKGJI9xzlz7LAgRSXiHhMnTgx1CEHnxpxFRMKdCucA1DV1PasGgHew7z+5T108NRghibjKwoULQx1C0LkxZxGRcKfCOQD1TZ4Ob7fd3pCxZwHw7xf/FoyQRFzl6aefDnUIQefGnEVEwp0K5wAEMlRjyuQp1NgEmsp0ExQRp+3ZsyfUIQSdG3MWEQl3KpwDUN/sIamboRpjM1M4bIaTQUWQohIRERGRYFLh3A1rrX9Wja4LZ2MMVSljyTElQYpMRERERIJJhXM3Glu8WEuX8zi3isqazFBzjIrysiBEJuIed911V6hDCDo35iwiEu5UOHejodkD0G2PM0DKiOkAHN2zsV9jEnGbjRvd16bcmLOISLhT4dyN+h4UzkMnzgKg6vCWfo1JxG1WrlwZ6hCCzo05i4iEuz4XzsaYEcaYfxtjdhpjthtjvu1fPsgY85oxZq//98B2r7nTGLPPGLPbGHNVX2PoT3VN/sI5gKEag7PHUmWTMCXb+zssEREREQkyJ3qcW4DbrbVTgPOAW40xU4EfAG9YaycAb/if41+3AJgGXA38wRjTfVUaIvX+wrm7eZwBMIb9Npv0Kk0jJSIiIhJp+lw4W2sLrbWb/I+rgZ3AcOB64An/Zk8An/Q/vh5YZq1ttNYeBPYBc/oaR3/pyRhngJr0yYxsPojH4+3PsERcZcGCBaEOIejcmLOISLhzdIyzMWY0MANYDwyx1haCr7gGsvybDQfy2r0s37+so/dbZIzJNcbklpaWOhlqwNrGOAcwVAMgJns6KaaegsPqdRZxSnZ2dqhDCDo35iwiEu4cK5yNMSnAP4HvWGurutq0g2W2ow2ttUustbOttbMzMzOdCLPHWodqBNrj/O7OAgBK9rzfbzGJuM0DDzwQ6hCCzo05i4iEO0cKZ2NMLL6i+Slr7bP+xcXGmGH+9cOA1juD5AMj2r08ByhwIo7+0NMe5zqTRouNouGIppISERERiSROzKphgEeBndba9l0kLwI3+h/fCLzQbvkCY0y8MWYMMAHY0Nc4+kvrGOeALg4EvCaG/JiRJJVv68+wRERERCTIYhx4jwuBLwFbjTGb/ct+CNwLLDfGfBk4AnwGwFq73RizHNiBb0aOW621Hgfi6BdNLb6L/OKiA/sbY+bMmRw7uIsR5e/g8XiJDvB1ItK5mTNnhjqEoHNjziIi4a7PhbO19m06HrcMcHknr7kbuLuv+w6GZo9v+HVsdGcpnujaa69l8z93klGxin0H9zB+/OT+DE/EFa699tpQhxB0bsxZRCTcqTu0Gy1eX49zTIA9x0uWLCFz4rkAFO1c129xibjJkiVLQh1C0LkxZxGRcKfCuRutPc4xUYH1OBcWFjJs4jm0EEXjYV0gKOKEwsLCUIcQdG7MWUQk3Klw7kZLDwtngKj4JI7GjSO9YnM/RSUiIiIiwabCuRutQzWiAyycU1JSAKjJmskkz16KjtX0W2wibtHartzEjTmLiIQ7Fc7daPZYYqMNvln3unf77bcDkDr+QlJMAzu3vNef4Ym4Qmu7chM35iwiEu5UOHejxeMlJirww7RmzRoAhp95CQBVe9/tj7BEXKW1XbmJG3MWEQl3Kpy70eK1xAQ4FR3A2rVrAYgeNJrjUQNJKtYFgiJ91dqu3MSNOYuIhDsVzt1o8XqJ7c1NTIyhbNBMpjRvo7S60fnARERERCSoVDh3o8VjezSjRntx4y8hx5SxdduHDkclIiIiIsGmwrkbvosDAz9Mt9xyS9vjodN9N048vvNfjscl4ibt25VbuDFnEZFwp8K5Gy1eb4/GOLcXO3Qa1VFppBRqZg0RERGR050K5270dKjG0qVLP3oSFUXJoNlMafqQsuqGfohOxB1OaFcu4cacRUTCnQrnbjR7enlxoF/CxEvJMWVs3qLZNUREREROZyqcu9HT6ehONnTmfACqt73iVEgiIiIiEgIqnLvR3MMboMydO/eE59EZYymJHU5m8dtYa50OT8QVTm5XbuDGnEVEwp0K5260+G+5Hah58+adsux49iXM9G5jb0G5g5GJuEdH7SrSuTFnEZFwp8K5Gy3envU433///acsS5/+CZJMI0c2abiGSG901K4inRtzFhEJdyqcu9Hs6dkY55qamlOWZZx5BfXEE7fvZSdDE3GNjtpVpHNjziIi4U6Fczd6fcvtdkxcEntSzmXS8bexXo9DkYmIiIhIMKlw7kZP53EeNmxYh8vrxl3NECrI377OqdBEXKOzdhXJ3JiziEi4U+HcjZ7O47xo0aIOl2efcz3NNprS9c84FZqIa3TWriKZG3MWEQl3Kpy70dN5nFesWNHh8lE5OexIms2wvJcorap3KjwRV+isXUUyN+YsIhLuVDh3wzdUI/DDtGnTpk7XDb3wiwwz5ax66XkHIhNxj67aVaRyY84iIuFOhXM3fEM1en/nwPaGnPNpGk08GQdfcOT9RERERCR4VDh3o6+33D5BfAr7B1/KxY1rqautduY9RURERCQoVDh3o6e33L7tttu6XF9/5hdIM3UUrFve19BEXKO7dhWJ3JiziEi4U+HcjZ7ecrugoKDL9aNnXskh7xCStv61r6GJuEZ37SoSuTFnEZFwp8K5Gy1eLzE9mI5u2bJlXa4fnJrA6viryD6+CYq29TU8EVforl1FIjfmLCIS7kJWOBtjrjbG7DbG7DPG/CBUcXTFWkuzxxLbgxugBKJw7Gept3FUv/k7R99XRERERPpPSApnY0w08Hvg48BUYKExZmooYumKx2sBetTjHIivfWI2K8wlxO/4J7amxNH3FhEREZH+Eaoe5znAPmvtAWttE7AMuD5EsXSqxV84R/egx3n+/PndbjNsQCJxF32TaNtMyasP9jo+EbcIpF1FGjfmLCIS7kJVOA8H8to9z/cvCyvNHi9Ajy4OnDVrVkDbzbvgAlZ5zyN92+NQV9Gb8ERcI9B2FUncmLOISLgLVeHcUSVqT9nImEXGmFxjTG5paWkQwjpRi8c/VKMH09EtXrw4oO3Sk+J4N/smYr31FK2+j9rGll7FKOIGgbarSOLGnEVEwl2oCud8YES75znAKXMvWWuXWGtnW2tnZ2ZmBi24Vs3envc498S0GefznOdC0j98lN+/sLZf9iEiIiIizghV4fw+MMEYM8YYEwcsAF4MUSyd6q+LA1tdd3Y2e6Z8k2hjmbz9QWrU6ywiIiIStkJSOFtrW4BvAK8AO4Hl1trtoYilKx8N1Qi8x3nixIkBb5uWEMudn7+asulf5TrzFq+//FzbuGoR+UhP2lWkcGPOIiLhLmTzOFtrV1lrJ1prx1lr7w5VHF356OLAwA/TwoULe7yfofN/SElUJtM23sV1v36D+iZPj99DJJL1pl2d7tyYs4hIuNOdA7vQ0jZUI/Ae56effrrH+zFxyST/x++ZEHWU6489zp/fPdjj9xCJZL1pV6c7N+YsIhLuVDh3obXHuSezauzZs6dX+0qedhXMvJFFMS/xwb+f43hdc6/eRyQS9bZdnc7cmLOISLhT4dyF1jHO/TWrximuvoem9PH8god4Zd1GrLVYe8osfSIiIiISAiqcu9Din46uv2bVOEVcMgmf/yvJUc3MeOdrfPLXr/GHNfuDs28RERER6ZIK5y40t/Y492BWjbvuuqtvO82azPqZv2Ss5yDfrfg5L2zUeGeRPrer05AbcxYRCXcqnLvQNh1dD3qcN27c2Of9nnPlQv6WdRvzordw2/H7yC891uf3FDmdOdGuTjduzFlEJNypcO5Cc9tQjcB7nFeuXNnn/abEx/ClW39C6UU/4+ro94l+5vPQUNXn9xU5XTnRrk43bsxZRCTcqXDuQtvFgT2YVcNJGZd/i7tjbiWr7D0al16JLT/Qtk4XDYqIiIgElwrnLrR4et7j7CRjDOkX/hc3NX2f+rIj1Pz2Qva89hgLl7zHT18MuxstioiIiEQ0Fc5daPb2fDq6BQsWOBrDrZeO597vf4d/zf0HR6JGMPGd7/KfeT/krdzNusOguIbT7ep04MacRUTCnQrnLrT04gYo2dnZjscxPD2RT192ASlfe42H425kXsw2VkV9h8J/3kFRUb7j+xMJN/3RrsKdG3MWEQl3Kpy78NGsGoH3OD/wwAP9FQ6jMgfwlR8+hPnGBt4w5zF61yOk/nEm+574OnUFO6isa8Lr1dhniTz92a7ClRtzFhEJdyqcu9A6q0ZssG6AEqDYwaN556x7uKblPtbFXcDIA8tIWnI+++69kD/98g4qCj+a+9nj1d0HRdzqZyt28I2/bQp1GCIiESMm1AGEs7Ye5x7cACVYfnzNVCovG09y/H/xx1fWM+vYaqaUrmZ27cPw8MNUD5hE/YiLeWhfJvvipvCDz8zl7BHp7Cup5ptPb2bmyHR+et20tj8Kmj3ekPyBkFdRR1lNIzNGDuzR62oaW0iJj+zTt7axBWMgKS74eVbWNfGj57bxtXnjOGP4gKDv/3RWXNVARko80SH+3PB6LY+94/sj+nefD2koIiIRI7Irjz5q9vT8ltszZ87sr3BOkBgXTWJcIgDfvv4i4CLgbjZvWs+61U9yVsVGZlU+wc9NMzRA4SODKR8+nTcLUplts9lTlMmiw3uYMW0q7x+p4p19ZcyblMX1Z2eTkRLPheMzsNbyvyt3Ut/s4bOzc3pc3Hal2ePlt//ax5/W7MdjLc99/QKm56Tzwuaj5AxMYtaoj/b11t5SJg5JZcWWArYePc43Lh3PNQ+9za8+exbXndWzcaCNLR5KqhoZMSip022stTS2eImPicKY/it+Kmqb+L+Xd3HzxWMZn5VywrrdRdV8ful7nDN6EH/60iwANudVMmlIKolx0X3ed2OLh7pGDwOT405Z5/FavvPMZtbsLiUjJY4t+ZXsLqpm8XXTAjoeuYcqeO9AOTddOKbtj5s1u0tYd6CcH1w9GWMMGw9XkJ2eyLABibR4vN22sWC1q5NV1DZRXtPI+KwUjDEcraynsdnD2MyP/r08Xkuzx0tCbDSl1Y3M++UaFswZwV3XTuvVPq21fOnRDeyys4hbvbPtmPVE0fEG8o7V9Wr/IiLSORXOXWjpxawa1157bX+FE5CzZ57L1Onn8NbeUp47VsXH0guJKsjl/TffYEL+Pr4YVUicaYF4oBI8bxuORQ2kIT2T/QcSKdufyk6bQlnWUMbmZFOZW05jdBIPf5jET//fOWzIbyAxKZlzJwwnOTmZ57aW8+aRRn5y7VQyUuK5/9XdxERFccP5o/i/V3bx+s4Sbrl4DFuPVlFQWc+jN84mKS6Gzy99j9zDx7j+7GzWH6jgtuVbuO8/zuQ7z2wmLjqKX3zqTC6ekMG+khq+9OgG0hJiqGpoAWB7QRVNHi9/XLOfa6cPaysqvF7Lk+8dZlp2GrNHD+rw+Pzk+e08v/koa793KUMHJNDU4iU6yrT1Dh6rbeIzD69jX0kN54weyJ//cw4tHi+3Ld/C7NEDueH80aTEx5BXUce9q3dRWtPIF88b1WkBvyWvkifWHeLqaUO5YsoQjIG/b8zngyOV7Cg4zpb84xRVNXDPp8/kD//ez7aC40wdlsaLWwqobmjhX7tKqG1s4a29pXz1r5vIGZjIp2fmcNH4DOaMGYTHa1ny5gHmTcpkyrA0wFfsPfTGXm68YDSpCTF4rSUrNaEtpmUbjvCrV/dQVtPIpCGp/HrB2UwZloa1lrV7Srl39S52FVWTnhTL2/vKeH1nCUcr6zkjewBHKuq44fxRYMBgyEyNPyHfR946wM9f2gnAk+8dJmdgEgvnjOTe1bsoq2lkXEYKF03IYMGS98hOT+TC8Rn8c2M+nztnBN+/enJboW2tZXdxNZsOV7L0rQOcM3okV7Z4iI/p/I8Gay1/XX+EtIQYrpo2lITYj7b1ei3G0GUBWtfUwrObjjI+K4U5owdx78u7WPKmb+70339+Ju8dKOfJ9w5jDHz3iol887LxlNU0ccNjG2hq8fDSty5meW4e9c0e/rLuMJdNzmLS0FSyUhPYVVTF2IwU4mKi+Nv6I/xl3SGW3jCbgclxFB1vIGdgYlu8m/MqeXtfGZOHpvHw2gOkJ8bx1bljeX7zUeaMGczg5Dj2ldQwKDmO7PRESqob+MfGfIanJ3LVtKHcs2onT6w7TGqC71jGx4TXUDMRkdOZOV3Gv86ePdvm5uYGdZ+/+9defvXqHvb8/OPEBfifz5IlS1i0aFE/R9Zzf1q7n1++sps/3zCTS7LqoeIA3sp8msoPk1BfDDXFNFcVQ10F1JUT660P6H2PeDO5pOk3jM1MZuE5I7l7la9omjgkhUNldYzLSmFnoe+uh7HRholDUrlwfAZL3jzAL//fdD4zewRv7y3jhsfWEx1lSIyNJjs9kV1F1cREGdKTYkmIjW5bfrCsliMVdWQPSKDgeAN/u+Vc9pfW8tR7h7lwfAaPvu37avr/zcrhG5eO5/F3D/HGrmImZKUyZ8wg7nt5F9bCly8aw0XjM/jePz5k9OAk7vzEFDYcrOCdfWWsP1jODeeP5vF3DzFzZDpJcTG8ubcUayE6yjAtO42i4w3UN3nITI3nYHkt/3XhGBJjo9lVVMUPPj6ZJ949zNABCSx7/wh5Fb5jedH4DKobW9iSV0lCbBRNLV7mTszk37tLSY2PoaHFw8QhqeworOKKKUO4YkoWd/xzKw989izuf3UP8bFRJMZGs6OwCmvhhvNHkRQXw5/W7ictIYZHbzqH0YOTufGxDeworCJ7QAI1jS3Ex0bzk/lT+fvGfM4ZNZD7X9vDnNGDmDspk7+sO0RNQwuXTs7icHkdW48eZ+SgJO64ejL5x+q4Z/UuwDdcqfUPyXGZyZRWN9LitVw6OYv9JTVkpyfyP9dM4XNL3mN8Zgq3XjqeR98+wOHyOg6U1Z7wunNGD2LtnlIsvt7ac0YPZNORSmaMSGfkoCRGZyRT29TCw2t9ReuhBPeOM/jmpDWs2FLAxRMyeGtvGYOT44iJNhRXNRIdZbjnU2fyi9U7qaxrJjrKMHvUQNYfrODKqUN4Y1cJHq9lQGIsW+66MtSpiIicNowxG621sztcp8K5cw++toffvLGXg/d8IuCvShcvXsxdd93Vz5H1TmVdE+lJp34135F/bDjAfc9v4N5rRnP52CSeWLudd3Yc5puX5JAa3cKbO/OJ9TYxbdQQGs5YyFeezOVYXTOThqTS5PFysKyWez99Jv9vVg73v7aHiUNSSE+K49anNlHX5OGKKUN45MaPzsnluXl8/x8f8sNPTOaG80ez9ehx/p6bx7ObjrL0xtnMm5gJwHMfHOUHz27lua9fwE1/fh9rfXm1FnWXTc5iyrBUfv/v/QDERUdx4fjB7CutIa+inoFJsZwzehCv7igGYExGMkcq6vC0m43kp9dO5aYLx/DC5qP8z/PbqG5o4YefmMysUYP4964Scg9XUNvo4Zefmc7owcl895nNvLK9CID4mGgaWzy0vp0x8NTN57KvpIa7X9rJ0AEJ3HrpeP5jZg6VdU0kxEZz+f1rSU+K5U9fnMXojOS2oQvNHi+zf/469c0emlq8LP/K+cwZM4i6phZ++cpuHn/3ENbCFVOGsLekmiMVdSTFRtPssfz3VRP59et7GTEwibxjddQ1eYgy4LUwZVgaz339AhJioyk63sAvVu0k91AFQwckcN1Z2Sw8dyTxMdFsO3qc+b99m5gow28XzuCp9Ue4atoQFq/YwfisFIanJ7I5r5Izcwbw/sEKstISOFhWy0MLZ7T1wNc2tnDr3zYxYmASX75oDF98dD35x+r53OwRzBkziOLqBr42dxwvbS3kW09/QFxMFA3NviFSn5mVw40XjOaMpSN7e8qf9hr/p4KvPLmRNbtLmT99GLuKqkmOi+bLF4/l16/v4UBpLakJMfz5pnO4/e9bOFxexx1XT+Zr88axr6SG3/97H69uL2L7z64OdSoi/aaiton0xFiiwvB6pFYNzb77LiTERtPU4iUuJoq8ijpS4mM6HDIXjlrrxf4cwhguuiqcsdaeFj+zZs2ywfZ/L++0Y+98qUev+elPf9pP0QRfTUNz22Ov12vrGls63baqvsk+8e5Be6C0xu4trrbPvH/Eer3eU7bbVVhlv7vsA5t/rO6UdYWV9ae8pqH51H22xrW7qMpecM8b9oJ73rBb8yvt/67YbsuqG6y11j67Kc/+5PmttqCyri3+d/aV2q35lfZQWY390qPr7RPvHrT1TS32X7uK7UOv77HFVfW2pKrhhH0dr2+ya3aXWI/n1Fzaq29qsZV1TXZn4XF7zUNv2mfeP2Jf3HzU/j03r22bphaPbengfarqm2xzi6fD9719+WY77s6XTnifVrsKq+xvXt9jq+qbbFV9k/3fFdvtLU+8b/cWV1trrS2rbrCNzR67dneJvfPZD21hZb1d+uZ+m1dR22UurVo8Xnv24lfsDY+uP2H5kfJaW9904r/L/a/ssqPuWGkn/GiVrW533pyssq7J/uHf+2xpdcMp6w6X1draxmb76FsH7A/+ucU2tTsmre2q6Hi9fXjtPvvQ63vsgofX2bte2GZvfuJ9O+qOlfa/l28+4XxpbPbY7UeP2w+OHLONzR57sLTGbjhY3rbe6/Xal7cV2mUbDtvymsZOY25s9tgvPvKefXHz0ROOwVUPrrXPf5BvrfWdk6/vKLKbDleccA4XVNbZh9fus/VNLbapxWM/zKu0R8pPPf67i6rsNQ+9aUfdsdKOumOlXb21sC3n+qYW+/qOItvc4jnhvbcdrbSX/urf9vUdRdZaa/eXVNvlJ7W7X6zaYSf8aFWnuUW6jj6DeqKhucWu3lpo65tabFV9U9t5kn+srtv3PlxWa297ZrOd98t/2zW7SwLa37HaRvvNv22yT68/3O1nTqttRyvbPuc6UtPQbP+5Mc82Nnf8GROo4/VNJzz/MK/Sfn7pOnu47KPz+eRj8vwH+XbZhsMntOXu1De12LW7SwL6t6tvarE/fPZDO+qOlXbGz161v1i1w1Z00JaP1zfZ4qp6a621xVX1dndR1SmfuUfKa9s+n+saW+yWvGO2obnF/u5fe+3e4qoO9190vL7t8/R4fZP99B/esSu3FHS47U2PrbfX/fYtu3prgT3jJy/bd/aV2hk/e9UuXLLOVtY12de2F52Qc15FrX3+g3z72vaitrgamz323X1ldv2B8g730Z0Pjhyze4o6zqU17z+t2We//fQm+2FepbXWd1xuX77ZfmHpe3bqj1fbCT9cZX/wzw87fP1be0rtf/55g/36UxtP+Zzr7nyua2yxZdUNdvn7R+wV96854bwKBSDXdlKPqse5C/es2snj7x5i988/HvBr7r//fm6//fZ+jEraq2tqodnj+zo6EtU0tlBR08TIwZ1fzNifthccZ1ByHMMGJHa5XUVtExfe+y8unpDBkhs6/iO9L7pqV40tHnYVVjM9Z8Bp3RPS4vGyq6iaAYmxjBiU5Mhnya9e2c0f1uzjwD3XOBRleNtdVE15bSNn5aSzJa+S7y7fzM+uP4Orpg1t26axxcOqrYV8bOpQPF5LY7OHrLSEU97rUFktN/15A4fK6/jWZeN572AFe4ur+dSMHB575yDfvWIi375iAgAl1Q38c+NRiqsa+OJ5o0iIjeKzf1rH8fpmBibHUVzVwJ9vmsNFE3wXXb+zr5yH39zPuMwUrpgyhHPHDqLZ4+ULj6zngyOVAKQlxPDxM4bxo/lT+MO/9/P5OSMprWkgOiqKs0ekA75vdM79xRukJcRw7VnZrNpWyBP/OYeiqgayUhMYn5XCj5/fxpPvHWb+9GH8ZsGME2Z7qazzjdFPjI1m0SVjuXzKEKy1vLGzhHNGD2JAku9zdembB7hn9U6e/PK5XDg+A4DvLPuA5zcXMDYzmd8tnMkvVu1kS14lF4wfzP9+8gxio6I49543aGrxMnVYGsu+ch5JsdEnXAjc7PGyo6CKie0uer5n1U4efvMAn5s9gvFZKVw+JYuxmSkUVzXwwKt7GJQSx6dnDGfCkFT+7+Vd/GHNfr5w7kiO1TWxelsRQ1ITWHrDbEZnJLHkzQNMHprGvS/v5HhdM5+cMZy/rDsMwLljBnHHxydjLbx/qIJ7V+9izuhB3P/Zs/j5Szt4ZXsxw9MTOVpZT87ARG6+aAzbC6oYl5XCzReNIffwMb7y5Ebqmz18/6pJNDR7+NWre0hLiOGSiZlsPXqcC8YN5rLJQ/jY8okOneGnn9o7y3nkrYM88vYB/nzTOcwePQhrLc9vPsrbe8v5ybVTKTrewBcfXU9ZTSOtJemnZwzngc+dHbK4NVSjl362YgfLc/PYtviqoO5X5HS07ehxslLjOyxCJDR+/foefv36Xg784hNh/TV2TzV7vPxpzX4GpcQxdVga31r2AZdMyGTZ+3l4vJbkuGiijKG6sYXkuGjmTspkfGYKV0wdwvf/8SG7iqr5+rxxbCuoIvdQBX/84ixGDUriO89s5uwR6dx25URuX76F9/aXMyYzmX0lNdQ1edr2n5kaT2l1Ixkp8QxIjKG4qpGaxhZiow3J8TF4vRYLLFt0HjkDk/j0H96hodnLReMzePaDfJo9liFp8VTWNdPY4uWsnAFMzR7A0xuO8KcvzqTZY3l5exEvfVjI+KwU9pXUMDg5jmN1TSTFxbD62xczYlBS2xC36CiDx2uJiTLExUS1xXrxhAze3V/OmAxfDqMGJ3H2iHRyBiZy2eQslr55kNd3FjN8YCIlVY2s+vbFvLq9iHtW7yJ7QAKpCbEUVTVwvL4ZgLkTMxmcEkdaQizLc/OYOiyNbQXHaWj2EhNluO7sbFZtLSQ9MY7LpmTxt/VHuOPqydz/6m6GDkig8HgDA5PiuHD8YMZkJLNiSwH7S2uJj4niNwvOZt6kLM6/5w2iowxlNU0AjM9KYeU3L2Lxih088/6RtlxvuXgsf1t/hEsmZvL7L/hm3dl29DhfeXIjRVUNZKbEU1TVAEBqfAxpibEcrazn2rOymT58AP/3yi6aPR/VP+eNHcS2o1U0e7w0tni5cPxgPsw/zg3nj2Lpmwdp8ngZnBxHeW0Tn54xnJe2FjJiUBKjByfx+s4SogycOXwAO4uq8XgtF4wbzAdHKqlpbHH1dRqjG/4G+C5SHpKWwLJF57F4xXZe2e4bLjkhK4WCynqS42P4zOwcoo2hqqGFJ9Yd4rYrJnL+uMGdXuzfn1Q499JPXtjGC5sLenRhzZo1a5g3b17/BSXiQm5sV07k3JsLnMOJtZYt+cdJjI1m0tBU8o/V8b2/f8iRijqOVtYTG238xVsVHq/l3DGD+Orccbyw+SjbC6q4+1Nn8q2nP8BiKa5qBHxF76CkOMpqGqmoayIuOorGFi9x0VHExURR29TCoCRfgfTfV07kzJx0bnxsA6kJMfx24QzW7C7lv6+axJK1+ymtaaS8pom4mChu+9hEoqMMt/wlt+1i2fFZqYCvR/Mzf1oH+MbuTx+Rzmdm5WAtvLjFd92GtXDj+aNYfP0ZgG8mmM8+vI7cw8f4j5k5rN1TwvScdDYcrGBQchyTh6ayv7QGC/x4/lQOldUyZVga3172AV86bxReC39ZdxiP18sbt89jw8FyHn/3EAWVDRytrG+7ruOOqyfzqRnDufLBtcTFRPm+PRqf0ZbXtOw0kuNjMIa2C3ZbLVt0HqMHJ/PEukNcMG4wF0/IZEdBFTf9eQMl1Y3MGjWQf37tAv6xMZ8HX9vDFVOyqG5o4Y1dJVQ1+K6JueH80Ty1/jAFlfXcdMEYHnx9D3/98rkMHZDAnuJqvv7UJj42dQhrdpfwuXNGcPvHJvHzl3byz035AKz4xkWcmfPRXPMVtU387l/7WLO7hB/Pn0p5bRNTh6UxOCWO9QcrmH/mMKKiDLuLqjlYVktMlKGu2cM1Zw6joLKe7//jQ2KiDY//5xyi/DPxvH+oou38+tayzazYUsDw9ERe+MaFDE6O46E39rHkzf08dct5NDR7SImP4YzhA2j2eLn5iVzW7iklMzWev3/lfD7IO8ZV04byrac387V5Y8k/Vs+Ogipuv3ISz32QT3ltE5dNzmLy0LS2nH7z+l4efH0P8TFRvPuDyxiUHMf2gipKqxvJSovnpj+/7/9DLo7Rg5PJPXyMuGjfNxOZqfHMGTOIn63cwQ3nj2J7QRUbDlYwa9RAfrtwBlmp8Xgt7C2ppqymibkTM2ls8XDZr9ZSVNXA584Zwd2fPOOEb/NWfljAX949zE+vm8bU7DSaPV4MJ07d+/fcPAoqG0iMi2LYgESGpCWwYMk6vNZ37c8PPz6FoQMSuG35Zi6fPIQfXTOlbZrYitomPr/0PXYVVbNwzkju+fSZTnyc9IgK516689mtvLajiNz/+VjArwnniwNFTldubFdO5Pyntfu5d/UudvzsqpDcSKen2k8bWNvYws1P5LLuQDkAl0zMpKahmd1F1VwwPoMLxg3mnlW7aPJ4+d5Vk7hkQiYThqScMA0h+IpvYwwrthSw8fAxvn35BNbsKeG7z2zBGFj97Yt5d185e4qrufnisdQ1tfDD57ZyrLaZV797CYmx0Xz+kfe4ZGImX583vte5/fU93xSB1589/JR1f1l3iFVbC3n0xnNIbndjp/xjdazYUsjNF49pK0ze2FnMH9bsp7iqgfxj9fzPNVO4+eKxHe6zqcVLfbPnlKFspdWNbM6rJD0pltmjBmKM4Z19Zfxl3SGGpCWcMDVkq+KqBi791Ro+fsYwvNayu6iald+8qMNvMg6W1XL78s18+4qJzPVf2N1es8eLx2vb/q32FFdzzUNv0eyxnDE8jRdv/eh9H3pjLw+9sReAf//3PEYMSsJay4Ov76WqvpmfXte7+dJ7q7Kuifte3sVNF4xh0tDUtuUer+3wpkf7Smq4+tdv8tlzRvCLT/WuAGxo9vDJ37/DReMz+J/5U09ZvyWvknf3l3PD+aNIjo/hSHkdyfHRDE75aLrQEv+NmcpqGtlXWsP5Ywd3ObSttPqjPzSdsrOwile2F3H2iHTmTcoCur75WlVDM43NXkdjCJQK51763t+38NbeMt774eUBv8aN/8GL9Dc3tisncm6dV3vLXVeG9XUAzR4vP3lhOy99WMBZI9J58svnctvyzTz/wVF+dM1UGls8/GnNfqoaWnjgs2fx6Zk5APzvyh38Y2M+b37v0rbxuIGobWzhnLtfZ8bIdJ66+bxT1ltrafbYsO6lt9ZyoKyW0YOTg3aXymO1TaQnxWKMweu1jg7/eXd/GbWNHi6ekHHKHz9HK+spr2lkek66Y/sLph0FVQxPT+zROXqy1j8AJTi6KpzDvwsihD41czjnjR0c6jBERHqltSenxX8X1HBkreV/ntvGM7l5zByZzlt7y/jJC9t4dtNRvn35BL580RgAPjd7BDsKq7jIf3EawJ0fn8y3Lp/Q4z8KkuNj+OvN55LVSU+WMYa4mPAuUowxjMtM6X5DB7WfNs3pMfMXjMvodN3w9ESGp3d9gXI4m5qd1v1G3VDRHD5UOHehq4bcmVtuuaUfIhFxNze2KydyjvHf9bTFG17fLFpr2V9ay6GyWlZtLeTZD47yzcvG8/V547nwvn/xl3WHmTNmEN+87KOhEYNT4rl4wolf+8dERzEgsXe9wjNHDuxTDiLiTiqcRUQiVGyUr6hsDpMe530lNTy94Qiv7SjmSEVd2/LbPua7hbkxhm9dNp5H3j7IbxacfcLFRiIi4UCfSg5bunRpqEMQiThubFdO5NzW4+wJfY/zmt0lXPngWp5cd5jxWSn87yfP4PlbL+SN2+fyrcsntH0VfdOFY3jze5d2O3e4iEgoqMdZRCRCtfbYhnqoRkOzhx+/sI0xGck885XzyUjp+ir5SJpzWkQiS596nI0xvzTG7DLGfGiMec4Yk95u3Z3GmH3GmN3GmKvaLZ9ljNnqX/eQ0Yh3EZF+ERvVOsY5tEM1Hn/3EHkV9fzvJ8/otmgWEQlnfR2q8RpwhrV2OrAHuBPAGDMVWABMA64G/mCMaZ1f5o/AImCC/+fqPsYQVubOnRvqEEQijhvblRM5t05TFqqhGgdKazhe38xT6w9z3thBvbrgWkQknPRpqIa19tV2T98D/p//8fXAMmttI3DQGLMPmGOMOQSkWWvXARhj/gJ8EljdlzjCidvubiYSDG5sV07k3DodXbAvDrTW8shbB7n35V0M9N+l73tXTQ5qDCIi/cHJiwP/i48K4OFAXrt1+f5lw/2PT17eIWPMImNMrjEmt7S01MFQ+8/9998f6hBEIo4b25UTOYdiOrqGZg/ffPoD7l61k/PHDqaqoZmBSbFcNW1I0GIQEekv3fY4G2NeB4Z2sOpH1toX/Nv8CGgBnmp9WQfb2y6Wd8hauwRYAr47B3YXazioqakJdQgiEceN7cqJnGNCMB3dk+sOs/LDQr5/9SS+Nncc245W0eTxEh8T3f2LRUTCXLeFs7X2iq7WG2NuBOYDl9uP7t+dD4xot1kOUOBfntPBchERcVhsCKaje/aDo5w9Ip2vz/PdvOTMnAFB27eISH/r66waVwN3ANdZa+varXoRWGCMiTfGjMF3EeAGa20hUG2MOc8/m8YNwAt9iSHcDBs2LNQhiEQcN7YrJ3L+aDq64PQ47yqqYmdhFZ+a0ekIPBGR01pf53H+HRAPvOafVe49a+1XrbXbjTHLgR34hnDcaq31+F/zNeBxIBHfmOiIuTAQYNGiRaEOQSTiuLFdOZFzTJBn1XhhcwExUYb50933h46IuEOfepytteOttSOstWf7f77abt3d1tpx1tpJ1trV7ZbnWmvP8K/7RrvhHRFhxYoVoQ5BJOK4sV05kXNsEG+AYq3l5W1FnD9uMIM1V7OIRCjdctthmzZtCnUIIhHHje3KiZxbZ9Xo74sDrbXsL63hYFktV07V7BkiErl0y20RkQgVjKEa5TWNXPe7d9qK9CtUOItIBFPhLCISoYJxceD9r+2h8Hg9FjgrZwDDBiT2275EREJNhbPDbrvttlCHIBJx3NiunMg5Nqp1qEb/9DjvLqpm2YYj3HTBGK49axgDEmP7ZT8iIuFCY5wdVlCgaalFnObGduVEzm09zv00xvmhf+0lKS6Gb10+nhkjBzI2M6Vf9iMiEi5UODts2bJloQ5BJOK4sV05kXN/3nJ7b3E1q7YWctMFo0lPinP8/UVEwpEKZxGRCBUb1X/T0T21/ghx0VF8+aIxjr+3iEi4UuEsIhKh2nqcHR6q4fX65my+ZGImA5PV2ywi7qHC2WHz588PdQgiEceN7cqJnGP66eLALfmVFFU18PEzhjr6viIi4U6Fs8NmzZoV6hBEIo4b25UTORtjiI4yjk5Hd7yumUfeOkhstOHyKZqzWUTcRYWzwxYvXhzqEEQijhvblVM5x0QZx26AYq3lsw+v4yX/RYGafk5E3EbzOIuIRLDY6CjHhmrkH6tnd3E1P/rEFG65ZKwj7ykicjpRj7OISASLiXZuqEbu4QoALhyf4cj7iYicblQ4O2zixImhDkEk4rixXTmVc0xUlGPT0b1/6Bip8TFMGprqyPuJiJxuVDg7bOHChaEOQSTiuLFdOZVzbLRxbDq63EMVzBw1kGj/bB0iIm6jwtlhTz/9dKhDEIk4bmxXTuUcE+3MxYGVdU3sKa5hzphBDkQlInJ6UuHssD179oQ6BJGI48Z25VTOsVFRNDswVGPj4WMAzB41sM/vJSJyulLhLCISwWIcGqrx/qFjxEYbzhqR3vegREROUyqcRUQiWHSUM9PRvX+ogjOHDyAhNtqBqERETk8qnB121113hToEkYjjxnblVM6xDkxH19Ds4cP8Ss4ZrfHNIuJuKpwdtnHjxlCHIBJx3NiunMrZiTsHbs6rpNljVTiLiOupcHbYypUrQx2CSMRxY7tyKueY6Kg+9zg/9vZBUuNjmDNWhbOIuJsKZxGRCBbbx+noNudV8uqOYm65ZCxpCbEORiYicvpR4SwiEsFi+jgd3fLcPFLiY/ivi8Y4GJWIyOlJhbPDFixYEOoQRCKOG9uVUzn39c6Bu4uqmZqdRkp8jCPxiIiczlQ4Oyw7OzvUIYhEHDe2K6dyjomK6vVQDWste4qqmTQk1ZFYREROdyqcHfbAAw+EOgSRiOPGduVUzjHRhuZeXhxYeLyB6sYWJg5V4SwiAiqcRUQiWl+mo9tdXA2gHmcRET9HCmdjzH8bY6wxJqPdsjuNMfuMMbuNMVe1Wz7LGLPVv+4hY4xxIgYRETlVTHRUr8c47ynyFc4Th6Q4GZKIyGmrz4WzMWYE8DHgSLtlU4EFwDTgauAPxpjW+7T+EVgETPD/XN3XGMLJzJkzQx2CSMRxY7tyKmffnQN73+OclRpPelKcI7GIiJzunOhxfhD4PtD+k/l6YJm1ttFaexDYB8wxxgwD0qy166y1FvgL8EkHYggb1157bahDEIk4bmxXTuUcExXV68J5V2E1kzS+WUSkTZ8KZ2PMdcBRa+2Wk1YNB/LaPc/3Lxvuf3zy8oixZMmSUIcgEnHc2K6cyjkm2tDci6Ea9U0edhdXMz1ngCNxiIhEgm4n5jTGvA4M7WDVj4AfAld29LIOltkulne270X4hnUwcuTI7kINC4WFhaEOQSTiuLFdOZVzbHTvpqPbevQ4Hq9lxoiBjsQhIhIJui2crbVXdLTcGHMmMAbY4r++LwfYZIyZg68neUS7zXOAAv/ynA6Wd7bvJcASgNmzZ/f+1lciIi4VE2Vo6cV0dJvzjgFw9sh0hyMSETl99XqohrV2q7U2y1o72lo7Gl9RPNNaWwS8CCwwxsQbY8bguwhwg7W2EKg2xpznn03jBuCFvqcRPlJSdPW5iNPc2K6cyjkmOopmj8V3WUngtuQdJ2dgIhkp8Y7EISISCfrlHqrW2u3GmOXADqAFuNVa6/Gv/hrwOJAIrPb/RIzbb7891CGIRBw3tiunco6J8o2Q83gtMdGBzf5prWVzXiUz1NssInICx26A4u95Lmv3/G5r7Thr7SRr7ep2y3OttWf4133D9rQbJMytWbMm1CGIRBw3tiuncm4tlnsys8brO0s4WlnPxRMyut9YRMRFdOdAh61duzbUIYhEHDe2K6dyjo3yfcwHWjg3e7zcs2on4zKT+fTMnO5fICLiIiqcRUQiWFuPc4BT0r1/sIIDZbXcfuUkYqP1X4SISHv6VBQRiWDxMb6btja2BFY47yn23WZ79mhNQycicjIVzg675ZZbQh2CSMRxY7tyKufEON/HfH2Tp5stffaW1DAgMZZMzaYhInIKFc4iIhEsMdbX41zfHGDhXFzDhKwU/PPzi4hIOyqcHbZ06dJQhyAScdzYrpzKOaEHhbO1lj0l1UwY4r55s0VEAqHCWUQkgrX2ODcEMFSjvLaJyrpmJmSl9ndYIiKnJRXOIiIRLDHOXzi3dF847y2uAVCPs4hIJ1Q4O2zu3LmhDkEk4rixXTmVc9sY56buZ9XYV+KbUWN8lgpnEZGOqHB22Lx580IdgkjEcWO7cirnnoxxPlhWR2JsNEPTEhzZt4hIpFHh7LD7778/1CGIRBw3tiuncm4dqhFI4XykopZRg5M0o4aISCdUODuspqYm1CGIRBw3tiunck7owcWBh8rrGDkoyZH9iohEIhXOIiIRLCHGfwOUbnqcvV7LkYo6RmckByMsEZHTkgpnhw0bNizUIYhEHDe2K6dyjomOIi46qtvCuaiqgaYWr3qcRUS6oMLZYYsWLQp1CCIRx43tysmcE2Kjur3l9uHyOgBGD1aPs4hIZ1Q4O2zFihWhDkEk4rixXTmZc2JcNA3d9DgfqagFYNRg9TiLiHRGhbPDNm3aFOoQRCKOG9uVkzknxkZ3O1TjUHkdsdGG7PREx/YrIhJpVDiLiES4hNjobodqHCqrZcTAJKKjNBWdiEhnVDiLiES4xLhoGlq6vnPg7uJq3WpbRKQbKpwddtttt4U6BJGI48Z25WTOCTHRXc7j3NDs4VBZLZOGpjm2TxGRSKTC2WEFBQWhDkEk4rixXTmZc2Jc12Oc9xbX4LUweWiqY/sUEYlEKpwdtmzZslCHIBJx3NiunMy5u4sDdxVVASqcRUS6o8JZRCTCdXdx4O6iahJioxilOZxFRLqkwllEJMIlxkV1OY/z7uJqJmSlakYNEZFuqHB22Pz580MdgkjEcWO7cjLn7oZq7C6qZuIQDdMQEemOCmeHzZo1K9QhiEQcN7YrJ3NuLZyttaesq2tqoaS6kbGZGqYhItIdFc4OW7x4cahDEIk4bmxXTuacEBeNtdDYwVzOh8rqABit8c0iIt1S4SwiEuESY6MBOhznfLi8FoBRg5OCGpOIyOmoz4WzMeabxpjdxpjtxpj/a7f8TmPMPv+6q9otn2WM2epf95AxRlejiIj0o4S2wvnUHueD/sJ5dIZ6nEVEuhPTlxcbYy4FrgemW2sbjTFZ/uVTgQXANCAbeN0YM9Fa6wH+CCwC3gNWAVcDq/sSRziZOHFiqEMQiThubFdO5tza49zRBYKHy+rISIknJb5P/x2IiLhCX3ucvwbca61tBLDWlviXXw8ss9Y2WmsPAvuAOcaYYUCatXad9V2l8hfgk32MIawsXLgw1CGIRBw3tisnc27tce5oLueD5bWMydAwDRGRQPS1cJ4IXGyMWW+MWWuMOce/fDiQ1267fP+y4f7HJy+PGE8//XSoQxCJOG5sV07mnBjXRY9zea1ufCIiEqBuv5szxrwODO1g1Y/8rx8InAecAyw3xowFOhq3bLtY3tm+F+Eb1sHIkSO7CzUs7NmzJ9QhiEQcN7YrJ3Pu7OLAuqYWiqsaGa0LA0VEAtJt4WytvaKzdcaYrwHP+oddbDDGeIEMfD3JI9ptmgMU+JfndLC8s30vAZYAzJ49u9MCW0REOpfk73Gubmg5Yfn+Et+FgeMyU4Iek4jI6aivQzWeBy4DMMZMBOKAMuBFYIExJt4YMwaYAGyw1hYC1caY8/yzadwAvNDHGEREpAuZqfEAlNY0nrB8Z1EVAJOHpQU9JhGR01FfL6N+DHjMGLMNaAJu9Pc+bzfGLAd2AC3Arf4ZNcB3QeHjQCK+2TQiZkYNgLvuuivUIYhEHDe2KydzHpwchzFQWtVwwvJdhdUkxEYxcpCGaoiIBKJPhbO1tgn4Yifr7gbu7mB5LnBGX/YbzjZu3OjK2wOL9Cc3tisnc46JjiIjJZ6S6hN7nHcXVzFpSCrRUZpOX0RO1NzcTH5+Pg0NDd1vfJpKSEggJyeH2NjYgF+jiTsdtnLlStf9By/S39zYrpzOOSv1xMLZWsvOwmqumJLl2D5EJHLk5+eTmprK6NGjicR71VlrKS8vJz8/nzFjxgT8Ot1yW0TEBXyF80c9R6U1jVTUNjF5qMY3i8ipGhoaGDx4cEQWzQDGGAYPHtzjHnUVziIiLpCVmkBx1Uc9zrsKqwGYPDQ1VCGJSJiL1KK5VW/yU+HssAULFoQ6BJGI48Z25XTOWWnxlNc04vH6ZvbccLCCKANn5AxwdD8iIpFMhbPDsrOzQx2CSMRxY7tyOues1Hi8Fsr9U9K9s7+M6TnppCUEflGMiIjbqXB22AMPPBDqEEQijhvbldM5Z6YmAFBS3Uh1QzMf5h/nwvGDHd2HiIjTHn74Yb761a92uc2aNWv40pe+FJR4NKuGiIgLDEnz3QSlpLqB4qoGPF7LheMyQhyViEjXPvzwQ84888wut9m8eTMzZswISjzqcRYRcYGsNH+Pc1Ujb+0tIz4mipmjBoY4KhGRrm3dupXp06eza9cuLrnkEqZNm8YVV1xBWVlZ2zZbtmzh6NGjnHvuuYwdO5Y1a9YA8MQTTzBr1iymT5/OxRdf7Eg86nF22MyZM0MdgkjEcWO7cjrnzBRfj3PesTpe21HMxRMySYiNdnQfIhKZFq/Yzo6CKkffc2p2GnddO63b7bZt28bEiRO57LLL+Otf/8qMGTO47777ePDBB7n7bt999jZv3sz111/P+vXrefXVV/nxj3/MqlWruO+++9i8eTNxcXFUVlY6Erd6nB127bXXhjoEkYjjxnbldM5xMVGcN3YQj79ziKOV9Vw5dYij7y8i4rS8vDxSUlJYs2YNF110UdtwjKlTp1JSUgJAS0sL5eXl/PCHPwTg7LPPpqysjOjoaOrr67n99tvJzc0lPT3dkZjU4+ywJUuWsGjRolCHIRJR3Niu+iPnr84dx01/fp8oA5frjoEiEqBAeob7Q+v45h07dpwwznnr1q1MnToVgB07djB+/Hji4uIA2LRpE2eddRZJSUls27aNFStWsGjRIm6++Wa+/vWv9zkmFc4OKywsDHUIIhHHje2qP3KeOzGT6TkDSEuIZbB/6IaISLhqHd88fPhwNm/eDMCBAwd48sknefvttwHf+OaDBw/S2NhIc3Mzixcv5sEHH2Tv3r1MmDCBBQsWsGPHjh7fIbAzKpxFRFzCGMPfbjmPyL4XmIhEiq1bt3LNNdfwqU99ilWrVnHmmWeSmJjIY489xuDBvuk0t2zZwhe+8AUuuOAC6uvr+fGPf8x5553HTTfdxLp160hOTmbatGksXbrUkZhUODssJSUl1CGIRBw3tqv+yjklXh/7InJ6eOqpp9oeP//88x1u86tf/QqAn//85ycsf/zxx/slJmOt7Zc3dtrs2bNtbm5uqMMQERERiXg7d+5kypQpoQ6j33WUpzFmo7V2dkfba1YNh7XOHSgiznFju3JjziIi4U6Fs8PWrl0b6hBEIo4b25UbcxYRCXcqnEVERETkFKfLcN7e6k1+KpxFRERE5AQJCQmUl5dHbPFsraW8vJyEhIQevU4XBzqsoKCA7OzsUIchElHc2K7cmLOIhI/m5mby8/Mdm/84HCUkJJCTk0NsbOwJy7u6OFDzEomIiIjICWJjYxkzZkyowwg7GqrhMKcm2BaRj7ixXbkxZxGRcKfCWUREREQkACqcRUREREQCcNpcHGiMKQUOh2DXGUBZCPZ7utLx6jkds57R8eoZHa+e0fHqOR2zntHx6plQHK9R1trMjlacNoVzqBhjcju7slJOpePVczpmPaPj1TM6Xj2j49VzOmY9o+PVM+F2vDRUQ0REREQkACqcRUREREQCoMK5e0tCHcBpRser53TMekbHq2d0vHpGx6vndMx6RserZ8LqeGmMs4iIiIhIANTjLCIiIiISABXOfsaYq40xu40x+4wxP+hgvTHGPORf/6ExZmYo4gwHxpgRxph/G2N2GmO2G2O+3cE284wxx40xm/0/PwlFrOHCGHPIGLPVfyxyO1iv86sdY8ykdufOZmNMlTHmOydt4+pzzBjzmDGmxBizrd2yQcaY14wxe/2/B3by2i4/7yJRJ8frl8aYXf4295wxJr2T13bZfiNVJ8fsp8aYo+3a3Sc6ea3OMd+yZ9odq0PGmM2dvNZ151hntUTYf45Za13/A0QD+4GxQBywBZh60jafAFYDBjgPWB/quEN4vIYBM/2PU4E9HRyvecDKUMcaLj/AISCji/U6vzo/NtFAEb55Ndsvd/U5BlwCzAS2tVv2f8AP/I9/ANzXyfHs8vMuEn86OV5XAjH+x/d1dLz867psv5H608kx+ynw3928TudYx+vvB37SyTrXnWOd1RLh/jmmHmefOcA+a+0Ba20TsAy4/qRtrgf+Yn3eA9KNMcOCHWg4sNYWWms3+R9XAzuB4aGN6rSn86tzlwP7rbWhuAFS2LLWvglUnLT4euAJ/+MngE928NJAPu8iTkfHy1r7qrW2xf/0PSAn6IGFsU7OsUDoHDuJMcYAnwWeDmpQYayLWiKsP8dUOPsMB/LaPc/n1EIwkG1cxxgzGpgBrO9g9fnGmC3GmNXGmGnBjSzsWOBVY8xGY8yiDtbr/OrcAjr/z0bn2ImGWGsLwfefEpDVwTY61zr2X/i+9elId+3Xbb7hH97yWCdfo+scO9XFQLG1dm8n6119jp1US4T155gKZx/TwbKTpxsJZBtXMcakAP8EvmOtrTpp9SZ8X62fBfwWeD7I4YWbC621M4GPA7caYy45ab3Orw4YY+KA64C/d7Ba51jv6Fw7iTHmR0AL8FQnm3TXft3kj8A44GygEN/wg5PpHDvVQrrubXbtOdZNLdHpyzpYFpRzTIWzTz4wot3zHKCgF9u4hjEmFt+J/pS19tmT11trq6y1Nf7Hq4BYY0xGkMMMG9baAv/vEuA5fF8ztafzq2MfBzZZa4tPXqFzrEPFrUN8/L9LOthG51o7xpgbgfnAF6x/8OTJAmi/rmGtLbbWeqy1XmApHR8LnWPtGGNigE8Dz3S2jVvPsU5qibD+HFPh7PM+MMEYM8bfw7UAePGkbV4EbvDPfnAecLz1qwS38Y/VehTYaa19oJNthvq3wxgzB9+5Vh68KMOHMSbZGJPa+hjfBUnbTtpM51fHOu2l0TnWoReBG/2PbwRe6GCbQD7vXMEYczVwB3Cdtbauk20Cab+ucdK1F5+i42Ohc+xEVwC7rLX5Ha106znWRS0R3p9jwbp6Mtx/8M1qsAffVZo/8i/7KvBV/2MD/N6/fiswO9Qxh/BYXYTvK5EPgc3+n0+cdLy+AWzHd6Xre8AFoY47hMdrrP84bPEfE51fgR23JHyF8IB2y3SOfXQsnsb3VXkzvt6XLwODgTeAvf7fg/zbZgOr2r32lM+7SP/p5HjtwzdOsvVz7E8nH6/O2q8bfjo5Zk/6P6M+xFeoDNM51vnx8i9/vPVzq922rj/HuqglwvpzTHcOFBEREREJgIZqiIiIiIgEQIWziIiIiEgAVDiLiIiIiARAhbOIiIiISABUOIuIiIiIBECFs4iIiIhIAFQ4i4iIiIgEQIWziIiIiEgA/j+mTT0uPN3qHwAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated Rs 11.3 MOhm\n", + "Estimated Rm 564.5 MOhm\n", + "Estimated Cm 32.85 pF\n" + ] + } + ], + "source": [ + "iup = I[:i80] - I1_est\n", + "iup = iup[iup > 0]\n", + "Qm_est = np.sum(iup) * dt + dI_est * tau_est\n", + "\n", + "Rs_est = tau_est * dV / Qm_est\n", + "Rm_est = dV / dI_est - Rs_est\n", + "Cm_est = Qm_est * (Rm_est + Rs_est) / (Rm_est * dV)\n", + "\n", + "fig = plt.figure(figsize=(12, 5))\n", + "ax = fig.add_subplot()\n", + "ax.axvline(t[i10], **dot)\n", + "ax.axvline(t[i80], **dot)\n", + "ax.axvline(t[i100], **dot)\n", + "ax.plot(t, I, label='$Iobs$')\n", + "ax.plot((t[i80], t[i100]), (I1_est, I1_est), **ora)\n", + "ax.plot((t[i180], t[i200]), (I2_est, I2_est), **ora)\n", + "te = t[i10 // 3:i10 + 50]\n", + "ax.plot(te, I1_est - (I1_est - I0_est) * np.exp(-te / tau_est))\n", + "ax.legend(loc='lower right')\n", + "plt.show()\n", + "\n", + "print(f'Estimated Rs {1e3 * Rs_est:>5.1f} MOhm')\n", + "print(f'Estimated Rm {1e3 * Rm_est:>5.1f} MOhm')\n", + "print(f'Estimated Cm {Cm_est:>5.2f} pF')" + ] + }, + { + "cell_type": "markdown", + "id": "aef996e7", + "metadata": {}, + "source": [ + "So with noise, the method becomes trickier.\n", + "One strategy to remedy this is to measure the same pulse N times, and reduce the noise by averaging." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} From 00ed6485867cf56449698380c4f8b0a5c0de64b3 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 30 Jan 2024 17:54:52 +0000 Subject: [PATCH 46/77] Tweaks --- artefacts/appendix-E1-rs-cm-one-shot.ipynb | 99 +++++++++++----------- 1 file changed, 50 insertions(+), 49 deletions(-) diff --git a/artefacts/appendix-E1-rs-cm-one-shot.ipynb b/artefacts/appendix-E1-rs-cm-one-shot.ipynb index 012cf26..4376756 100644 --- a/artefacts/appendix-E1-rs-cm-one-shot.ipynb +++ b/artefacts/appendix-E1-rs-cm-one-shot.ipynb @@ -54,7 +54,7 @@ "source": [ "## Simple cell-and-access model\n", "\n", - "The analysis uses the following model for the cell and access resistance:" + "The analysis uses the following schematic for the cell and access resistance:" ] }, { @@ -88,7 +88,7 @@ "source": [ "### A model for Vm\n", "\n", - "We can use these relationships to write an ODE for $V_m$\n", + "We can use these relationships to write an ODE model for $V_m$\n", "\n", "\\begin{align}\n", "\\dot{V}_m = \\frac{\\frac{R_m}{R_m + R_s}V_c - V_m}{\\frac{R_mR_s}{R_m+R_s}C_m} = \\frac{V_\\infty - V_m}{\\tau}\n", @@ -163,7 +163,7 @@ " &\\approx T I_\\infty - (I_\\infty - I_0) \\tau, \\quad \\text{if } T \\gg \\tau\n", "\\end{align}\n", "\n", - "The approximation is quite a good one: for $T/\\tau = 10$ we already find $e^{T/\\tau}\\approx 5\\cdot10^-5$." + "The approximation is quite a good one: for $T/\\tau = 10$ we already find $e^{T/\\tau}\\approx 5\\cdot10^{-5}$." ] }, { @@ -354,7 +354,7 @@ }, { "cell_type": "markdown", - "id": "54a930de", + "id": "4c0b6cac", "metadata": {}, "source": [ "### Average the tail-ends to estimate $I_{\\infty,1}$ and $I_{\\infty,2}$\n", @@ -379,7 +379,7 @@ }, { "cell_type": "markdown", - "id": "8c30c755", + "id": "f25cd6b6", "metadata": {}, "source": [ "### Fit an exponential to find $\\tau^*$\n", @@ -397,27 +397,27 @@ }, { "cell_type": "markdown", - "id": "149299bf", + "id": "512c86b9", "metadata": {}, "source": [ "### Integrate to find the charge used by $C_m$\n", "\n", - "In this step, an estimate of the current used to charge $C_m$ is made.\n", + "Next, an estimate of the current used to charge $C_m$ is made.\n", "To do this, we numerically find the integral of\n", "\n", "\\begin{align}\n", - "I = \\frac{V_m}{R_m} + C_m\\dot{V}_m\n", + "I(t) = \\frac{V_m(t)}{R_m} + C_m\\dot{V}_m(t)\n", "\\end{align}\n", "\n", - "and then subtract the part due to $V_m/R_m$.\n", + "and then subtract the part due to $V_m(t)/R_m$.\n", "\n", - "For the total charge carried in the first step, we can write\n", + "For the total charge carried in step 1, we can write\n", "\\begin{align}\n", "Q_T = \\int_0^T I(t)dt \\approx T I_{\\infty,1} - (I_{\\infty,1} - I_{0,1}) \\tau\n", "\\end{align}\n", - "where the approximation holds if the step is long enough.\n", + "where the approximation holds if $T$ is long enough compared to $\\tau$ (and where we should really be writing e.g. $0^+$ or $T^-$ to indicate that we are not including the point with the discontinuity).\n", "\n", - "For the charge due to $V_m/R_m$ we find\n", + "For the charge due to $V_m(t)/R_m$ we find\n", "\n", "\\begin{align}\n", "Q_R = \\frac{1}{R_m} \\int_0^T V_m(t)dt\n", @@ -431,7 +431,8 @@ "Q_m = Q_T - Q_R = (I_{0,1} - I_{\\infty,1}) \\tau + \\Delta I \\, \\tau\n", "\\end{align}\n", "\n", - "To approximate $Q_m$ we then estimate the first by numerically integrating the transient current response above $I = I_{\\infty,1}$, while we calculate the second term from our estimated $\\Delta I \\tau$:\n", + "To approximate $Q_m$ we estimate the first term $Q_1 = (I_{0,1} - I_{\\infty,1}) \\tau$ by numerically integrating.\n", + "The second term, $Q_2 = \\Delta I\\,\\tau$, is approximated by our estimated values $\\Delta I^* \\tau^*$:\n", "\n", "\\begin{align}\n", "Q_m^* = Q_1^* + Q_2^* = Q_1^* + \\Delta I^* \\tau^*\n", @@ -447,7 +448,7 @@ }, { "cell_type": "markdown", - "id": "efe6aaf0", + "id": "09607470", "metadata": {}, "source": [ "### Solving\n", @@ -491,7 +492,7 @@ }, { "cell_type": "markdown", - "id": "265db76e", + "id": "264a8e12", "metadata": {}, "source": [ "## Implementation\n", @@ -502,7 +503,7 @@ { "cell_type": "code", "execution_count": 45, - "id": "41af3aa6", + "id": "f1066d92", "metadata": {}, "outputs": [ { @@ -522,7 +523,7 @@ { "cell_type": "code", "execution_count": 46, - "id": "5d490160", + "id": "cbc90442", "metadata": {}, "outputs": [], "source": [ @@ -532,7 +533,7 @@ }, { "cell_type": "markdown", - "id": "e8cbbf93", + "id": "00b196a6", "metadata": {}, "source": [ "Now we find points at 10% and 80% of each step.\n", @@ -542,7 +543,7 @@ { "cell_type": "code", "execution_count": 47, - "id": "5cb9b988", + "id": "2a537fed", "metadata": {}, "outputs": [ { @@ -567,7 +568,7 @@ }, { "cell_type": "markdown", - "id": "6ee4303e", + "id": "399e6432", "metadata": {}, "source": [ "With these points we perform the estimates for $I_{\\infty,1}$, $I_{\\infty,2}$, and $dI$." @@ -576,7 +577,7 @@ { "cell_type": "code", "execution_count": 48, - "id": "0f05fe21", + "id": "18a1989e", "metadata": {}, "outputs": [ { @@ -602,7 +603,7 @@ }, { "cell_type": "markdown", - "id": "a6627655", + "id": "352669e4", "metadata": {}, "source": [ "Next, we fit a single polynomial.\n", @@ -615,7 +616,7 @@ { "cell_type": "code", "execution_count": 51, - "id": "101bda3c", + "id": "6fcbe806", "metadata": { "scrolled": false }, @@ -671,7 +672,7 @@ }, { "cell_type": "markdown", - "id": "3c1779f1", + "id": "0be58b9a", "metadata": {}, "source": [ "As promised, we get a bonus $I_0$ estimate:" @@ -680,7 +681,7 @@ { "cell_type": "code", "execution_count": 12, - "id": "e36d4c2f", + "id": "a07ea5b0", "metadata": {}, "outputs": [ { @@ -698,7 +699,7 @@ }, { "cell_type": "markdown", - "id": "a034ef3a", + "id": "f061c700", "metadata": {}, "source": [ "It's a bit off, which is to be expected when we extrapolate up an exponential, and probably the reason that we don't use this result beyond plotting.\n", @@ -709,7 +710,7 @@ { "cell_type": "code", "execution_count": 13, - "id": "34b6584e", + "id": "ea488db0", "metadata": { "scrolled": true }, @@ -749,7 +750,7 @@ }, { "cell_type": "markdown", - "id": "dc644f03", + "id": "ec46fc2c", "metadata": {}, "source": [ "Now we integrate the positive parts of $I - I_{\\infty,1}$ for step 1 to find Qm." @@ -758,7 +759,7 @@ { "cell_type": "code", "execution_count": 14, - "id": "b8665de4", + "id": "3ef09b0d", "metadata": {}, "outputs": [ { @@ -783,7 +784,7 @@ { "cell_type": "code", "execution_count": 15, - "id": "e6a214ad", + "id": "ab818b47", "metadata": {}, "outputs": [ { @@ -808,7 +809,7 @@ }, { "cell_type": "markdown", - "id": "5837f881", + "id": "4fcb55a9", "metadata": {}, "source": [ "And there we go!" @@ -816,7 +817,7 @@ }, { "cell_type": "markdown", - "id": "95939e72", + "id": "a2650244", "metadata": {}, "source": [ "## Test on a trickier model\n", @@ -864,7 +865,7 @@ { "cell_type": "code", "execution_count": 17, - "id": "8635b7d4", + "id": "207b44d5", "metadata": {}, "outputs": [], "source": [ @@ -876,7 +877,7 @@ }, { "cell_type": "markdown", - "id": "5da33062", + "id": "e856f9e1", "metadata": {}, "source": [ "This model has trickier behaviour:" @@ -885,7 +886,7 @@ { "cell_type": "code", "execution_count": 19, - "id": "7c458cc9", + "id": "281bee9b", "metadata": {}, "outputs": [ { @@ -912,7 +913,7 @@ }, { "cell_type": "markdown", - "id": "22e69618", + "id": "73171e66", "metadata": {}, "source": [ "Estimate $I_{\\infty,1}$, $I_{\\infty,2}$, and $dI$." @@ -921,7 +922,7 @@ { "cell_type": "code", "execution_count": 20, - "id": "7df8290d", + "id": "94f535db", "metadata": {}, "outputs": [ { @@ -946,7 +947,7 @@ }, { "cell_type": "markdown", - "id": "5aa44d0b", + "id": "d75bab3e", "metadata": {}, "source": [ "Estimate $\\tau$" @@ -955,7 +956,7 @@ { "cell_type": "code", "execution_count": 21, - "id": "f679d93e", + "id": "a094ea73", "metadata": { "scrolled": false }, @@ -1005,7 +1006,7 @@ }, { "cell_type": "markdown", - "id": "8b15aecc", + "id": "e1867e4c", "metadata": {}, "source": [ "Show the obtained fits" @@ -1014,7 +1015,7 @@ { "cell_type": "code", "execution_count": 22, - "id": "fb869736", + "id": "c214b7b4", "metadata": { "scrolled": true }, @@ -1054,7 +1055,7 @@ }, { "cell_type": "markdown", - "id": "2b3f4f33", + "id": "e17094d9", "metadata": {}, "source": [ "Find Qm" @@ -1063,7 +1064,7 @@ { "cell_type": "code", "execution_count": 23, - "id": "766af556", + "id": "40cd4c23", "metadata": {}, "outputs": [ { @@ -1084,7 +1085,7 @@ { "cell_type": "code", "execution_count": 24, - "id": "748f68d8", + "id": "0353050b", "metadata": {}, "outputs": [ { @@ -1109,7 +1110,7 @@ }, { "cell_type": "markdown", - "id": "ed4511ef", + "id": "b38c6c1c", "metadata": {}, "source": [ "So the procedure seems reasonably robust!" @@ -1117,7 +1118,7 @@ }, { "cell_type": "markdown", - "id": "9dea0d6c", + "id": "9500bf3d", "metadata": {}, "source": [ "## One more time with noise\n", @@ -1128,7 +1129,7 @@ { "cell_type": "code", "execution_count": 80, - "id": "b7428001", + "id": "7eee17cd", "metadata": {}, "outputs": [ { @@ -1192,7 +1193,7 @@ { "cell_type": "code", "execution_count": 81, - "id": "6f934729", + "id": "903c6e50", "metadata": {}, "outputs": [ { @@ -1246,7 +1247,7 @@ }, { "cell_type": "markdown", - "id": "aef996e7", + "id": "900e3029", "metadata": {}, "source": [ "So with noise, the method becomes trickier.\n", From ec6a8b84cf377bdb3102e4d8462df3d5f4eafccc Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 30 Jan 2024 17:57:03 +0000 Subject: [PATCH 47/77] Tweaks --- artefacts/appendix-E1-rs-cm-one-shot.ipynb | 132 ++++++++++----------- 1 file changed, 66 insertions(+), 66 deletions(-) diff --git a/artefacts/appendix-E1-rs-cm-one-shot.ipynb b/artefacts/appendix-E1-rs-cm-one-shot.ipynb index 4376756..b4ecf6a 100644 --- a/artefacts/appendix-E1-rs-cm-one-shot.ipynb +++ b/artefacts/appendix-E1-rs-cm-one-shot.ipynb @@ -178,7 +178,7 @@ }, { "cell_type": "code", - "execution_count": 39, + "execution_count": 1, "id": "cd9302ba", "metadata": {}, "outputs": [], @@ -190,7 +190,7 @@ }, { "cell_type": "code", - "execution_count": 40, + "execution_count": 2, "id": "efd71b05", "metadata": {}, "outputs": [], @@ -224,7 +224,7 @@ }, { "cell_type": "code", - "execution_count": 41, + "execution_count": 3, "id": "043060a7", "metadata": {}, "outputs": [], @@ -241,7 +241,7 @@ }, { "cell_type": "code", - "execution_count": 42, + "execution_count": 4, "id": "245620d1", "metadata": {}, "outputs": [], @@ -254,7 +254,7 @@ }, { "cell_type": "code", - "execution_count": 43, + "execution_count": 5, "id": "d8c1f6d9", "metadata": {}, "outputs": [ @@ -322,7 +322,7 @@ }, { "cell_type": "code", - "execution_count": 44, + "execution_count": 6, "id": "d90e4c6b", "metadata": {}, "outputs": [ @@ -354,7 +354,7 @@ }, { "cell_type": "markdown", - "id": "4c0b6cac", + "id": "992cc33d", "metadata": {}, "source": [ "### Average the tail-ends to estimate $I_{\\infty,1}$ and $I_{\\infty,2}$\n", @@ -379,7 +379,7 @@ }, { "cell_type": "markdown", - "id": "f25cd6b6", + "id": "8e9ea597", "metadata": {}, "source": [ "### Fit an exponential to find $\\tau^*$\n", @@ -397,7 +397,7 @@ }, { "cell_type": "markdown", - "id": "512c86b9", + "id": "92306d8f", "metadata": {}, "source": [ "### Integrate to find the charge used by $C_m$\n", @@ -448,7 +448,7 @@ }, { "cell_type": "markdown", - "id": "09607470", + "id": "80590270", "metadata": {}, "source": [ "### Solving\n", @@ -492,7 +492,7 @@ }, { "cell_type": "markdown", - "id": "264a8e12", + "id": "d9682b5f", "metadata": {}, "source": [ "## Implementation\n", @@ -502,8 +502,8 @@ }, { "cell_type": "code", - "execution_count": 45, - "id": "f1066d92", + "execution_count": 7, + "id": "6ba64037", "metadata": {}, "outputs": [ { @@ -522,8 +522,8 @@ }, { "cell_type": "code", - "execution_count": 46, - "id": "cbc90442", + "execution_count": 8, + "id": "6ac56577", "metadata": {}, "outputs": [], "source": [ @@ -533,7 +533,7 @@ }, { "cell_type": "markdown", - "id": "00b196a6", + "id": "d8643531", "metadata": {}, "source": [ "Now we find points at 10% and 80% of each step.\n", @@ -542,8 +542,8 @@ }, { "cell_type": "code", - "execution_count": 47, - "id": "2a537fed", + "execution_count": 9, + "id": "cc07b50e", "metadata": {}, "outputs": [ { @@ -568,7 +568,7 @@ }, { "cell_type": "markdown", - "id": "399e6432", + "id": "c5ca9e97", "metadata": {}, "source": [ "With these points we perform the estimates for $I_{\\infty,1}$, $I_{\\infty,2}$, and $dI$." @@ -576,8 +576,8 @@ }, { "cell_type": "code", - "execution_count": 48, - "id": "18a1989e", + "execution_count": 10, + "id": "1d9fb27e", "metadata": {}, "outputs": [ { @@ -603,7 +603,7 @@ }, { "cell_type": "markdown", - "id": "352669e4", + "id": "ac3ab97b", "metadata": {}, "source": [ "Next, we fit a single polynomial.\n", @@ -615,8 +615,8 @@ }, { "cell_type": "code", - "execution_count": 51, - "id": "6fcbe806", + "execution_count": 11, + "id": "b46eecdc", "metadata": { "scrolled": false }, @@ -672,7 +672,7 @@ }, { "cell_type": "markdown", - "id": "0be58b9a", + "id": "d2b71e51", "metadata": {}, "source": [ "As promised, we get a bonus $I_0$ estimate:" @@ -681,7 +681,7 @@ { "cell_type": "code", "execution_count": 12, - "id": "a07ea5b0", + "id": "06cdb2dc", "metadata": {}, "outputs": [ { @@ -699,7 +699,7 @@ }, { "cell_type": "markdown", - "id": "f061c700", + "id": "4c991442", "metadata": {}, "source": [ "It's a bit off, which is to be expected when we extrapolate up an exponential, and probably the reason that we don't use this result beyond plotting.\n", @@ -710,7 +710,7 @@ { "cell_type": "code", "execution_count": 13, - "id": "ea488db0", + "id": "9ba2030d", "metadata": { "scrolled": true }, @@ -750,7 +750,7 @@ }, { "cell_type": "markdown", - "id": "ec46fc2c", + "id": "77e1949e", "metadata": {}, "source": [ "Now we integrate the positive parts of $I - I_{\\infty,1}$ for step 1 to find Qm." @@ -759,7 +759,7 @@ { "cell_type": "code", "execution_count": 14, - "id": "3ef09b0d", + "id": "a8fa735f", "metadata": {}, "outputs": [ { @@ -784,7 +784,7 @@ { "cell_type": "code", "execution_count": 15, - "id": "ab818b47", + "id": "a10a0806", "metadata": {}, "outputs": [ { @@ -809,7 +809,7 @@ }, { "cell_type": "markdown", - "id": "4fcb55a9", + "id": "efe51fed", "metadata": {}, "source": [ "And there we go!" @@ -817,7 +817,7 @@ }, { "cell_type": "markdown", - "id": "a2650244", + "id": "f858b751", "metadata": {}, "source": [ "## Test on a trickier model\n", @@ -865,7 +865,7 @@ { "cell_type": "code", "execution_count": 17, - "id": "207b44d5", + "id": "62d00e3e", "metadata": {}, "outputs": [], "source": [ @@ -877,7 +877,7 @@ }, { "cell_type": "markdown", - "id": "e856f9e1", + "id": "f3ea5c8b", "metadata": {}, "source": [ "This model has trickier behaviour:" @@ -885,8 +885,8 @@ }, { "cell_type": "code", - "execution_count": 19, - "id": "281bee9b", + "execution_count": 18, + "id": "e397d8d6", "metadata": {}, "outputs": [ { @@ -913,7 +913,7 @@ }, { "cell_type": "markdown", - "id": "73171e66", + "id": "94a6ba62", "metadata": {}, "source": [ "Estimate $I_{\\infty,1}$, $I_{\\infty,2}$, and $dI$." @@ -921,8 +921,8 @@ }, { "cell_type": "code", - "execution_count": 20, - "id": "94f535db", + "execution_count": 19, + "id": "38b7d248", "metadata": {}, "outputs": [ { @@ -947,7 +947,7 @@ }, { "cell_type": "markdown", - "id": "d75bab3e", + "id": "54ede099", "metadata": {}, "source": [ "Estimate $\\tau$" @@ -955,8 +955,8 @@ }, { "cell_type": "code", - "execution_count": 21, - "id": "a094ea73", + "execution_count": 20, + "id": "6e214808", "metadata": { "scrolled": false }, @@ -1006,7 +1006,7 @@ }, { "cell_type": "markdown", - "id": "e1867e4c", + "id": "21bf20ec", "metadata": {}, "source": [ "Show the obtained fits" @@ -1014,8 +1014,8 @@ }, { "cell_type": "code", - "execution_count": 22, - "id": "c214b7b4", + "execution_count": 21, + "id": "bf4cb270", "metadata": { "scrolled": true }, @@ -1055,7 +1055,7 @@ }, { "cell_type": "markdown", - "id": "e17094d9", + "id": "633b88ee", "metadata": {}, "source": [ "Find Qm" @@ -1063,8 +1063,8 @@ }, { "cell_type": "code", - "execution_count": 23, - "id": "40cd4c23", + "execution_count": 22, + "id": "01eb518a", "metadata": {}, "outputs": [ { @@ -1084,8 +1084,8 @@ }, { "cell_type": "code", - "execution_count": 24, - "id": "0353050b", + "execution_count": 23, + "id": "7a019e71", "metadata": {}, "outputs": [ { @@ -1110,7 +1110,7 @@ }, { "cell_type": "markdown", - "id": "b38c6c1c", + "id": "1355c921", "metadata": {}, "source": [ "So the procedure seems reasonably robust!" @@ -1118,7 +1118,7 @@ }, { "cell_type": "markdown", - "id": "9500bf3d", + "id": "95c1f3bd", "metadata": {}, "source": [ "## One more time with noise\n", @@ -1128,21 +1128,21 @@ }, { "cell_type": "code", - "execution_count": 80, - "id": "7eee17cd", + "execution_count": 24, + "id": "1c731794", "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "Tau* = 0.364 ms\n", - "I0* = 925.915 pA\n" + "Tau* = 0.368 ms\n", + "I0* = 915.672 pA\n" ] }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -1164,7 +1164,7 @@ "ilog = I[i10:i80] - I1_est\n", "# But instead of 80%, cut-off when the data gets\n", "# 1. too close to zero\n", - "# 2. too noisy\n", + "# 2. too similar to noise to distinguish from zero\n", "std = np.std(I[i80:i100])\n", "istd = np.where(ilog < 2 * std)[0][0]\n", "tlog = tlog[:istd]\n", @@ -1192,13 +1192,13 @@ }, { "cell_type": "code", - "execution_count": 81, - "id": "903c6e50", + "execution_count": 25, + "id": "39422e0c", "metadata": {}, "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAs4AAAEvCAYAAABVHEeWAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAABPM0lEQVR4nO3deXxU9b3/8dc3+06AJEAI+w6Ksoi74FK1FbXt/bWFLuq9VbrYVW9rbW9r6a1Vb6u2dhXUaq0VaesGgmsLbggGBNn3JSF7Qsi+zXx/f8wkBsgySU5mhjnv5+ORR2bOOTPn8zmc7/DJd77ne4y1FhERERER6VpUqAMQERERETkdqHAWEREREQmACmcRERERkQCocBYRERERCYAKZxERERGRAKhwFhEREREJQEyoAwhURkaGHT16dKjD6FZ1dTWpqamhDkMkorixXbkxZxGRcLBx48Yya21mR+tOm8J59OjR5ObmhjqMbi1evJi77ror1GGIRBQ3tis35iwiEg6MMYc7XXe63ABl9uzZ9nQonAsKCsjOzg51GCIRxY3tyo05i4iEA2PMRmvt7I7WaYyziIiIiEgAVDg7bOnSpaEOQSTiuLFduTFnEZFwp8JZRERERCQAKpxFRERERAKgwtlhc+fODXUIIhHHje3KjTmLiIQ7zaohIiIiIuKnWTWC6P777w91CCIRx43tyo05i4iEOxXODqupqQl1CCIRx43tyo05i4iEOxXOgbIWPvgr5L0f6khEREREJARUOAfKGFh9B2x/tsvNhg0bFqSARNzDje3KjTmLiIQ7XRzYE7+eDiPmwH88Eto4RERERKRf6OJApyRnQm1Zl5usWLEiSMGIuIcb25UbcxYRCXeOFM7GmHRjzD+MMbuMMTuNMecbYwYZY14zxuz1/x7Ybvs7jTH7jDG7jTFXORFDUCRnQF3XhfOmTZuCFIyIe7ixXbkxZxGRcOdUj/NvgJettZOBs4CdwA+AN6y1E4A3/M8xxkwFFgDTgKuBPxhjoh2Ko38lZ3Tb4ywiIiIikanPhbMxJg24BHgUwFrbZK2tBK4HnvBv9gTwSf/j64Fl1tpGa+1BYB8wp69xBEWSv3A+TcaFi4iIiIhznOhxHguUAn82xnxgjHnEGJMMDLHWFgL4f2f5tx8O5LV7fb5/WfhLzgBvMzRWdbrJbbfdFsSARNzBje3KjTmLiIQ7JwrnGGAm8Edr7QygFv+wjE6YDpZ12IVrjFlkjMk1xuSWlpb2PdK+Ss70/e5iuEZBQUGQghFxDze2KzfmLCIS7pwonPOBfGvtev/zf+ArpIuNMcMA/L9L2m0/ot3rc4AO/4ew1i6x1s621s7OzMx0INQ+Ssrw/e6icF62bFmQghFxDze2KzfmLCIS7vpcOFtri4A8Y8wk/6LLgR3Ai8CN/mU3Ai/4H78ILDDGxBtjxgATgA19jSMokv2Fczcza4iIiIhI5Ilx6H2+CTxljIkDDgD/ia8oX26M+TJwBPgMgLV2uzFmOb7iugW41VrrcSiO/tVaONeGwbAREREREQkqRwpna+1moKM7rFzeyfZ3A3c7se+gCmCoxvz584MUjIh7uLFduTFnEZFwpzsH9kRsAsSlQl15p5vMmjUriAGJuIMb25UbcxYRCXcqnHsqeXCXQzUWL14cxGBE3MGN7cqNOYuIhDsVzj2VnKm7B4qIiIi4kArnnkrO1MWBIiIiIi6kwrmnUrKgpqTT1RMnTgxiMCLu4MZ25cacRUTCnQrnnkoZ4pvH2dvxDHoLFy4MckAikc+N7cqNOYuIhDsVzj2VkgXW2+k456effjrIAYlEPje2KzfmLCIS7lQ491Rylu93TXGHq/fs2RPEYETcwY3tyo05i4iEOxXOPZUyxPe7i3HOIiIiIhJ5VDj3VErXPc4iIiIiEpmMtTbUMQRk9uzZNjc3N9RhQFMt/CIbrvgpXPTdUEcjIiIiIg4yxmy01s7uaJ16nHsqLtl32+1Ohmps3LgxyAGJRD43tis35iwiEu5UOPdGSmanQzVWrlwZ5GBEIp8b25UbcxYRCXcqnHsjZYguDhQRERFxGRXOvZGSpYsDRURERFxGhXNvpAzptHBesGBBkIMRiXxubFduzFlEJNypcO6NlCHQcBya609ZlZ2dHYKARCKbG9uVG3MWEQl3Kpx7I3WY73d14SmrHnjggSAHIxL53Niu3JiziEi4U+HcG2mthXNRaOMQERERkaBR4dwbrT3OVQWhjUNEREREgkaFc290MVRj5syZQQ5GJPK5sV25MWcRkXCnwrk3EgZAbFKHQzWuvfbaEAQkEtnc2K7cmLOISLhT4dwbxkDq0A6HaixZsiQEAYlENje2KzfmLCIS7lQ491ZqdodDNQoLT10mIn3jxnblxpxFRMKdCufeShumiwNFREREXCQm1AGcbspqGvFaS1bqUN8YZ2t9Qzf8UlJSQhidSGRyY7tyY84iIuHOWGtDHUNAZs+ebXNzc0MaQ1lNI9f99m2y0xP5x9mb4ZU74fsHIWlQSOMSEREREWcYYzZaa2d3tE5DNXrgtuVbKDjewIf5x2lOHupbeNJwjTVr1gQ/MJEI58Z25cacRUTCnWOFszEm2hjzgTFmpf/5IGPMa8aYvf7fA9tte6cxZp8xZrcx5iqnYuhPHq/lrb2ljM1Ipsnj5XDzAN+KkwrntWvXhiA6kcjmxnblxpxFRMKdkz3O3wZ2tnv+A+ANa+0E4A3/c4wxU4EFwDTgauAPxphoB+PoFxW1TVgLH5s6BIDN1am+FcfzQhiViIiIiASLI4WzMSYHuAZ4pN3i64En/I+fAD7Zbvkya22jtfYgsA+Y40Qc/amsphGAs0akMzg5jvUlMRAVA8fzQxyZiIiIiASDUz3Ovwa+D3jbLRtirS0E8P/O8i8fDrTvps33Lwtr5TVNAGSkxDM9ZwBbjlb75nKuOnrCdrfccksowhOJaG5sV27MWUQk3PW5cDbGzAdKrLUbA31JB8s6nNrDGLPIGJNrjMktLS3tdYxOaO1xHpwSx+zRg9hbUkND8jD1OIuIiIi4hBM9zhcC1xljDgHLgMuMMX8Fio0xwwD8v0v82+cDI9q9Pgfo8E4i1tol1trZ1trZmZmZDoTae62Fc0ZKPJ+ZlUNMlGFP/YBTxjgvXbo0FOGJRDQ3tis35iwiEu76XDhba++01uZYa0fju+jvX9baLwIvAjf6N7sReMH/+EVggTEm3hgzBpgAbOhrHP2trKaJuOgo0hJiyEpL4Nrp2ayvSMRWFYLXE+rwRERERKSf9ec8zvcCHzPG7AU+5n+OtXY7sBzYAbwM3GqtDfvKs6ymkcEpcRj/XQL/Y1YOh1sGYbzNUFPSzatFRERE5HTn6C23rbVrgDX+x+XA5Z1sdzdwt5P77m/l/sK51YSsFB61g31PjudD2jAA5s6dG4rwRCKaG9uVG3MWEQl3unNggMpqmshIiW97npkaT2Wsb07n9uOc582bF+TIRCKfG9uVG3MWEQl3KpwDVF7TeELhbIwhbtBI35N2hfP9998f7NBEIp4b25UbcxYRCXcqnANgraWspumEoRoAWVlDqCYZKo+0LaupqQl2eCIRz43tyo05i4iEOxXOAahubKHJ4yWzXY8zwJiMZA57M/FUHApNYCIiIiISNCqcA1BW/dHNT9obm5lMns3EU36obdmwYcOCGZqIK7ixXbkxZxGRcKfCOQA1jS0ApCXEnrB8TEYyeTaL6Koj4PXdbXzRokVBj08k0rmxXbkxZxGRcKfCOQD1Tb5pphNjo09YPjYzhTybSbS3CWqKAVixYkXQ4xOJdG5sV27MWUQk3KlwDkB9s69wTog7sXBOiY+hISXH96TyMACbNm0KamwibuDGduXGnEVEwp0K5wA0NHfc4wyQmDXO9+DY4WCGJCIiIiJBpsI5APVdFM6ZIyYA0FR+MKgxiYiIiEhwqXAOQH2T78K/xLhTC+eJwzMptulUF+4D4LbbbgtqbCJu4MZ25cacRUTCnQrnALSNce6gx3nqsDQO2aF4yvYDUFBQENTYRNzAje3KjTmLiIQ7Fc4B6GqMc87ARI6aYSRW+8Y4L1u2LKixibiBG9uVG3MWEQl3KpwDUNfUQnSUITbanLLOGENtyihSW8qhsToE0YmIiIhIMKhwDkB9k5fE2GiMObVwBvCkj/U9qDgQxKhEREREJJhUOAegvtnT4YWBrWKH+GfWKN7L/PnzgxWWiGu4sV25MWcRkXCnwjkADc2eDsc3t0ofPgmA40d3MWvWrGCFJeIabmxXbsxZRCTcqXAOQH1T14XzyCEZFNpBNJXsZfHixUGMTMQd3Niu3JiziEi4U+EcgPpmzym3225vdEYSh7xDiTqmm6CIiIiIRCoVzgGob/aQGNv5oUpNiKUwZjhptYeCF5SIiIiIBJUK5wB0N8YZ4HjKGJI9xzlz7LAgRSXiHhMnTgx1CEHnxpxFRMKdCucA1DV1PasGgHew7z+5T108NRghibjKwoULQx1C0LkxZxGRcKfCOQD1TZ4Ob7fd3pCxZwHw7xf/FoyQRFzl6aefDnUIQefGnEVEwp0K5wAEMlRjyuQp1NgEmsp0ExQRp+3ZsyfUIQSdG3MWEQl3KpwDUN/sIamboRpjM1M4bIaTQUWQohIRERGRYFLh3A1rrX9Wja4LZ2MMVSljyTElQYpMRERERIJJhXM3Glu8WEuX8zi3isqazFBzjIrysiBEJuIed911V6hDCDo35iwiEu5UOHejodkD0G2PM0DKiOkAHN2zsV9jEnGbjRvd16bcmLOISLhT4dyN+h4UzkMnzgKg6vCWfo1JxG1WrlwZ6hCCzo05i4iEuz4XzsaYEcaYfxtjdhpjthtjvu1fPsgY85oxZq//98B2r7nTGLPPGLPbGHNVX2PoT3VN/sI5gKEag7PHUmWTMCXb+zssEREREQkyJ3qcW4DbrbVTgPOAW40xU4EfAG9YaycAb/if41+3AJgGXA38wRjTfVUaIvX+wrm7eZwBMIb9Npv0Kk0jJSIiIhJp+lw4W2sLrbWb/I+rgZ3AcOB64An/Zk8An/Q/vh5YZq1ttNYeBPYBc/oaR3/pyRhngJr0yYxsPojH4+3PsERcZcGCBaEOIejcmLOISLhzdIyzMWY0MANYDwyx1haCr7gGsvybDQfy2r0s37+so/dbZIzJNcbklpaWOhlqwNrGOAcwVAMgJns6KaaegsPqdRZxSnZ2dqhDCDo35iwiEu4cK5yNMSnAP4HvWGurutq0g2W2ow2ttUustbOttbMzMzOdCLPHWodqBNrj/O7OAgBK9rzfbzGJuM0DDzwQ6hCCzo05i4iEO0cKZ2NMLL6i+Slr7bP+xcXGmGH+9cOA1juD5AMj2r08ByhwIo7+0NMe5zqTRouNouGIppISERERiSROzKphgEeBndba9l0kLwI3+h/fCLzQbvkCY0y8MWYMMAHY0Nc4+kvrGOeALg4EvCaG/JiRJJVv68+wRERERCTIYhx4jwuBLwFbjTGb/ct+CNwLLDfGfBk4AnwGwFq73RizHNiBb0aOW621Hgfi6BdNLb6L/OKiA/sbY+bMmRw7uIsR5e/g8XiJDvB1ItK5mTNnhjqEoHNjziIi4a7PhbO19m06HrcMcHknr7kbuLuv+w6GZo9v+HVsdGcpnujaa69l8z93klGxin0H9zB+/OT+DE/EFa699tpQhxB0bsxZRCTcqTu0Gy1eX49zTIA9x0uWLCFz4rkAFO1c129xibjJkiVLQh1C0LkxZxGRcKfCuRutPc4xUYH1OBcWFjJs4jm0EEXjYV0gKOKEwsLCUIcQdG7MWUQk3Klw7kZLDwtngKj4JI7GjSO9YnM/RSUiIiIiwabCuRutQzWiAyycU1JSAKjJmskkz16KjtX0W2wibtHartzEjTmLiIQ7Fc7daPZYYqMNvln3unf77bcDkDr+QlJMAzu3vNef4Ym4Qmu7chM35iwiEu5UOHejxeMlJirww7RmzRoAhp95CQBVe9/tj7BEXKW1XbmJG3MWEQl3Kpy70eK1xAQ4FR3A2rVrAYgeNJrjUQNJKtYFgiJ91dqu3MSNOYuIhDsVzt1o8XqJ7c1NTIyhbNBMpjRvo7S60fnARERERCSoVDh3o8VjezSjRntx4y8hx5SxdduHDkclIiIiIsGmwrkbvosDAz9Mt9xyS9vjodN9N048vvNfjscl4ibt25VbuDFnEZFwp8K5Gy1eb4/GOLcXO3Qa1VFppBRqZg0RERGR050K5270dKjG0qVLP3oSFUXJoNlMafqQsuqGfohOxB1OaFcu4cacRUTCnQrnbjR7enlxoF/CxEvJMWVs3qLZNUREREROZyqcu9HT6ehONnTmfACqt73iVEgiIiIiEgIqnLvR3MMboMydO/eE59EZYymJHU5m8dtYa50OT8QVTm5XbuDGnEVEwp0K5260+G+5Hah58+adsux49iXM9G5jb0G5g5GJuEdH7SrSuTFnEZFwp8K5Gy3envU433///acsS5/+CZJMI0c2abiGSG901K4inRtzFhEJdyqcu9Hs6dkY55qamlOWZZx5BfXEE7fvZSdDE3GNjtpVpHNjziIi4U6Fczd6fcvtdkxcEntSzmXS8bexXo9DkYmIiIhIMKlw7kZP53EeNmxYh8vrxl3NECrI377OqdBEXKOzdhXJ3JiziEi4U+HcjZ7O47xo0aIOl2efcz3NNprS9c84FZqIa3TWriKZG3MWEQl3Kpy70dN5nFesWNHh8lE5OexIms2wvJcorap3KjwRV+isXUUyN+YsIhLuVDh3wzdUI/DDtGnTpk7XDb3wiwwz5ax66XkHIhNxj67aVaRyY84iIuFOhXM3fEM1en/nwPaGnPNpGk08GQdfcOT9RERERCR4VDh3o6+33D5BfAr7B1/KxY1rqautduY9RURERCQoVDh3o6e33L7tttu6XF9/5hdIM3UUrFve19BEXKO7dhWJ3JiziEi4U+HcjZ7ecrugoKDL9aNnXskh7xCStv61r6GJuEZ37SoSuTFnEZFwp8K5Gy1eLzE9mI5u2bJlXa4fnJrA6viryD6+CYq29TU8EVforl1FIjfmLCIS7kJWOBtjrjbG7DbG7DPG/CBUcXTFWkuzxxLbgxugBKJw7Gept3FUv/k7R99XRERERPpPSApnY0w08Hvg48BUYKExZmooYumKx2sBetTjHIivfWI2K8wlxO/4J7amxNH3FhEREZH+Eaoe5znAPmvtAWttE7AMuD5EsXSqxV84R/egx3n+/PndbjNsQCJxF32TaNtMyasP9jo+EbcIpF1FGjfmLCIS7kJVOA8H8to9z/cvCyvNHi9Ajy4OnDVrVkDbzbvgAlZ5zyN92+NQV9Gb8ERcI9B2FUncmLOISLgLVeHcUSVqT9nImEXGmFxjTG5paWkQwjpRi8c/VKMH09EtXrw4oO3Sk+J4N/smYr31FK2+j9rGll7FKOIGgbarSOLGnEVEwl2oCud8YES75znAKXMvWWuXWGtnW2tnZ2ZmBi24Vs3envc498S0GefznOdC0j98lN+/sLZf9iEiIiIizghV4fw+MMEYM8YYEwcsAF4MUSyd6q+LA1tdd3Y2e6Z8k2hjmbz9QWrU6ywiIiIStkJSOFtrW4BvAK8AO4Hl1trtoYilKx8N1Qi8x3nixIkBb5uWEMudn7+asulf5TrzFq+//FzbuGoR+UhP2lWkcGPOIiLhLmTzOFtrV1lrJ1prx1lr7w5VHF356OLAwA/TwoULe7yfofN/SElUJtM23sV1v36D+iZPj99DJJL1pl2d7tyYs4hIuNOdA7vQ0jZUI/Ae56effrrH+zFxyST/x++ZEHWU6489zp/fPdjj9xCJZL1pV6c7N+YsIhLuVDh3obXHuSezauzZs6dX+0qedhXMvJFFMS/xwb+f43hdc6/eRyQS9bZdnc7cmLOISLhT4dyF1jHO/TWrximuvoem9PH8god4Zd1GrLVYe8osfSIiIiISAiqcu9Din46uv2bVOEVcMgmf/yvJUc3MeOdrfPLXr/GHNfuDs28RERER6ZIK5y40t/Y492BWjbvuuqtvO82azPqZv2Ss5yDfrfg5L2zUeGeRPrer05AbcxYRCXcqnLvQNh1dD3qcN27c2Of9nnPlQv6WdRvzordw2/H7yC891uf3FDmdOdGuTjduzFlEJNypcO5Cc9tQjcB7nFeuXNnn/abEx/ClW39C6UU/4+ro94l+5vPQUNXn9xU5XTnRrk43bsxZRCTcqXDuQtvFgT2YVcNJGZd/i7tjbiWr7D0al16JLT/Qtk4XDYqIiIgElwrnLrR4et7j7CRjDOkX/hc3NX2f+rIj1Pz2Qva89hgLl7zHT18MuxstioiIiEQ0Fc5daPb2fDq6BQsWOBrDrZeO597vf4d/zf0HR6JGMPGd7/KfeT/krdzNusOguIbT7ep04MacRUTCnQrnLrT04gYo2dnZjscxPD2RT192ASlfe42H425kXsw2VkV9h8J/3kFRUb7j+xMJN/3RrsKdG3MWEQl3Kpy78NGsGoH3OD/wwAP9FQ6jMgfwlR8+hPnGBt4w5zF61yOk/nEm+574OnUFO6isa8Lr1dhniTz92a7ClRtzFhEJdyqcu9A6q0ZssG6AEqDYwaN556x7uKblPtbFXcDIA8tIWnI+++69kD/98g4qCj+a+9nj1d0HRdzqZyt28I2/bQp1GCIiESMm1AGEs7Ye5x7cACVYfnzNVCovG09y/H/xx1fWM+vYaqaUrmZ27cPw8MNUD5hE/YiLeWhfJvvipvCDz8zl7BHp7Cup5ptPb2bmyHR+et20tj8Kmj3ekPyBkFdRR1lNIzNGDuzR62oaW0iJj+zTt7axBWMgKS74eVbWNfGj57bxtXnjOGP4gKDv/3RWXNVARko80SH+3PB6LY+94/sj+nefD2koIiIRI7Irjz5q9vT8ltszZ87sr3BOkBgXTWJcIgDfvv4i4CLgbjZvWs+61U9yVsVGZlU+wc9NMzRA4SODKR8+nTcLUplts9lTlMmiw3uYMW0q7x+p4p19ZcyblMX1Z2eTkRLPheMzsNbyvyt3Ut/s4bOzc3pc3Hal2ePlt//ax5/W7MdjLc99/QKm56Tzwuaj5AxMYtaoj/b11t5SJg5JZcWWArYePc43Lh3PNQ+9za8+exbXndWzcaCNLR5KqhoZMSip022stTS2eImPicKY/it+Kmqb+L+Xd3HzxWMZn5VywrrdRdV8ful7nDN6EH/60iwANudVMmlIKolx0X3ed2OLh7pGDwOT405Z5/FavvPMZtbsLiUjJY4t+ZXsLqpm8XXTAjoeuYcqeO9AOTddOKbtj5s1u0tYd6CcH1w9GWMMGw9XkJ2eyLABibR4vN22sWC1q5NV1DZRXtPI+KwUjDEcraynsdnD2MyP/r08Xkuzx0tCbDSl1Y3M++UaFswZwV3XTuvVPq21fOnRDeyys4hbvbPtmPVE0fEG8o7V9Wr/IiLSORXOXWjpxawa1157bX+FE5CzZ57L1Onn8NbeUp47VsXH0guJKsjl/TffYEL+Pr4YVUicaYF4oBI8bxuORQ2kIT2T/QcSKdufyk6bQlnWUMbmZFOZW05jdBIPf5jET//fOWzIbyAxKZlzJwwnOTmZ57aW8+aRRn5y7VQyUuK5/9XdxERFccP5o/i/V3bx+s4Sbrl4DFuPVlFQWc+jN84mKS6Gzy99j9zDx7j+7GzWH6jgtuVbuO8/zuQ7z2wmLjqKX3zqTC6ekMG+khq+9OgG0hJiqGpoAWB7QRVNHi9/XLOfa6cPaysqvF7Lk+8dZlp2GrNHD+rw+Pzk+e08v/koa793KUMHJNDU4iU6yrT1Dh6rbeIzD69jX0kN54weyJ//cw4tHi+3Ld/C7NEDueH80aTEx5BXUce9q3dRWtPIF88b1WkBvyWvkifWHeLqaUO5YsoQjIG/b8zngyOV7Cg4zpb84xRVNXDPp8/kD//ez7aC40wdlsaLWwqobmjhX7tKqG1s4a29pXz1r5vIGZjIp2fmcNH4DOaMGYTHa1ny5gHmTcpkyrA0wFfsPfTGXm68YDSpCTF4rSUrNaEtpmUbjvCrV/dQVtPIpCGp/HrB2UwZloa1lrV7Srl39S52FVWTnhTL2/vKeH1nCUcr6zkjewBHKuq44fxRYMBgyEyNPyHfR946wM9f2gnAk+8dJmdgEgvnjOTe1bsoq2lkXEYKF03IYMGS98hOT+TC8Rn8c2M+nztnBN+/enJboW2tZXdxNZsOV7L0rQOcM3okV7Z4iI/p/I8Gay1/XX+EtIQYrpo2lITYj7b1ei3G0GUBWtfUwrObjjI+K4U5owdx78u7WPKmb+70339+Ju8dKOfJ9w5jDHz3iol887LxlNU0ccNjG2hq8fDSty5meW4e9c0e/rLuMJdNzmLS0FSyUhPYVVTF2IwU4mKi+Nv6I/xl3SGW3jCbgclxFB1vIGdgYlu8m/MqeXtfGZOHpvHw2gOkJ8bx1bljeX7zUeaMGczg5Dj2ldQwKDmO7PRESqob+MfGfIanJ3LVtKHcs2onT6w7TGqC71jGx4TXUDMRkdOZOV3Gv86ePdvm5uYGdZ+/+9defvXqHvb8/OPEBfifz5IlS1i0aFE/R9Zzf1q7n1++sps/3zCTS7LqoeIA3sp8msoPk1BfDDXFNFcVQ10F1JUT660P6H2PeDO5pOk3jM1MZuE5I7l7la9omjgkhUNldYzLSmFnoe+uh7HRholDUrlwfAZL3jzAL//fdD4zewRv7y3jhsfWEx1lSIyNJjs9kV1F1cREGdKTYkmIjW5bfrCsliMVdWQPSKDgeAN/u+Vc9pfW8tR7h7lwfAaPvu37avr/zcrhG5eO5/F3D/HGrmImZKUyZ8wg7nt5F9bCly8aw0XjM/jePz5k9OAk7vzEFDYcrOCdfWWsP1jODeeP5vF3DzFzZDpJcTG8ubcUayE6yjAtO42i4w3UN3nITI3nYHkt/3XhGBJjo9lVVMUPPj6ZJ949zNABCSx7/wh5Fb5jedH4DKobW9iSV0lCbBRNLV7mTszk37tLSY2PoaHFw8QhqeworOKKKUO4YkoWd/xzKw989izuf3UP8bFRJMZGs6OwCmvhhvNHkRQXw5/W7ictIYZHbzqH0YOTufGxDeworCJ7QAI1jS3Ex0bzk/lT+fvGfM4ZNZD7X9vDnNGDmDspk7+sO0RNQwuXTs7icHkdW48eZ+SgJO64ejL5x+q4Z/UuwDdcqfUPyXGZyZRWN9LitVw6OYv9JTVkpyfyP9dM4XNL3mN8Zgq3XjqeR98+wOHyOg6U1Z7wunNGD2LtnlIsvt7ac0YPZNORSmaMSGfkoCRGZyRT29TCw2t9ReuhBPeOM/jmpDWs2FLAxRMyeGtvGYOT44iJNhRXNRIdZbjnU2fyi9U7qaxrJjrKMHvUQNYfrODKqUN4Y1cJHq9lQGIsW+66MtSpiIicNowxG621sztcp8K5cw++toffvLGXg/d8IuCvShcvXsxdd93Vz5H1TmVdE+lJp34135F/bDjAfc9v4N5rRnP52CSeWLudd3Yc5puX5JAa3cKbO/OJ9TYxbdQQGs5YyFeezOVYXTOThqTS5PFysKyWez99Jv9vVg73v7aHiUNSSE+K49anNlHX5OGKKUN45MaPzsnluXl8/x8f8sNPTOaG80ez9ehx/p6bx7ObjrL0xtnMm5gJwHMfHOUHz27lua9fwE1/fh9rfXm1FnWXTc5iyrBUfv/v/QDERUdx4fjB7CutIa+inoFJsZwzehCv7igGYExGMkcq6vC0m43kp9dO5aYLx/DC5qP8z/PbqG5o4YefmMysUYP4964Scg9XUNvo4Zefmc7owcl895nNvLK9CID4mGgaWzy0vp0x8NTN57KvpIa7X9rJ0AEJ3HrpeP5jZg6VdU0kxEZz+f1rSU+K5U9fnMXojOS2oQvNHi+zf/469c0emlq8LP/K+cwZM4i6phZ++cpuHn/3ENbCFVOGsLekmiMVdSTFRtPssfz3VRP59et7GTEwibxjddQ1eYgy4LUwZVgaz339AhJioyk63sAvVu0k91AFQwckcN1Z2Sw8dyTxMdFsO3qc+b99m5gow28XzuCp9Ue4atoQFq/YwfisFIanJ7I5r5Izcwbw/sEKstISOFhWy0MLZ7T1wNc2tnDr3zYxYmASX75oDF98dD35x+r53OwRzBkziOLqBr42dxwvbS3kW09/QFxMFA3NviFSn5mVw40XjOaMpSN7e8qf9hr/p4KvPLmRNbtLmT99GLuKqkmOi+bLF4/l16/v4UBpLakJMfz5pnO4/e9bOFxexx1XT+Zr88axr6SG3/97H69uL2L7z64OdSoi/aaiton0xFiiwvB6pFYNzb77LiTERtPU4iUuJoq8ijpS4mM6HDIXjlrrxf4cwhguuiqcsdaeFj+zZs2ywfZ/L++0Y+98qUev+elPf9pP0QRfTUNz22Ov12vrGls63baqvsk+8e5Be6C0xu4trrbPvH/Eer3eU7bbVVhlv7vsA5t/rO6UdYWV9ae8pqH51H22xrW7qMpecM8b9oJ73rBb8yvt/67YbsuqG6y11j67Kc/+5PmttqCyri3+d/aV2q35lfZQWY390qPr7RPvHrT1TS32X7uK7UOv77HFVfW2pKrhhH0dr2+ya3aXWI/n1Fzaq29qsZV1TXZn4XF7zUNv2mfeP2Jf3HzU/j03r22bphaPbengfarqm2xzi6fD9719+WY77s6XTnifVrsKq+xvXt9jq+qbbFV9k/3fFdvtLU+8b/cWV1trrS2rbrCNzR67dneJvfPZD21hZb1d+uZ+m1dR22UurVo8Xnv24lfsDY+uP2H5kfJaW9904r/L/a/ssqPuWGkn/GiVrW533pyssq7J/uHf+2xpdcMp6w6X1draxmb76FsH7A/+ucU2tTsmre2q6Hi9fXjtPvvQ63vsgofX2bte2GZvfuJ9O+qOlfa/l28+4XxpbPbY7UeP2w+OHLONzR57sLTGbjhY3rbe6/Xal7cV2mUbDtvymsZOY25s9tgvPvKefXHz0ROOwVUPrrXPf5BvrfWdk6/vKLKbDleccA4XVNbZh9fus/VNLbapxWM/zKu0R8pPPf67i6rsNQ+9aUfdsdKOumOlXb21sC3n+qYW+/qOItvc4jnhvbcdrbSX/urf9vUdRdZaa/eXVNvlJ7W7X6zaYSf8aFWnuUW6jj6DeqKhucWu3lpo65tabFV9U9t5kn+srtv3PlxWa297ZrOd98t/2zW7SwLa37HaRvvNv22yT68/3O1nTqttRyvbPuc6UtPQbP+5Mc82Nnf8GROo4/VNJzz/MK/Sfn7pOnu47KPz+eRj8vwH+XbZhsMntOXu1De12LW7SwL6t6tvarE/fPZDO+qOlXbGz161v1i1w1Z00JaP1zfZ4qp6a621xVX1dndR1SmfuUfKa9s+n+saW+yWvGO2obnF/u5fe+3e4qoO9190vL7t8/R4fZP99B/esSu3FHS47U2PrbfX/fYtu3prgT3jJy/bd/aV2hk/e9UuXLLOVtY12de2F52Qc15FrX3+g3z72vaitrgamz323X1ldv2B8g730Z0Pjhyze4o6zqU17z+t2We//fQm+2FepbXWd1xuX77ZfmHpe3bqj1fbCT9cZX/wzw87fP1be0rtf/55g/36UxtP+Zzr7nyua2yxZdUNdvn7R+wV96854bwKBSDXdlKPqse5C/es2snj7x5i988/HvBr7r//fm6//fZ+jEraq2tqodnj+zo6EtU0tlBR08TIwZ1fzNifthccZ1ByHMMGJHa5XUVtExfe+y8unpDBkhs6/iO9L7pqV40tHnYVVjM9Z8Bp3RPS4vGyq6iaAYmxjBiU5Mhnya9e2c0f1uzjwD3XOBRleNtdVE15bSNn5aSzJa+S7y7fzM+uP4Orpg1t26axxcOqrYV8bOpQPF5LY7OHrLSEU97rUFktN/15A4fK6/jWZeN572AFe4ur+dSMHB575yDfvWIi375iAgAl1Q38c+NRiqsa+OJ5o0iIjeKzf1rH8fpmBibHUVzVwJ9vmsNFE3wXXb+zr5yH39zPuMwUrpgyhHPHDqLZ4+ULj6zngyOVAKQlxPDxM4bxo/lT+MO/9/P5OSMprWkgOiqKs0ekA75vdM79xRukJcRw7VnZrNpWyBP/OYeiqgayUhMYn5XCj5/fxpPvHWb+9GH8ZsGME2Z7qazzjdFPjI1m0SVjuXzKEKy1vLGzhHNGD2JAku9zdembB7hn9U6e/PK5XDg+A4DvLPuA5zcXMDYzmd8tnMkvVu1kS14lF4wfzP9+8gxio6I49543aGrxMnVYGsu+ch5JsdEnXAjc7PGyo6CKie0uer5n1U4efvMAn5s9gvFZKVw+JYuxmSkUVzXwwKt7GJQSx6dnDGfCkFT+7+Vd/GHNfr5w7kiO1TWxelsRQ1ITWHrDbEZnJLHkzQNMHprGvS/v5HhdM5+cMZy/rDsMwLljBnHHxydjLbx/qIJ7V+9izuhB3P/Zs/j5Szt4ZXsxw9MTOVpZT87ARG6+aAzbC6oYl5XCzReNIffwMb7y5Ebqmz18/6pJNDR7+NWre0hLiOGSiZlsPXqcC8YN5rLJQ/jY8okOneGnn9o7y3nkrYM88vYB/nzTOcwePQhrLc9vPsrbe8v5ybVTKTrewBcfXU9ZTSOtJemnZwzngc+dHbK4NVSjl362YgfLc/PYtviqoO5X5HS07ehxslLjOyxCJDR+/foefv36Xg784hNh/TV2TzV7vPxpzX4GpcQxdVga31r2AZdMyGTZ+3l4vJbkuGiijKG6sYXkuGjmTspkfGYKV0wdwvf/8SG7iqr5+rxxbCuoIvdQBX/84ixGDUriO89s5uwR6dx25URuX76F9/aXMyYzmX0lNdQ1edr2n5kaT2l1Ixkp8QxIjKG4qpGaxhZiow3J8TF4vRYLLFt0HjkDk/j0H96hodnLReMzePaDfJo9liFp8VTWNdPY4uWsnAFMzR7A0xuO8KcvzqTZY3l5exEvfVjI+KwU9pXUMDg5jmN1TSTFxbD62xczYlBS2xC36CiDx2uJiTLExUS1xXrxhAze3V/OmAxfDqMGJ3H2iHRyBiZy2eQslr55kNd3FjN8YCIlVY2s+vbFvLq9iHtW7yJ7QAKpCbEUVTVwvL4ZgLkTMxmcEkdaQizLc/OYOiyNbQXHaWj2EhNluO7sbFZtLSQ9MY7LpmTxt/VHuOPqydz/6m6GDkig8HgDA5PiuHD8YMZkJLNiSwH7S2uJj4niNwvOZt6kLM6/5w2iowxlNU0AjM9KYeU3L2Lxih088/6RtlxvuXgsf1t/hEsmZvL7L/hm3dl29DhfeXIjRVUNZKbEU1TVAEBqfAxpibEcrazn2rOymT58AP/3yi6aPR/VP+eNHcS2o1U0e7w0tni5cPxgPsw/zg3nj2Lpmwdp8ngZnBxHeW0Tn54xnJe2FjJiUBKjByfx+s4SogycOXwAO4uq8XgtF4wbzAdHKqlpbHH1dRqjG/4G+C5SHpKWwLJF57F4xXZe2e4bLjkhK4WCynqS42P4zOwcoo2hqqGFJ9Yd4rYrJnL+uMGdXuzfn1Q499JPXtjGC5sLenRhzZo1a5g3b17/BSXiQm5sV07k3JsLnMOJtZYt+cdJjI1m0tBU8o/V8b2/f8iRijqOVtYTG238xVsVHq/l3DGD+Orccbyw+SjbC6q4+1Nn8q2nP8BiKa5qBHxF76CkOMpqGqmoayIuOorGFi9x0VHExURR29TCoCRfgfTfV07kzJx0bnxsA6kJMfx24QzW7C7lv6+axJK1+ymtaaS8pom4mChu+9hEoqMMt/wlt+1i2fFZqYCvR/Mzf1oH+MbuTx+Rzmdm5WAtvLjFd92GtXDj+aNYfP0ZgG8mmM8+vI7cw8f4j5k5rN1TwvScdDYcrGBQchyTh6ayv7QGC/x4/lQOldUyZVga3172AV86bxReC39ZdxiP18sbt89jw8FyHn/3EAWVDRytrG+7ruOOqyfzqRnDufLBtcTFRPm+PRqf0ZbXtOw0kuNjMIa2C3ZbLVt0HqMHJ/PEukNcMG4wF0/IZEdBFTf9eQMl1Y3MGjWQf37tAv6xMZ8HX9vDFVOyqG5o4Y1dJVQ1+K6JueH80Ty1/jAFlfXcdMEYHnx9D3/98rkMHZDAnuJqvv7UJj42dQhrdpfwuXNGcPvHJvHzl3byz035AKz4xkWcmfPRXPMVtU387l/7WLO7hB/Pn0p5bRNTh6UxOCWO9QcrmH/mMKKiDLuLqjlYVktMlKGu2cM1Zw6joLKe7//jQ2KiDY//5xyi/DPxvH+oou38+tayzazYUsDw9ERe+MaFDE6O46E39rHkzf08dct5NDR7SImP4YzhA2j2eLn5iVzW7iklMzWev3/lfD7IO8ZV04byrac387V5Y8k/Vs+Ogipuv3ISz32QT3ltE5dNzmLy0LS2nH7z+l4efH0P8TFRvPuDyxiUHMf2gipKqxvJSovnpj+/7/9DLo7Rg5PJPXyMuGjfNxOZqfHMGTOIn63cwQ3nj2J7QRUbDlYwa9RAfrtwBlmp8Xgt7C2ppqymibkTM2ls8XDZr9ZSVNXA584Zwd2fPOOEb/NWfljAX949zE+vm8bU7DSaPV4MJ07d+/fcPAoqG0iMi2LYgESGpCWwYMk6vNZ37c8PPz6FoQMSuG35Zi6fPIQfXTOlbZrYitomPr/0PXYVVbNwzkju+fSZTnyc9IgK516689mtvLajiNz/+VjArwnniwNFTldubFdO5Pyntfu5d/UudvzsqpDcSKen2k8bWNvYws1P5LLuQDkAl0zMpKahmd1F1VwwPoMLxg3mnlW7aPJ4+d5Vk7hkQiYThqScMA0h+IpvYwwrthSw8fAxvn35BNbsKeG7z2zBGFj97Yt5d185e4qrufnisdQ1tfDD57ZyrLaZV797CYmx0Xz+kfe4ZGImX583vte5/fU93xSB1589/JR1f1l3iFVbC3n0xnNIbndjp/xjdazYUsjNF49pK0ze2FnMH9bsp7iqgfxj9fzPNVO4+eKxHe6zqcVLfbPnlKFspdWNbM6rJD0pltmjBmKM4Z19Zfxl3SGGpCWcMDVkq+KqBi791Ro+fsYwvNayu6iald+8qMNvMg6W1XL78s18+4qJzPVf2N1es8eLx2vb/q32FFdzzUNv0eyxnDE8jRdv/eh9H3pjLw+9sReAf//3PEYMSsJay4Ov76WqvpmfXte7+dJ7q7Kuifte3sVNF4xh0tDUtuUer+3wpkf7Smq4+tdv8tlzRvCLT/WuAGxo9vDJ37/DReMz+J/5U09ZvyWvknf3l3PD+aNIjo/hSHkdyfHRDE75aLrQEv+NmcpqGtlXWsP5Ywd3ObSttPqjPzSdsrOwile2F3H2iHTmTcoCur75WlVDM43NXkdjCJQK51763t+38NbeMt774eUBv8aN/8GL9Dc3tisncm6dV3vLXVeG9XUAzR4vP3lhOy99WMBZI9J58svnctvyzTz/wVF+dM1UGls8/GnNfqoaWnjgs2fx6Zk5APzvyh38Y2M+b37v0rbxuIGobWzhnLtfZ8bIdJ66+bxT1ltrafbYsO6lt9ZyoKyW0YOTg3aXymO1TaQnxWKMweu1jg7/eXd/GbWNHi6ekHHKHz9HK+spr2lkek66Y/sLph0FVQxPT+zROXqy1j8AJTi6KpzDvwsihD41czjnjR0c6jBERHqltSenxX8X1HBkreV/ntvGM7l5zByZzlt7y/jJC9t4dtNRvn35BL580RgAPjd7BDsKq7jIf3EawJ0fn8y3Lp/Q4z8KkuNj+OvN55LVSU+WMYa4mPAuUowxjMtM6X5DB7WfNs3pMfMXjMvodN3w9ESGp3d9gXI4m5qd1v1G3VDRHD5UOHehq4bcmVtuuaUfIhFxNze2KydyjvHf9bTFG17fLFpr2V9ay6GyWlZtLeTZD47yzcvG8/V547nwvn/xl3WHmTNmEN+87KOhEYNT4rl4wolf+8dERzEgsXe9wjNHDuxTDiLiTiqcRUQiVGyUr6hsDpMe530lNTy94Qiv7SjmSEVd2/LbPua7hbkxhm9dNp5H3j7IbxacfcLFRiIi4UCfSg5bunRpqEMQiThubFdO5NzW4+wJfY/zmt0lXPngWp5cd5jxWSn87yfP4PlbL+SN2+fyrcsntH0VfdOFY3jze5d2O3e4iEgoqMdZRCRCtfbYhnqoRkOzhx+/sI0xGck885XzyUjp+ir5SJpzWkQiS596nI0xvzTG7DLGfGiMec4Yk95u3Z3GmH3GmN3GmKvaLZ9ljNnqX/eQ0Yh3EZF+ERvVOsY5tEM1Hn/3EHkV9fzvJ8/otmgWEQlnfR2q8RpwhrV2OrAHuBPAGDMVWABMA64G/mCMaZ1f5o/AImCC/+fqPsYQVubOnRvqEEQijhvblRM5t05TFqqhGgdKazhe38xT6w9z3thBvbrgWkQknPRpqIa19tV2T98D/p//8fXAMmttI3DQGLMPmGOMOQSkWWvXARhj/gJ8EljdlzjCidvubiYSDG5sV07k3DodXbAvDrTW8shbB7n35V0M9N+l73tXTQ5qDCIi/cHJiwP/i48K4OFAXrt1+f5lw/2PT17eIWPMImNMrjEmt7S01MFQ+8/9998f6hBEIo4b25UTOYdiOrqGZg/ffPoD7l61k/PHDqaqoZmBSbFcNW1I0GIQEekv3fY4G2NeB4Z2sOpH1toX/Nv8CGgBnmp9WQfb2y6Wd8hauwRYAr47B3YXazioqakJdQgiEceN7cqJnGNCMB3dk+sOs/LDQr5/9SS+Nncc245W0eTxEh8T3f2LRUTCXLeFs7X2iq7WG2NuBOYDl9uP7t+dD4xot1kOUOBfntPBchERcVhsCKaje/aDo5w9Ip2vz/PdvOTMnAFB27eISH/r66waVwN3ANdZa+varXoRWGCMiTfGjMF3EeAGa20hUG2MOc8/m8YNwAt9iSHcDBs2LNQhiEQcN7YrJ3L+aDq64PQ47yqqYmdhFZ+a0ekIPBGR01pf53H+HRAPvOafVe49a+1XrbXbjTHLgR34hnDcaq31+F/zNeBxIBHfmOiIuTAQYNGiRaEOQSTiuLFdOZFzTJBn1XhhcwExUYb50933h46IuEOfepytteOttSOstWf7f77abt3d1tpx1tpJ1trV7ZbnWmvP8K/7RrvhHRFhxYoVoQ5BJOK4sV05kXNsEG+AYq3l5W1FnD9uMIM1V7OIRCjdctthmzZtCnUIIhHHje3KiZxbZ9Xo74sDrbXsL63hYFktV07V7BkiErl0y20RkQgVjKEa5TWNXPe7d9qK9CtUOItIBFPhLCISoYJxceD9r+2h8Hg9FjgrZwDDBiT2275EREJNhbPDbrvttlCHIBJx3NiunMg5Nqp1qEb/9DjvLqpm2YYj3HTBGK49axgDEmP7ZT8iIuFCY5wdVlCgaalFnObGduVEzm09zv00xvmhf+0lKS6Gb10+nhkjBzI2M6Vf9iMiEi5UODts2bJloQ5BJOK4sV05kXN/3nJ7b3E1q7YWctMFo0lPinP8/UVEwpEKZxGRCBUb1X/T0T21/ghx0VF8+aIxjr+3iEi4UuEsIhKh2nqcHR6q4fX65my+ZGImA5PV2ywi7qHC2WHz588PdQgiEceN7cqJnGP66eLALfmVFFU18PEzhjr6viIi4U6Fs8NmzZoV6hBEIo4b25UTORtjiI4yjk5Hd7yumUfeOkhstOHyKZqzWUTcRYWzwxYvXhzqEEQijhvblVM5x0QZx26AYq3lsw+v4yX/RYGafk5E3EbzOIuIRLDY6CjHhmrkH6tnd3E1P/rEFG65ZKwj7ykicjpRj7OISASLiXZuqEbu4QoALhyf4cj7iYicblQ4O2zixImhDkEk4rixXTmVc0xUlGPT0b1/6Bip8TFMGprqyPuJiJxuVDg7bOHChaEOQSTiuLFdOZVzbLRxbDq63EMVzBw1kGj/bB0iIm6jwtlhTz/9dKhDEIk4bmxXTuUcE+3MxYGVdU3sKa5hzphBDkQlInJ6UuHssD179oQ6BJGI48Z25VTOsVFRNDswVGPj4WMAzB41sM/vJSJyulLhLCISwWIcGqrx/qFjxEYbzhqR3vegREROUyqcRUQiWHSUM9PRvX+ogjOHDyAhNtqBqERETk8qnB121113hToEkYjjxnblVM6xDkxH19Ds4cP8Ss4ZrfHNIuJuKpwdtnHjxlCHIBJx3NiunMrZiTsHbs6rpNljVTiLiOupcHbYypUrQx2CSMRxY7tyKueY6Kg+9zg/9vZBUuNjmDNWhbOIuJsKZxGRCBbbx+noNudV8uqOYm65ZCxpCbEORiYicvpR4SwiEsFi+jgd3fLcPFLiY/ivi8Y4GJWIyOlJhbPDFixYEOoQRCKOG9uVUzn39c6Bu4uqmZqdRkp8jCPxiIiczlQ4Oyw7OzvUIYhEHDe2K6dyjomK6vVQDWste4qqmTQk1ZFYREROdyqcHfbAAw+EOgSRiOPGduVUzjHRhuZeXhxYeLyB6sYWJg5V4SwiAiqcRUQiWl+mo9tdXA2gHmcRET9HCmdjzH8bY6wxJqPdsjuNMfuMMbuNMVe1Wz7LGLPVv+4hY4xxIgYRETlVTHRUr8c47ynyFc4Th6Q4GZKIyGmrz4WzMWYE8DHgSLtlU4EFwDTgauAPxpjW+7T+EVgETPD/XN3XGMLJzJkzQx2CSMRxY7tyKmffnQN73+OclRpPelKcI7GIiJzunOhxfhD4PtD+k/l6YJm1ttFaexDYB8wxxgwD0qy166y1FvgL8EkHYggb1157bahDEIk4bmxXTuUcExXV68J5V2E1kzS+WUSkTZ8KZ2PMdcBRa+2Wk1YNB/LaPc/3Lxvuf3zy8oixZMmSUIcgEnHc2K6cyjkm2tDci6Ea9U0edhdXMz1ngCNxiIhEgm4n5jTGvA4M7WDVj4AfAld29LIOltkulne270X4hnUwcuTI7kINC4WFhaEOQSTiuLFdOZVzbHTvpqPbevQ4Hq9lxoiBjsQhIhIJui2crbVXdLTcGHMmMAbY4r++LwfYZIyZg68neUS7zXOAAv/ynA6Wd7bvJcASgNmzZ/f+1lciIi4VE2Vo6cV0dJvzjgFw9sh0hyMSETl99XqohrV2q7U2y1o72lo7Gl9RPNNaWwS8CCwwxsQbY8bguwhwg7W2EKg2xpznn03jBuCFvqcRPlJSdPW5iNPc2K6cyjkmOopmj8V3WUngtuQdJ2dgIhkp8Y7EISISCfrlHqrW2u3GmOXADqAFuNVa6/Gv/hrwOJAIrPb/RIzbb7891CGIRBw3tiunco6J8o2Q83gtMdGBzf5prWVzXiUz1NssInICx26A4u95Lmv3/G5r7Thr7SRr7ep2y3OttWf4133D9rQbJMytWbMm1CGIRBw3tiuncm4tlnsys8brO0s4WlnPxRMyut9YRMRFdOdAh61duzbUIYhEHDe2K6dyjo3yfcwHWjg3e7zcs2on4zKT+fTMnO5fICLiIiqcRUQiWFuPc4BT0r1/sIIDZbXcfuUkYqP1X4SISHv6VBQRiWDxMb6btja2BFY47yn23WZ79mhNQycicjIVzg675ZZbQh2CSMRxY7tyKufEON/HfH2Tp5stffaW1DAgMZZMzaYhInIKFc4iIhEsMdbX41zfHGDhXFzDhKwU/PPzi4hIOyqcHbZ06dJQhyAScdzYrpzKOaEHhbO1lj0l1UwY4r55s0VEAqHCWUQkgrX2ODcEMFSjvLaJyrpmJmSl9ndYIiKnJRXOIiIRLDHOXzi3dF847y2uAVCPs4hIJ1Q4O2zu3LmhDkEk4rixXTmVc9sY56buZ9XYV+KbUWN8lgpnEZGOqHB22Lx580IdgkjEcWO7cirnnoxxPlhWR2JsNEPTEhzZt4hIpFHh7LD7778/1CGIRBw3tiuncm4dqhFI4XykopZRg5M0o4aISCdUODuspqYm1CGIRBw3tiunck7owcWBh8rrGDkoyZH9iohEIhXOIiIRLCHGfwOUbnqcvV7LkYo6RmckByMsEZHTkgpnhw0bNizUIYhEHDe2K6dyjomOIi46qtvCuaiqgaYWr3qcRUS6oMLZYYsWLQp1CCIRx43tysmcE2Kjur3l9uHyOgBGD1aPs4hIZ1Q4O2zFihWhDkEk4rixXTmZc2JcNA3d9DgfqagFYNRg9TiLiHRGhbPDNm3aFOoQRCKOG9uVkzknxkZ3O1TjUHkdsdGG7PREx/YrIhJpVDiLiES4hNjobodqHCqrZcTAJKKjNBWdiEhnVDiLiES4xLhoGlq6vnPg7uJq3WpbRKQbKpwddtttt4U6BJGI48Z25WTOCTHRXc7j3NDs4VBZLZOGpjm2TxGRSKTC2WEFBQWhDkEk4rixXTmZc2Jc12Oc9xbX4LUweWiqY/sUEYlEKpwdtmzZslCHIBJx3NiunMy5u4sDdxVVASqcRUS6o8JZRCTCdXdx4O6iahJioxilOZxFRLqkwllEJMIlxkV1OY/z7uJqJmSlakYNEZFuqHB22Pz580MdgkjEcWO7cjLn7oZq7C6qZuIQDdMQEemOCmeHzZo1K9QhiEQcN7YrJ3NuLZyttaesq2tqoaS6kbGZGqYhItIdFc4OW7x4cahDEIk4bmxXTuacEBeNtdDYwVzOh8rqABit8c0iIt1S4SwiEuESY6MBOhznfLi8FoBRg5OCGpOIyOmoz4WzMeabxpjdxpjtxpj/a7f8TmPMPv+6q9otn2WM2epf95AxRlejiIj0o4S2wvnUHueD/sJ5dIZ6nEVEuhPTlxcbYy4FrgemW2sbjTFZ/uVTgQXANCAbeN0YM9Fa6wH+CCwC3gNWAVcDq/sSRziZOHFiqEMQiThubFdO5tza49zRBYKHy+rISIknJb5P/x2IiLhCX3ucvwbca61tBLDWlviXXw8ss9Y2WmsPAvuAOcaYYUCatXad9V2l8hfgk32MIawsXLgw1CGIRBw3tisnc27tce5oLueD5bWMydAwDRGRQPS1cJ4IXGyMWW+MWWuMOce/fDiQ1267fP+y4f7HJy+PGE8//XSoQxCJOG5sV07mnBjXRY9zea1ufCIiEqBuv5szxrwODO1g1Y/8rx8InAecAyw3xowFOhq3bLtY3tm+F+Eb1sHIkSO7CzUs7NmzJ9QhiEQcN7YrJ3Pu7OLAuqYWiqsaGa0LA0VEAtJt4WytvaKzdcaYrwHP+oddbDDGeIEMfD3JI9ptmgMU+JfndLC8s30vAZYAzJ49u9MCW0REOpfk73Gubmg5Yfn+Et+FgeMyU4Iek4jI6aivQzWeBy4DMMZMBOKAMuBFYIExJt4YMwaYAGyw1hYC1caY8/yzadwAvNDHGEREpAuZqfEAlNY0nrB8Z1EVAJOHpQU9JhGR01FfL6N+DHjMGLMNaAJu9Pc+bzfGLAd2AC3Arf4ZNcB3QeHjQCK+2TQiZkYNgLvuuivUIYhEHDe2KydzHpwchzFQWtVwwvJdhdUkxEYxcpCGaoiIBKJPhbO1tgn4Yifr7gbu7mB5LnBGX/YbzjZu3OjK2wOL9Cc3tisnc46JjiIjJZ6S6hN7nHcXVzFpSCrRUZpOX0RO1NzcTH5+Pg0NDd1vfJpKSEggJyeH2NjYgF+jiTsdtnLlStf9By/S39zYrpzOOSv1xMLZWsvOwmqumJLl2D5EJHLk5+eTmprK6NGjicR71VlrKS8vJz8/nzFjxgT8Ot1yW0TEBXyF80c9R6U1jVTUNjF5qMY3i8ipGhoaGDx4cEQWzQDGGAYPHtzjHnUVziIiLpCVmkBx1Uc9zrsKqwGYPDQ1VCGJSJiL1KK5VW/yU+HssAULFoQ6BJGI48Z25XTOWWnxlNc04vH6ZvbccLCCKANn5AxwdD8iIpFMhbPDsrOzQx2CSMRxY7tyOues1Hi8Fsr9U9K9s7+M6TnppCUEflGMiIjbqXB22AMPPBDqEEQijhvbldM5Z6YmAFBS3Uh1QzMf5h/nwvGDHd2HiIjTHn74Yb761a92uc2aNWv40pe+FJR4NKuGiIgLDEnz3QSlpLqB4qoGPF7LheMyQhyViEjXPvzwQ84888wut9m8eTMzZswISjzqcRYRcYGsNH+Pc1Ujb+0tIz4mipmjBoY4KhGRrm3dupXp06eza9cuLrnkEqZNm8YVV1xBWVlZ2zZbtmzh6NGjnHvuuYwdO5Y1a9YA8MQTTzBr1iymT5/OxRdf7Eg86nF22MyZM0MdgkjEcWO7cjrnzBRfj3PesTpe21HMxRMySYiNdnQfIhKZFq/Yzo6CKkffc2p2GnddO63b7bZt28bEiRO57LLL+Otf/8qMGTO47777ePDBB7n7bt999jZv3sz111/P+vXrefXVV/nxj3/MqlWruO+++9i8eTNxcXFUVlY6Erd6nB127bXXhjoEkYjjxnbldM5xMVGcN3YQj79ziKOV9Vw5dYij7y8i4rS8vDxSUlJYs2YNF110UdtwjKlTp1JSUgJAS0sL5eXl/PCHPwTg7LPPpqysjOjoaOrr67n99tvJzc0lPT3dkZjU4+ywJUuWsGjRolCHIRJR3Niu+iPnr84dx01/fp8oA5frjoEiEqBAeob7Q+v45h07dpwwznnr1q1MnToVgB07djB+/Hji4uIA2LRpE2eddRZJSUls27aNFStWsGjRIm6++Wa+/vWv9zkmFc4OKywsDHUIIhHHje2qP3KeOzGT6TkDSEuIZbB/6IaISLhqHd88fPhwNm/eDMCBAwd48sknefvttwHf+OaDBw/S2NhIc3Mzixcv5sEHH2Tv3r1MmDCBBQsWsGPHjh7fIbAzKpxFRFzCGMPfbjmPyL4XmIhEiq1bt3LNNdfwqU99ilWrVnHmmWeSmJjIY489xuDBvuk0t2zZwhe+8AUuuOAC6uvr+fGPf8x5553HTTfdxLp160hOTmbatGksXbrUkZhUODssJSUl1CGIRBw3tqv+yjklXh/7InJ6eOqpp9oeP//88x1u86tf/QqAn//85ycsf/zxx/slJmOt7Zc3dtrs2bNtbm5uqMMQERERiXg7d+5kypQpoQ6j33WUpzFmo7V2dkfba1YNh7XOHSgiznFju3JjziIi4U6Fs8PWrl0b6hBEIo4b25UbcxYRCXcqnEVERETkFKfLcN7e6k1+KpxFRERE5AQJCQmUl5dHbPFsraW8vJyEhIQevU4XBzqsoKCA7OzsUIchElHc2K7cmLOIhI/m5mby8/Mdm/84HCUkJJCTk0NsbOwJy7u6OFDzEomIiIjICWJjYxkzZkyowwg7GqrhMKcm2BaRj7ixXbkxZxGRcKfCWUREREQkACqcRUREREQCcNpcHGiMKQUOh2DXGUBZCPZ7utLx6jkds57R8eoZHa+e0fHqOR2zntHx6plQHK9R1trMjlacNoVzqBhjcju7slJOpePVczpmPaPj1TM6Xj2j49VzOmY9o+PVM+F2vDRUQ0REREQkACqcRUREREQCoMK5e0tCHcBpRser53TMekbHq2d0vHpGx6vndMx6RserZ8LqeGmMs4iIiIhIANTjLCIiIiISABXOfsaYq40xu40x+4wxP+hgvTHGPORf/6ExZmYo4gwHxpgRxph/G2N2GmO2G2O+3cE284wxx40xm/0/PwlFrOHCGHPIGLPVfyxyO1iv86sdY8ykdufOZmNMlTHmOydt4+pzzBjzmDGmxBizrd2yQcaY14wxe/2/B3by2i4/7yJRJ8frl8aYXf4295wxJr2T13bZfiNVJ8fsp8aYo+3a3Sc6ea3OMd+yZ9odq0PGmM2dvNZ151hntUTYf45Za13/A0QD+4GxQBywBZh60jafAFYDBjgPWB/quEN4vIYBM/2PU4E9HRyvecDKUMcaLj/AISCji/U6vzo/NtFAEb55Ndsvd/U5BlwCzAS2tVv2f8AP/I9/ANzXyfHs8vMuEn86OV5XAjH+x/d1dLz867psv5H608kx+ynw3928TudYx+vvB37SyTrXnWOd1RLh/jmmHmefOcA+a+0Ba20TsAy4/qRtrgf+Yn3eA9KNMcOCHWg4sNYWWms3+R9XAzuB4aGN6rSn86tzlwP7rbWhuAFS2LLWvglUnLT4euAJ/+MngE928NJAPu8iTkfHy1r7qrW2xf/0PSAn6IGFsU7OsUDoHDuJMcYAnwWeDmpQYayLWiKsP8dUOPsMB/LaPc/n1EIwkG1cxxgzGpgBrO9g9fnGmC3GmNXGmGnBjSzsWOBVY8xGY8yiDtbr/OrcAjr/z0bn2ImGWGsLwfefEpDVwTY61zr2X/i+9elId+3Xbb7hH97yWCdfo+scO9XFQLG1dm8n6119jp1US4T155gKZx/TwbKTpxsJZBtXMcakAP8EvmOtrTpp9SZ8X62fBfwWeD7I4YWbC621M4GPA7caYy45ab3Orw4YY+KA64C/d7Ba51jv6Fw7iTHmR0AL8FQnm3TXft3kj8A44GygEN/wg5PpHDvVQrrubXbtOdZNLdHpyzpYFpRzTIWzTz4wot3zHKCgF9u4hjEmFt+J/pS19tmT11trq6y1Nf7Hq4BYY0xGkMMMG9baAv/vEuA5fF8ztafzq2MfBzZZa4tPXqFzrEPFrUN8/L9LOthG51o7xpgbgfnAF6x/8OTJAmi/rmGtLbbWeqy1XmApHR8LnWPtGGNigE8Dz3S2jVvPsU5qibD+HFPh7PM+MMEYM8bfw7UAePGkbV4EbvDPfnAecLz1qwS38Y/VehTYaa19oJNthvq3wxgzB9+5Vh68KMOHMSbZGJPa+hjfBUnbTtpM51fHOu2l0TnWoReBG/2PbwRe6GCbQD7vXMEYczVwB3Cdtbauk20Cab+ucdK1F5+i42Ohc+xEVwC7rLX5Ha106znWRS0R3p9jwbp6Mtx/8M1qsAffVZo/8i/7KvBV/2MD/N6/fiswO9Qxh/BYXYTvK5EPgc3+n0+cdLy+AWzHd6Xre8AFoY47hMdrrP84bPEfE51fgR23JHyF8IB2y3SOfXQsnsb3VXkzvt6XLwODgTeAvf7fg/zbZgOr2r32lM+7SP/p5HjtwzdOsvVz7E8nH6/O2q8bfjo5Zk/6P6M+xFeoDNM51vnx8i9/vPVzq922rj/HuqglwvpzTHcOFBEREREJgIZqiIiIiIgEQIWziIiIiEgAVDiLiIiIiARAhbOIiIiISABUOIuIiIiIBECFs4iIiIhIAFQ4i4iIiIgEQIWziIiIiEgA/j+mTT0uPN3qHwAAAABJRU5ErkJggg==\n", + "image/png": "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\n", "text/plain": [ "
" ] @@ -1212,9 +1212,9 @@ "name": "stdout", "output_type": "stream", "text": [ - "Estimated Rs 11.3 MOhm\n", - "Estimated Rm 564.5 MOhm\n", - "Estimated Cm 32.85 pF\n" + "Estimated Rs 11.5 MOhm\n", + "Estimated Rm 492.6 MOhm\n", + "Estimated Cm 32.62 pF\n" ] } ], @@ -1247,7 +1247,7 @@ }, { "cell_type": "markdown", - "id": "900e3029", + "id": "3e0ba129", "metadata": {}, "source": [ "So with noise, the method becomes trickier.\n", From a6b901c1396aac9b7b68bac236d2a9f3f88ba9f5 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 30 Jan 2024 23:32:07 +0000 Subject: [PATCH 48/77] wip --- artefacts/appendix-E1-rs-cm-one-shot.ipynb | 153 +++++++------ artefacts/library.py | 244 +++++++++++++++++++++ 2 files changed, 333 insertions(+), 64 deletions(-) create mode 100755 artefacts/library.py diff --git a/artefacts/appendix-E1-rs-cm-one-shot.ipynb b/artefacts/appendix-E1-rs-cm-one-shot.ipynb index b4ecf6a..65ce886 100644 --- a/artefacts/appendix-E1-rs-cm-one-shot.ipynb +++ b/artefacts/appendix-E1-rs-cm-one-shot.ipynb @@ -272,14 +272,12 @@ }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -537,7 +535,7 @@ "metadata": {}, "source": [ "Now we find points at 10% and 80% of each step.\n", - "Annoyingly, Axon always gives the protocol a little offset, which we will account for here by moving the points slightly to the left." + "Annoyingly, Axon always gives the protocol a little offset, which we will account for here by using slightly different percentages." ] }, { @@ -556,7 +554,7 @@ } ], "source": [ - "ipad = 15\n", + "ipad = 15 # a 6% shift\n", "i10 = 25 - ipad\n", "i80 = 200 - ipad\n", "i100 = 250 - ipad\n", @@ -632,14 +630,12 @@ }, { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAABNIAAAGsCAYAAAAYH4rFAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/OQEPoAAAACXBIWXMAAA9hAAAPYQGoP6dpAACMAUlEQVR4nOzdeXxU5aH/8c85k51sZJ0Bwr4zI3sioCIgkBm1rtW2t7V08Verti61em1ri7XW2tbWaquty3Wpt2o3W3udYVEEZTEBZJmBsO8wkxCWhACZJHPO74/oWAqOqMAQ+L5fr75ezpnnzDxPiogfn3OOYdu2jYiIiIiIiIiIiCRkJnsCIiIiIiIiIiIiHYFCmoiIiIiIiIiIyHFQSBMRERERERERETkOCmkiIiIiIiIiIiLHQSFNRERERERERETkOCikiYiIiIiIiIiIHAeFNBERERERERERkeOQkuwJJINlWezatYucnBwMw0j2dEREREREREREJIls2+bAgQN06dIF0/zwfWdnZUjbtWsXZWVlyZ6GiIiIiIiIiIicRrZv3063bt0+9P2zMqTl5OQA7T+c3NzcJM9GRERERERERESSqbGxkbKysngz+jBnZUh7/3LO3NxchTQREREREREREQH4yFuA6WEDIiIiIiIiIiIix0EhTURERERERERE5DgopImIiIiIiIiIiByHs/IeaSIiIiIiIiJy6sRiMVpbW5M9DTmLpaam4nA4PvXnKKSJiIiIiIiIyElh2zaRSIT9+/cneyoi5Ofn43Q6P/KBAokopImIiIiIiIjISfF+RCspKSErK+tTBQyRT8q2bQ4dOkRdXR0ALpfrE3+WQpqIiIiIiIiInHCxWCwe0QoLC5M9HTnLZWZmAlBXV0dJScknvsxTDxsQERERERERkRPu/XuiZWVlJXkmIu3e/7X4ae7Xp5AmIiIiIiIiIieNLueU08WJ+LWokCYiIiIiIiIiInIcFNJERERERERERESOg0KaiIiIiIiIiIjIcVBIOwNs33uI/1u5i4PRtmRPRURERERERKTDmzZtGoZhYBgGqamplJaWMnnyZP7nf/4Hy7KO+3OeffZZ8vPzT95E5ZRLSfYE5NN7ZdlOfjV7HaUpBxnarxe+c7owcVAJuRmpyZ6aiIiIiIiICACWZbPvUEvSvr9zVhqmefw3m6+srOSZZ54hFotRW1vLjBkzuOWWW/jrX//Kq6++SkqKksrZSP+vnwH8wTAAvzAeoc+mXcxYX87/41yy+4yh8pyuTB5USl6WopqIiIiIiIgkz75DLYz8yetJ+/6lP7iIwuz04x6fnp6O0+kEoGvXrowYMYJzzz2XSZMm8eyzz/L1r3+dX/3qVzzzzDNs2rSJgoICLr30Un7+85+TnZ3N3Llz+cpXvgJ88LTIH/3oR0yfPp0XXniBhx9+mLVr19KpUycmTpzIww8/TElJyYlfuJxQurSzg9u0u4k1kQOk04LH3ExXYw9fSwnwUsqPuH/LNTS9chvfvP83THt6ES8v3sbeg8mr/yIiIiIiIiId2cSJExk6dCh///vfATBNk0ceeYRQKMRzzz3HnDlzuPPOOwEYO3YsDz/8MLm5uYTDYcLhMHfccQcALS0t3HfffaxYsYJ//OMfbN68mWnTpiVrWfIxaEdaB3e4NcYF/YtZuKGec6O/5TwziM9RxWTzXUqN/UxLmcU0ZuHfUs6N62/le6+EGNO7EK/HyZTBTopzjr/Gi4iIiIiIiJztBg4cyMqVKwG49dZb48d79erFfffdxze/+U0ee+wx0tLSyMvLwzCM+M629331q1+N/3Xv3r155JFHKC8vp6mpiezs7FOyDvlkFNI6uCFd8nj+q+XsP9TC7NW1zAh14+71o7m7NcpYM4TPrGaKYwkLLDcAMctm7Yb1XLzlAe74Zzmt3c9n6jllVLqdlOZmJHk1IiIiIiIiIqc327bjl2q++eab/PSnP2X16tU0NjbS1tZGc3MzBw8epFOnTh/6GcuWLWP69OksX76cvXv3xh9gsG3bNgYPHnxK1iGfjELaGSI/K43Pjirjs6PKaGxuZU5NHf5gN36wbiTfi7bg4IOnikx1LOHzKW/yed5kf/i3zNoxiv9+rZzDXc9n8jnd8bqddMnPTOJqRERERERE5EzTOSuNpT+4KKnffyLU1NTQq1cvtm7dis/n44YbbuC+++6joKCA+fPn87WvfY3W1tYPPf/gwYNMmTKFKVOm8MILL1BcXMy2bduYOnUqLS26HdPpTiHtDJSbkcrlw7ty+fCuNEXbeHNNHYFQmDlr6mhutVhh9eGFtklMdSym2GjkmpR5XMM8Gmt/x+yZI7j6/66hpKwvPo8Tr9tFWUFWspckIiIiIiIiHZxpGh/rZv+nozlz5hAMBrnttttYsmQJbW1tPPTQQ5hm+y3o//znPx8xPi0tjVgsdsSxNWvWUF9fz89+9jPKysoAWLJkyalZgHxqCmlnuOz0FC4d2oVLh3bhUEsb89buxh/qwgM1/fhh9CuUm2vwmlV4HYspMfbzGXMR93Idu7bvZ/n2/fxvYC7Frh5M8PTE53HRq+jDt6aKiIiIiIiInCmi0SiRSIRYLEZtbS0zZszggQce4JJLLuG6664jGAzS1tbGo48+yqWXXsqCBQv4/e9/f8Rn9OzZk6amJt544w2GDh1KVlYW3bt3Jy0tjUcffZQbbriBUCjEfffdl6RVysdl2LZtJ3sSp1pjYyN5eXk0NDSQm5ub7OkkRXNrjLfW7WZGKMLs1bU0RVsYaaxjoLmdF2KT4+P+nvZDBhjbedMajj9Wzs7i85l0Ti98Hid9S3KSuAIRERERERE5nTU3N7N582Z69epFRkbHuif3tGnTeO655wBISUmhc+fODB06lC984Qt8+ctfju9A+/Wvf80vfvEL9u/fzwUXXMB//dd/cd1117Fv3z7y8/MB+OY3v8lf/vIX9uzZw49+9COmT5/Oiy++yPe+9z3C4TAjRozg7rvv5jOf+QzLli1j2LBhSVr1mS/Rr8njbUUKaWdpSPt30bYYCzfswR8MM2t1LQ2H26/lzqSZWWl3UWbujo89bKcx1xpKIFbB1sLzGH9OH3weJwNKc+I3WxQRERERERHpyCFNzkwnIqSZJ3uSH2X69OkYhnHE//7zsbD/ad68eYwcOZKMjAx69+591NZJ+XjSUxxMGFjCLz47lCU/uIjnv1rO58vLyOyUy/ktD3Np9Cc83nYpW60SMo0WvI7FPJL2W/7f/l/xyBvrqXz4bSY9NI9fzFxDaGcDZ2GbFREREREREZGzwGlxj7QhQ4bw+uuvx187HI4PHbt582Z8Ph/XX389L7zwAgsWLODGG2+kuLiYq6666lRM94yW6jC5oH8xF/Qv5r7LLKo378Uf6sHToUE82PQ5Bhtb8Tqq8ZlVzIyNjp8X27OREfOn8+xb5dTknsd5nn54PS6GdsvTTjUREREREREROSOcFiEtJSXlI3ehve/3v/893bt35+GHHwZg0KBBLFmyhF/+8pcKaSdYisNkbN8ixvYt4t7PuFm6dR/+YC/+NzSQhxo/i8EHO88uNquY5FjGJMcyWg89xcJFQ/jTggq+l30eYzz98XmcDC/rjGkqqomIiIiIiIhIx3RahLT169fTpUsX0tPTqaio4Kc//Sm9e/c+5thFixYxZcqUI45NnTqVp59+mtbWVlJTU486JxqNEo1G468bGxtP7ALOAg7ToLxXAeW9CvjhJYNZtn0/gWCYQCjCzv2H8VvlOFpj+BzVDDK3Md6xkvGOlbQ1P82iqsF8e/71tOV2w+t24XU7GdWzAIeimoiIiIiIiIh0IEkPaRUVFTz//PP079+f2tpafvKTnzB27FhWrVpFYWHhUeMjkQilpaVHHCstLaWtrY36+npcLtdR5zzwwAPce++9J20NZxvTNBjZozMje3Tm+xcPYuWOBvyhMP8M9uHRvVfSywjjNavxOqrwmFsYYa6nnjyijVGeXbiFZYtepzmrC6M9A/G5XZT3KiDFkfTb9YmIiIiIiIiIJJT0kOb1euN/7fF4GDNmDH369OG5557j9ttvP+Y5/3nPrfdvbv9h9+K6++67j/isxsZGysrKPu3Uhfaf+dCyfIaW5fPflQNZtauRQChMINiXx+ovo8yoZaCxnShp8XN+kfoH+rbtYsm7/QksLufH6ecxbMhgvB4XY/sUkqqoJiIiIiIiIiKnoaSHtP/UqVMnPB4P69evP+b7TqeTSCRyxLG6ujpSUlKOuYMNID09nfT09BM+VzmSYRi4u+bh7prHHVMGsK62CX8wTCAUhtomALI5RBOZmIZNubGWcnMtWH9k6Yp++N8t54HUcbiHuPF5nIzrW0R6yoc/eEJERERERERE5FQ67UJaNBqlpqaG888//5jvjxkzhn/9619HHJs1axajRo065v3RJDkMw2CAM4cBzhxum9yfDXUHCAQj+EMRrgz/GBd7qHRU43VUM8pYx0hzPSPN9fw1tp07lt7AX5fuICc9hYsGl+J1O7mgfzEZqYpqIiIiIiIiIpI8Sb+G7o477mDevHls3ryZqqoqrr76ahobG/nyl78MtF+Wed1118XH33DDDWzdupXbb7+dmpoa/ud//oenn36aO+64I1lLkOPQtySHb03qR+CW83nzjgv5UuVYljg/xzUtP+Lc6G+5p3Uai2KD+b9YRfyc7i3r+fqq61j+p3u48r7n+NaLywgEwxxqaUviSkRERERERETkVFqwYAEej4fU1FQuv/xy5s6di2EY7N+//5TPJek70nbs2MHnP/956uvrKS4u5txzz+Wdd96hR48eAITDYbZt2xYf36tXL/x+P7fddhu/+93v6NKlC4888ghXXXVVspYgH1Ovok7ceGFfbrywL9v3HmJGKII/1Is/bjvyaaw+RxVDzK0MMbdyJ3+mpqaMQKiC35rn0n3ACLweFxMHlpCdnvRfxiIiIiIiInKGmDZtGvv37+cf//hHUr7fMAxeeeUVLr/88qR8/+no9ttvZ9iwYQQCAbKzs8nKyiIcDpOXlwfAs88+y6233npKwlrSC8RLL72U8P1nn332qGPjx4/n3XffPUkzklOprCCL6y/ozfUX9GbX/sPMCEUIhMIs2bqPp9p8bLVLudisYqy5ikHmdgaZ27mdv7J+XVe+supO7nCUMr5/MT6Pk0mDSsnN0OW9IiIiIiIiIsnQ0tJCWlraRw/8mDZu3MgNN9xAt27d4secTucJ/57jkfRLO0Xe1yU/k6+e14u/3DCWd+6exG2XjWFbj6v4Stt/Myr6OHe0foM3YsNpsR0UGg2E7QJa2ixmr67ln395li/e9yRffaaavyzZzv5DLclejoiIiIiIiJyBVq9ejc/nIzs7m9LSUr70pS9RX18ff3/GjBmcd9555OfnU1hYyCWXXMLGjRvj77e0tHDzzTfjcrnIyMigZ8+ePPDAAwD07NkTgCuuuALDMOKv/1OizwBYv349F1xwARkZGQwePJjZs2djGEZ8l92xLo1cvnw5hmGwZcsWAPbs2cPnP/95unXrRlZWFh6PhxdffPGIeVx44YXcfPPN3H777RQVFTF58uTj+hn99a9/xePxkJmZSWFhIRdddBEHDx48ap1btmzBMAz27NnDV7/6VQzD4Nlnnz1i/nPnzuUrX/kKDQ0NGIaBYRhMnz494f+Hn0bSd6SJHEtpbgbXjenJdWN6Ut8UZdaqWgKhnvy/jRfSqbWJPsYuYrQ/fMDA4qepT9PF2MuWzaUENpYz7ZUKcnuX4/W4mDK4lMJsPbVVRERERETktNFydDSJMxyQmnGcY01IzUw8Nq3Tx5/fhwiHw4wfP57rr7+eX/3qVxw+fJi77rqLa665hjlz5gBw8OBBbr/9djweDwcPHuSHP/whV1xxBcuXL8c0TR555BFeffVV/vznP9O9e3e2b9/O9u3bAVi8eDElJSU888wzVFZW4nAc+6F7iT7DsiyuvPJKioqKeOedd2hsbOTWW2/92Gttbm5m5MiR3HXXXeTm5vLaa6/xpS99id69e1NR8cH9zZ977jm++c1vsmDBAmzb/sifUTgc5vOf/zw///nPueKKKzhw4ABvv/02tm0fNYeysjLC4TADBgzgxz/+Mddeey15eXlUVVXFx4wdO5aHH36YH/7wh6xduxaA7Ozsj73e46WQJqe9oux0vlDRnS9UdGffwRZmr67FHwqTuqGe1phNDodZbvWls7mcnmYt3zT/xTf5Fzu2FeHfXMG0f4wlp9covB4XU4eUUpKT8dFfKiIiIiIiIifPT7t8+Hv9psB//eWD17/oC62Hjj22x3nwldc+eP2wBw7tOXLM9IZPPs//8PjjjzNixAh++tOfxo/9z//8D2VlZaxbt47+/fsfdQ/3p59+mpKSElavXo3b7Wbbtm3069eP8847D8Mw4veIByguLgYgPz8/4aWLiT7j9ddfp6amhi1btsQvhfzpT3+K1+v9WGvt2rXrEQ92/Na3vsWMGTP4y1/+ckRI69u3Lz//+c/jr3/4wx8m/Bk1NTXR1tbGlVdeGZ+3x+M55hwcDgdOpxPDMMjLyzvmzyQtLY28vDwMwzgll3sqpEmH0rlTGteMLuOa0WU0HGrl9ZpaAqEwt667nZTWQ1xoLsfnqGaiuYxuRj3/L+U1UtvauHdjLxZu3MOP/rmS0T0K8Hq6UOl24cxTVBMREREREZHjs3TpUt58881j7njauHEj/fv3Z+PGjdxzzz2888471NfXY1kW0B6/3G4306ZNY/LkyQwYMIDKykouueQSpkyZctTnJZLoM2pqaujevfsR9xMbM2bMx15rLBbjZz/7GS+//DI7d+4kGo0SjUbp1OnIHX6jRo064vVH/YymTJnCpEmT8Hg8TJ06lSlTpnD11VfTuXPnjz3HZFBIkw4rLyuVq0Z246qR3TjQ3MqcNXX4gz34ztqxGNHDjDdX4nVU8a/YB79hVBg1PBz+HYEd5dzyfxXEupVTeU43Kt1OunXOSuJqREREREREziLf2/Xh7xn/cTnjdzckGPsft36/NfjJ53QcLMvi0ksv5cEHHzzqPZfLBcCll15KWVkZTz75JF26dMGyLNxuNy0t7ffyHjFiBJs3byYQCPD6669zzTXXcNFFF/HXv/71uOeR6DOOdYmkYRhHvDbN9p/bv49tbW09YsxDDz3Er3/9ax5++GE8Hg+dOnXi1ltvja/jff8Z1j7qZ+RwOJg9ezYLFy5k1qxZPProo3z/+9+nqqqKXr16HffPIFkU0uSMkJORymXDunLZsK4cjLYxd+1u/KGe3F1zLoftWHzcZHMppcZ+pqXMYlrKLHbX5TJz5mjuDFRw2HUuU8/phtftpEfhibuGXkRERERERP7Dx7lv2cka+wmMGDGCv/3tb/Ts2ZOUlKOTyp49e6ipqeEPf/gD559/PgDz588/alxubi7XXnst1157LVdffTWVlZXs3buXgoICUlNTicViR51zvJ8xePBgtm3bxq5du+jSpf0S2kWLFh1x7vuXkIbD4fhOsOXLlx8x5u233+ayyy7ji1/8ItAeyNavX8+gQYM+1c8I2sPeuHHjGDduHD/84Q/p0aMHr7zyCrfffvtHrvtY0tLSjutndiIopMkZp1N6Chef4+Lic1wcbokxb91uAqEwb9TU8bPo53nL8uAzq5niWEKx0cgXU97gi7zB3vpsLgn8lJ8FihjSJRefx4XX7aR38cm7SaGIiIiIiIicfhoaGo4KSwUFBdx00008+eSTfP7zn+e73/0uRUVFbNiwgZdeeoknn3ySzp07U1hYyBNPPIHL5WLbtm3893//9xGf8+tf/xqXy8WwYcMwTZO//OUvOJ1O8vPzgfYnd77xxhuMGzeO9PT0Y17ymOgzLrroIgYMGMB1113HQw89RGNjI9///vePOL9v376UlZUxffp0fvKTn7B+/Xoeeuiho8b87W9/Y+HChXTu3Jlf/epXRCKRjwxpH/UzWrJkCW+88QZTpkyhpKSEqqoqdu/e/ZGfm0jPnj1pamrijTfeYOjQoWRlZZGVdXKuOjM/eohIx5WZ5qDS7eQ3nxvOkh9cxGPXjaFg2CXcl3ITo6KPc13LXbzYNoG9djaHyGAXhQCs2tXIltf/wH2/fphLf/0Gv3l9PetrDyR5NSIiIiIiInIqzJ07l+HDhx/xvx/+8Id06dKFBQsWEIvFmDp1Km63m1tuuYW8vDxM08Q0TV566SWWLl2K2+3mtttu4xe/+MURn52dnc2DDz7IqFGjGD16NFu2bMHv98cvt3zooYeYPXs2ZWVlDB8+/JjzS/QZpmnyyiuvEI1GKS8v5+tf/zr333//Eeenpqby4osvsmbNGoYOHcqDDz7IT37ykyPG3HPPPYwYMYKpU6dy4YUX4nQ6ufzyyz/yZ/dRP6Pc3FzeeustfD4f/fv35wc/+AEPPfTQx34Ywr8bO3YsN9xwA9deey3FxcVHPPzgRDPsY108e4ZrbGwkLy+PhoYGcnNzkz0dSYKWNosFG+sJBMPMWl3LgUPNdDN2s9Vuf8JHCm0sSf8m+cZBGu1MXrdG4o9VsKPgXCaf0wOv28UgV85R15mLiIiIiIhIu+bmZjZv3kyvXr3IyNCD3pLNMAxeeeWV44phZ6pEvyaPtxXp0k45K6WlmEwYUMKEASXcH7Oo2rQXfyhMUyjCnoMtZNHMP2Lj8DqqKTX2c6VjPlc65tN0IIM33h7B/XPHs7NzBV6PC5/bhbtrrqKaiIiIiIiIyBlOIU3OeqkOk/P6FXFevyLuu8xN9ea9BEJhfhcq5N4D1zHCWM/FjioqHdV0MfZymWMh2+wSHtrj4fG5G3lq7lp65Kcy8ZxeeN1OhpXlK6qJiIiIiIiInIEU0kT+jcM0GNOnkDF9Cpl+6RCWbtuHP9ibJ0NDua/hiwwzNuJ1VPNqbGz8nAvN5Txy+LfMXTSUZ+ZXsDp7DBd4euP1OBnZvTOmqagmIiIiIiIiyXUW3tnrpFBIE/kQpmkwumcBo3sWcM/Fg1m+Yz+BYB+eD53Djn2H4+PONWvINFrwOhbjdSwmGv0D86rP4X8XVXBn1rmc7+mD1+2ivFcBDkU1ERERERERkQ5LDxvQwwbkY7Jtm9DORvyhMP5gmK17DjLY2IrXUY3PrKKPGY6PjdopXBB9mFoKKMpOY8oQJz63i3N7F5Di0ENzRURERETkzKWHDcjpRg8bEEkCwzDwdMvD0y2PO6cOoCZ8gEAozCvBITy0+7P0N3bgc1ThM6uwMKmlAID6phbylzzCv5bkcU/auZQP6Y/X42RsnyLSUhTVRERERETkzGRZVrKnIAKcmF+L2pGmHWlygti2zfq6JvzBMIFghLW1B8jlII10AiCTZt5Nv4FMo4U22+QdaxABq4IFqecyYvAAfG4X5/UrIiPVkeSViIiIiIiIfHqWZbF+/XocDgfFxcWkpaXpwWySFLZt09LSwu7du4nFYvTr1w/TPHJDy/G2IoU0hTQ5STbubmJGKII/GGbVrkZyOMSXHLPwOapxm1vi42K2QbU1iGdjU1iQOpZJg0rwul1cOKBYUU1ERERERDq0lpYWwuEwhw4dSvZURMjKysLlcpGWlnbUewppCSikyam2dc9BAqEIgWCYFTsa6G7U4jWr8TqqGGZuAuCnrZ/nidilAGTRjDPtMIMGDsbndjFhYDFZaboSW0REREREOh7btmlrayMWiyV7KnIWczgcpKSkfOiuSIW0BBTSJJm27z3EzFXtO9Xe3bafbsZupprVzIiVs5NiAK4w3+bXaY/zrtUXf6yCN80K+vV34/U4mTiwhJyM1CSvQkREREREROTMoZCWgEKanC7CDYeZEYoQCEZYvHUv7//d+N2Ul/im41+Yxgd/e66wehOIlTPbOJde/dx43S4uGlxKXqaimoiIiIiIiMinoZCWgEKanI7qDjQzc1UtgWCYdzbtocjex1THYnxmNeVmDY73opplG4yOPsYe8kh1GIzrW4TP7WLy4FI6dzr6Om8RERERERERSUwhLQGFNDnd7WmKMmt1Lf5gmIUb99DZ2s8UxxK8ZhWpRozPtdwTH3tvyjPssfOYaVdQ0mcoXreLKUNKKcpOT+IKRERERERERDoOhbQEFNKkI9l3sIXZNe071eZvqMeKtRGj/Wme+RxgSfo3STEsANZbXfFbFcywysnvMQzfOS6mDnFSkpuRzCWIiIiIiIiInNYU0hJQSJOOquFwK2/U1OIPRnhr/W4cbYfwmdX4HFWcb64kzfjgKTibLCePxS7jb9Z4RvXojNftotLtpEt+ZhJXICIiIiIiInL6UUhLQCFNzgRN0TbmrKkjEAzz5to6UlubmGS+i89RxXhzJelGK99r/Rp/ik0C2nevdTfqcHQbgc/ThUq3k7KCrCSvQkRERERERCT5FNISUEiTM82hljbmrt2NPxhmzpo6jJYmJprLWGC52Uv7r/EvOWZxX+qz7LCL8McqCMTKsbqMoNLTFa/bSc+iTklehYiIiIiIiEhyKKQloJAmZ7Lm1hjz1u0mEAzzek0dTdE2AG52vMKNKa+SZUTjY3fZBcyIleOPlXO4dBTec7pQ6XbRtyQ7WdMXEREREREROeUU0hJQSJOzRbQtxvz19fiDEWavjtDSfJDx5kq8jiommcvIMQ4D0GI7GBV9nEbaA1r/0my8bhc+j4v+pdkYhpHMZYiIiIiIiIicVAppCSikydmopc1i0aY9BIJhZq6KcOjQQc4zg/gc1aQQ45bWm+Njn0x9iDo7H79VTm3BaCo93fB6nAx25SqqiYiIiIiIyBlHIS0BhTQ527XFLKo278X/XlSrb2qJv9eFehZmfDv+eq+dzczYaAJWOTvyRzPZ0w2f28U53fIU1UREREREROSMoJCWgEKayAdils3iLXsJBMMEQhH2HjjIGHM1XrOKqY4lFBoH4mP32514qO2z/DE2ha75mXjdTrweF8PL8jFNRTURERERERHpmBTSElBIEzk2y7J5d9s+AqEIgWCY2oaDlJtr8JlVVDoWU2w08O2Wm3nVGguAiz24zc2s7VTORE93fB4XI3t0xqGoJiIiIiIiIh2IQloCCmkiH822bVbsaCAQDOMPhdm59yCjjbWE7J4cJBOAGx3/5M7Ul2myM5hjDccfqyCUVc4Edw+8HiflPQtIcZhJXomIiIiIiIhIYgppCSikiXw8tm2zalcj/mAYfzDMlj2HAPiKI8D1Ka/RxdgbH3vITmeONYxArIIlGWOY6O6G1+1iTJ9CUhXVRERERERE5DTUYULaAw88wN///nfWrFlDZmYmY8eO5cEHH2TAgAEfes7cuXOZMGHCUcdramoYOHDgR36nQprIJ2fbNmsiB97bqRZhY10jQ41NeB1V+MxqyszdABy00xkR/QNR0gDIz3AweYgLn8fFuL5FpKUoqomIiIiIiMjp4XhbUcopnNMxzZs3j5tuuonRo0fT1tbG97//faZMmcLq1avp1KlTwnPXrl17xOKKi4tP9nRFznqGYTDIlcsgVy63TxnA+toDBEIDeSU4nAciX8BtbOZiRxUOrHhEA3jBuovwykJeXVbO99IqGDOoF16Pi/P7FZGR6kjiikRERERERESOT9J3pP2n3bt3U1JSwrx587jggguOOeb9HWn79u0jPz//Y3+HdqSJnBybdje1P6ggFCa0szF+vLexiznpd8Rft9gO3rbOIWCVs9BRzqhBffB5nIzvX0JmmqKaiIiIiIiInFodZkfaf2poaACgoKDgI8cOHz6c5uZmBg8ezA9+8INjXu4JEI1GiUaj8deNjY3HHCcin07v4mxumtCXmyb0ZdueQwRC7Zd/rthuMyX6ID5HFV6zmgHmDiY5ljHJsYxW+ykeDH2OG1ZcTGaqgwkDi/G6XUwcWEKn9NPutygRERERERE5i51WO9Js2+ayyy5j3759vP322x86bu3atbz11luMHDmSaDTKH//4R37/+98zd+7cY+5imz59Ovfee+9Rx7UjTeTU2LHvEDNCEQKhCEu37qOPsROvWY3PUc1gcytfafkub1rDAehj7ORcs4Y3jXLc/fvh87iYOKiE3IzUJK9CREREREREzlQd5mED/+6mm27itddeY/78+XTr1u1jnXvppZdiGAavvvrqUe8da0daWVmZQppIEkQampm5KoI/GKZ6y156EGaXXUQL7aHszpSXuDHlVSzboNoeiD9WzhwqGNCvP16Pi8mDSsnLUlQTERERERGRE6fDhbRvfetb/OMf/+Ctt96iV69eH/v8+++/nxdeeIGampqPHKt7pImcHuoONDNrVS2BUJhFG/dg2fBZx1z+y/E6w8xN8XGWbbDU7kcgVsHL9kWM6tsFr9vJlCFOCjqlffgXiIiIiIiIiByHDhPSbNvmW9/6Fq+88gpz586lX79+n+hzrr76avbu3cucOXM+cqxCmsjpZ09TlNmra/GHIizcUE+pVUelo/3yz5Hm+vYxdg7l0ceI0f5AgjzzMO7e3fC6XUwd4qQ4Jz2ZSxAREREREZEOqsM8bOCmm27iT3/6E//85z/JyckhEokAkJeXR2ZmJgB33303O3fu5Pnnnwfg4YcfpmfPngwZMoSWlhZeeOEF/va3v/G3v/0taesQkU+nMDudz5V353Pl3dl/qIXZq2uZERrC59dfSkHLbiodi0khFo9oBhaB1O+ye1s+gc3lfPaf5ZT2HIzP46LS7aQ0NyPJKxIREREREZEzTdJD2uOPPw7AhRdeeMTxZ555hmnTpgEQDofZtm1b/L2WlhbuuOMOdu7cSWZmJkOGDOG1117D5/OdqmmLyEmUn5XGZ0eV8dlRZTQ2tzKnpg5/cDBz1+0GLAD6GrsoZR9dzL0MNTfx37zEqp098G+r4PP/Kqeg+xC870W1rvmZyV2QiIiIiIiInBGSfmlnMujSTpGOqSnaxptr6giEwsxZU0en1n1McSzBZ1YxxlxNimHFx/6i9Rp+F7scgGFl+XjdTrxuF90Ls5I0exERERERETlddZhLO0VEjld2egqXDu3CpUO7cKiljXlrd+MPDeKGmimkRfcx2bEUn1nNODNEtTUwfp69YwnN4WVcP6OCVNcQvJ4u+DwuehV1SuJqREREREREpKPRjjTtSBPp8JpbY7y1bjczQhFmr67FiO6niSwsTAB+nPIM16XMBmCj5SJglROIVRArceM7pws+j5O+JTnJXIKIiIiIiIgkUYd5amcyKKSJnLmibTEWbtiDPxhm1upaGg63MtlcwtWOt7jQXEG60Rofu9UqIWBV8Ou2q+heUoDX48LncTKgNAfDMJK4ChERERERETmVFNISUEgTOTu0xiwWbdxDIBRm5qpaogcbmGguw+uoZoK5nEyjhR12EedFfwO0h7Myo5bUgp5Uvnf555AuuYpqIiIiIiIiZziFtAQU0kTOPm0xi+otewkEIwRCEQ42NXChuYI0WvmndR4AKbSxJP2bHCadGbHRvBaroC5/KF5PV7weF0O75SmqiYiIiIiInIEU0hJQSBM5u8Usm6Vb9+EPhpkRihBpbAZggLGNv6bdS45xOD621s5nRmw0AauCnTnDmOLpis/jZHhZZ0xTUU1ERERERORMoJCWgEKaiLzPsmyWbd9PIBgmEIpQv7+B88wgPkc1k82l5BqH4mMfar2aR2NXAlCam47X7cLrdjKqZwEORTUREREREZEOSyEtAYU0ETkW27ZZuaMBfyhMIBghvLeRcWYQn1nNFMcSPt/yA2rsHgCcb67kYvMdAlYFazOHMcldhs/joqJXASkOM8krERERERERkY9DIS0BhTQR+Si2bbM63EggGMEfDLOtvoE2HLz/UIJfpT7GlY75ADTYWcy2RvFarIJV6cOZ6C7D63Extk8hqYpqIiIiIiIipz2FtAQU0kTk47Btm3W1TfiDYQKhMOtqmxhtrOEzjoVUOhZTbDTExzbambxujeS/W68nMzOLyYNL8XmcjOtbRHqKI4mrEBERERERkQ+jkJaAQpqIfBob6g6071QLRVgb3s8oYy1eRzVeRzVOYx81Vhnelgfj44cb69mR1ptxg9p3qo3vX0xGqqKaiIiIiIjI6UIhLQGFNBE5UTbXHyQQan/6Z3DHPkYY6+lkNPOWNRSATJp5N/0GbAzmWMMIxCqoShnJuQO74/O4uHBAMVlpKUlehYiIiIiIyNlNIS0BhTQRORm27z3EjFAEfyjMsm37ARhgbOOp1IcoM3fHxx2205hrDSUQq2CBYwTlA3ri9biYOLCE7HRFNRERERERkVNNIS0BhTQROdl27T/MjFCEQCjMkq17GcJmfI5qfGYVPc3a+LgHWj/PH2KXApCWYnJBv2J8HieTBpWSl5marOmLiIiIiIicVRTSElBIE5FTqbaxmZmr2p/+Wb15DwPYhtdRhc+s5iut32W7XQrApeZCrnDMJ2CV8yaj8fRt36k2ZXAp+VlpSV6FiIiIiIjImUshLQGFNBFJlvqmKLNW1RIIhVm4cQ8x64Pfgp9MfYjJjqUAtNoOFlmDec06lzn2KAb26YXvvahWmJ2erOmLiIiIiIickRTSElBIE5HTwb6DLcxeXYs/FGbBhnq6WzvwmVX4HNUMMrfFx7XZJouswXyl9U4sI4Vzexfi9biYOqSUkpyMJK5ARERERETkzKCQloBCmoicbhoOtfJ6TftOtbfW1dPV2onXrMbrqMJjbmGx1Z/PtkyPj59qLma53ZcePfrg9TipdDtx5WUmbwEiIiIiIiIdmEJaAgppInI6O9Dcypw1dfiDYeau3U1JLEw+BwnavQHoTCOL028kxbBYbPUnEKsgECvH1b0PPo+LSreTbp2zkrwKERERERGRjkMhLQGFNBHpKA5G25i7djf+UJg5NXUcbo3R39jOA6lPMdJcf8TYZVZf/LFy/i82hpJuvfF6XHjdTnoUdkrS7EVERERERDoGhbQEFNJEpCM63BJj3rrdBEJh3qipIztaS6VjMV5HNaONtZhG+2/n32v9Gn+KTXrvLJshXfLiO9X6FGcnbwEiIiIiIiKnKYW0BBTSRKSja26NMX99Pf5QmNmra8lo3s1UxxJ8ZhXfbv0W9eQB8CXHLK51zMUfKydgVZBW0h+vx4nP46JfSTaGYSR3ISIiIiIiIqcBhbQEFNJE5EzS0maxYGM9gWCYWatr2X+oNf7e/6bezzjHqvjrGquMQKwCv1WOXTQAn8eF1+1ikCtHUU1ERERERM5aCmkJKKSJyJmqNWZRtWkv/lCYmaEIsYN7mOxYis+s4jwzRKoRi49dbfXg0pafEMNBz8IsvB4XPrcLd9dcRTURERERETmrKKQloJAmImeDmGVTvXkvgVCYQChC9MAeJpvv4nVUcb4ZZKE1hK+03hUff51jJkut/jTkDWp/UIHHxbBu+ZimopqIiIiIiJzZFNISUEgTkbONZdks3bYPfzDMjFCEpoa9dDYOsM0uBaAL9SzM+DYAW60SAlYF/lg5u3MGU+lx4fO4GNm9s6KaiIiIiIickRTSElBIE5GzmWXZLN+xn0Cwfafajn2H6WPs5Dspf2GCuZxMoyU+doddRCBWzsuxC2nM7kOl24nX7aK8VwEORTURERERETlDKKQloJAmItLOtm1COxvxh8L4g2Hq9uxlgrkcn6OaCeYyOhlRAL7VcjP/ssYCkE4LeZ0yucjdFZ/bRUXvAlIdZjKXISIiIiIi8qkopCWgkCYicjTbtqkJHyAQCvNaMMyu3XsZb65kqmMx97R+hYNkAnCj4x9MS5lFIDaagFXB+nQ3k4Z0wetxMa5PEWkpimoiIiIiItKxKKQloJAmIpKYbdusr2vCHwwTCEZYW3sg/t5LafdxrlkTf73bzmVWbDR+q5xVaecwcXAXfG4X5/UrIiPVkYzpi4iIiIiIfCwKaQkopImIfDwbdzcxIxTBHwyzbtdexpkhvGY1UxxL6Gw0xcdtt4q5oOXX2Jhkp6cwaVAJXreLCwcUK6qJiIiIiMhpSyEtAYU0EZFPbuuegwRCEQLBMKt27GGMuRqvWcVUxxIWWG6+3fqt+Ni7Ul6k2hrIuylDOW9g+z3VLhxQTKf0lCSuQERERERE5EgKaQkopImInBjb9x5i5qr2nWortu0hl4Pso/331X7GDman3wlAo53J69ZI/LEKqsyhjB3QFZ/HxcSBJeRkpCZzCSIiIiIiIgppiSikiYiceOGGw8wIRQgEIyzeupdu1PJVxwy8jmqcxr74uCY7gzesETzV5mOt2ZcL+hfhdbu4aHApeZmKaiIiIiIicuodbys6LR6t9thjj9GrVy8yMjIYOXIkb7/9dsLx8+bNY+TIkWRkZNC7d29+//vfn6KZiojIh3HlZfKVcb348w1jqPreJP7fZZOY3eN2xrU8ypXR6TzV5mWnXUi20cxljoUUGg20xCxer6njvr/M54Kf/Itpz1Tz58Xb2XewJdnLEREREREROUrSd6S9/PLLfOlLX+Kxxx5j3Lhx/OEPf+Cpp55i9erVdO/e/ajxmzdvxu12c/311/ONb3yDBQsWcOONN/Liiy9y1VVXHdd3akeaiMips6cpyqzVtfiDYRZurMdtb2CqYwkPt11FC+070O5MeYmvOgLMtYbhj5UzjxGc06cMr9vFlCGlFGWnJ3kVIiIiIiJyJuswl3ZWVFQwYsQIHn/88fixQYMGcfnll/PAAw8cNf6uu+7i1VdfpaamJn7shhtuYMWKFSxatOi4vlMhTUQkOfYdbGF2TS2BYJj5G+ppjbX/I+j51Ae4wBGMj4vaKbxlnUMgVs4b9kgG9SrD53ExdYiT0tyMZE1fRERERETOUB0ipLW0tJCVlcVf/vIXrrjiivjxW265heXLlzNv3ryjzrngggsYPnw4v/nNb+LHXnnlFa655hoOHTpEaurR99eJRqNEo9H468bGRsrKyhTSRESSqOFwK2/U1OIPRnhrfR19Y5vxOqrxmVX0McPxcWG7gDHRRwEDw4BRPTrjdbuodDvpkp+ZvAWIiIiIiMgZ43hDWsopnNNR6uvricVilJaWHnG8tLSUSCRyzHMikcgxx7e1tVFfX4/L5TrqnAceeIB77733xE1cREQ+tbzMVK4c0Y0rR3SjKdrGnDV1BILn8ru1tXSPbsPnqMJnVrHEGgAY7SfZFl/ceR+Ltg/mt/83iu5l3fF5nHjdLsoKspK6HhEREREROfMlNaS9zzCMI17btn3UsY8af6zj77v77ru5/fbb46/f35EmIiKnh+z0FD4ztAufGdqFQy1tzF27G3+wnMvXXEtbW3N83HBjA5c5FnKZYyH3pzzNO5HBBHaVc6V/NM6uPfB6nPjcLnoWdUriakRERERE5EyV1JBWVFSEw+E4avdZXV3dUbvO3ud0Oo85PiUlhcLCwmOek56eTnq6blQtItIRZKWl4PO48HlcNLfGmLduN4FgmNdr6tgRLebB1s/hc7yDx9zCOMcqxjlW8eOUZ1m8ewAPzfwsP58xiEGuXHxuJ16Pi74l2clekoiIiIiInCGSGtLS0tIYOXIks2fPPuIeabNnz+ayyy475jljxozhX//61xHHZs2axahRo455fzQREem4MlIdTB3iZOoQJ9G2GPPX1+MPeviv1VeSF92J16zG56hmmLmRCmMN1nuXgNaEG2kMb+TF2QbZpT3xutvDXP/S7IQ7nkVERERERBJJ+lM7X375Zb70pS/x+9//njFjxvDEE0/w5JNPsmrVKnr06MHdd9/Nzp07ef755wHYvHkzbrebb3zjG1x//fUsWrSIG264gRdffJGrrrrquL5TT+0UEenYWtosFm3aQyAYZuaqCFmHdjHJ8S5/jE3GxgTgvpT/4Uspr7PM6os/Vk7AKietsBfe9+6pNqRLrqKaiIiIiIgAHeRhAwDXXnste/bs4cc//jHhcBi3243f76dHjx4AhMNhtm3bFh/fq1cv/H4/t912G7/73e/o0qULjzzyyHFHNBER6fjSUkzG9y9mfP9ifnK5m6rNe/EHR1K4KkJ9UwsABUYjlm0w3NzAcHMD3+dPrGzsReCtCm6aW47duXf8nmrndMtTVBMRERERkY+U9B1pyaAdaSIiZ6aYZbN4y14CwTCBUAT7QISpjiX4zCoqzBocRvs/8rZbxZzf8jDvPw20a34m3vfuqTa8LB/TVFQTERERETmbHG8rUkhTSBMROSNZls272/YRCEUIBMNEG2qZ4liC16wmZPfi522fAyCFNv6Udj/zYx78VjlNOX2pdDvxup2M6lmAQ1FNREREROSMp5CWgEKaiMjZxbZtVuxoIBAM4w+F2b73EO/vRrvAXMHzaQ/Gx663uuK3ygnEKqjP6kvle5d/lvcqIMVhJmkFIiIiIiJyMimkJaCQJiJy9rJtm1W7GvEHw/iDYfbsqWeyuQSvo5oLzJWkG23xsZssJz9s+wrzLQ8FndKYOqQUr9vFmD6FpCqqiYiIiIicMRTSElBIExERaI9qayIH3tupFiFSV8ck8118jmouNFeQbrRSGf0Za+zuAAwwtpFBC1vSBzJliBOfx8XYvoWkpziSvBIREREREfk0FNISUEgTEZFjWV97gEAogj8YZnukjnFmiFnWKN6/DPTXqb/jCscCdthFzIiNxh+rYEP6QC4a5KLS7eSC/sVkpCqqiYiIiIh0NAppCSikiYjIR9m0u6n9QQWhMKGdjQD8NOVJLnMspJMRjY8L2wXxqLY6dTATBznxuZ1cOKCEzDRFNRERERGRjkAhLQGFNBER+Ti27TlEINR++eea7XWMN1fgc1QxyVxGjnEYgBqrDG/LBw8tyEw1mTCwBK/bxcSBJXRKT0nW9EVERERE5CMopCWgkCYiIp/Ujn2HmBGKEAhFCG2t5TwziM9RzWqrB0/HfABk0cys9Dt5K3YOfquCZeYQxvV34fO4mDiohNyM1CSvQkRERERE/p1CWgIKaSIiciJEGpqZuar9nmrVW/by/j9RvWYVj6f9Jj5ur53NrNgoAlYFSwwPFf2ceN1OJg8uJT8rLUmzFxERERGR9ymkJaCQJiIiJ1rdgWZmraolEAqzZFMdo1mNz6xiqmMxhcaB+LgGO4tbWm9irjWcFNNgbN8ifG4nU4Y4KeikqCYiIiIikgwKaQkopImIyMm0pynK7NW1BEIR3tlQywhq8JrVeB3VFBsNnBd9mB12CQCjjDV0NppYwFCG93bidbuYOsRJcU56klchIiIiInL2UEhLQCFNREROlYZDrcyuqSUQDLNgfR39rE0E7d7x959M/SWTHe/SZGcwxxqOP1bBPHso5/Rsv6dapdtJaW5GElcgIiIiInLmU0hLQCFNRESSobG5lTk1dfiDYeau201Lm8WdKS9xmWMBXY098XGH7HTmWMN4LXYuAauCkT0643U78XpcdM3PTOIKRERERETOTAppCSikiYhIsjVF23hzTR2BUJg319TRv20dXkc1PrOK7uZuAKqtAVzT8qP4Oem0MLCsBJ+7/RLQ7oVZyZq+iIiIiMgZRSEtAYU0ERE5nRxuiTFvXR3+YIQ3aiL0bN2Iz1HFOqsb/7TOA6AzjcxPv4WF1hACsXJet0bSvasLr9uF1+2kd3F2klchIiIiItJxKaQloJAmIiKnq+bWGG+vrycQDDN7dS0Hom0AfMZcyCNpv42Pa7EdLLDc+K0KZsVG4XK231PN63bSrzQnWdMXEREREemQFNISUEgTEZGOINoWY+GGPfiDYWatilAa3YzPUYXXrGaAuSM+rtV2cEPrrbxhjQSgb0l2++WfHhcDnTkYhpGsJYiIiIiIdAgKaQkopImISEfTGrNYtHEPgVCYmatq6XxoM16zGp+jmgHGNsqjj7GHPAAmm0soNfYxMzaa7KKueN1OfB4XQ7rkKqqJiIiIiByDQloCCmkiItKRtcUsqrfsJRCMEAhFcDSFqaUg/v5LafdxrlmDZRsstgfgj1UwIzaatIKu+NwuKt1OhpXlK6qJiIiIiLxHIS0BhTQRETlTxCybpVv34Q+GmRGKEGk8zNccfi51vMMwc+MRY5dY/flnbCx/jE2hS14GlW4XPo+TEd07Y5qKaiIiIiJy9lJIS0AhTUREzkSWZbNs+34CwTCBUAT2b6PSsRivo5pR5joA5sSG8dXWO+PnlLAPcpx437un2uieBTgU1URERETkLKOQloBCmoiInOls22bljgb8oTCBYITo3h1UOhaz1S5lrjUMgK7sZkHGLaywehOIlROwyjnYqTtTh7TfU62iVwEpDjO5CxEREREROQUU0hJQSBMRkbOJbdusDjcSCEbwB8Nsqj8IwCXmIn6T+lscxgd/FFhl9cAfqyBglbMvswdTBjvxepyM7VNEWoqimoiIiIicmRTSElBIExGRs5Vt26yrbcIfDBMIhdlTu5MpjiV4zWrGmqtIMaz42K+3fIfXrZEA5GakMHmwE5/HyXn9ikhPcSRrCSIiIiIiJ5xCWgIKaSIiIu021B1o36kWihAO72SyYyk+s4pR5jrOjf6Wg2QCcI3jTboZu/HHzmVnWi8mDSrF63Exvn8xGamKaiIiIiLSsSmkJaCQJiIicrTN9QcJhNqf/rl2x26ipMXf+7+07+E2twCwyXISsMrxxyrYnNqHiQNL8XlcXDigmKy0lCTNXkRERETkk1NIS0AhTUREJLHtew8xIxTBHwqzbNs+LjMX4HNUc6G5gnSjNT5um1XMX2PjeSR2JRmpJhf2L8HrcTJpUCnZ6YpqIiIiItIxKKQloJAmIiJy/HbtP8yMUIRAKEzN1l1caCzH66hiormcTKOFV2Nj+Hbrt94bbXOOsYm1jj6c368U33tRLS8zNalrEBERERFJRCEtAYU0ERGRT6a2sZmZq9qf/hncvIsLjBVE7AKW2f0A6GfsYHb6nUTszgRi5QRi5awwBzK2bwlej4spg0vJz0r7iG8RERERETm1FNISUEgTERH59OqbosxaVUsgFGbhxj3ELJtKs5pfpP6BHONwfFydnc+M2GgCVjnvMojyPiV43S6mDCmlKDs9iSsQEREREWmnkJaAQpqIiMiJte9gC7NX1+IPhVm8IUyFvRKfo5rJ5hLyjEPxcdNavstcazgApgEVvQrxeZxMHeKkJDcjWdMXERERkbOcQloCCmkiIiInT8OhVl6vad+ptmhdhNH2SrxmNeeaq7mo5Ze00v4Qgm86XqWHESFgVbDIHsKwHu0PKqh0O3HlZSZ5FSIiIiJyNlFIS0AhTURE5NQ40NzKnDV1+INh5q6tI9r2/h87bOam3U5PsxaABjuL2dYoXotVsMBy4+5ejM/jotLtpFvnrOQtQERERETOCgppCSikiYiInHoHo23MXbsbfyjMnJpahsWCeM1qKh2LKTH2x8c12pn8NTaeH7ddB8DQbnlUul34PE56FHZK0uxFRERE5Ex2vK0o5RTOSURERM5indJTuPgcFxef4+JwS4x564YTCE3mlzURBkRX43NUUelYjMvYSwYt8fNW7thH6a7X+c2Mc+jtKsbnceL1uOhTnJ3E1YiIiIjI2ShpO9K2bNnCfffdx5w5c4hEInTp0oUvfvGLfP/73yctLe1Dz5s2bRrPPffcEccqKip45513jvu7tSNNRETk9NHcGmP++nr8oTCvrw7TN7qGBjqx0e4KwAhjHX9Pn84hO503raEEYhXMsYZTVlqM1+PE53HRryQbwzCSvBIRERER6ahO+x1pa9aswbIs/vCHP9C3b19CoRDXX389Bw8e5Je//GXCcysrK3nmmWfirxOFNxERETm9ZaQ6uGhwKRcNLqWl7RwWbBxJIBhmz+pa9h9qpbNxgO1WMWXmbi52VHOxo5pmO5W5e4fhn1POFa+PwFlchM/jwut2MciVo6gmIiIiIifFaXWPtF/84hc8/vjjbNq06UPHTJs2jf379/OPf/zjE3+PdqSJiIic/lpjFlWb9uIPhZkZDOM6vBafoxqfWRV/SAHAVdEfsdQe8N4rmx6FnfC+d081T9c8RTURERER+Uin/Y60Y2loaKCgoOAjx82dO5eSkhLy8/MZP348999/PyUlJR86PhqNEo1G468bGxtPyHxFRETk5El1mJzXr4jz+hVx32VuqjePJBCawGeDYYoOrsfrqKLcXMu7dr/4OT9M+SO9GsP451dw3byRdMovid9TbVi3fExTUU1EREREPrnTZkfaxo0bGTFiBA899BBf//rXP3Tcyy+/THZ2Nj169GDz5s3cc889tLW1sXTpUtLT0495zvTp07n33nuPOq4daSIiIh2PZdks3bYPfzDMjFCEcEMzAAYW1ek3UWw0ANBmmyy0hhCwypkVG0VaXimV7vZ7qo3s3llRTURERETijndH2gkPaR8Wrf7d4sWLGTVqVPz1rl27GD9+POPHj+epp576WN8XDofp0aMHL730EldeeeUxxxxrR1pZWZlCmoiISAdnWTbLd+wnEAwTCEVI37+BSnMxFzuqGGxujY+L2QavWOdzR+sNAJTkpFPpduJ1uyjvVYBDUU1ERETkrJa0SztvvvlmPve5zyUc07Nnz/hf79q1iwkTJjBmzBieeOKJj/19LpeLHj16sH79+g8dk56e/qG71URERKTjMk2DEd07M6J7Z77nG0Ro50j8oQv4ZjCMsXcjXnMxXkcV55ibqbc/+APR3gMHsauf5NZFo2jr5GTKECc+j5NzexeS6jCTuCIREREROZ0l9dLOnTt3MmHCBEaOHMkLL7yAw+H42J+xZ88eunbtyhNPPMF11113XOfoYQMiIiJnNtu2qQkfIBAK81owTEv9ZtpsBxEKARhvruC5tAcBWGz1JxCrIBAr53CWkymDS/F6XIzrU0RaiqKaiIiIyNkgaZd2Hq/3L+fs3r07zz///BERzel0xv964MCBPPDAA1xxxRU0NTUxffp0rrrqKlwuF1u2bOF73/se27Zto6amhpycnOP6boU0ERGRs4dt26yva8IfDBMIRlhbe4DzzCC3pPyN0ea6I8Yus/rij5Xz99j5tGQUMnlwKT63i/P6FZGR+vH/g5+IiIiIdAyn/VM7Z82axYYNG9iwYQPdunU74r1/b3tr166loaH9psEOh4NgMMjzzz/P/v37cblcTJgwgZdffvm4I5qIiIicXQzDoH9pDv1Lc7j1ov5s3N3EjNAApgfHUb9rC5WOxfgcVYw21jLc3MBwcwNvWeewtjmPv7+7k3+9u5X09AwmDmx/Auj4/iVkpimqiYiIiJyNTpundp5K2pEmIiIiAFv3HCQQihAIhtm1YytTHYsZbm7gO603AO0PIPh16u8YYOzgtVgFAauccEoZEweW4PU4mTCghE7pSfvvkiIiIiJygpz2l3Ymk0KaiIiI/Kftew8xc1UEfzDMu9v2A+AgxpL0b9LZaIqPW2OVEYiV47cq2OYo48IBJfg8LiYOLCEnIzVJsxcRERGRT0MhLQGFNBEREUkk3HCYGaEIgWCE9Vu3cpG5FJ9ZxXlmiFQjFh83IzaaG1pvAyDNYXJ+vyK8HheTB5WSl6WoJiIiItJRKKQloJAmIiIix6vuQDMzV9USCIZZvWkrk4x38TqqON8M8tu2y3k0diUAWTRzU8o/CMTKWWP0ZlzfYnweJ5MHOynolJbkVYiIiIhIIgppCSikiYiIyCexpynKrNW1+INhVm7cjm3FaCQbgIvNd/hd2iMAbLOK8VsVBGLlhIy+jOldhNfjZMpgJ8U56clcgoiIiIgcg0JaAgppIiIi8mntO9jC7Jr2nWrzN9RzjrWGr6YEmGguJ9NoiY/bYRcxIzaap9t81BqFlPcqwOdxMXWIk9LcjCSuQERERETep5CWgEKaiIiInEgNh1t5o6YWfzDC4vXbGWMtx+eoYpL5Lp2MKADnRX/DDrsYgM400mhkM7x7IV6PC6/bSZf8zGQuQUREROSsppCWgEKaiIiInCxN0TbmrKkjEAyzcO0OKmLL8JibeajtmviYp1J/wTnmZmbERhOwyqm2BuIpK8TnceJ1uygryEriCkRERETOPgppCSikiYiIyKlwqKWNuWt34w+GmbOmjkMtMVJpY2H6tyg2GuLj6u1cZsVG4bcqeMcaxKCuhXjfi2q9ijolcQUiIiIiZweFtAQU0kRERORUa26NMW/dbgLBMHNrdjG0dQVes4qpjiV0Npri4+bEhvHV1jvjrwe5cvG5nXg9TvqW5CRj6iIiIiJnPIW0BBTSREREJJmibTHmr6/HH4zw5uodDGoJ4jOrmepYzG/bLufZWCXQfi+176f+CX+snPmWhx4lnfF6XPg8TgaU5mAYRpJXIiIiInJmUEhLQCFNREREThctbRaLNu0hEAzzemgnBw43EyUNgGsdb/Jg6pMANNqZvGGNwB+r4C3rHLoWdY5f/jmkS66imoiIiMinoJCWgEKaiIiInI7aYhZVm/fiD4aZuSpC8cH1XOOYi9dRjdPYFx/XZGcwxxrOL9quYbtdSveCLLweJz63i3O65SmqiYiIiHxMCmkJKKSJiIjI6S5m2SzZspdAKMKM4E66NK3C66jG66imm1FPzDYYHX2cvbT/WaaHEWG3nU/n/M5437un2vCyzpimopqIiIjIR1FIS0AhTURERDoSy7JZtn0f/mCEwMpdFB1YjcfczP/GLoqPeTntxww1NjLPGoo/Vs4cawRZuZ3xul143U5G9SzAoagmIiIickwKaQkopImIiEhHZds2K3Y0EAiG8YfCbN97mHRa8KfdTR8zHB8XtVN42/IQiFUw2xpBWnYhle5SfG4X5b0KSHGYSVyFiIiIyOlFIS0BhTQRERE5E9i2zapdjfiDYQLBMBl7a/A6qrjYrDoiqr0eG87XW78bf13QKY2pQ0rxul2M6VNIqqKaiIiInOUU0hJQSBMREZEzjW3brK09EL/8k/q1+MwqvI5qnor5+GtsPABd2c0DqU8RsMqZFRtFW2YRkweX4vM4Gde3iPQUR5JXIiIiInLqKaQloJAmIiIiZ7r1tQcIhCL4g2HWRhqwad919jXHa9yT+r8AxGyDKmsQfquCmbFRNKcXc9HgUrxuJxf0LyYjVVFNREREzg4KaQkopImIiMjZZNPuJgKhCIFQmP27NnKJuQifo4pzzM3xMZZtsNgewF2t17PFdtEpzcHEQaX43E4uHFBCZpqimoiIiJy5FNISUEgTERGRs9W2PYcIhMIEQhHqd6zDa1bjc1Qz3NxAs53KyOjvOUgmAEONDey289mXWsqEgcV43S4mDCwhOz0lyasQERERObEU0hJQSBMRERGBnfsPMyMUIRAMs2vreoaYW5htjYq//1ra3Qwxt7LM6os/Vk7AKme3o/2yT5/HyaRBpeRmpCZxBSIiIiInhkJaAgppIiIiIkeKNDQzc1X7PdWqt+wl3Y7ybNrPKTfWYBof/HFxpdWLQKwCv1VO2OzCef2K8LqdTB5cSn5WWhJXICIiIvLJKaQloJAmIiIi8uHqDjQza1UtgVCYDZs2cZGxGJ9ZxbnmahzvRbV/xsZyS+vN8XNSTIOxfYvwuZ1MGeKkoJOimoiIiHQcCmkJKKSJiIiIHJ89TVFmr64lEIpQs2ETE9+Lan+MTY5fBtrf2M6jqY8SsMrxxyrYaJRxbu9CvG4XU4c4Kc5JT/IqRERERBJTSEtAIU1ERETk42s41MrsmloCwTBvr6+nJWYBcGvKX7k15e/xcRusLvitcgKxCtbQndE9C/G5nVS6XTjzMpI1fREREZEPpZCWgEKaiIiIyKfT2NzKnJo6/MEw767bzAXWEryOai4wV5JutMXHbbZK+WrrnWy2XQCM7NEZr9uJ1+Oia35msqYvIiIicgSFtAQU0kREREROnKZoG2+uqSMQClO9ZivjYovxOaq50FxBlFRGRn9PKykAXGguY7+dw3K7D0PL2qOaz+2ie2FWklchIiIiZzOFtAQU0kREREROjsMtMeatq8MfjLCoZgtdW7ex3O773rs289Juo4dZx067kBmxcl6LVbDM7svgLvn4PC68bie9i7OTugYRERE5+yikJaCQJiIiInLyNbfGeHt9PYFgmNmra7GiB3gg9SkmmsvINprj4yJ2ZwKxcl6NjWWZ3Y+Bzhy8bhc+j5N+pTlJXIGIiIicLRTSElBIExERETm1om0xFm7Ygz8YZt6qbQxteRevo5qLzKXkGocB+N+2SXy/7WsAGFiY2PQqycP33j3VBjpzMAwjmcsQERGRM5RCWgIKaSIiIiLJ0xqzWLRxD4FQmDmhHQxufhefWcVfYuOptgcBMMJYxxNpv2JWbBR+q4J3rEF0K8zF63Hhc7twd81VVBMREZETRiEtAYU0ERERkdNDW8yiesteAsEIgVCE+qYoAHelvMg3U/4VH7fPzmZWbBQBq5wFlpvSzjnxe6oNK8tXVBMREZFPRSEtAYU0ERERkdNPzLJZunUf/mCY2cEd9Dy4DJ9ZzVTHYoqMxvi4BjuLz7T8hK22E4AueRlUvndPtRHdO2OaimoiIiLy8SikJaCQJiIiInJ6syybZdv3EwiGmRncSdcDy/GaVXgdi7GBc6O/xcYE4GrHPBrtLOZZQ8nLycH73j3VRvcswKGoJiIiIsehQ4S0nj17snXr1iOO3XXXXfzsZz/70HNs2+bee+/liSeeYN++fVRUVPC73/2OIUOGHPf3KqSJiIiIdBy2bbNyRwP+UJiZK3fStn87O+wSAEwsqtJvpNho5KCdzhxrOP5YBXOtoXTKzmXKECc+t4tzexeQ4jCTvBIRERE5XXWYkPa1r32N66+/Pn4sOzub7OzsDz3nwQcf5P777+fZZ5+lf//+/OQnP+Gtt95i7dq15OQc3+PRFdJEREREOibbtlkdbiQQjOAPhqmtr+fWlL/hdVTTzaiPjztsp/GmNYw/xy5krjWMzlmpTBnsxOtxMrZPEWkpimoiIiLygQ4T0m699VZuvfXW4xpv2zZdunTh1ltv5a677gIgGo1SWlrKgw8+yDe+8Y3j+hyFNBEREZGOz7Zt1tU24Q+GCQR3kbl7BV5HNT6ziu7mbgB+33YJP2v7AgAptJFJC0ZGLpMHO/F5nJzXr4j0FEcylyEiIiKngQ4T0qLRKC0tLZSVlfHZz36W7373u6SlpR1z/KZNm+jTpw/vvvsuw4cPjx+/7LLLyM/P57nnnjvmedFolGg0Gn/d2NhIWVmZQpqIiIjIGWRD3YH4TjWzdiU+RxWvxc5ltd0TgPHmCp5IfYi3LQ+BWAWzrRFY6flcNKiESreLCwcUk5GqqCYiInI2Ot6QlnIK53SUW265hREjRtC5c2eqq6u5++672bx5M0899dQxx0ciEQBKS0uPOF5aWnrUvdb+3QMPPMC999574iYuIiIiIqedviU5fGtSDt+a1I/N9SMJhCpJCUVgRwMA5WYN6UYbFzmWcZFjGa22gwWWG3+wnLuXjySa1pkJA0vwuV1MGFhMVlpS/6gsIiIip6ETviNt+vTpHxmtFi9ezKhRo446/re//Y2rr76a+vp6CgsLj3p/4cKFjBs3jl27duFyueLHr7/+erZv386MGTOO+X3akSYiIiJy9tq+9xAzQhH8oTBN20P4zCq8jmoGmtvjY9pskwtbfhV/iEFGqsmF/UvwepxMHFhCTkZqsqYvIiIip0DSdqTdfPPNfO5zn0s4pmfPnsc8fu655wKwYcOGY4Y0p9MJtO9M+/eQVldXd9QutX+Xnp5Oenr6R01dRERERM5AZQVZXH9Bb66/oDe79o9gRmgK94TC7N0WotJYjM9RRQYt7LCL4+d8xfoHB9Zk8pNVo/luSgEX9CvG53EyaVApeZmKaiIiImerEx7SioqKKCoq+kTnLlu2DOCISPbvevXqhdPpZPbs2fF7pLW0tDBv3jwefPDBTzZhERERETlrdMnP5Kvn9eKr5/WitnEEM1dN4b5gmJrNOwADgHRauDHln+QYh/lxyrMstgfgX1fBgzWjuctRyHl9i/C6XUweXErnTse+t6+IiIicmZL2sIFFixbxzjvvMGHCBPLy8li8eDG33XYbo0aN4p///Gd83MCBA3nggQe44oorAHjwwQd54IEHeOaZZ+jXrx8//elPmTt3LmvXriUnJ+e4vltP7RQRERGRf1ffFGXWqloCoTDLN+7kWuN1fI4qRpgbjhi3xOrP821TeNUai8M0GNunEK/bxZQhpRRl6woIERGRjuq0f9hAeno6L7/8Mvfeey/RaJQePXpw/fXXc+eddx4xbu3atTQ0NMRf33nnnRw+fJgbb7yRffv2UVFRwaxZs447oomIiIiI/Kei7HS+UNGdL1R0Z9/BFmavHs0joTAbN6xlMlV4HVWMNtcxylzHXGMoADHLZvH6nWzZsIof/KOUil6F+DxOpg5xUpKbkeQViYiIyMmQtB1pyaQdaSIiIiJyPBoOtfJ6TftOtbXr1jGBKuZaw9hmt9+f92LzHX6X9ghBqyeBWAV+q5ytuBjdowCvx0ml24krLzPJqxAREZGPcrytSCFNIU1EREREjsOB5lbmrKnDHwwzd+1uom0W33b8nVtS/obD+OCP1KutHvhj5QSscjbaXRnePR+f20Wl20lZQVYSVyAiIiIfRiEtAYU0EREREfk0DkbbmLt2N/5QmGU1G7jAqsJnVjHWXEWKYcXHndv8KBE+eBr9Od3y8LpdeN1OehZ1SsbURURE5BgU0hJQSBMRERGRE+VwS4x563YTCIVZUrORsW3tUS3HOMzVLdPj46anPMsBsgjEyllt92CwKw+fx4nX46JPcXbyFiAiIiIKaYkopImIiIjIydDcGmP++nr8oTBvrA7T0Ny+Oy2HQyxJv4F0ow2AzVYpAasCf6yckN2LAaW5eD1OfB4X/UqyMQwjmcsQERE56yikJaCQJiIiIiInW0ubxYKN9QSCYeat2kZFdBE+RzUXmsvJMFrj47ZbxTwe+wx/ik0CoHdxJ3xuF16Pk8GuXEU1ERGRU0AhLQGFNBERERE5lVpjFlWb9uIPhXkruJmhzYvxOqqYaC4ny4gyvfU6no1VApBLE32NXSyz+9K9MBuv24XP48TTNU9RTURE5CRRSEtAIU1EREREkiVm2VRv3ksgFObN4BaGHFrMUqs/u8kH4FrHmzyY+iQRuzOBWDmBWDlL7AG48jvh8zipdLsYXpaPaSqqiYiInCgKaQkopImIiIjI6cCybJZu24c/GGZGKEK4oZnrHf/Ht1JeIdc4HB9XZ+czIzaagFVOtTWQ4txOVLrb76k2skdnHIpqIiIin4pCWgIKaSIiIiJyurEsm+U79hMIhnk9uJ2ejYvxmVVMcSwhzzgEQMw2GB19nL188GfY4px0Koc48XqclPcsIMVhJmsJIiIiHZZCWgIKaSIiIiJyOrNtm9DORvyhMLNWbqPr/iV4zWpyjEPc3HpLfNzvU39Ng92JgFXOAstNbqcspgxx4vM4Obd3IamKaiIiIsdFIS0BhTQRERER6Shs26YmfIBAKMxrwTCbdh8EoJj9VKXfhGm0/3G+0c5itjUSf6yc+ZaHzKxOTB5Uis/jYlzfItJSFNVEREQ+jEJaAgppIiIiItIR2bbN+rom/MEwM1fuJK9+CT6zikrHYkqM/fFxB+xMftN2JU/FLgYgJyOFyYNK8XpcnN+viIxUR5JWICIicno63laUcgrnJCIiIiIin4JhGPQvzaF/aQ63XtSfjbtHMyN0BV9buYOMyFJ8jvao5jL2sp/s+HlZzXUcXrGAby8bipnWiUmDSvF5nIzvX0JmmqKaiIjI8dKONO1IExEREZEzwNY9BwmEIsxYuRNz11LW2904QBYAX3e8xg9S/5fDdhpvWsMIxMqZYw3HSs1m4sASvB4nEwaU0Cld/51dRETOTrq0MwGFNBERERE5k23fe4iZqyL4g2He3baf/3K8zjcc/6K7uTs+JmqnMs86B3+sgoBVDikZjO9fjM/jYuKgEnIzUpO4AhERkVNLIS0BhTQREREROVuEGw4zIxQhsDLMoe3v4jWr8JlV9DJrAWi2UxkR/QOHyADAxCLFkcL5/YrwelxMHlRKXpaimoiInNkU0hJQSBMRERGRs1HdgWZmrqolsHIX+7csZ6pZRSea+Unbl+Jj/pH2A/bZOQSscmbHRnLAzGNc3yJ8HieTBzsp6JSWxBWIiIicHAppCSikiYiIiMjZbk9TlFmra/EHwyzcuIeYZdPN2M389FviY9psk0XWYAJWBTNjo9hv5jOmdyFej5Mpg50U56QncQUiIiInjkJaAgppIiIiIiIf2Hewhdk1tcwIRdixfgUXUcXFjiqGmFvjY2K2wcNtV/Fo7EoATANG9yzA53FR6XZSmpuRrOmLiIh8agppCSikiYiIiIgcW8PhVuasqcUfjLB5XZCL7HfwOqoZam7iGy23MtMqB6CXEWa8uYIZsdHUGoWM7N4Zr8eF1+2kS35mklchIiLy8SikJaCQJiIiIiLy0ZqibcxZU0cgGGbd2hA7WnOJ0n6PtNtS/sotKX8HYInVn0CsnECsnF0UMawsH5/HidftoqwgK5lLEBEROS4KaQkopImIiIiIfDyHWtqYu3Y3/mCYOWvquKjtLb6UMpvR5rojxi23+uCPlfPH2GQOk4Gnax6Vbic+j4teRZ2SNHsREZHEFNISUEgTEREREfnkmltjzFu3mxmhCCtX1zCubRE+RzXlxhpMw6bBzmJU9Pe0kgJALgdppBMDnTn4PC58Hid9S3KSvAoREZEPKKQloJAmIiIiInJiRNtiLNhQjz8YYemqNYxtfYcsmnkydsl7I2zeSruVg2Tij5XjtyrYaHelX0k23vei2oDSHAzDSOo6RETk7KaQloBCmoiIiIjIidfSZrFo0x4CwTAzV0XYd6iVHkaEN9LuIMWw4uPWWt0IWOX4YxWss7vRuygb73v3VBvSJVdRTURETjmFtAQU0kRERERETq62mEXV5r34g2HeCa1nRPMivGY155lB0oxYfNwjbZfzq7Zr4q+7F2ThdTvxelwM7ZanqCYiIqeEQloCCmkiIiIiIqdOzLJZsmUvgVCEt4MbGHpwIV5HNReYK/lK650stNwAuI1NXOyowh+rIGj3omt+1nsPKnAyvKwzpqmoJiIiJ4dCWgIKaSIiIiIiyWFZNsu278MfjDBv5UY2N9rEcABwT8of+VpKAIAddhH+WAX+WAXL7T6U5mbgdbvwup2M6lmAQ1FNREROIIW0BBTSRERERESSz7ZtVuxoIBAM4w+F6bN/IVc73mKiuZwsIxoft9MuZEasnIfaPsshMijKTqfSXYrP7aK8VwEpDjOJqxARkTOBQloCCmkiIiIiIqcX27ZZtasRfzDMnOBWeuxbiM9RzSTzXbKNZiJ2Z8ZEH8WmPZp1N2rZYReT3ymDKYNL8XpcjO1TSKqimoiIfAIKaQkopImIiIiInL5s22Zt7QH8wQivr9xKlz2LyOYw/7DOA8DEoir9RsBgRmw0fquCamsg2ZkZTB5cis/jZFzfItJTHMldiIiIdBgKaQkopImIiIiIdBzraw8QCEXwB8OsiRygt7GLV9J+SJ5xKD6m3s5lVmwUfquCd6xBZKZncNHgUrxuJxf0LyYjVVFNREQ+nEJaAgppIiIiIiId06bdTQRCEWYHt5EbeQevWc1Ux2IKjKb4mEfbLuehtmvirzulOZg4qBSf28n4AcVkpaUkY+oiInIaU0hLQCFNRERERKTj27bnEIFQmJnBnWTsWoTPrGKqYzFfa/kuK+0+AIwxV3G14y38sXLmWx6M1AwmDCjB63ExcWAJ2emKaiIi0gFC2ty5c5kwYcIx36uurmb06NHHfG/atGk899xzRxyrqKjgnXfeOe7vVkgTERERETmz7Nx/mBmhCDNX7qB6WwNgAPBgyhNcmzIXgAN2Jm9YwwnEKphrDcVOyWB8/2J8HieTBpWSm5GavAWIiEhSnfYhraWlhb179x5x7J577uH1119n06ZNGIZxzPOmTZtGbW0tzzzzTPxYWloaBQUFx/3dCmkiIiIiImeuSEMzM1e131OtZWs1l5oL8TqqcRkf/PvHQTudN63hfLf1/3GYDFIdBuf3K6bS7WTK4FLys9KSuAIRETnVjrcVJW0fc1paGk6nM/66tbWVV199lZtvvvlDI9r70tPTjzhXRERERETkfc68DL48tidfHtuTugPDmbXqcr4b3EnzlmqmGlV4HdV0M+oZZGzlMOkAtMZs9q+dz71ryviemcWYPoX4PC6mDC6lMDs9ySsSEZHTxWlzj7S//e1vXHPNNWzZsoWysrIPHTdt2jT+8Y9/kJaWRn5+PuPHj+f++++npKTkQ8+JRqNEo9H468bGRsrKyrQjTURERETkLLKnKcrs1bUEgmEaN1WTYx/gLWsoAOm0sDT9BlKJMc86B3+sgjesERw0sji3dyFej4upQ0opyclI8ipERORkOO0v7fxPPp8PAL/fn3Dcyy+/THZ2Nj169GDz5s3cc889tLW1sXTpUtLTj/1fiqZPn86999571HGFNBERERGRs1PDoVZm17RHtbfX11NmbefJ1IfobUbiY6J2CvMtDwGrnFmxkRwwshndswCf20ml24UzT1FNRORMkbSQ9mHR6t8tXryYUaNGxV/v2LGDHj168Oc//5mrrrrqY31fOBymR48evPTSS1x55ZXHHKMdaSIiIiIi8mEam1uZU1OHf+UuwuuXchHvcLFZRV9zV3zML1s/y29jVxxx3oju+fg8LirdTrp1zjrV0xYRkRMoaSGtvr6e+vr6hGN69uxJRsYH//Xmvvvu49FHH2Xnzp2kpn78J+X069ePr3/969x1113HNV4PGxARERERkWNpirbx5po6AqEw29YsY6K1CJ+jiptav81GuysAlWY1/+V4nYBVwczYKPaQx9BueXg9LrxuJz0KOyV5FSIi8nF1mEs7bdumT58+XHnllfzyl7/82Ofv2bOHrl278sQTT3Ddddcd1zkKaSIiIiIi8lEOt8SYt64OfzDCGzW1HGyJAfBY6sP4HNUAxGyDKmsQ/vei2m46M6RLLr73olrv4uxkLkFERI5Thwlpb7zxBhdddBGrV69m0KBBR70/cOBAHnjgAa644gqampqYPn06V111FS6Xiy1btvC9732Pbdu2UVNTQ05OznF9p0KaiIiIiIh8HM2tMd5eX08gGKZm9QouaGvfqTbU3BQfY9kGi+0BXNfy30RJA2CgMwev24XP46Rf6fH9+4qIiJx6x9uKUk7hnI7p6aefZuzYsceMaABr166loaEBAIfDQTAY5Pnnn2f//v24XC4mTJjAyy+/fNwRTURERERE5OPKSHUweXApkweXEm3zsHCDlxeCYf57VZBxrQvxOaoYYW4g3W6JRzSAHnVv8OfXe/Hr14vpU9zpvZ1qLga5cjAMI4krEhGRTyLpO9KSQTvSRERERETkRGiNWSzauIdAKMzy0CpSDtcTtHsDkMMhlqZ/gzQjxnKrD/5YOQGrnO12KT0Ls/B6XPjcLtxdcxXVRESSrMNc2pkMCmkiIiIiInKitcUsqrfsJRCMEAhFyDm4hQdSn6LcWINpfPCvXUGrJ4FYBa9aY9hhl9Ctc2b8nmrDyvIV1UREkkAhLQGFNBEREREROZlils3SrfvwB8NUB2sYfmgBXrOKMeZqHO9FtR+1fpnnYlMBMLCwMemSl0Gl24XX42Rk986YpqKaiMipoJCWgEKaiIiIiIicKpZls2z7fgLBMIuCa3E3LeBi8x2+2/oNaikA4HOOOXzVESBgVeCPlbPWLqMkJ4NKtxOv20V5rwIcimoiIieNQloCCmkiIiIiIpIMtm2zckcD/lCYQDDCtr2HAHg29UEudKyIj9toufBbFQRi5ay2e1CUnc6UIU58bhfn9i4gxWEmawkiImckhbQEFNJERERERCTZbNtmdbiRQDDCvJXr6btvPj5HNReYK0k3WuPjNlouKlsepJUUADpnpTJlsBOvx8nYPkWkpSiqiYh8WgppCSikiYiIiIjI6cS2bdbVNuEPhpkX3Ej3+vl4HVVMMJezxOrPF1u/Hx97nWMmK60+LLf7kJuRykWDS/G5XZzXr4iMVEcSVyEi0nEppCWgkCYiIiIiIqezDXUHCAQjzAlupr52B9vtUgCK2UdV+s2Yhs1Ou5AZsXL8sXLetfvRKT2NSYNK8LpdXDigWFFNRORjUEhLQCFNREREREQ6is31BwmEwswIRdi/cx3fSfkLk8x3yTaa42MidmdmxEbzUmwia+zuZKU5mDCwBJ/bxYSBxWSlpSRxBSIipz+FtAQU0kREREREpCPavvcQM0IRZge3krvzbbyOKiabS8k1DgPwnZYb+Jt1AQDptNCGg9TUVC7sX4LX42TiwBJyMlKTuQQRkdOSQloCCmkiIiIiItLR7dp/OB7VMnbMx2tU85O2/6KRbAC+7niNb6a8yszYKAJWBYuswZgpaVzQrwiv28VFg0vJy1RUExEBhbSEFNJERERERORMUtvYzMxVEfzBMNWb92LZ8FzqzxjvWBkfs9/uxKzYKPxWOQssDzhSGde3CJ/bxeTBpXTulJbEFYiIJJdCWgIKaSIiIiIicqaqb4oya1UtM4M7sDa/TaVRxVTHYoqMxviYWjufsdFHidH+QAKHaTC2TyFet4spQ0opyk5P1vRFRJJCIS0BhTQRERERETkb7DvYwuzVtQSCO2jZtIApvIPXsZh3rX7c0HpbfNxdKS+y3OrLXGsorUYaFb0K8XmcTB3ipCQ3I4krEBE5NRTSElBIExERERGRs03DoVZer6llRnAHK9Zvoy7WCYCeRpi56d8B4KCdzpvWcPyxct60htFsZDCqR2e8bheVbidd8jOTuQQRkZNGIS0BhTQRERERETmbHWhuZc6aOvzBMGvX1vAFAvgcVXQz6uNjDttpzLWG8mTbxbxr9wdgePd8fO9FtbKCrGRNX0TkhFNIS0AhTUREREREpN3BaBtz1+7GH9xF3ZpFTLLfwWtW0cOsA+AbLbcx0xoNQD4HiOHgAFmc0y2PSrcTn9tFz6JOyVyCiMinppCWgEKaiIiIiIjI0Q63xJi3bjeB4C52rqlmfGwRv227nCjtT/S8LeWv3OB4lbctD4FYBbOtETSSzSBXLj63E6/HRd+S7CSvQkTk41NIS0AhTUREREREJLHm1hjz19fjD4WZvbqWA81t/CH1V0x1LImPabUdLLDc+K1yZsdGso9c+pdm43W78Hlc9C/NxjCMJK5CROT4KKQloJAmIiIiIiJy/FraLBZsrCcQDLNh1RLGtSzE56hikLk9PmaPncPo6ONYmPFjvYs74XO78HqcDHblKqqJyGlLIS0BhTQREREREZFPpjVmUbVpL/5QmDXBpZwbXYDPUc0auzt3tN7w3iib36T+jiVWf2bERrObzvQozIrfU+2cbnmKaiJyWlFIS0AhTURERERE5NOLWTbVm/cSCIWZHdxOuMkCYIixmdfSvw+AZRsstgcQiJUzIzaaCIV0zc/E+9491YaX5WOaimoiklwKaQkopImIiIiIiJxYlmWzdNs+/MEwVcE1jD34Bj5HFSPMDUeMW2r141dtV7PA8gDgzM1o36nmcTGyR2ccimoikgQKaQkopImIiIiIiJw8lmWzYsd+AqEIS1YEGdr0Fj5HFaPNdQB8oeV7LLTcAHShHocRY7tdSnFOOpVDnHg9Tsp7FpDiMBN9jYjICaOQloBCmoiIiIiIyKlh2zahnY34Q2EWrwgxsHE+L8YmEsMBwA9TnuerKTMIWT3xxyoIWOVstl0UdEpj6pBSvG4XY/oUkqqoJiInkUJaAgppIiIiIiIip55t29SEDxAIhfEHw2zcfZCHUh/ncnM+DuODfzWtsbrjj5XjtyrYaHclLzOVKYNL8XlcjOtbRFqKopqInFgKaQkopImIiIiIiCTf+toD+IMRFq5cQ8898/CZVYw1V5FqxACI2J0ZE30Umw/CWU5GCpMHleL1uDi/XxEZqY5kTV9EziAKaQkopImIiIiIiJxeNu5uYkYowlsr1tF995t4zWo22F35adt/AWBi8VLafVRZgwjEyllt96BTWgqTBpXi8zgZ37+EzDRFNRH5ZBTSElBIExEREREROX1t3XOQQChCYOUuVuxsBGCMuYoX0+6Pj9lilRKwyvHHKgjavchMTWHCwGK8bhcTB5bQKT0lWdMXkQ5IIS0BhTQREREREZGOYce+Q8wIRZizYiNFu+bidVQxwVxOhtH6wRi7iHtav8Kb1nAA0lNMxvcvxudxMXFQCbkZqcmavoh0EAppCSikiYiIiIiIdDzhhsPMDEV4Y+Vmcne0X/450VxGlhHlM9H7WGn3AaCfsYNcDvKu3Y9URwrn9yvC63ExeVApeVmKaiJyNIW0BBTSREREREREOra6A83MXFXLGys2k7LtLV6PjQAMAB5MeYJrU+ZSa+cTiJUTiFWw2B6AaToY17cIr9vJlCFOCjqlJXcRInLaUEhLQCFNRERERETkzLGnKcqs1bX4g2EWbtzDD8xnucrxFrnG4fiY3XYeM2Kj8VsVLLIG4zBNzu1dgNftYuoQJ8U56UlcgYgkm0JaAgppIiIiIiIiZ6Z9B1uYXVPL68FtWBvnMoUqpjiWkG8cBGCj5WJSyy95f/ca2BiGQXnPAnweF5VuJ6W5GUmbv4gkh0JaAgppIiIiIiIiZ76Gw63MWVPLzJU7iG6Yy2T7HTbbLp6MXQJAOi28kX4HC2ND8FvlLLA8tJLCqB6d8b4X1brmZyZ5FSJyKiikJaCQJiIiIiIicnZpirYxZ00dgWCYN9fW0dxqMclcytNpD8XHNNpZzLZGEIhV8LblIUoaw8ry8bqdeN0uuhdmJXEFInIynRYh7f777+e1115j+fLlpKWlsX///qPGbNu2jZtuuok5c+aQmZnJF77wBX75y1+SlvbhN32MRqPccccdvPjiixw+fJhJkybx2GOP0a1bt+Oal0KaiIiIiIjI2etQSxtz1+4msHIHjWvfYqK1CK9jMSXG/viYJjuD21u/ySxrdPyYu2suXrcLn8dFr6JOSZi5iJwsx9uKUk7mJFpaWvjsZz/LmDFjePrpp496PxaLcfHFF1NcXMz8+fPZs2cPX/7yl7Ftm0cfffRDP/fWW2/lX//6Fy+99BKFhYV85zvf4ZJLLmHp0qU4HI6TuSQRERERERHp4LLSUvB52oNYc+sI5q37L34W3EV9zdtcGFuI11GNy9jLevuDzRojjbU4w/v47c5h/GLmWgY6c977DCd9S3KSuBoROZVOyaWdzz77LLfeeutRO9ICgQCXXHIJ27dvp0uXLgC89NJLTJs2jbq6umMWwIaGBoqLi/njH//ItddeC8CuXbsoKyvD7/czderUj5yPdqSJiIiIiIjIf4q2xViwoZ7Ayl1sW/0OVc3d4+89lvowPkc1h+005lpDCcQqeMMazkEy6VeSjfe9qDagNAfDMBJ8i4icjk6LHWkfZdGiRbjd7nhEA5g6dSrRaJSlS5cyYcKEo85ZunQpra2tTJkyJX6sS5cuuN1uFi5ceMyQFo1GiUaj8deNjY0neCUiIiIiIiLS0aWnOJg4sJSJA0tpaRvKok17CATDzFwVYU20O0OMLfQw6/A6FuN1LCZqp/KWdQ7++nIeeeM8HnljPb2KOuF1O/F5XAzpkquoJnKGSWpIi0QilJaWHnGsc+fOpKWlEYlEPvSctLQ0OnfufMTx0tLSDz3ngQce4N577z0xkxYREREREZEzXlqKyfj+xYzvX8xPLndTtXkET6zcxZZVixgTXYDPrKK3GWGyYynFxn5eaTkfgM31B/mfuat5bO5Gygoy8bldeD0uhnbLU1QTOQN87JA2ffr0j4xSixcvZtSoUcf1ecf6jcS27Y/9G0yic+6++25uv/32+OvGxkbKyso+1ueLiIiIiIjI2SnFYTKubxHj+hYRu9zDki1X83wwzLpgFRXN89lqlcTH5nKQRek3s8QawGsNFfz5rZH84a1NdM3PbN+pdo6L4WX5imoiHdTHDmk333wzn/vc5xKO6dmz53F9ltPppKqq6ohj+/bto7W19aidav9+TktLC/v27TtiV1pdXR1jx4495jnp6emkp6cf15xEREREREREPozDNKjoXUhF70KsS4ewbPsVNAYjdAmG2dXQzLnmajoZUcY7VjLesZK2lKdZZA0mcKCCV+aP4qn5m+mSl/HePdXao5ppKqqJdBSnxcMGduzYgcvlAuDll1/my1/+8kc+bOCFF17gmmuuASAcDtOtWzc9bEBERERERESSwrZtVuxoIBAME1y5hGEH3sbrqMJjbomPidkGN7d+m4BVET/mysug0u3kYo+LEd07K6qJJMlp8bCBbdu2sXfvXrZt20YsFmP58uUA9O3bl+zsbKZMmcLgwYP50pe+xC9+8Qv27t3LHXfcwfXXXx+f9M6dO5k0aRLPP/885eXl5OXl8bWvfY3vfOc7FBYWUlBQwB133IHH4+Giiy46mcsREREREREROSbDMBhWls+wsnxs70BW7foM/mCYn65YxjmN8/A6qjjH2MxSq3/8nInmu/RoqiWwoJxnFhTizH0vqp3jYqSimshp6aTuSJs2bRrPPffcUcfffPNNLrzwQqA9tt14443MmTOHzMxMvvCFL/DLX/4yfinmli1b6NWr1xHnNDc3893vfpc//elPHD58mEmTJvHYY48d933PtCNNRERERERETgXbtqkJH8AfDLNoxWqW7k2Lv/d86gNc4AgCsNTqhz9WzoxYOTsppjQ3Ha+7/fLPUT0U1UROtuNtRafk0s7TjUKaiIiIiIiInGq2bbMm0h7VXguGGbf3FS51LGKUsQ7T+OBfzZdbvflXbAxPxy4GoCQnnUq3E5/HxeieBTgU1UROOIW0BBTSREREREREJJls22ZdbROvBcNUrQjRf988fGY15WYNDsPm7ZibL7V+Lz7exR7CFFKck07lkPaoVt5LUU3kRFFIS0AhTURERERERE4n62oP8NrKMAtXrKb/vnnssgt50xoOQAn7eCf9Ztba3QjEKvBb5Wywu1GUnU6luxSfx0VFr0JFNZFPQSEtAYU0EREREREROV2trz3Aa8Ew/mCYdbVNTDaX8Fjqb0g1Yh+Msbrityrwx8pZa5dRlJ3O1Pd2qlX0KiDFYSZxBSIdj0JaAgppIiIiIiIi0hFsqDuAPxjhrRXr6LlnHl6zmvPNlaT9W1S7seXb+K1z468LO6UxZYiTiz2u/9/evUZHVSboHn/2rkpCCCQYEkwigYSLCCEJKEkIoNwESQKj9izadrDFZmwPc/CufRwd10h7ROhRe9m9epppOCyUVpsZR0GQBMQW8EoCgdwAEQQkJBVCgFwht6p9PoBlM0hZKslOiv9vrfqw936r1rMX78qHh3fvV2MHUaoB/qBI84EiDQAAAADQ3Xx5olG5JS5tLT6g+JqPlO3IV6a5V+Nbfqd69ZIk/b35oYaYFcp1Z6jUSlRkWIhuSTr3+GfmoL6UasAlUKT5QJEGAAAAAOjODp1oVF5Zld4r/krFVc3e82uC/1WjzYOSpGNWlHLdGcpzp6vIGqw+Pc89/pmVHKtxg/sqiFIN8KJI84EiDQAAAAAQKA7XNCn3/DvVBlRtVo4jX1PM3epptHjHVFqRWuueoH9r/5kkqU/PIE0fcW6l2vghUZRquOJRpPlAkQYAAAAACERHapqUW+bSX0sOK6rqE2U58jXV3K3exlltdKdpftsj3rGjjIMqsQapd2jIuVItJVbjB0cp2EmphisPRZoPFGkAAAAAgEB39OQZ5Za5tLnkK/VxfazTVm/tsq6VJCUaLm0JeUwnrHBtcqcp15OhfM9whfUI0fSkGGUnx2jCkGhKNVwxKNJ8oEgDAAAAAFxJyk+d8T7+WXysTlPMXfpt0FL1MZq8Y05ZvbTJnaY8T7o+9SQptEcPTRtxtXKSYzVhaJRCnA4b7wDoWBRpPlCkAQAAAACuVMdOn1FeaZU2lpQrrPITZZkFusWxQ5FGo3fMfa2P6D1Pmve4d4hT00ZcrazkWN04NEo9gijVEFgo0nygSAMAAAAAQKqoPau8UpfySo4ppOIzZZv5mmCW6ZbW36hFwZKk+xzrdZ1Zrlx3hj7yJCsopKduHt5P2cmxuunaaEo1BASKNB8o0gAAAAAAuFBl7dlzj3+WVGpXeZ33/ObgX2moWSFJarR66K+e65XrTtc2T6qcIWGaer5Um0iphm6MIs0HijQAAAAAAC7NVXdWeaVVyi2plFWer2xHgbIc+YozTnnHnLFCtNY9Xk+13ytJCgt2aOrwq5WdHKNJw/pRqqFb8bcrcnZiJgAAAAAA0A3ERoRq3oREzZuQqKq6G5RXdrseLqlQW/lOZZkFynbkq79RoyC1e7/T1Nqu1tK1eqw4WQrupanDr9bMFB7/RGBhRRor0gAAAAAA8Mvx+mZtLKvShpJKNR8t1BkrWAet/pKkkcYhvRvytFqsIG3zpCjXnaG/eq6XFRLu3f3zxmvZ/RNdE492+kCRBgAAAADAj1Nd36yNe6q0ocSlgiOnNMEo0ULnqxpsurxjWi2HPvKkKM+TrvfcY2SFRGha0tWalRKn8UOiFOw0bbwD4BsUaT5QpAEAAAAAcPlUNzRr0/mVarVflWiGma9sM1/Xnt+kQJLubP0XfeZJOn9kKbxHkG5JilFOSqzGD4lSkINSDfahSPOBIg0AAAAAgI7xdan2bolLp74q0QyjQOPMvbqr7Um5de6xzqecr2uEcUR5ngxtcqepvWeUbhkRo5mpscoc1FdOSjV0Moo0HyjSAAAAAADoeMfrm5VX6tKGUpd2HDl9/qylT0Me8O4A6rYMFXiGK9eTro3uNLnDrtYtSTGamRKrjMRISjV0Coo0HyjSAAAAAADoXK66s8orrdKGUpdOHP1cWWaBshz5GmUe8o7xWIZyPRm6v+1BSVJUr2DNGBmjnOQ4pSdGymEadsVHgPO3K3J2YiYAAAAAAHCFio0I1bwJiZo3IVEVtaOVVzpJC0tcqjl2QDPMAmU78nW9eVAnrAjvd043nlXQjj/pV/lj1BzWX9nJMcpJjlVaQqRMSjXYgBVprEgDAAAAAMA25afOKK/MpQ0lLlUfO7c6zaW+kqRMc4/+ErxIklTsGaQ8d7pyPRlq7jVA2cmxmpkSq+sHXEWphh+NRzt9oEgDAAAAAKDrOXryjDaUurShtFJlFfXKMPbpYedbSjf3yWF8U1+UeRKU607Xf7snygyPVXZyrHJSYnX9gD4yDEo1fH8UaT5QpAEAAAAA0LUdqWnShlKX3i1x6YSrXNMdO5Vl5ivT3Cun4ZEkzWp5TqXWIElSiFoV1Sfi3OOfKXFK7R9BqQa/UaT5QJEGAAAAAED38eWJRuWWnNv983hVhaY5CpVh7tNjbf8k6VxZ9m/OP2mUeVB5ngzlutPVFHGtclLjNDM5TiOvCadUg08UaT5QpAEAAAAA0D0drG7QuyXnVqodrG6UJBnyKD/kfvUzar3jvvTEKs+Trjx3hhr6DNesUXGalRqnYVf3plTDRSjSfKBIAwAAAACg+/vi+NelWqVOnDihqeYuZTvyNdEsUYjR5h231Z2qe9qekCQN7ddLs1LPlWqJUWF2RUcXQ5HmA0UaAAAAAACBw7Is7T/eoHeLz5dqJ09qirlbWY4CTTaLtNydrd+2/1TSuXepPeL8b21yp8kde71mjrpGM1PiFNcn1Oa7gJ0o0nygSAMAAAAAIDBZlqW9rnptOP/454lTpxSkdtWrlyRpmrlTy4N/K0mqtCK10Z2uXHe6zAEZmjmqv7JGxiq6d4idtwAbUKT5QJEGAAAAAEDgsyxLpRV1erfEpfXFlXLVNSvVOKh5zo2aau5SL6PZO/a41Ucb3Wla4c7RgMEj9HepcbolKUYRPYNsvAN0Foo0HyjSAAAAAAC4sng8lgqPntb64krllrrU0NioG81SZTnyNc0sVLhxVpI0ueUlHbZiJUlXOxqUOjRBOaPidfPwqxUW4rTzFtCBKNJ8oEgDAAAAAODK1e726LNDJ7W+uFIby6rU3HxW48wyjTG/0Ivtd3jH/THoZY0192qTO01/NcYq9LopykkdoEnDotUjyGHjHeByo0jzgSINAAAAAABIUku7Wx99UaP1JZXavPe4zrS6JUmmPPow5GH1N2q8Y2utMG1236AtjnHqNfxmZY8eqPFDohTkMO2Kj8ukSxRpixYt0oYNG1RUVKTg4GDV1tZecL24uFhLlizRxx9/rJqaGiUkJGj+/Pl66KGHfP7upEmTtG3btgvO3XHHHVq9erVfuSjSAAAAAADA/3SmtV0ffF6t9cWV2rL/hNztbUo3P1e2ma8Zjh2KNuq8Yz9xJ2lO27/oqp5BykqO1ayUOKUnRsphGjbeAX4of7uiDn24t7W1VbNnz1ZmZqZWrFhx0fXCwkJFR0frtddeU3x8vD799FPdd999cjgcuv/++33+9i9/+Us9++yz3uPQULapBQAAAAAAP1zPYKdmpsRpZkqc6pvb9N6e41pfHKOFB0fqmfZ7lGbsV5YjX1mOAm3zpEiSTp9p07v5+5S26wk9FTJOEcnZyhqdqFHxfWQYlGqBplMe7XzllVf08MMPX7Qi7dssWLBA+/bt0wcffHDJMZMmTdKoUaP08ssv/6A8rEgDAAAAAAD+OtXUqrwyl9YVVargyCnJ8ihY7WpRsCTpJ+aH+m3wf0iSmqwQbfGMVn7oBEWmzlTWDYN1XQzdQ1fnb1fU5R7iraurU2Rk5HeOe/311xUVFaWkpCQ9/vjjamhouOTYlpYW1dfXX/ABAAAAAADwR2RYsOZkDNR//q9MffbPU/X0zJEaHt/Pe73EGqQ/teeo3BOtMKNFMx3b9X9bX9T8guk6/O8/0T++9Bf9cetBVdSetfEucDl0qRVpn332mSZOnKgNGzZo2rRplxy3fPlyJSYmKiYmRmVlZXryySc1ZMgQbd68+VvHL1y4UL/+9a8vOs+KNAAAAAAA8EMdPXlG60sqtb64Up9XNUiyNNI4rBxHvrLMAiWYxyVJ6c3/rmpdJUn6u/5nNWHUME2/fpj69Ay2MT3+VodtNnCpUupv7dixQ2PGjPEe+1Ok7dmzR5MnT9aDDz6op59++vtEUmFhocaMGaPCwkJdf/31F11vaWlRS0uL97i+vl7x8fEUaQAAAAAA4LI4cLxB60tcWl9cqcM1TZIsjTC+0mjzoF533+wdtyposcaae/WJlaLD/W7WNWN/optShik02GFfeHRckVZTU6OamhqfYxISEtSjRw/v8XcVaXv37tXkyZN17733atGiRd8njiTJsiyFhIToz3/+s+64447vHM870gAAAAAAQEewLEt7Kuu1rvjcSjVXXbP3mlPtWh/8tIabR73n2iyH8jVSFXHTFZ85W+lJQ+V0dLk3cQW8Dtu1MyoqSlFRUT8q3N/as2ePpkyZorlz5/6gEu3r32hra1NsbOxlywUAAAAAAPB9GYahkddEaOQ1EfrnGdcp//AprSuu0IYSl+qbpazWJRpiHFOWWaBsR4GGm0c1QcWSq1gfvrlRY9ct1KzUWN026hql9I9g588upkPfkXb06FGdOnVK69at0wsvvKCPPvpIkjRkyBD16tXL+zjn9OnT9eKLL3q/53A4FB0dLUmqqKjQ1KlTtWrVKqWnp+vLL7/U66+/ruzsbEVFRWnv3r167LHHFBoaqh07dsjh+O6lkKxIAwAAAAAAnaml3a2t+0/onaIKvb+vWq3tHklSouE6X6rl6w33VL3hnipJ6qfTWtZzqU4nZGnwTXdqQMJgO+MHvA57tPP7uOeee/Tqq69edH7Lli2aNGnSJd+3NnDgQB05ckSSdOTIESUmJnq/U15errvuuktlZWVqbGxUfHy8cnJy9Mwzz/i126dEkQYAAAAAAOxT39ymjWVVWldUqU+/rJHH28xYks6tQLvbsUnPBp3rVDyWoX1BI9Q0JEfXTvwH9YlNtCV3IOsSRVpXRZEGAAAAAAC6gur6Zq0rrtQ7RZUqrajzno/VSWU7tivbUaAbzAMXfOfLkOGqnPiC0tPHKcTJJgWXA0WaDxRpAAAAAACgq/nyRKPe2V2hd4or9dXJM97zMTqpGY4dynIUKM3Yr3aZGtPyHzJC+2hWaqzuiq/RsISBMvoOsjF990aR5gNFGgAAAAAA6Kosy1JRea3eKarUuyWVqmls9V6L1mmlmof0vucG77k1wf+q0eZBVYcNU3DK7epzw2wpaogd0bstijQfKNIAAAAAAEB30O726KODNXp7V4Xe21OllvObFHwtSO1aEfSCxpl75DS+uVbbe6h6pP5EPVL/Xooe1tmxux2KNB8o0gAAAAAAQHdT39ymvFKX3tpVoYLDpy64dpXqNc1RqGyzQOPNMgUZbklSUa+bdHrmCt04NEpOhylZlmQYdsTv0ijSfKBIAwAAAAAA3Vn5qTNau7tCb++u0OGapguuhatR08xdynLk6y33TcrzZCiqV4h+cV277i1/UiEpt0sjbpViUijVzqNI84EiDQAAAAAABALLsrS7vFZv7zqm9cUu1Z1tu+TY/+14R/8n6D+9x+6IBDlG3iqNuE2KG31Fl2oUaT5QpAEAAAAAgEDT0u7Wls+r9fauCm3ZX60294WVT5jOaoq5W1mOAk02ixRqfLOJgRURL2POm1K/4Z0du0ugSPOBIg0AAAAAAASyU02terekUm/tqlBxee1F10PVrElmsbId+Zpi7pYMQ39K36Tb04cqMSpMOrBZCu4lxWdIptn5N9DJKNJ8oEgDAAAAAABXioPVjVqz+5je3lUhV13zRddD1KphRrlKrMGSpLSEq/T/GhcoovGQ1CtGGj7r3DvVBo6TTEdnx+8UFGk+UKQBAAAAAIArjdtj6ZODNXqz8Jg27alSa7vnW8eFqFXPB63QNLNQ4caZby6ERUvXzZSSZ0sJ4zspdeegSPOBIg0AAAAAAFzJ6s60aV1xhf5r5zGVVtR965ggtWu8Wapss0AznIUKV+O5C6PmSLf9sRPTdjyKNB8o0gAAAAAAAM7Z56rXmzuPaW1RhU41tX7rGKfalWnuVY6zQNUDsjV64m0aPzhKphkYO31SpPlAkQYAAAAAAHCh1naPPvj8uN7ceUxbvzght8d3ZdT/qlD96pZhunXUNZ2UsOP42xU5OzETAAAAAAAAuqhgp6kZI2M1Y2Ssquub9dauCv3XznIdrmn61vHHTp/t5IT2o0gDAAAAAADABfqF99A/TRqs+RMHKf/wKa0uOKrcsgs3KIgIDdItSTE2pux8FGkAAAAAAAD4VoZhaOygvho7qK9+faZNa4sq9JeCo/q8qkG3j75GPYIcdkfsVBRpAAAAAAAA+E4RPYM0d1yC7s4cqJJjdYoMC7Y7UqejSAMAAAAAAIDfDMNQanwfu2PYwrQ7AAAAAAAAANAdUKQBAAAAAAAAfqBIAwAAAAAAAPxAkQYAAAAAAAD4gSINAAAAAAAA8ANFGgAAAAAAAOAHijQAAAAAAADADxRpAAAAAAAAgB8o0gAAAAAAAAA/UKQBAAAAAAAAfqBIAwAAAAAAAPxAkQYAAAAAAAD4wWl3ADtYliVJqq+vtzkJAAAAAAAA7PZ1R/R1Z3QpV2SR1tDQIEmKj4+3OQkAAAAAAAC6ioaGBkVERFzyumF9V9UWgDwejyorK9W7d28ZhmF3HHQz9fX1io+PV3l5ucLDw+2OgwDD/EJHYn6hIzG/0JGYX+hIzC90FOZW92JZlhoaGhQXFyfTvPSb0K7IFWmmaap///52x0A3Fx4ezh9DdBjmFzoS8wsdifmFjsT8QkdifqGjMLe6D18r0b7GZgMAAAAAAACAHyjSAAAAAAAAAD9QpAHfU0hIiJ555hmFhITYHQUBiPmFjsT8QkdifqEjMb/QkZhf6CjMrcB0RW42AAAAAAAAAHxfrEgDAAAAAAAA/ECRBgAAAAAAAPiBIg0AAAAAAADwA0UaAAAAAAAA4AeKNAAAAAAAAMAPFGmAnz788EPNmjVLcXFxMgxDa9eutTsSAsjixYuVlpam3r17q1+/frrtttu0f/9+u2MhQCxdulQpKSkKDw9XeHi4MjMzlZeXZ3csBKDFixfLMAw9/PDDdkdBAFi4cKEMw7jgExMTY3csBJCKigrddddd6tu3r3r27KlRo0apsLDQ7lgIAAkJCRf9/TIMQwsWLLA7Gi4DijTAT01NTUpNTdUf/vAHu6MgAG3btk0LFizQ9u3btXnzZrW3t2v69OlqamqyOxoCQP/+/bVkyRLt3LlTO3fu1JQpU3Trrbdqz549dkdDANmxY4eWLVumlJQUu6MggCQlJcnlcnk/paWldkdCgDh9+rTGjx+voKAg5eXlae/evXrppZfUp08fu6MhAOzYseOCv12bN2+WJM2ePdvmZLgcnHYHALqLrKwsZWVl2R0DAWrjxo0XHK9cuVL9+vVTYWGhbrrpJptSIVDMmjXrguNFixZp6dKl2r59u5KSkmxKhUDS2NioOXPmaPny5XruuefsjoMA4nQ6WYWGDvGb3/xG8fHxWrlypfdcQkKCfYEQUKKjoy84XrJkiQYPHqyJEyfalAiXEyvSAKALqqurkyRFRkbanASBxu12a/Xq1WpqalJmZqbdcRAgFixYoJycHN188812R0GAOXDggOLi4pSYmKif/exnOnTokN2RECDWrVunMWPGaPbs2erXr59Gjx6t5cuX2x0LAai1tVWvvfaa5s2bJ8Mw7I6Dy4AiDQC6GMuy9Oijj2rChAkaOXKk3XEQIEpLS9WrVy+FhIRo/vz5WrNmjUaMGGF3LASA1atXa9euXVq8eLHdURBgMjIytGrVKm3atEnLly9XVVWVxo0bp5MnT9odDQHg0KFDWrp0qYYOHapNmzZp/vz5evDBB7Vq1Sq7oyHArF27VrW1tbrnnnvsjoLLhEc7AaCLuf/++1VSUqKPP/7Y7igIIMOGDVNRUZFqa2v11ltvae7cudq2bRtlGn6U8vJyPfTQQ3rvvffUo0cPu+MgwPztKzWSk5OVmZmpwYMH69VXX9Wjjz5qYzIEAo/HozFjxuj555+XJI0ePVp79uzR0qVLdffdd9ucDoFkxYoVysrKUlxcnN1RcJmwIg0AupAHHnhA69at05YtW9S/f3+74yCABAcHa8iQIRozZowWL16s1NRU/e53v7M7Frq5wsJCVVdX64YbbpDT6ZTT6dS2bdv0+9//Xk6nU2632+6ICCBhYWFKTk7WgQMH7I6CABAbG3vRfyYNHz5cR48etSkRAtFXX32l999/X/fee6/dUXAZsSINALoAy7L0wAMPaM2aNdq6dasSExPtjoQAZ1mWWlpa7I6Bbm7q1KkX7aL4i1/8Qtddd52eeOIJORwOm5IhELW0tGjfvn268cYb7Y6CADB+/Hjt37//gnNffPGFBg4caFMiBKKvNxDLycmxOwouI4o0wE+NjY06ePCg9/jw4cMqKipSZGSkBgwYYGMyBIIFCxbojTfe0DvvvKPevXurqqpKkhQREaHQ0FCb06G7e+qpp5SVlaX4+Hg1NDRo9erV2rp160W7xQLfV+/evS96l2NYWJj69u3LOx7xoz3++OOaNWuWBgwYoOrqaj333HOqr6/X3Llz7Y6GAPDII49o3Lhxev755/XTn/5UBQUFWrZsmZYtW2Z3NAQIj8ejlStXau7cuXI6qV4CCf+agJ927typyZMne4+/fjfH3Llz9corr9iUCoFi6dKlkqRJkyZdcH7lypW8mBQ/2vHjx/Xzn/9cLpdLERERSklJ0caNGzVt2jS7owHAJR07dkx33nmnampqFB0drbFjx2r79u2sGMJlkZaWpjVr1ujJJ5/Us88+q8TERL388suaM2eO3dEQIN5//30dPXpU8+bNszsKLjPDsizL7hAAAAAAAABAV8dmAwAAAAAAAIAfKNIAAAAAAAAAP1CkAQAAAAAAAH6gSAMAAAAAAAD8QJEGAAAAAAAA+IEiDQAAAAAAAPADRRoAAAAAAADgB4o0AAAAAAAAwA8UaQAAAAAAAIAfKNIAAAAAAAAAP1CkAQAAAAAAAH74/+tQvBcNyxbGAAAAAElFTkSuQmCC\n", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -717,14 +713,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -766,7 +760,7 @@ "name": "stdout", "output_type": "stream", "text": [ - "Estimated Qm 328.60 fC, real 311.61\n" + "Estimated Qm 311.90 fC, real 311.61\n" ] } ], @@ -774,7 +768,7 @@ "# Integrate part above I1 to find Q1\n", "iup = I[:i80] - I1_est\n", "iup = iup[iup > 0]\n", - "Qm_est = np.sum(iup) * dt\n", + "Qm_est = np.trapz(iup, dx=dt)\n", "\n", "# And correct to find Qm, in pA * ms = fC\n", "Qm_est += dI_est * tau_est\n", @@ -791,9 +785,9 @@ "name": "stdout", "output_type": "stream", "text": [ - "Estimated Rs 11.1 MOhm, real 11.7 Mohm\n", - "Estimated Rm 500.9 MOhm, real 500.3 MOhm\n", - "Estimated Cm 33.59 pF, real 31.89 pF\n" + "Estimated Rs 11.7 MOhm, real 11.7 Mohm\n", + "Estimated Rm 500.3 MOhm, real 500.3 MOhm\n", + "Estimated Cm 31.92 pF, real 31.89 pF\n" ] } ], @@ -891,14 +885,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -970,14 +962,12 @@ }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1022,14 +1012,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1071,14 +1059,14 @@ "name": "stdout", "output_type": "stream", "text": [ - "Estimated Qm 307.87 fC\n" + "Estimated Qm 301.83 fC\n" ] } ], "source": [ "iup = I[:i80] - I1_est\n", "iup = iup[iup > 0]\n", - "Qm_est = np.sum(iup) * dt + dI_est * tau_est\n", + "Qm_est = np.trapz(iup, dx=dt) + dI_est * tau_est\n", "print(f'Estimated Qm {Qm_est:.2f} fC')" ] }, @@ -1092,9 +1080,9 @@ "name": "stdout", "output_type": "stream", "text": [ - "Estimated Rs 11.8 MOhm\n", - "Estimated Rm 500.2 MOhm\n", - "Estimated Cm 31.51 pF\n" + "Estimated Rs 12.0 MOhm\n", + "Estimated Rm 500.0 MOhm\n", + "Estimated Cm 30.91 pF\n" ] } ], @@ -1136,20 +1124,18 @@ "name": "stdout", "output_type": "stream", "text": [ - "Tau* = 0.368 ms\n", - "I0* = 915.672 pA\n" + "Tau* = 0.355 ms\n", + "I0* = 983.533 pA\n" ] }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1171,12 +1157,6 @@ "ilog = ilog[:istd]\n", "ilog = np.log(ilog)\n", "\n", - "fig = plt.figure(figsize=(15, 5))\n", - "ax = fig.add_subplot()\n", - "ax.plot(tlog, ilog, lw=3, label='Data')\n", - "ax.plot(tlog, a + b * tlog, '--', label='Least squares fit')\n", - "ax.legend()\n", - "\n", "mx = np.mean(tlog)\n", "my = np.mean(ilog)\n", "rx = tlog - mx\n", @@ -1184,6 +1164,12 @@ "b = np.sum(rx * ry) / np.sum(rx ** 2)\n", "a = my - b * mx\n", "\n", + "fig = plt.figure(figsize=(15, 5))\n", + "ax = fig.add_subplot()\n", + "ax.plot(tlog, ilog, lw=3, label='Data')\n", + "ax.plot(tlog, a + b * tlog, '--', label='Least squares fit')\n", + "ax.legend()\n", + "\n", "tau_est = -1 / b\n", "I0_est = np.exp(a) + I1_est\n", "print(f'Tau* = {tau_est:.3f} ms')\n", @@ -1198,30 +1184,28 @@ "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAs4AAAEvCAYAAABVHEeWAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAABOX0lEQVR4nO3dd3wc1bn/8c9RlyW5y5ZsuRfccBWmhGAnEHpNNSGUG8AXktwUyO+GkoQ4CbkhCZBOYhMCAWKHJDQbCJhimm1sufdeJKtatnrbcn5/7ErItqo12l3tfN+vl1+SZmZ3nmc8Z/346Mw5xlqLiIiIiIi0LSbcAYiIiIiI9AQqnEVEREREOkCFs4iIiIhIB6hwFhERERHpABXOIiIiIiIdoMJZRERERKQD4sIdQEcNHDjQjhw5MtxhtKuyspK0tLRwhyESVdzYrtyYs4hIJFi3bt1Ra216S/t6TOE8cuRIcnJywh1GuxYsWMADDzwQ7jBEooob25UbcxYRiQTGmEOt7uspC6BkZ2fbnlA45+fnM2TIkHCHIRJV3Niu3JiziEgkMMass9Zmt7RPY5xFRERERDpAhbPDFi1aFO4QRKKOG9uVG3MWEYl0KpxFRERERDpAhbOIiIiISAeocHbYnDlzwh2CSNRxY7tyY84iIpFOs2qIiIiIiARpVo0Qevjhh8MdgkjUcWO7cmPOIiKRToWzw6qqqsIdgkjUcWO7cmPOIiKRToVzR1kLG56F3LXhjkREREREwkCFc0cZA6/9L2x7vs3DMjMzQxSQiHu4sV25MWcRkUinhwM74zfTIOss+Nzj4Y1DRERERLpFtz8caIzpa4z5lzFmpzFmhzHmXGNMf2PMcmPMnuDXfs2Ov9cYs9cYs8sYc4kTMYRESjpUl7R5yNKlS0MUjIh7uLFduTFnEZFI59RQjd8A/7HWTgCmATuAe4C3rLXjgLeCP2OMmQTMAyYDlwJ/NMbEOhRH9+o1EKpL2zxk/fr1IQpGxD3c2K7cmLOISKTrcuFsjOkNXAD8BcBa22CtLQOuAZ4KHvYUcG3w+2uAJdbaemvtAWAvMLurcYREysB2e5xFREREJDo50eM8GigB/mqM2WCMedwYkwIMttYWAAS/DgoePxTIbfb6vOC2yJeSDjVHAzNsiIiIiIirOFE4xwEzgcestTOAaoLDMlphWtjWYiVqjJlvjMkxxuSUlERAT29KOvi9UFfW6iF33XVX6OIRcQk3tis35iwiEumcKJzzgDxr7UfBn/9FoJAuMsZkAgS/Fjc7fliz12cB+S29sbV2obU221qbnZ6e7kCoXZQyMPC1+mirh+Tnt5iKiHSBG9uVG3MWEYl0XS6crbWFQK4x5ozgpguB7cDLwM3BbTcDLwW/fxmYZ4xJNMaMAsYBa7oaR0g0Fc6t934vWbIkRMGIuIcb25UbcxYRiXRxDr3P/wDPGmMSgP3AfxEoyp8zxtwKHAa+AGCt3WaMeY5Ace0Fvm6t9TkUR/dKCfZ6t9HjLCIiIiLRyZHC2Vq7EWhpougLWzn+QeBBJ84dUr3a73EWERERkeikJbc7o9eAwNea1udyvvLKK0MUjIh7uLFduTFnEZFIp8K5M+ISIKlvmz3Os2bNCl08Ii7hxnblxpxFRCKdCufOamcRlAULFoQwGBF3cGO7cmPOIiKRToVzZ6Wk6+FAERERERdS4dxZqYOgqrj940REREQkqqhw7qzUwVBV1Oru8ePHhzAYEXdwY7tyY84iIpFOhXNnpQ4KLLntrW9x9/XXXx/aeERcwI3tyo05i4hEOhXOnZU6OPC1leEaixcvDmEwIu7gxnblxpxFRCKdCufOaqdw3r17dwiDEXEHN7YrN+YsIhLpVDh3VuqgwNeqwvDGISIiIiIhpcK5s5p6nFt/QFBEREREoo+x1oY7hg7Jzs62OTk54Q4DfB74yUCYey/MvSfc0YiIiIiIg4wx66y12S3tU49zZ8XGQ68BrfY4r1u3LsQBiUQ/N7YrN+YsIhLpVDifjtSMVh8OXLZsWYiDEYl+bmxXbsxZRCTSqXA+HamDNMZZRERExGVUOJ+O1MFQqcJZRERExE1UOJ+Oxh7nFh6snDdvXhgCEolubmxXbsxZRCTSqXA+HWmZ4KuH2uOn7BoyZEgYAhKJbm5sV27MWUQk0qlwPh29MwNfK/JP2fXII4+EOBiR6OfGduXGnEVEIp0K59ORFuwJqtTqgSIiIiJuocL5dDT2OFee2uMsIiIiItFJhfPpSM0IfK0oOGXXzJkzQxyMSPRzY7tyY84iIpFOhfPpiEuAlPQWe5yvuuqqMAQkEt3c2K7cmLOISKRT4Xy60jJb7HFeuHBhGIIRiW5ubFduzFlEJNKpcD5dvYe02ONcUHBqMS0iXePGduXGnEVEIp0K507y+S0+v4W0jBZ7nEVEREQkOsWFO4CexFrLLX9dQ3W9l+cmZBJXcxS89RCX2HRMampqGCMUiU5ubFduzFlEJNIZ28Ky0ZEoOzvb5uTkhDWGFzbk8Z1/bALgsUnbuGz/g/CtzdBvRFjjEhERERFnGGPWWWuzW9rn2FANY0ysMWaDMWZZ8Of+xpjlxpg9wa/9mh17rzFmrzFmlzHmEqdi6G6/en03U7P6cM30ITy32xfYeNLqgStWrAh9YCJRzo3tyo05i4hEOifHOH8L2NHs53uAt6y144C3gj9jjJkEzAMmA5cCfzTGxDoYR7eoafBypKyWy6ZkcuHEwRz2DQjsqDhywnHvvvtuGKITiW5ubFduzFlEJNI5UjgbY7KAK4DHm22+Bngq+P1TwLXNti+x1tZbaw8Ae4HZTsTRnQrL6wAY3DuRcYNSKbDBwrnscBijEhEREZFQcarH+dfA/wL+ZtsGW2sLAIJfBwW3DwVymx2XF9wW0Yoq6gHI6J3EqIEp1JkkauN6Q3lemCMTERERkVDocuFsjLkSKLbWruvoS1rY1uITisaY+caYHGNMTklJyWnH6ISiimCPc58kkuJjGTkghZKYQacUzrfffns4whOJam5sV27MWUQk0jnR4/wJ4GpjzEFgCfBpY8wzQJExJhMg+LU4eHweMKzZ67OAU1cSAay1C6212dba7PT0dAdCPX2FjYVz7yQAxg5KJdffXz3OIiIiIi7R5cLZWnuvtTbLWjuSwEN/b1trvwK8DNwcPOxm4KXg9y8D84wxicaYUcA4YE1X4+huheV1pCbGkZoYmPp6/OA09tX3xZbnnnDcokWLwhGeSFRzY7tyY84iIpGuOxdA+TnwnDHmVuAw8AUAa+02Y8xzwHbAC3zdWuvrxjgcUVxZx+DeHy90Mm5wKtv8AzD1FVBXDkl9whidiIiIiHQ3Rwtna+0KYEXw+1LgwlaOexB40Mlzd7fC8joy+iQ1/Tx2UCrL7cDAD+VHVDiLiIiIRDkn53GOakUV9QxO+7hwHpOeSgHBKemajXOeM2dOqEMTiXpubFduzFlEJNKpcO4Av99SVFHH4GY9zknxsZg+wWccyz+ey3nu3Lkhjk4k+rmxXbkxZxGRSKfCuQOO1TTg9VsyeiedsL3f4OE0EAfHDzVte/jhh0MdnkjUc2O7cmPOIiKRToVzBxytCix+kp6WeML2cRm9ybPp+JsVzlVVVSGNTcQN3Niu3JiziEikU+HcATUNgUk/eiXEnrB93OBUcv3pNJTsD0dYIiIiIhJCKpw7oC5YOCfHn1Q4D0rjsB1ETLMxzpmZmSGNTcQN3Niu3JiziEikU+HcAbWeQOGcdFLhPCY9lTzSSfCUQ20ZAPPnzw91eCJRz43tyo05i4hEOhXOHVDn8QOQfNJQjeSEWGp6BWfWKAuMc166dGlIYxNxAze2KzfmLCIS6VQ4d0Bjj/PJQzUA4geOCnwTfEBw/fr1IYtLxC3c2K7cmLOISKRT4dwBrQ3VAEjLHAeA79jBUIYkIiIiIiGmwrkDmh4OTDi1cB4xNJNy24vKwr2hDktEREREQkiFcwc09TjHnXq5xg1K45AdjKdkHwB33XVXSGMTcQM3tis35iwiEulUOHdAncdHfKwhLvbUyzVmUAoHbQYJ5QcAyM/PD3V4IlHPje3KjTmLiEQ6Fc4dUOvxtTi+GaBXQhylicNIqysAbwNLliwJcXQi0c+N7cqNOYuIRDoVzh1Q5/G1OKNGI0/f0cTgh+MHQxeUiIiIiISUCucOqG1ovccZIHHwWAB8JbtDFZKIiIiIhJgK5w6obafHuW/WRACO5+3kyiuvDFVYIq7hxnblxpxFRCKdCucOqPP4SWphKrpGI7OyOGZTqSnczaxZs0IYmYg7uLFduTFnEZFIp8K5AwI9zq1fqrGDUjloMzCl+1iwYEEIIxNxBze2KzfmLCIS6VQ4d0B7DwemJMZRFJdFWvXB0AUlIiIiIiGlwrkD2ns4EKCq9xj6eo+SYOtDFJWIiIiIhJIK5w5o7+FAAAadAcD0YakhiEjEXcaPHx/uEELOjTmLiEQ6Fc4dUOfxtflwIECfYVMAmDF2UChCEnGV66+/PtwhhJwbcxYRiXQqnDugzuNvt8d52OhJ1Nt4dn70RoiiEnGPxYsXhzuEkHNjziIikU6FczustR0aqjFmcB/220xSqg6HKDIR99i9232LC7kxZxGRSKfCuR0en8XntyS1MR0dQEJcDEWJIxlqikMUmYiIiIiEkgrndtR6fADtzqoBUNtnDBkcg4aa7g5LREREREJMhXM76oKFc3I7DwcCxGRMIsZYKnO3dndYIq7ywAMPhDuEkHNjziIikU6FczuaCucO9DgPHDMTgPzda7s1JhG3WbduXbhDCDk35iwiEum6XDgbY4YZY94xxuwwxmwzxnwruL2/MWa5MWZP8Gu/Zq+51xiz1xizyxhzSVdj6E61nSicx084k2qbSM3hzd0dloirLFu2LNwhhJwbcxYRiXRO9Dh7gbuttROBc4CvG2MmAfcAb1lrxwFvBX8muG8eMBm4FPijMab9qjRMahs6PsY5LTmRvXYoScd2dHdYIiIiIhJiXS6crbUF1tr1we8rgR3AUOAa4KngYU8B1wa/vwZYYq2tt9YeAPYCs7saR3fpzMOBALk2gyH1e7F+f3eGJSIiIiIh5ugYZ2PMSGAG8BEw2FpbAIHiGmhcUm8okNvsZXnBbRGpMw8HAiSPnEUfqsk7vK87wxJxlXnz5oU7hJBzY84iIpHOscLZGJMK/Bv4trW2oq1DW9hmW3nP+caYHGNMTklJiRNhdlqdJ9Bz3JExzgBDJpwDQP7ONd0Wk4jbDBkyJNwhhJwbcxYRiXSOFM7GmHgCRfOz1trng5uLjDGZwf2ZQOPKIHnAsGYvzwLyW3pfa+1Ca222tTY7PT3diVA7rXGMc0cL538vX43fGjx5G7ozLBFXeeSRR8IdQsi5MWcRkUjnxKwaBvgLsMNa2/yT/mXg5uD3NwMvNds+zxiTaIwZBYwDIrZ7ts4bKJwT21k5sJHPxJMbm0VKqeZyFhEREYkmcQ68xyeAG4EtxpiNwW33AT8HnjPG3AocBr4AYK3dZox5DthOYEaOr1trfQ7E0S28vsAokriYlkaYtKw4dSIjK3K6KyQRERERCYMuF87W2g9oedwywIWtvOZB4MGunjsUPL7AGOe42I71OM+cOZOG8hjSK96gougwvQcP787wRFxh5syZ4Q4h5NyYs4hIpNPKge3w+gM9zvGxHetxvuqqq+g16iwA8neu7ra4RNzkqquuCncIIefGnEVEIp0K53Z4G3ucYzp2qRYuXMjQCWfhs4baQ1p6W8QJCxcuDHcIIefGnEVEIp0K53Z4fJ3rcS4oKCC9f3/2mREkF67vztBEXKOgoCDcIYScG3MWEYl0Kpzb4fX7iY0xBCYP6RhjDEV9pzGsZhvW5+3G6EREREQkVFQ4t8Prs52aUSM1NRWAmGGzSaGW/D0buykyEfdobFdu4sacRUQinQrndnh8lvgOzqgBcPfddwMwdOpcAAq2ruiGqETcpbFduYkbcxYRiXQqnNvh9fuJ6+D4ZoAVK1YAMGLMJErpg839qJsiE3GPxnblJm7MWUQk0qlwbofHZzs8owbAu+++C4CJiSE3dSpDyjdhre2u8ERcobFduYkbcxYRiXQqnNvh9fk7Nca5OU/WeQyliPyDux2OSkRERERCTYVzO7x+26mhGs0NmhpYODFv43InQxIRERGRMFDh3A6Pz9+phwNvv/32pu+HT8imjFTMwfe7IzQR12jertzCjTmLiEQ6Fc7t8Pk7Nx1dcyYmloMpMxhWvg40zllERESkR1Ph3A6PzxLXiR7nRYsWnfBz/fDzyaSEggPbnA5NxDVObldu4MacRUQinQrndnj9/g4vt92S9OmXA1C4/hWnQhIRERGRMFDh3I7Orhx4spHjzuQwg0k8+I6DUYmIiIhIqKlwbofH5+/UUI05c+ac8HNMjGFf73MYVbUevPVOhyfiCie3KzdwY84iIpFOhXM7vH7bqaEac+fOPfU9Rl1IMvWUbH3LwchE3KOldhXt3JiziEikU+HcjsACKB2/TA8//PAp28447wpqbCKFa553MjQR12ipXUU7N+YsIhLpVDi3w+PrXI9zVVXVKduGDx7IluRsMgrexvp9ToYn4gottato58acRUQinQrndnj9netxbk3MhCtIt6XkrHrbgahEREREJNRUOLfD6+vcktuZmZktbp/yqS/hJZbNrz/FR/tLnQpPxBVaa1fRzI05i4hEOhXO7fD4O7fk9vz581vcntxnIL5Rn+KKmFX85f19ToUn4gqttato5sacRUQinQrndnR2HuelS5e2ui9xxpfI4CiJBWudCE3ENdpqV9HKjTmLiEQ6Fc7t6OyS2+vXr2995xmX44lJZHb129R59JCgSEe12a6ilBtzFhGJdCqc29HVJbdPkJhK8dCLuSZmJfvyjzrzniIiIiISEiqc2xEYquHcZbIzvkJvU0PNphcce08RERER6X4qnNvh8XWux/muu+5qc//gqReRawcxeM8/uhqaiGu0166ikRtzFhGJdCqc2+H1d246uvz8/Db3x8fF8UbSpQyvXA9F27oanogrtNeuopEbcxYRiXQqnNtgrcXnt8R2YqjGkiVL2j0mb9QXqbUJbPr3L7DWdiVEEVfoSLuKNm7MWUQk0oWtcDbGXGqM2WWM2WuMuSdccbTF4wsUtfGdmI6uI+669hw29L2YM4peYc+Bg46+t4iIiIh0j7AUzsaYWOAPwGXAJOB6Y8ykcMTSFq/fD9Cp6eg6Ii0pnvHX3UMCXipW/NbR9xYRERGR7hGuHufZwF5r7X5rbQOwBLgmTLG0yusP9jh3YozzlVde2aHjBo48k5WJn2Bi7hKoLTud8ERco6PtKpq4MWcRkUgXrsJ5KJDb7Oe84LYTGGPmG2NyjDE5JSUlIQuukTc4VKMzKwfOmjWrw8cemHQnKbaG6hW/7mxoIq7SmXYVLdyYs4hIpAtX4dxSJXrKU3LW2oXW2mxrbXZ6enoIwjqR19f5oRoLFizo8LFnnXMBS33nEvPRH9mzd0+n4xNxi860q2jhxpxFRCJduArnPGBYs5+zgIibe8lzGkM1OmNCRm+yPv8z4vGy9dnvsbe4slvOIyIiIiJdF67CeS0wzhgzyhiTAMwDXg5TLK1q6nF2cOXAk82YNpOqGbdznX2Lvy75Z7edR0RERES6JiyFs7XWC3wDeB3YATxnrY241UAap6PrzAIo48eP7/R5+l76faoS0rnh6K85Wq5eZ5GTnU676uncmLOISKQL2zzO1tpXrbXjrbVjrLUPhiuOtjRORxffiTHO119/fedPlJjGsbn/x6SYQxQti8hLIRJWp9Wuejg35iwiEum0cmAbTmdWjcWLF5/WuYad+3lej7mACXv+DIc/Oq33EIlWp9uuejI35iwiEulUOLfB4+t8j/Pu3btP61zGGDZP/QFH7EBqF9/MO+u3U+fxndZ7iUSb021XPZkbcxYRiXQqnNvQuABKZ8Y4d8Wdl83kl2n3EFNzlOQXv8rvlm8PyXlFREREpH0qnNvgCcGsGs2lJsZx/+03sHzcDzgnZgcTP7qH8pr6kJxbRERERNpmrD1l3ZGIlJ2dbXNyckJ6zvd2l3DTE2v41x3nkj2yf0jPXfjKg2Ss/QVbMz/LlNv/AiEq3kVERETczBizzlqb3dI+VWNtaJxVozMrB65bt86Rc2dcfh+v9JnHlILnqfv3HVTX1DryviI9kVPtqidxY84iIpFOhXMbPKcxq8ayZcucObkxjJ33S37t/RxJ2/7B+p9/hh8/9yG1DXpgUNzHsXbVg7gxZxGRSKfCuQ2N09F1ZlYNJ52R2Zva8/4fv039NufG7uCWrTfz3EsvhCUWEREREbdT4dyGj4dqhGZWjZbce/lEvvndBcTd+jppiTHcsPV2Nvz1O+w5Uhy2mERERETcSIVzGxqHasR34sG8efPmdU8wWdn47/iQ1+PmMuPQEyQvPJc3n/sDq/aW0FMe8BQ5Xd3WriKYG3MWEYl0Kpzb4A1ORxfbiR7nIUOGdFc4DBiQziX3/Zviz/4bb3xvLtp+H/3/Npdn/vxzio+Vd9t5RcKtO9tVpHJjziIikU6Fcxs8/sYe544Xzo888kh3hQMEZvgYNPUiRty7lqOX/omBaYncWPhzYn8zmQ//8N/UH14P6oGWKNPd7SoSOZFzncdHRZ3HgWhERARUOLepsce5M9PRhYqJjWPgOdcz4LvrKLh6MYV9Z3JW8T9JfOJTlDw4gW2Pz8ezfRnUHj/ltXuKKimv9WCtpVL/qIpL1DR4Ka1y14JCFz/6HlN/9Ea4wxARiRpx4Q4gkvlCvOT2aTGGzJmXkznzctZs38OhD54jq+gdpue+QPxz/8CP4QBDOZQ0gZmzLyAuczK3/r2IKWecwaj0VJ5aeYhl/3M+IwemdPrUaw4cY8uRcuadNYyUxBNvpTqPj/WHjnPumAEYc+r1q23wkRQf0+I+gK1Hylm57yi3nT+amE70+Lek3usjMS623WO251cwY3i/Lp2rp/jXujy25ZfzwFWTu+0clXUe0pLi2zzG57eUVNaT0Sep2+Jo9N1/bmLLkXLe+3+favWe/PN7+7h2+tDTag+RxlrL4WM14Q5DRCSqRF5XagQ5nYcDZ86c2V3htGv2pHF8Yf79nPuDN/n+Gcv4Uv0PeNTzOWpTsphWu5a+7/2Q1H98jvdiv8bDey7j2pWf47f+n7Fj0VdZ8os72frSo7D9JSp2vsP7H6zAfzwXb00Z//fqNn7+2k78wf9IHK9uoM7j41tLNvCTZdv5zCPvUl7zcc91bYOP257K4cuPf8TTqw+dEGN5rYfH39/PjJ+8wY9e3nbCvqp6LwBvbCvkc4+t5Gev7uSxd/d1KPdj1Q08vfoQC5Zu452dxU0PTO4qrGTqj95g6ab8pmNX7j3KvIWrOFz6cVHxyBu7ue6PK8k5eKzF9y+v8TTlD1BQXsuPl27n/he2sC2/HL/fUlbTcMJrPD4/dZ62590+Xt1AQXlt0283GnX3A5+Pv7+fv354kNxjNRSW1/HK5gIKyk9cZOd4dUNTHNYG8vP5LT9Ztp03txcBgb/Pv606yIbDx6nz+NhfUsWGw8d5+I1dTF3wBm/vLGp6v815ZTyyfDd1Hh8F5bVU1nn4zj82csEv3mFfSdUJuTdej+p6L9Zapk6fecK1rG3w8dB/dvLSxiOn5HasuoHiyjpW7gv8PTfm+Pq2InKP1bLlyKnPAzR4/dz57Dp+/eYebvtbDtXBe/Fkz6/P44cvbT3hXjiZtZbNeWXsKKhocZ+1lkOl1Xzj7+tPuAdP1pHPktoGH//vn5uarkNNg5d/5uRSXuPhSJkWTRIRcZqW3G7D797aw8PLd7PnwcvCNpfz6TpaVc/1C1fz2ZlZ3Dl3DDsKKrjzT68xxHOQ8/ocI6XqMEMoZlpaBXHVhQw0p/4j31yVTcIT24s6EqjwxuGPTaLCF0fvwaO4LPdGvvnpsWw5Uk5Wv15syitjy5FyxqSncuR4Lb/6wjQunDiIlzYe4b4XtuLzW4b1Tyb3WC0/u+5Mrp89jFe3FPKtJRv43MwsXtlSwJj0FAb3TmL5jiJSE+M4d/QArpiaSVW9l/0l1Vw3YygL39tPcWUdPr9ly5Fy6jx+4mMNHp/lhrOH8+B1Z/KtJRt4aWM+Gb2TePu7c1h/qIxbn1pLvdfPZyYN5mtzx1Bd7+O2v62lzuPnvDEDuO/yiYxOT6FXQhx7i6u49/nNrD14nHNG9+eRL06noLyOW59aS02Dj7gYQ3J8LMP692JjbhkjBvQiNTGOO+aM4enVh9hRUMEF49LZW1zFNy8cx+VnZrDu0HHWHjzO1vxyXt1SgLUwMDWBr39qLLecN5KnVx/i4Td2MyAlgU9PGMS1M4YyZWgfyms99E6Ka+ot3Z5fwdLN+Xxm0mA+2n+MMekpXDw5A4CymgYS4mJYvCaX3GM1VNR5WHvwGN/41Fg+dcYgZv/sLQDmnpHOB3uO4vVbBqQk8PjN2cwY3o9XtxTwjb+v56ZzR/Kjqyfz6zd38/u393L1tCE8v+EIMQa+e8kZrNhZwppW/rOREBfD2PRUbjhnOK9sLmDV/lKshYsnDeb9PUeJMVAdXNBn+rC+lFTW8/VPjWV3USVv7Szi63PH8v0Xt7I34XqnmkWP8++rAv+5fHtnMZdOyWBI3yRKKus5XuOhtsHHmzuKWLmvFGPg/ssnsnr/Md7cUUSf5HguPzODxWtyATj48yvCmYaIuJDPb/Fb2+PqJ2h7yW0Vzm14ZPlufvvWHg783+WtDik42cKFC5k/f343R3Z63tlZzJ3PruM382awt7iKqnov37t0AodLa0iM8XHLH16D6qOMSK5l7vAENu45yOCEei4c3QtvbSWHC0sYkOBlYJKf4mPlDEiyTB43hhvL7+CDvUcxBmJNoJB85EvTmTK0N59/bBVHymoZPTCFI2W1zBjel7svPoPpw/rylcc/4qMDxxjSJ4miynr6JMdzrLqBlIRY/vPtC+jbK56/fHCAoop6/rO1gOPBXu0YA34bKMymDu1DTIxhYkYaXz57BKMGpvDgK9t5atUh7rlsAr/4z07OGzOQD/YeZfqwvuwoqGDUwBQuGJ/Owvf2N12bGANfPns4z6w+DASKu29fNJ4b//IRANfOGMo/1ubSJzkeay1xsTE8+V9n4bdw3R8/xOPzc/N5I8k9VsPuoir2Fgd6UGeP7M+uokoGpCRwoLSaPsnxlAXzSEmI5YZzRjC8fy+WbspnzcFjfGFWFs/l5HHu6AEkxMWwal8pAHfOHcPv39nLxMw0hvfvxeFjNewoqGwaTgSBFS5vOW8k7+4uYU/xxz24yfGBYSojB6awo6CCWSP6se7QcQb3TqSoop7xg1P5/hWT+P6LW6mu93Lf5RO55/nNpCTGUVbj4Y45Y/jrhwfw+Pz4LZw3ZgBJ8bG8vTMwl/jPP3smaUnx7CqqJD0tkcFpiQBU1nm5+5+bADhjcBoXThzE8ZoGFq/JJatfMqPTU+kVH8uEzDR+/eYeYkwg1hqPr+n51mH9k3m/5joHW0HPMrLu70DgXqluYdXQ+FjDD66cxJs7inlvdwkAX5s7hv9sLWT/0WoAUhPj2LrgktAFLa2y1nb43xKJXLsKKxk3KLXLwwij3R1Pr6O81sPfbz+7x933KpxP0y/+s5OF7+1n788u7/BrFixYwAMPPNCNUXVNW+N9K+o8FJTVkdUvmZTEOI5W1dM3Ob7FhyOPlNWSmhhHn+R43t9Two1/WcO3LxrHF7KHEWtM05hVr8/Pil0l3PfCFvzW8tq3LiA9WFh5fX6WrM1l9f5ShvRN5uufGsvC9/Yxc3g/Lpw4+ITzNXj9HCytxlrolRDLwvf284XsLKZm9T0ltjqPj8t/8z77j1YzMDWBV7/5SV7fXsRj7+ylf2oCf/vq2fRKiOX7L25l8pDeJMbFkpwQw2VTMln03n6OlNWyZG0uyfGx9O0Vz7O3nc3o9FS2Hinn+oWrqfX4eP5r5zWde39JFcYYRgXHxVbXe7n/hS1MH9aXWz4xqimm37+9l+M1DZw5tA+XnZl5Qu9xea2HCx9ewdGqBi6dnMFjX5mJMYbSqnqu/eOH5B6rZfKQ3vj8lgavn2H9ezFpSG+uP2s4b+4oYuygVH726g52FlYye2R/5k5Ip67Bx+xRAzhvzAC8fktsjOEbf1/Pa1sLGZCSwPevnMgPXtzGv+48lwkZvdlVWMlVv/uABp+fyUN688ytZ3PfC1t4bWshCXExPHPr2TyXk8u3LhzHsP692JZfTnmth/PGDGzxfvL6/Nz/wlamDevL9bOHYYyhzuPjD+/s5bMzs5quV73Xx7JNBYwZlMrnHltJamIcD143hX+szeWhz00lMS6G3/zqIS698Wvc8te1NHj9fHrCIJ645Sze2FbImgPH+K/zR/HR/lK2HqngiqkZzBrRv+m++flrOxncO5EvZg/jta2F3PfCFgAGpiby42sm89iKfewtrmL5XReQ1a8XAKVV9dzy17VsOVLOz647kwmZaWT2SSKzTzL5ZbX85YMDXDt9KGsOHuPx9/eTkhjHz647kz+9u49vXTiOacMC94a1lo8OHOOljfmU1TRw3YyhTB7ah21Hyrl4cgYrdhWTd7yWnYUV7CyoBGDykN6sPXgcf/FefnnnZ6mo83D2qP58uK8Uv7UMSkukf0oCveLjSE6IJSEuBmstq/aVUlRZx3UzstiUW8ZnH1uJz29JSYhl248vbfHvSE7VVnG7s7CCXYWVXDolo93nJporq2ngv55cy7SsvvzoaueeK9iYW8awfskMSE107D2d0OD1kxDXei9j4LdcfuaeMSiEUXWcx+entKqBjD5J1Hl8JMV//He9Oa+Mq3//IfdcNoE75oxp2l7T4KVXQtcfG/v923s4b+xAZrbyvI3X5yfveG2Xn8Oo9/r41eu7uOUTo6ht8FHv9TF5SJ92X7f24DEavH4+Mbblz/3mcU5b8AbVDT4evymbiyYN7tDzRpGircK5acxdpP+ZNWuWDbUHX9luz/j+q516zY9+9KNuiiayHS6ttn6/v9X9lXUee7SyLmTx7CuutC9tPGKr6z1N2/x+v/X5Wo+xkcfrs1f97n37yYfetodLq0/Yt7uwwq7ad9TxeK219j9bC+wNi1bbsuqGU875wxe3nLL9ZGU1DXZvcWXbx1Q32PMfest+71+brLWBXJtbsuaQ/fKiVSf8Xa3ad9R+sKekM6mctqWbjtgPWzhXY7tavq3QXvjwCruzoOK03t/v99s3thXa+57fbPeXVFlrra1t8NqCstpTjq2u99iNh4+3+55en9/We3ztHtdZXf0seWtHob35iY/suPs79xkWLSrrAm1/S16ZfXFD3ilt41ev77TPrD54wrby2gZ77R8+sF94bKUtrjjx8+rXy3fbkfcssyO+t8x++lfv2Bc35NncY9W2orbhhM++2gZv0+fMwaNV9ot/WmnP+7+37IjvLbNj7n3lhHutrKbBHjpabY8cr2n6rKqq87T4WVpaVW9zj1XbyuD+5dsK7YjvLbOj733FfmvxenvkeI3NL6uxl/36PTvnF2/bFzfkNcXTXL3Hd8Lnos/nt09+eMB+71+b7HNrD59w7Mq9R+2XF62yFz/yblPcq/cdtZ9/7EN7+W/es+W1p34mfbi3xE74/mv2pY1HTtju8/ntil3FdldhhZ3w/dfsxB+8dsI1LqtpaGpHxRV1dsPh4/bTv3rH3vSXj+yWvLJTzlNR22D3FFXaXYUV9kcvb7UFZbV2T1Gl3Z5fbq0NtPV3dhbZitoGe+/zm+1Vv3vfvr2j6JT3adQQ/CysbfDaLy9aZcfd/6r9aH+pnfqj1+0f3tlj/f5AO//psm12xPeW2Sk//I/dV1xp6zxe+8L6PDvqnmX20eW7Tvi7W3fomL3o4RV27YFSW1bTYP+ztcC+tqWg6XO3qs5jPV6fzTlYam99co3dePi4HfG9Zfasny63pVX1Lca54OVtdvS9r9gdBeX2zmdy7H+2Flifz990vzc6Xl1vD5dW26OVdfZvKw+csv+fObl2xPeW2f/5+3p7/kNv2ekLXrdVwWPWHzpmX9yQZzcePm4PlFTZN7YVWr/fbwvLa+3kH/7Hjrv/1RY/gwvKau1v39xtiypq7Za8sqb7c84v3rZ/WrHXjr//VfvtJRtOuCer6jz2O0s2tPl3Ew5Ajm2lHlWPcxsWLN3Gv3Ly2NKJX3M+/PDD3H333d0YlYRCncdHjDFt9pr0VLUNPuJjTUROs9gaN7YrJ3L+1eu7+OOKvez/v+gc4+wPjqE8+V7+7Vt7+OOKvfzfZ8/k7uc24beBoUyXTMkgMS6Gm84dybV/+BCA7106gTvnjsHnt9zw+GpyDh4nLtYwICWRb180judycjln9AD+8M5eLpuSyZVTM/nlG7vYX1LddL4YA6MGpvDnG7O58S8f0Sc5nh9fM4WF7+3nw+AwscunZvLDl7YyNasvCbGGX8+bwdW/+4DS6sBDxf1TEnj2trP53GMr+eS4gcwY3o86j487547h8fcP8Js399AQfGi2f0oCfmvJ6J3EJ8cN5G+rDjEgJYGLJ2fw9OpDjE1PJfd4DdOH9WXV/lKmDOnDV84ZzsTM3vzvvzaTe6yGL589nPPGDuSZVYd4a2cxvZPiqKjz8q0Lx5FfVssXzxrGHU+vIzEuhvJaD6PTU/nF56fyucdW0jc5nqLKeiZmphFrDPNmD+f9PSVYG/jt2cp9pYFnLG4/m1kj+uP1+bn3+S38c10exkBSXCwNPj/jBqVytKqen1wzhR++vI1h/ZI5a1R//vxuYBhdRu8kPD4/cbGGv9x8Fn9+bz/XzRhCamI831y8gcKKuqa/g/GDU8k7XktNg4/zxw5kYmYai94/0DQkrV+veI7XeHj61tkUltfxibEDqWnw8tu39vLenhKq67389NopvLfnKK9sLiAuxhAfG0Otx0dyfCzTh/XlUGk1Pmvp1yuB3UWV+C2kpyVS1+AjJsZQXuvhmxeOY/zgVF7bUsjKfUc5XuPhYNKXu7UdRLLnrtzKz1/bybHqBsYNSmVvSRUzhvXlzzdmU1Beyy9f38X7e47Sr1c8X/3EKA6W1vDQ584kxhgefHUHZ43sx6VTMkMet4ZqnKYfvLiVZZvz2fDDi0N6XhERJ/z6zd38+s097P/Z5T1mPKbH56ewvI5h/QPDZrbll9MrIY5RA1Oo8/j49/o8zhzah8VrDrNscwGxMYYfXzOFXvGxxMYYPD4/X//7+qZZkfr1iuepr87m6VWHeG9PCcXB5ymq6rzMPSOdt3YW8687zmVzXjkLlm7nF5+fyqTM3tz2VA6FFXUkxsVQ7/WTnpbIW3fPoXdSPH6/ZdX+UvKO11Be66G81sOi9w+QmhjHseqGpmINPi7MAe58Zh3/2VaItTByQC8OltZwz2UTiDHws1d3kp6WyLHqBvzWNo3zbyz4Lj8zg7njB1FW28Cm3HLWHz7OX24+i0lDerNqXynXL1oNwKWTM/jhVZO45NH3qPX4+PLZw1l78HjTLC9piXGcN3YAb+4oxue39EqI5a7PjOeW80Zy81/X8OHewHMVxgTW0lr6jfMprqxj/tPrsNaSHB/L69+5gDe3F/HTV3YwpG8yh4/VNB0PMP+C0byxrZCKOi9fmJXFixuPUFRRz1fOGc7uoio+PzOLjXllLF5zmH69EjhW3UBcjMEbfGbjsikZzBzej+tmDmV/STVf/POqpr+HRoPSEvnvOWOorPMwpG8y//uvzYxJT+Hzs4bxx3f2Ulnv5fyxA9lw+DjTh/dl4Y3ZXPW7DzhYWo3fwtSsPlTUeiitDgyP21dSxYbcMqyFey6bQGF5HU+uPMjFkwbzzq7AtUqMi6XW4+ORL06jf0oCh0preH59HgdLa1j2P+fz6zf38PyGPCAwFCw9NZFPjhvIvWvO6a7mEvHsA2VU1HlZufcoF00azJvbi/jmkg1N7TPGwB1zxrDo/f1N2742dwwHjlbz2tZC/vuC0dx7+cSQx63C+TTd+/xm3txRzNr7L+rwa1asWMHcuXO7LygRF3Jju3Ii5z+8s5dfvr6L3T+9rMf89uTbSzawdHMBf/rKLM4dM4Bz/+8tvD7Lty8ax1s7i1lzIDCLS2yM4boZQ9meX8H2k6b+65Mcz3cuGsePlm7nZ9edyZfPHt607/svbuGZ1Ye5dHIGv/riNC559D3qPD5qGnzMHtWfJ//rLIwxFFXU8dqWAq6bkcU/cg4zc3g/skf2bzXuX76+kz+8s4+LJw3mN/Nm8Id39rKzsILff3lm0xjZ6nov5bUeHnx1B69sLiB7RD/+ded5ANz8xBre3V3C52dl8eWzh5MYfDj4mdWH+H+XTOCKqW33ut321Fre3FHM328/m/PGDGRrcNrFKUP7YK1l/eEyDhyt5qyR/RgxIIXSqno25ZWRPbI/vYPzrZfXeHh1awFnZKRx65NruXDiYH71hWlAYN7++17YwvwLRvPF7GFA4D85AH9+dx9Ts/qyfHsRr24p4K2751Ba3cBn/7iSyjoPc88YxJdnD+eiSR8/u+Lx+SmprKemwcfNT6zh658ay+FjNWwvqGDhjbNOGFd8y18D1+aJW86irsGHx285b8wABjYb273h8HFGDUyhb68Eco/VsHx7ETecM5yaeh8piXEkxMWwMbeMby3ZwOyR/fnnukCB23i9iivruPp3HzJrZD9+f/0MSqsbeGT5br578RmsOVBKr4Q4Yozhb6sO8siXppMaXLvAWku9109SfCzV9V4+99hK0tMSWXRTNknxsTR4/Xz1ybV8esIgvnp+4JmXxWsOc+/zW/jMpMGsOXCMijoP918+kZ++soNffWEan5+VBQSeJVqxq5gLxqVz0xNrSE2M46fXTiE9LZHv/nMTP75mCvlltcTFGM4bOxBrLWsOHOOOZ9Yx94xBPPql6U3XZ19JFZl9kuiVEMdrWwr49/o8Hv7idHYWVJDVvxd+v+W1rQXMHN6PWSP6nTDO/7UtBdz57HqunJrJb+fNOOU/4bnHavjMo+9S5/Gz/DsXsCmvnO/+cxOXn5nBH2+Ydcq9mnPwGCv3lTI6PYXZI/szqHcSyzbnU+fx8/q2QpZvLyI2xnDPpRO47ZOjwvJgoQrn0/Tdf25i5d6jrLz3wg6/JtIfDhTpidzYrpzI+U/v7uPnr+1k+48vceTBpe50vLqB5TuK+N9/bSYtKY56r59LJ2fw8qZ8xg5KZW9xFQmxMSy4ZjJen5+pWX2ZNqwvdR4f7+0uYWBaIjHGUFXnZeygVDL6JFFYXnfK4jrlNR6+uWQD3/nMeKYP68vG3DIeXb6bpPgYfnjVZIb2TT6t+Kvrvfz2rT185ZwRTb3lrdlTVMln/7iS398wkznj04HAg37/s3g9T/7XbMakp3b6/IXldSzfUcRXzh7uSKFR0+AlKS6207+paP4wXUF5LbHGMKh32wsc2XZmGymv9bC/pMqxBaqstfzs1R30S0nga3PHnhB7YlzrC3N1hM9viTG0+R7WWt7cUczZo/vzzs5iDhyt5lsXjmPtweNkj+jX4jX3BR/w7og6j4+E2BjHfstkrWVDbhlThvRp9T/gL2zIY09RFf976QT8fsuPlm7jkskZ7T5EeLKjVfU8s/pQ2BeiUuF8mspqGqj1+Mjs0/EPUjf+Ay/S3dzYrpzI+fH39/PTV3aw6YGL6ZPc9iqO4dDg9bO7qDIw7eEz66iq9zImPYW/334ON/1lDbuKKske0Y/n/vtciirrSEmMa+odFRHpLm0VzpHdBRFmfXsl0DfcQYiInKbGhQdOXpky3Ky1bMwt4/svbmVbfmCYxej0FP5w1UxmDu9LWlI8T986m/te2Modc0YTE2M61YEhItJdVDg77Pbbbw93CCJRx43tyomc42IDv6r1tbFEeDh8c8lGlm7KZ0BKAj+5ZjINPss104ecMGZ1UO8kHr+55WlURUTCRYWziEiUiguOcfREUOG8MbeMpZvyueW8kdx98XjSNPRCRHqQnvGYdQ+yaNGicIcgEnXc2K6cyDkuJvKGavxpxT56J8Xx3UvOUNEsIj1OlwpnY8wvjTE7jTGbjTEvGGP6Ntt3rzFmrzFmlzHmkmbbZxljtgT3/db0tAXMRUR6iMahGo3zo4bL4dIansvJZd2hY7y+vZCbzh3ZNJ2XiEhP0tVPruXAvdZarzHmIeBe4HvGmEnAPGAyMAR40xgz3lrrAx4D5gOrgVeBS4HXuhiHiIicpPHhwHCOcS6urOP6Ras5UlZLYlwMmb2TuCO4IIiISE/TpR5na+0b1lpv8MfVQFbw+2uAJdbaemvtAWAvMNsYkwn0ttauCq4F/jfg2q7EEGnmzJkT7hBEoo4b25UTOTfO++oJ01CN49UN3PLEWo5VN3DTuSOwwM8+e6Z6m0Wkx3Ly0+urwD+C3w8lUEg3ygtu8wS/P3l71HDb6mYioeDGduVEzvHBoRreMPQ4N3j93PTEGvaVVLHopmwuGJ/O/VdMJDEutv0Xi4hEqHZ7nI0xbxpjtrbw55pmx9wPeIFnGze18Fa2je2tnXu+MSbHGJNTUlLSXqgR4eGHHw53CCJRx43tyomcw/lw4GMr9rHlSDm/mTeDC4Kr46loFpGert0eZ2vtRW3tN8bcDFwJXGg/XoYwDxjW7LAsID+4PauF7a2deyGwEAIrB7YXaySoqqoKdwgiUceN7cqJnOPC1OP80f5Sfv/OHq6eNoRLp2SE9NwiIt2pq7NqXAp8D7jaWlvTbNfLwDxjTKIxZhQwDlhjrS0AKo0x5wRn07gJeKkrMYiISMs+7nEOXeG8cu9RbvtbDsP792LB1ZNDdl4RkVDo6hjn3wOJwPLgrHKrrbV3WGu3GWOeA7YTGMLx9eCMGgB3Ak8CyQRm04iqGTUyMzPDHYJI1HFju3Ii56bp6PzdP1Tjm4s3sGJXMRV1XkYNTOFvt55Nv5SEbj+viEgomY9HV0S27Oxsm5OTE+4wRER6jC155Vz1+w94/KZsLpo0uNvOU17jYeZPlzNjWF/mjE/ntk+OJjlB45lFpGcyxqyz1ma3tE8rBzps6dKl4Q5BJOq4sV05kfPHY5y7t8d5xe5ifH7L/VdM5H8uHKeiWUSilgpnh61fvz7cIYhEHTe2KydyjosJzcqBb+8sZkBKAtOy+nbreUREwk2Fs4hIlIoLrhzYnT3OHp+fFbtK+NSEQcTEtDTjqIhI9FDhLCISpRp7nLtzVo23dhRRXuvh8jM17ZyIRD8Vzg676667wh2CSNRxY7tyIuf4ph7n7iucF6/JJaN3EnPGD+q2c4iIRAoVzg7Lz291PRcROU1ubFdO5Bzb1OPcPUM11hw4xnt7SvhidlbTuUREopkKZ4ctWbIk3CGIRB03tisnco6P7b6HA5dtzmfewlUM7ZvMDeeMcPz9RUQiUVcXQBERkQjV+HCgz+GhGrUNPn6ybDtThvbh2dvOJi0p3tH3FxGJVOpxFhGJUk3T0Tk8q8YTHx6gqKKe718xSUWziLiKCmeHXXnlleEOQSTquLFdOZFzd82qsXRTPrNH9Wf2qP6Ovq+ISKRT4eywWbNmhTsEkajjxnblRM7d8XDg8eoGdhZWcsG4gY69p4hIT6HC2WELFiwIdwgiUceN7cqJnI0xxMcaR6ej++jAMQDOGT3AsfcUEekpVDiLiESxuJgYRwvn1ftLSYqPYaqW1xYRF1LhLCISxeJiDB4Hh2qs3l9K9oj+JMTpnw8RcR998jls/Pjx4Q5BJOq4sV05lXNcrHHs4cC84zXsLKzkfI1vFhGXUuHssOuvvz7cIYhEHTe2K6dyjot1bqjGG9uKALhkcoYj7yci0tOocHbY4sWLwx2CSNRxY7tyKuf4GOPYrBqvbytk/OBURg1MceT9RER6GhXODtu9e3e4QxCJOm5sV07lHOvQrBpHq+pZe/CYeptFxNVUOIuIRLH4mBhHHg58Yf0R/BaunjbEgahERHomFc4iIlEsLtbg62KPs7WWJWsPM2tEP8YNTnMoMhGRnkeFs8MeeOCBcIcgEnXc2K6cyjkuJgZPF2fVWHfoOPtKqvlS9jBHYhIR6alUODts3bp14Q5BJOq4sV05lXNg5cCuDdX4x9pcUhJiuWJqpiMxiYj0VCqcHbZs2bJwhyASddzYrpzKOTama0M1Kus8LNtcwFXThpCSGOdITCIiPZUKZxGRKBYX27WHA5dtLqDW4+NLZ2mYhoiICmcRkSgW38WVA9/dVUJWv2SmD+vrXFAiIj2UCmeHzZs3L9whiEQdN7Yrp3KOi4nB04WhGpvyypg5vB/GGEfiERHpyVQ4O2zIEM1xKuI0N7Yrp3KOizH4TvPhwKKKOgrK65im3mYREUCFs+MeeeSRcIcgEnXc2K6cyjmuC0M1NuaWAWiYhohIkApnEZEo1pWHAzfmlhEXY5g8pLfDUYmI9EyOFM7GmO8aY6wxZmCzbfcaY/YaY3YZYy5ptn2WMWZLcN9vjQbOiYh0m/gYg/c0xzhvPFzGxMzeJMXHOhyViEjP1OXC2RgzDPgMcLjZtknAPGAycCnwR2NM4yfvY8B8YFzwz6VdjSGSzJw5M9whiEQdN7Yrp3KOjYk5raEaPr9ly5FyDdMQEWnGiR7nR4H/BZp/Ml8DLLHW1ltrDwB7gdnGmEygt7V2lbXWAn8DrnUghohx1VVXhTsEkajjxnblVM6nu3LgvpIqquq9ejBQRKSZLhXOxpirgSPW2k0n7RoK5Db7OS+4bWjw+5O3t/b+840xOcaYnJKSkq6EGjILFy4MdwgiUceN7cqpnE/34UA9GCgicqp21081xrwJZLSw637gPuDill7WwjbbxvYWWWsXAgsBsrOzT38i0hAqKCgIdwgiUceN7cqpnONiTu/hwI25ZaQlxTF6YIojcYiIRIN2C2dr7UUtbTfGnAmMAjYFn+/LAtYbY2YT6Eluvj5rFpAf3J7VwnYREekGgXmcO9/vsCm3jGlZfYmJ0fPbIiKNTnuohrV2i7V2kLV2pLV2JIGieKa1thB4GZhnjEk0xowi8BDgGmttAVBpjDknOJvGTcBLXU8jcqSmpoY7BJGo48Z25VTOcbGdXzmwtsHHzsJKDdMQETlJuz3Op8Nau80Y8xywHfACX7fW+oK77wSeBJKB14J/osbdd98d7hBEoo4b25VTOcfHGrydHKqxLb8cn9/qwUARkZM4tgBKsOf5aLOfH7TWjrHWnmGtfa3Z9hxr7ZTgvm8EZ9eIGitWrAh3CCJRx43tyqmc42Ji8Fvwd6LXWQ8Gioi0TCsHOuzdd98NdwgiUceN7cqpnONiA2OUO7MIyobcMob2TSY9LdGRGEREooUKZxGRKBYX01g4d3y4xqbcMvU2i4i0QIWziEgUi4sNfMx7OjiX89GqevKO16pwFhFpgQpnh91+++3hDkEk6rixXTmVc0JwqEZH53LeUVABwOShvR05v4hINFHhLCISxZLiYwGo8/jaOTLg4NFqAMaku28KQBGR9qhwdtiiRYvCHYJI1HFju3Iq5+SEzhXOB47WkBwfyyA9GCgicgoVziIiUSw52ONc29CxoRoHS6sZMaAXwRVhRUSkGRXOIiJRrKlw7sRQjVEDU7ozJBGRHkuFs8PmzJkT7hBEoo4b25VTOScldLxw9vr8HD5Ww0gVziIiLVLh7LC5c+eGOwSRqOPGduVUzh8P1fC2e+yRslq8fsuoASqcRURaosLZYQ8//HC4QxCJOm5sV07l3JmhGgeCM2qox1lEpGUqnB1WVVUV7hBEoo4b25VTOTfOqtGRhwP3lzQWzr0cObeISLRR4SwiEsWSOtHjvO7wcTL7JJGeqqnoRERaosLZYZmZmeEOQSTquLFdOZVzcgcXQLHWsubAMWaP6q+p6EREWqHC2WHz588PdwgiUceN7cqpnONjDbExhtqGtgvng6U1lFTWM3tUf0fOKyISjVQ4O2zp0qXhDkEk6rixXTmVszGG5PjYdodqrDlQCsDZKpxFRFqlwtlh69evD3cIIlHHje3KyZyTE9ovnHMOHqd/SgJj0lMdO6+ISLRR4SwiEuWS42Opa2eoxr6SKs4YnKbxzSIibVDhLCIS5ZLjY6lpp3A+fKyGEQM0DZ2ISFtUODvsrrvuCncIIlHHje3KyZyT2hmqUVXv5WhVA8NVOIuItEmFs8Py8/PDHYJI1HFju3Iy5+T4mDYL50OlwYVPtNS2iEibVDg7bMmSJeEOQSTquLFdOZlzcnxsm/M4Hy6tAWB4f/U4i4i0RYWziEiUS06IbXMe50PHAoWzxjiLiLRNhbOISJRLamce50Ol1fRPSSAtKT6EUYmI9DwqnB125ZVXhjsEkajjxnblZM7tDdU4VFqjYRoiIh2gwtlhs2bNCncIIlHHje3KyZyT49seqnHwaLWGaYiIdIAKZ4ctWLAg3CGIRB03tisnc25cOdBae8q+49UN5JfXMSmzt2PnExGJViqcRUSiXFJ8LH4LDT7/Kfu2HCkH4MyhfUIdlohIj9PlwtkY8z/GmF3GmG3GmF80236vMWZvcN8lzbbPMsZsCe77rdH6riIi3apXQixAi8M1GgvnySqcRUTaFdeVFxtjPgVcA0y11tYbYwYFt08C5gGTgSHAm8aY8dZaH/AYMB9YDbwKXAq81pU4Isn48ePDHYJI1HFju3Iy5+T4YOHs8dH3pH1b8soZMaAXfZI1o4aISHu62uN8J/Bza209gLW2OLj9GmCJtbbeWnsA2AvMNsZkAr2ttatsYLDd34BruxhDRLn++uvDHYJI1HFju3Iy5+R2epynqLdZRKRDulo4jwc+aYz5yBjzrjHmrOD2oUBus+PygtuGBr8/eXvUWLx4cbhDEIk6bmxXTuac1KzHubnj1Q0cKavV+GYRkQ5qd6iGMeZNIKOFXfcHX98POAc4C3jOGDMaaGncsm1je2vnnk9gWAfDhw9vL9SIsHv37nCHIBJ13NiunMy5cajGyXM5N45vnqrCWUSkQ9otnK21F7W2zxhzJ/B8cNjFGmOMHxhIoCd5WLNDs4D84PasFra3du6FwEKA7OzsVgtsERFp3cdDNU6cVUMPBoqIdE5Xh2q8CHwawBgzHkgAjgIvA/OMMYnGmFHAOGCNtbYAqDTGnBOcTeMm4KUuxiAiIm1ISQj0kVTUeU7YrgcDRUQ6p0uzagBPAE8YY7YCDcDNwd7nbcaY54DtgBf4enBGDQg8UPgkkExgNo2omVED4IEHHgh3CCJRx43tysmcM/okAVBUUXfC9i1Hypk+vK9j5xERiXZdKpyttQ3AV1rZ9yDwYAvbc4ApXTlvJFu3bp0rlwcW6U5ubFdO5tyvVzwJcTEUln9cODc+GHjjuSMcOYeIRBePx0NeXh51dXXtH9xDJSUlkZWVRXx8x3/r1tUeZznJsmXLXPcPvEh3c2O7cjJnYwwZvZMoaFY4a8VAEWlLXl4eaWlpjBw5kmhcq85aS2lpKXl5eYwaNarDr9OS2yIiLpDRO4nCZkM1dhVWAjAxs3e4QhKRCFZXV8eAAQOismiGQIfCgAEDOt2jrsJZRMQFMvoknTBUY1dRJelpifRPSQhjVCISyaK1aG50OvmpcHbYvHnzwh2CSNRxY7tyOueMPoEe58Dz27C7qJLxg1MdPYeISLRT4eywIUOGhDsEkajjxnbldM4ZvZNo8Po5XuPB77fsKapi/OA0R88hIhLtVDg77JFHHgl3CCJRx43tyumcG6ekKyyvI+94LbUeH2eocBaRCPfnP/+ZO+64o81jVqxYwY033hiSeDSrhoiICzQVzhW1+IILCI7PUOEsIpFt8+bNnHnmmW0es3HjRmbMmBGSeNTjLCLiAhm9G3uc69lVWAHAuEEa4ywikW3Lli1MnTqVnTt3csEFFzB58mQuuugijh492nTMpk2bOHLkCGeffTajR49mxYoVADz11FPMmjWLqVOn8slPftKReNTj7LCZM2eGOwSRqOPGduV0zoPSEomNMewrqWL94eNMyEgjLUlLbYtI+xYs3cb2/ApH33PSkN48cNXkdo/bunUr48eP59Of/jTPPPMMM2bM4KGHHuLRRx/lwQcD6+xt3LiRa665ho8++og33niDH/zgB7z66qs89NBDbNy4kYSEBMrKyhyJWz3ODrvqqqvCHYJI1HFju3I657jYGC6cMIjn1uay4XAZ10wf6uj7i4g4LTc3l9TUVFasWMH555/fNBxj0qRJFBcXA+D1eiktLeW+++4DYPr06Rw9epTY2Fhqa2u5++67ycnJoW/fvo7EpB5nhy1cuJD58+eHOwyRqOLGdtUdOX/1/FG8sb0IgKumZTr63iISvTrSM9wdGsc3b9++/YRxzlu2bGHSpEkAbN++nbFjx5KQEJiTfv369UybNo1evXqxdetWli5dyvz587ntttv42te+1uWYVDg7rKCgINwhiEQdN7ar7sj57FH9mZbVh5TEOLL69XL8/UVEnNQ4vnno0KFs3LgRgP379/P000/zwQcfAIHxzQcOHKC+vh6Px8OCBQt49NFH2bNnD+PGjWPevHls37690ysEtkaFs4iISxhjeOa2s6N+NTARiQ5btmzhiiuu4LrrruPVV1/lzDPPJDk5mSeeeIIBAwYAgcL5hhtu4LzzzqO2tpYf/OAHnHPOOdxyyy2sWrWKlJQUJk+ezKJFixyJSYWzw1JT9ZS6iNPc2K66K2c9ECgiPcWzzz7b9P2LL77Y4jG/+tWvAPjpT396wvYnn3yyW2IyjcuvRrrs7Gybk5MT7jBEREREot6OHTuYOHFiuMPodi3laYxZZ63Nbul4zarhsMa5A0XEOW5sV27MWUQk0qlwdti7774b7hBEoo4b25UbcxYRiXQqnEVERETkFD1lOO/pOp38VDiLiIiIyAmSkpIoLS2N2uLZWktpaSlJSUmdep0eDnRYfn4+Q4YMCXcYIlHFje3KjTmLSOTweDzk5eU5Nv9xJEpKSiIrK4v4+BNnG2rr4UBNRyciIiIiJ4iPj2fUqFHhDiPiaKiGw5yaYFtEPubGduXGnEVEIp0KZxERERGRDlDhLCIiIiLSAT3m4UBjTAlwKAynHggcDcN5eypdr87TNescXa/O0fXqHF2vztM16xxdr84Jx/UaYa1Nb2lHjymcw8UYk9Pak5VyKl2vztM16xxdr87R9eocXa/O0zXrHF2vzom066WhGiIiIiIiHaDCWURERESkA1Q4t29huAPoYXS9Ok/XrHN0vTpH16tzdL06T9esc3S9OieirpfGOIuIiIiIdIB6nEVEREREOkCFc5Ax5lJjzC5jzF5jzD0t7DfGmN8G9282xswMR5yRwBgzzBjzjjFmhzFmmzHmWy0cM9cYU26M2Rj888NwxBopjDEHjTFbgtcip4X9ur+aMcac0eze2WiMqTDGfPukY1x9jxljnjDGFBtjtjbb1t8Ys9wYsyf4tV8rr23z8y4atXK9fmmM2Rlscy8YY/q28to222+0auWa/cgYc6RZu7u8ldfqHgts+0eza3XQGLOxlde67h5rrZaI+M8xa63r/wCxwD5gNJAAbAImnXTM5cBrgAHOAT4Kd9xhvF6ZwMzg92nA7hau11xgWbhjjZQ/wEFgYBv7dX+1fm1igUIC82o23+7qewy4AJgJbG227RfAPcHv7wEeauV6tvl5F41/WrleFwNxwe8faul6Bfe12X6j9U8r1+xHwHfbeZ3usZb3Pwz8sJV9rrvHWqslIv1zTD3OAbOBvdba/dbaBmAJcM1Jx1wD/M0GrAb6GmMyQx1oJLDWFlhr1we/rwR2AEPDG1WPp/urdRcC+6y14VgAKWJZa98Djp20+RrgqeD3TwHXtvDSjnzeRZ2Wrpe19g1rrTf442ogK+SBRbBW7rGO0D12EmOMAb4ILA5pUBGsjVoioj/HVDgHDAVym/2cx6mFYEeOcR1jzEhgBvBRC7vPNcZsMsa8ZoyZHNrIIo4F3jDGrDPGzG9hv+6v1s2j9X9sdI+daLC1tgAC/ygBg1o4Rvday75K4Lc+LWmv/brNN4LDW55o5dfousdO9UmgyFq7p5X9rr7HTqolIvpzTIVzgGlh28nTjXTkGFcxxqQC/wa+ba2tOGn3egK/Wp8G/A54McThRZpPWGtnApcBXzfGXHDSft1fLTDGJABXA/9sYbfusdOje+0kxpj7AS/wbCuHtNd+3eQxYAwwHSggMPzgZLrHTnU9bfc2u/Yea6eWaPVlLWwLyT2mwjkgDxjW7OcsIP80jnENY0w8gRv9WWvt8yfvt9ZWWGurgt+/CsQbYwaGOMyIYa3ND34tBl4g8Gum5nR/tewyYL21tujkHbrHWlTUOMQn+LW4hWN0rzVjjLkZuBK4wQYHT56sA+3XNay1RdZan7XWDyyi5Wuhe6wZY0wc8FngH60d49Z7rJVaIqI/x1Q4B6wFxhljRgV7uOYBL590zMvATcHZD84Byht/leA2wbFafwF2WGsfaeWYjOBxGGNmE7jXSkMXZeQwxqQYY9IavyfwQNLWkw7T/dWyVntpdI+16GXg5uD3NwMvtXBMRz7vXMEYcynwPeBqa21NK8d0pP26xknPXlxHy9dC99iJLgJ2WmvzWtrp1nusjVoisj/HQvX0ZKT/ITCrwW4CT2neH9x2B3BH8HsD/CG4fwuQHe6Yw3itzifwK5HNwMbgn8tPul7fALYReNJ1NXBeuOMO4/UaHbwOm4LXRPdXx65bLwKFcJ9m23SPfXwtFhP4VbmHQO/LrcAA4C1gT/Br/+CxQ4BXm732lM+7aP/TyvXaS2CcZOPn2J9Ovl6ttV83/Gnlmj0d/IzaTKBQydQ91vr1Cm5/svFzq9mxrr/H2qglIvpzTCsHioiIiIh0gIZqiIiIiIh0gApnEREREZEOUOEsIiIiItIBKpxFRERERDpAhbOIiIiISAeocBYRERER6QAVziIiIiIiHaDCWURERESkA/4/8A2tXB6M3HMAAAAASUVORK5CYII=\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA+UAAAGsCAYAAAC7CwYHAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/OQEPoAAAACXBIWXMAAA9hAAAPYQGoP6dpAACHLElEQVR4nOzdd3ib1fn/8beW5b134uw9SEIGZEAII+xRWlYgJQXSsguBL2W0hfYHSVtmgUKBMssss8xCWIFAgOxJ9nLivbfm8/tDtohjx7Ed2ZKcz+u6dCWWHkm3jqxj3c855z4mwzAMRERERERERKTbmYMdgIiIiIiIiMjhSkm5iIiIiIiISJAoKRcREREREREJEiXlIiIiIiIiIkGipFxEREREREQkSJSUi4iIiIiIiASJknIRERERERGRILEGO4Du4PV6ycvLIy4uDpPJFOxwREREREREpIczDIPq6mqys7Mxmw88Hn5YJOV5eXnk5OQEOwwRERERERE5zOTm5tK7d+8D3n5YJOVxcXGArzHi4+ODHE3XKigo4Nlnn+VXv/oVmZmZwQ5HRKQZ9VGBpfYUEREJXVVVVeTk5Pjz0QM5LJLypinr8fHxPT4pd7lcjBw5kpSUlB7/WkUk/KiPCiy1p4iISOg72BJqk2EYRjfFEjRVVVUkJCRQWVmpLy0iIiIiIiLS5dqbh6r6eg/j9XpxOBx4vd5ghyIi0oL6qMBSe4qIiIQ/JeU9TGFhIX/5y18oLCwMdigiIi2ojwostaeIiEj4U1IuIiIiIiIiEiRKykVERERERESCREm5iIiIiIiISJAoKRcREREREREJEm2J1sN4PB4aGhqIjIzEYrEEOxwRkWbURwWW2lNERCR0tTcPtXZjTNINLBYLMTExwQ5DRKRV6qMCS+0pIiIS/jR9vYcpKyvjlVdeoaysLNihiIi0oD4qsNSeIiIi4U9JeQ/jcDjYvHkzDocj2KGIiLSgPiqw1J4iIiLhT0m5iIiIiIiISJAoKRcREREREREJEiXloa7oR9iyEKrygx2JiIiIiIiIBJiS8lD34f/BS7+AXd+06/C4uDhmzpxJXFxcFwcmItJx6qMCS+0pIiIS/rQlWqiLTvH9W1farsNjY2OZPHlyFwYkItJ56qMCS+0pIiIS/jRSHuo6mJTX19ezfv166uvruzAoEZHOUR8VWGpPERGR8KekPNR1MCmvqKjgjTfeoKKioutiEhHpJPVRgaX2FBERCX9KykNdB5NyERERERERCR9KykOdknIREREREZEeS0l5qItO9v1bVxbcOERERERERCTglJSHug6OlFutVjIzM7FaVVhfREKP+qjAUnuKiIiEP5NhGEawg+hqVVVVJCQkUFlZSXx8fLDD6ZiKXHhoFFgi4PdFYDIFOyIRERERERE5iPbmoRopD3VNI+UeJzhrghuLiIiIiIiIBJSS8lAXEQ3WKN//2zGFPT8/n7vvvpv8/PwuDkxEpOPURwWW2lNERCT8KSkPBx1cV+7xeLowGBGRQ6M+KrDUniIiIuFNSXk4UAV2ERERERGRHklJeTjQXuUiIiIiIiI9Upcn5Xv37uWSSy4hJSWF6Ohoxo4dy/Lly/23G4bBXXfdRXZ2NlFRURx33HGsX7++2WM4HA6uu+46UlNTiYmJ4ayzzmLPnj1dHXroUFIuIiIiIiLSI3VpUl5eXs7UqVOx2Wx89NFHbNiwgfvvv5/ExET/MX/729944IEHePTRR1m6dCmZmZmcdNJJVFdX+4+54YYbePvtt3n11VdZvHgxNTU1nHHGGYfPOroOJOWpqalcddVVpKamdnFQIiIdpz4qsNSeIiIi4a9L9ym/9dZb+eabb/j6669bvd0wDLKzs7nhhhv43e9+B/hGxTMyMvjrX//Kb37zGyorK0lLS+Pf//43F1xwAQB5eXnk5OTw4YcfcvLJJx80jrDepxzgy7/Cl/Nh/Bw48+/BjkZEREREREQOIiT2KX/33XeZMGEC5513Hunp6YwbN46nnnrKf/uOHTsoKChg5syZ/uvsdjvTp0/n22+/BWD58uW4XK5mx2RnZzNq1Cj/MftzOBxUVVU1u4S1mPaPlFdUVPDuu+9SUVHRtTGJiHSC+qjAUnuKiIiEvy5Nyrdv387jjz/O4MGD+fjjj7nyyiu5/vrreeGFFwAoKCgAICMjo9n9MjIy/LcVFBQQERFBUlLSAY/Z34IFC0hISPBfcnJyAv3Supd/+vrBq6/X19ezcuVK6uvruzgoEZGOUx8VWGpPERGR8NelSbnX6+XII49k/vz5jBs3jt/85jfMnTuXxx9/vNlxJpOp2c+GYbS4bn9tHXPbbbdRWVnpv+Tm5h7aCwk2FXoTERERERHpkbo0Kc/KymLEiBHNrhs+fDi7d+8GIDMzE6DFiHdRUZF/9DwzMxOn00l5efkBj9mf3W4nPj6+2SWsKSkXERERERHpkbo0KZ86dSqbNm1qdt3mzZvp27cvAP379yczM5OFCxf6b3c6nSxatIgpU6YAMH78eGw2W7Nj8vPzWbdunf+YHm/f6eteb3BjERERERERkYCxduWD33jjjUyZMoX58+dz/vnn88MPP/Dkk0/y5JNPAr5p6zfccAPz589n8ODBDB48mPnz5xMdHc2sWbMASEhI4PLLL+emm24iJSWF5ORkbr75ZkaPHs2JJ57YleGHjqhk37+GBxoqIDr5gIfGxMQwdepUYmJiuic2EZEOUB8VWGpPERGR8NelW6IBvP/++9x2221s2bKF/v37M2/ePObOneu/3TAM/vSnP/HEE09QXl7OUUcdxT/+8Q9GjRrlP6ahoYH/+7//4+WXX6a+vp4TTjiBxx57rN0F3MJ+SzSAv/SBhkq4ZimkDQl2NCIiIiIiItKG9uahXZ6Uh4IekZQ/Mh5Kt8KcD6Hf1AMe5nA4yM/PJysrC7vd3o0BiogcnPqowFJ7ioiIhK6Q2KdcAigm3fdvbVGbh5WVlfH8889TVnbw7dNERLqb+qjAUnuKiIiEPyXl4SIm1fdvbUlw4xAREREREZGAUVIeLmLSfP/WtD1SLiIiIiIiIuFDSXm4iG2avl4c3DhEREREREQkYJSUhwv/9PW2k3Kz2UxcXBxms95aEQk96qMCS+0pIiIS/lR9PVxseBf+MxtyjoLLPwl2NCIiIiIiItIGVV/vaZrWlGv6uoiIiIiISI+hpDxc+Au9tZ2UFxYW8sADD1BYWNgNQYmIdIz6qMBSe4qIiIQ/JeXhIrYxKXdWg6v+gId5vV6qq6vxer3dFJiISPupjwostaeIiEj4U1IeLuzxYInw/V9T2EVERERERHoEJeXhwmTSunIREREREZEeRkl5OGnnunIREREREREJD0rKw0k7RsqTk5O59NJLSU5O7qagRETaT31UYKk9RUREwp812AFIB8Sm+/5tIym32+3069eve+IREekg9VGBpfYUEREJfxopDycxqb5/20jKq6qq+PTTT6mqquqmoERE2k99VGCpPUVERMKfkvJw0o7p67W1tXzzzTfU1tZ2U1AiIu2nPiqw1J4iIiLhT0l5OIlpnL5eUxTcOERERERERCQglJSHk1gl5SIiIiIiIj2JkvJwEpfp+7emILhxiIiIiIiISEAoKQ8nsRm+f+vLwe1o9ZCoqCjGjRtHVFRUNwYmItI+6qMCS+0pIiIS/kyGYRjBDqKrVVVVkZCQQGVlJfHx8cEOp/MMA+7OAI8DblgLiX2CHZGIiIiIiIi0or15qEbKw4nJ9NNoeXXrU9hdLhdFRUW4XK5uDExEpH3URwWW2lNERCT8KSkPN3FtJ+UlJSU8/vjjlJSUdGNQIiLtoz4qsNSeIiIi4U9JebhpGimvKQxuHCIiIiIiInLIlJSHm6YK7AcYKRcREREREZHwoaQ83MRqWzQREREREZGeQkl5uPGvKT/w9HWLxdJNwYiIdJz6qMBSe4qIiIQ3bYkWbjZ/Ai+fB5mj4crFwY5GREREREREWqEt0XqqdoyUi4iIiIiISHhQUh5umtaU1xaDx93i5uLiYp544gmKi4u7OTARkYNTHxVYak8REZHwp6Q83MSkgskMGL7EfD9ut5uCggLc7pYJu4hIsKmPCiy1p4iISPhTUh5uzBaISff9XxXYRUREREREwpqS8nDk36tc68pFRERERETCmZLyMPHG8j288sNu3w9x2qtcRERERESkJ7AGOwA5uKKqBm5+fTUAxw5Jo1dTUl6V3+LYxMREfvGLX5CYmNiNEYqItI/6qMBSe4qIiIQ/JeVhYPHWEv//l+4oo1d8L98P1Xktjo2KimLkyJHdFZqISIeojwostaeIiEj40/T1MLB4yz5J+c4yiM/2/VDVMimvqalhyZIl1NTUdFd4IiLtpj4qsNSeIiIi4U9JeYgzDIOvt7Y/Ka+uruaTTz6hurq6u0IUEWk39VGBpfYUEREJf0rKQ9ymwmqKqx1EWH1v1ebCGqoiGrdEq9obxMhERERERETkUCkpD3FNU9ePHpDCgLQYAFaUR/lubKgEh6YsioiIiIiIhCsl5SHu+x1lAEwblMKkfskALNnrBHu874BWprCLiIiIiIhIeOi2pHzBggWYTCZuuOEG/3WGYXDXXXeRnZ1NVFQUxx13HOvXr292P4fDwXXXXUdqaioxMTGcddZZ7Nmzp7vCDrq8inoABqXHMqExKV+xq3yfdeXNp7Db7XaGDBmC3W7v1jhFRNpDfVRgqT1FRETCX7ck5UuXLuXJJ5/kiCOOaHb93/72Nx544AEeffRRli5dSmZmJieddFKzgjU33HADb7/9Nq+++iqLFy+mpqaGM844A4/H0x2hB11RtQOA9LhIxvROAGB9XhVGXOvF3pKTk7noootITk7u1jhFRNpDfVRgqT1FRETCX5cn5TU1NVx88cU89dRTJCUl+a83DIOHHnqIO+64g3PPPZdRo0bx/PPPU1dXx8svvwxAZWUlTz/9NPfffz8nnngi48aN48UXX2Tt2rV8+umnXR160Hm8BqU1TUm5nQFpsUTazNQ5PVT7i701T8o9Hg+1tbWHzUkLEQkv6qMCS+0pIiIS/ro8Kb/mmms4/fTTOfHEE5tdv2PHDgoKCpg5c6b/OrvdzvTp0/n2228BWL58OS6Xq9kx2dnZjBo1yn9MaxwOB1VVVc0u4ai01oHXAJMJkmMisJhNjMjyrSXPMxpPcOw3fb2oqIj77ruPoqKi7g5XROSg1EcFltpTREQk/HVpUv7qq6+yYsUKFixY0OK2goICADIyMppdn5GR4b+toKCAiIiIZiPs+x/TmgULFpCQkOC/5OTkHOpLCYrixqnrKTF2rBbfWzWql28K+zZHou8gFXoTEREREREJW12WlOfm5vLb3/6WF198kcjIyAMeZzKZmv1sGEaL6/Z3sGNuu+02Kisr/Zfc3NyOBR8iflpP/lMBn1HZvqR8bZVvezQl5SIiIiIiIuGry5Ly5cuXU1RUxPjx47FarVitVhYtWsTDDz+M1Wr1j5DvP+JdVFTkvy0zMxOn00l5efkBj2mN3W4nPj6+2SUcFVf5kvK0fZLykb18r+X7ssYTHftNXxcREREREZHw0WVJ+QknnMDatWtZtWqV/zJhwgQuvvhiVq1axYABA8jMzGThwoX++zidThYtWsSUKVMAGD9+PDabrdkx+fn5rFu3zn9MT1Zc03KkfHB6HBEWM9safCPm1JeBqz4Y4YmIiIiIiMghsnbVA8fFxTFq1Khm18XExJCSkuK//oYbbmD+/PkMHjyYwYMHM3/+fKKjo5k1axYACQkJXH755dx0002kpKSQnJzMzTffzOjRo1sUjuuJiqoagOYj5RFWM0Mz41i714PbEo3VUweVeyF1EOBbb3/rrbdis9mCErOISFvURwWW2lNERCT8dVlS3h633HIL9fX1XH311ZSXl3PUUUfxySefEBcX5z/mwQcfxGq1cv7551NfX88JJ5zAc889h8ViCWLk3aO1kXKAEVnxrN1bSWVEBin1O6Ay15+Um81m7HZ7i8cSEQkF6qMCS+0pIiIS/rp8S7R9ffnllzz00EP+n00mE3fddRf5+fk0NDSwaNGiFqPrkZGRPPLII5SWllJXV8d7770XttXUO6qocU15enzzQnkD0nxF3grMjXuVV+z231ZaWsqLL75IaWlp9wQpItIB6qMCS+0pIiIS/ro1KZeOaaq+nrbfSHn/VF9Svsud4rui8qfq8k6nk23btuF0OrsnSBGRDlAfFVhqTxERkfCnpDxEGYbh36d8/+nrTUn5poZE3xUV4bnlm4iIiIiIyOFOSXmIqnG4qXd5gJYj5X1SojGZYLsr2XfFPtPXRUREREREJHwoKQ9RTaPksXYr0RHN6/HZrRZ6J0Wx10j1XVGpkXIREREREZFwpKQ8RBUdYOp6k/6pseQaab4fqvaCxwVAfHw8p556KvHx8d0Sp4hIR6iPCiy1p4iISPgL6pZocmAHKvLWpH9KNF+TgNtkw2q4oCoPkvoSExPDpEmTujNUEZF2Ux8VWGpPERGR8KeR8hBVXuurpJscE9Hq7f1TYzAwU2pp3BatcQp7fX09a9asob6+vlviFBHpCPVRgaX2FBERCX9KykNUU5G3/deTN+mfFgvAXhrXlTcWe6uoqODtt9+moqKiy2MUEeko9VGBpfYUEREJf0rKQ1Sdsykpt7R6+4DGbdG2OZsqsKvYm4iIiIiISLhRUh6iGhpHyqMOkJRnJ0YRYTGzy9N8pFxERERERETCh5LyEFXfOFIeZWs9KbeYTQxKj91nWzQl5SIiIiIiIuFGSXmIapq+fqCRcoCR2fHsadoWrXwXADabjd69e2Oz2bo8RhGRjlIfFVhqTxERkfCnLdFClH/6+gFGysGXlH+1vKn6+h7wuEhNTeXyyy/vjhBFRDpMfVRgqT1FRETCn0bKQ1Sd0w0cZKS8VwJFJNJABBgerSsXEREREREJM0rKQ1R9O0bKh2fFg8nMLm/jaHn5DvLz8/nTn/5Efn5+d4QpItIh6qMCS+0pIiIS/pSUh6h6lxdoOymPtVvpnxLDbiPDd0XZju4ITURERERERAJESXmIqm+cvn6gfcqbjMiOZ5fRNFK+s4ujEhERERERkUBSUh6imqavRx4kKR+ZncAujZSLiIiIiIiEJSXlIappn/KDjZSPzI7/afp6uZJyERERERGRcKIt0UJUU1Le1ppy8CXlTdPXjbIdpKWmct111xEfH9/lMYqIdFRaWpr6qABSe4qIiIQ/JeUhyDCMdlVfB0iJteOO7Y3HacLirsdaX0JyclZ3hCki0mFWq5Xk5ORgh9FjqD1FRETCn6avhyCH24vX8P2/rX3KmwztlUKekQpA9e41vPXWW5SXl3dliCIinVJeXq4+KoDUniIiIuFPSXkIamgcJYeDj5SDbwr7zsZ15Z6Sraxdu5aGhoYui09EpLMaGhrURwWQ2lNERCT8KSkPQXWN68kjLGasloO/RSN7JfiLvVkqd3dpbCIiIiIiIhI4SspDkH87NFv73p6R2fFsNzIBMFeoAruIiIiIiEi4UFIegvyV19uxnhygV2IUhbYcAIzS7V0Wl4iIiIiIiASWkvIQ1DRSHh3RvuL4JpMJW8YQACJrdjP92GOIjY3tsvhERDorNjaW6dOnq48KELWniIhI+NOWaCGoaaQ8sh1F3pqk5QzGUWDF7nVw3NiBEBfXVeGJiHRaXFwcxx13XLDD6DHUniIiIuFPI+Uh6KeR8vYn5YMyk9jVWOwtb+0iHA5Hl8QmInIoHA4HW7duVR8VIGpPERGR8KekPAT515R3YKR8cHos24xsANZ8/iZlZWVdEpuIyKEoKyvjpZdeUh8VIGpPERGR8KekPAT9VH29A0l5RhzbjSwAEqnoirBEREREREQkwJSUh6Cmfco7Mn091m6lNLIvAElGRVeEJSIiIiIiIgGmpDwENbg6Pn0dwJsyGIA0NI1RREREREQkHCgpD0Ed3ae8SXTWMACSTVVYPfUBj0tE5FBZLBaSkpKwWDrWv0nr1J4iIiLhT1uihaC6TiblfbKzKF6ZQJqpkjRTeVeEJiJySNLT07n++uuDHUaPofYUEREJfxopD0H1nZy+Pjgjji3eXr4fijcFOiwREREREREJMCXlIaje6QY6VugNYFB6LJuMHAAqti4NeFwiIoeqsLCQe++9l8LCwmCH0iOoPUVERMKfkvIQ1Jkt0QASomzk2XwV2N1FGwMel4jIofJ6vdTV1eH1eoMdSo+g9hQREQl/SspDUL3L9+Wqo9PXAZxJvgrs9oqtAY1JREREREREAk9JeQjq7PR1gOisoQDEOYugviKQYYmIiIiIiEiAKSkPQf7p651Iyvtnp7PXSPH9UKwp7CIiIiIiIqGsS5PyBQsWMHHiROLi4khPT+ecc85h06bmVcENw+Cuu+4iOzubqKgojjvuONavX9/sGIfDwXXXXUdqaioxMTGcddZZ7NmzpytDD6qmLdGiOzF9ffLQXmz29gbAmbf+IEeLiHSvlJQULrvsMlJSUoIdSo+g9hQREQl/XZqUL1q0iGuuuYbvvvuOhQsX4na7mTlzJrW1tf5j/va3v/HAAw/w6KOPsnTpUjIzMznppJOorq72H3PDDTfw9ttv8+qrr7J48WJqamo444wz8Hg8XRl+0DR0cp9ygJzUePbY+gFQsWtVAKMSETl0ERER5OTkEBEREexQegS1p4iISPjr0qT8f//7H3PmzGHkyJGMGTOGZ599lt27d7N8+XLAN0r+0EMPcccdd3DuuecyatQonn/+eerq6nj55ZcBqKys5Omnn+b+++/nxBNPZNy4cbz44ousXbuWTz/9tCvDD5rO7lMOUFVVRbE1GwBPwYaAxiUicqiqqqr4+OOPqaqqCnYoPYLaU0REJPx165ryyspKAJKTkwHYsWMHBQUFzJw503+M3W5n+vTpfPvttwAsX74cl8vV7Jjs7GxGjRrlP2Z/DoeDqqqqZpdwUncII+W1tbXsqfONmMRXbQbDCGhsIiKHora2lu+++67ZjCnpPLWniIhI+Ou2pNwwDObNm8e0adMYNWoUAAUFBQBkZGQ0OzYjI8N/W0FBARERESQlJR3wmP0tWLCAhIQE/yUnJyfQL6fLeL0GDnfnt0QDqDPH4TIsxHiqoLLnrr0XEREREREJd92WlF977bWsWbOGV155pcVtJpOp2c+GYbS4bn9tHXPbbbdRWVnpv+Tm5nY+8G7WNHUdOjdSDpBgdrHV6AVAQ+7KgMQlIiIiIiIigdctSfl1113Hu+++yxdffEHv3r3912dmZgK0GPEuKiryj55nZmbidDopLy8/4DH7s9vtxMfHN7uEi32T8khr55LyaJOLrZb+AJRuXRaQuERERERERCTwujQpNwyDa6+9lrfeeovPP/+c/v37N7u9f//+ZGZmsnDhQv91TqeTRYsWMWXKFADGjx+PzWZrdkx+fj7r1q3zH9OTNE1dj7CYMZvbni3QmujoaCZMmEBlwnAAPHtXBTI8EZFD0tRHRUdHBzuUHkHtKSIiEv6sXfng11xzDS+//DL//e9/iYuL84+IJyQkEBUVhclk4oYbbmD+/PkMHjyYwYMHM3/+fKKjo5k1a5b/2Msvv5ybbrqJlJQUkpOTufnmmxk9ejQnnnhiV4YfFB6PrzCb1dLxhBx87XX66afz+pu1UAFxFarALiKho6mPksBQe4qIiIS/Lk3KH3/8cQCOO+64Ztc/++yzzJkzB4BbbrmF+vp6rr76asrLyznqqKP45JNPiIuL8x//4IMPYrVaOf/886mvr+eEE07gueeew2Lp3PTuUOby+kbKrZ0YJQdwuVyUlJSQMnAsrIUkdzHUlkBMagCjFBHpnKY+KjU1FZvNFuxwwp7aU0REJPx1+fT11i5NCTn4irzddddd5Ofn09DQwKJFi/zV2ZtERkbyyCOPUFpaSl1dHe+9915YVVTvCHfjSLnN0rm3pqSkhCeffJKM2Ei2e31r9ut3q9ibiISGpj6qpKQk2KH0CGpPERGR8Net+5TLwbk8jSPlnZy+3iQ5xsZ26wAAirf8cMhxiYiIiIiISOApKQ8xbm/jmnLzob81lYkjfI+5Z8UhP5aIiIiIiIgEnpLyEONuHCm3HeJIOYC59wQAEsvWHPJjiYiIiIiISOApKQ8xLn/19c69NSaTiYiICEwmE/3HTMNrmEh2F+GuyAtkmCIinbJvHyWHTu0pIiIS/kyGYRjBDqKrVVVVkZCQQGVlJfHx8cEOp01fbylm9tM/MCwzjv/dcOwhPZbHa7D9z6MZTC5bj3+CQcdeGKAoRUREREREpC3tzUM1Uh5i3Ie4T/m+LGYTxfGjASjb9O0hP56IiIiIiIgElpLyEHOohd6Ki4t57LHHKC4uBiCi3yQAIou0LZqIBN/+fZQcGrWniIhI+FNSHmIOtdCb2+2muLgYt9sNQP8x0wEY4NxMaVVdYIIUEemk/fsoOTRqTxERkfCnpDzEuAK4JRpASv8x1BFFrKmB9WuWBuQxRUREREREJDCUlIeYppHyQKwpB8BsIS9mOAAN27WuXEREREREJJQoKQ8xTYXebJ3cEq01NRkTAYgr1Ei5iIiIiIhIKFFSHmJc3saRcnPnRsqTkpK48MILSUpK8l9nHzgNgAG1q6Dn74AnIiGstT5KOk/tKSIiEv6UlIeYQx0pj4yMZOjQoURGRvqvyx51LC7DQgalVBVsD0icIiKd0VofJZ2n9hQREQl/SspDjOsQ15TX1NTw9ddfU1NT478uISGRTeaBABSt++LQgxQR6aTW+ijpPLWniIhI+FNSHmKa9im3dHL6enV1NZ9//jnV1dXNrs+NHweAZ+fiQwtQROQQHKiPks5Re4qIiIQ/JeUhxtOYlNsCtCVak4asowBILl4W0McVERERERGRzlNSHmIOdfr6gcQOnobHMJHmzIXKvQF9bBEREREREekcJeUhpiu2RAMY3Lc3a40BALi2fB7QxxYREREREZHOUVIeYg51S7TIyEhGjBjRohJv35RoVljHAlC29uNDilFEpLMO1EdJ56g9RUREwp812AFIc00j5dZOjpQnJSVx3nnntbjeZDLh6X8cbHuTmL2LweuFAK9bFxE5mAP1UdI5ak8REZHwp6wsxLgb15TbOrmm3OPxUFVVhcfjaXHb4PHHU2vYiXWXYxSuPaQ4RUQ6o60+SjpO7SkiIhL+lJSHGFdj9XVrJ0exi4qKePDBBykqKmpx29GDs1jGCAAKVv6v80GKiHRSW32UdJzaU0REJPwpKQ8x7i6qvg4QabNQmDoFAOemhQF/fBEREREREekYJeUhxr+mvJOF3g4mYczpAPSqXIFRX9ElzyEiIiIiIiLto6Q8xLi9h1bo7WCmTJrENiMbKx52//BelzyHiIiIiIiItI+S8hDj9h5aobeDiYu0sT1lOgCVq9/tkucQERERERGR9tGWaCHG5Tm0Qm+ZmZnccccdWCyWAx6TPO5M+OwV+pZ9i9vlxGqL6NRziYh0VHv6KGk/taeIiEj400h5iDnUQm8mkwmr1YrJdOD7H3H0TCqII4EaVn+jKuwi0n3a00dJ+6k9RUREwp+S8hDTtKa8s9PXS0tLee655ygtLT3gMTabjV2pxwJQ9MPrnXoeEZHOaE8fJe2n9hQREQl/SspDjKtppLyT09edTie7du3C6XS2eVzOtFkAjK/9iu+3an9bEeke7e2jpH3UniIiIuFPSXmIadoSrasKvTVJHjWTOksc6aYKPv34nS59LhEREREREWmdkvIQ4/IeWqG3drNG4B16BgB98//HrtLarn0+ERERERERaUFJeYhpKvRm6eKRcoDYI88D4BTLUr7YkNflzyciIiIiIiLNKSkPMZ6mQm+dHClPSEjgzDPPJCEh4eAH9z+WelsSqaYqSlZ/1KnnExHpiA71UXJQak8REZHwp6Q8xLgOcUu06OhojjzySKKjow9+sMWGc8TPARhV9B41DnennlNEpL061EfJQak9RUREwp+S8hBzqFui1dXVsWLFCurq6tp1fMLkXwFwvGk5363d3KnnFBFpr472UdI2taeIiEj4U1IeYpqqr3e20FtlZSXvvfcelZWV7btD5ijyoocRYfJQs+zlTj2niEh7dbiPkjapPUVERMKfkvIQc6jT1zujYeSFAIwq+C/exucXERERERGRrqekPMT8NH29+96a3tPnUGfYGcRuti37uNueV0RERERE5HCnpDzE+EfKzd03Uh4Rm8TyhJkAGN8/2W3PKyIiIiIicrhTUh5imtaUd3akPCIigr59+xIREdGh+zmOvAyAgWVfQuWeTj23iMjBdLaPktapPUVERMJf2CTljz32GP379ycyMpLx48fz9ddfBzukLuH2+kbKLZ0cKU9JSWHOnDmkpKR06H5HTpzGEu8ILHip/uqxTj23iMjBdLaPktapPUVERMJfWCTlr732GjfccAN33HEHK1eu5JhjjuHUU09l9+7dwQ4toAzDwNVUfb2Thd4Mw8DtdmMYRofulxwTwZfJ5wFgX/Uc1Jd36vlFRNrS2T5KWqf2FBERCX9hkZQ/8MADXH755VxxxRUMHz6chx56iJycHB5//PFghxZQ3n2+U9k6uSVaQUEB99xzDwUFBR2+b9+jz+VHbw4RnlpqF/+zU88vItKWQ+mjpCW1p4iISPgL+aTc6XSyfPlyZs6c2ez6mTNn8u2337Z6H4fDQVVVVbNLOHDtsx1Zd26J1uSCSX15L+4C3w/fPQ6Omm6PQURERERE5HAS8kl5SUkJHo+HjIyMZtdnZGQccGRgwYIFJCQk+C85OTndEeohc+8zVN6dW6I1sZhNnHjelez0ZhDjqaRw4d+7PQYREREREZHDScgn5U1MpuYjx4ZhtLiuyW233UZlZaX/kpub2x0hHjL3viPl3bgl2r6O7JfGol5zAYhf8Q+oKwtKHCIiIiIiIoeDkE/KU1NTsVgsLUbFi4qKWoyeN7Hb7cTHxze7hIOmIm/Q+errgTDpzLn86O1DlLeWyk/+ErQ4REREREREerqQT8ojIiIYP348CxcubHb9woULmTJlSpCi6hpN26HZLKYDzgI4mPT0dG688UbS09M7Hcfw7ET+l/kbAKJXPUPFnh87/VgiIvsKRB8lP1F7ioiIhL+QT8oB5s2bx7/+9S+eeeYZfvzxR2688UZ2797NlVdeGezQAsrdtB1aJyuvA1gsFuLj47FYLIcUy7GnXcQi7xHYcLHmqSt5/psd2nJHRA5ZoPoo8VF7ioiIhL+wSMovuOACHnroIf785z8zduxYvvrqKz788EP69u0b7NACqqn6+qGsJy8vL+f111+nvPzQ9hkf3y+FqLPuw4WVY02rWPzBC1zz8opmFeJFRDoqUH2U+Kg9RUREwl9YJOUAV199NTt37sThcLB8+XKOPfbYYIcUcE3V1w9lO7SGhgY2bNhAQ0PDIcczacJRWKdeC8D/sz3L4rVbeW913iE/rogcvgLZR4naU0REpCcIm6T8cOCfvh6E7dAOxHTcrZAyiExTOX+0vchrS8Ojkr2IiIiIiEg4CJ3sT34q9BbEyust2KLg7H9gYOIXlq9I3PU/dpTUBjsqERERERGRHkFJeQhxheBIOQB9jsY09XoA7rU9ySsff8U3W0tocHmCHJiIiIiIiEh4C7Hs7/Dmbir0dghryuPi4jj++OOJi4sLVFg+x/+BiuQxxJvqOG3T7Vz2r6+5+4MNgX0OEenxuqyPOkypPUVERMKfkvIQ0lTozXYIW6LFxsZyzDHHEBsbG6iwfCw2Yi5+gVpzPGPN2/mb7Un+u3KvRstFpEO6rI86TKk9RUREwp+S8hDiCsBIeUNDA5s2beqSSry2lH7EzH4Jw2zlbMu3XOF5jS82FgX8eUSk5+rKPupwpPYUEREJf0rKQ0ggqq+Xl5fz6quvdt2etf2PxXT6/QD81voWVV8+TGWdi6oGV9c8n4j0KF3eRx1m1J4iIiLhT0l5CAnJ6uutGT+H4gk3AXBB6WPcP/8WTrh/EfmV9UEOTEREREREJLwoKQ8hTdXXLaGelANpp/+BN+znAPBn6zP8rO4Nrn95hb9YnYiIiIiIiByckvIQ4mkq9BZqW6K1xmRi1JyHWZ7zKwBut73CGXsf5LHPNwU5MBERERERkfARBtnf4SMQhd6sVitpaWlYrdZAhXVAw7ISGH/5Q3DS/8PAxKXWhUz8Zi61Zfld/twiEp66s486HKg9RUREwp/JMAwj2EF0taqqKhISEqisrCQ+Pj7Y4RzQKz/s5ra31nLi8Az+demEYIfTId71/8Xx+lyicFBrTyPmgn/BgOOCHZaIiIiIiEhQtDcP1Uh5CGlaj207hJHyYDGPPJuFU19hqzebGEcxvHA2fHAzNFQFOzQREREREZGQpaQ8hLgCsCVaQUEBCxYsoKCgIFBhtduJ06dzifkvvOg+wXfF0qeofWAcWz99GqfL3e3xiEjoCWYf1ROpPUVERMKfkvIQEogt0QzDwOl0EoxVCdERVq44YTR/8FzOJc7b2OHNIMZZwqDF89hy93huW/BXbv7PKuqcStBFDlfB7KN6IrWniIhI+FNlmBDy00h5+E1fb3LFMQM4b3wO6/OP4ovdZ7N2/b84vuRlRpp2ssAxnw3rXuKT2ks455LrwRYZ7HBFRERERESCSiPlIcQdgOnroSAh2saUgalcNmM4Z117P9E3r6XyyGtwWaIZYd7FObvuwfG3IZS8fgOePSvAMNiQV8Xu0rpghy4iIq1wur3BDkFERKTH0kh5CGmavm49hOnrocgcm0rCWfPhxJv56IW/ckT+6/RylWJf/yysf5aSiN58XTeG76wT+dsNc6lxm3j5+12U1Dg5oncCc6b0w2TqWW0iIhIuthfXcN4/l3DskDQevGBssMMRERHpcbQlWgj56/828viX27hsan/+eOaITj2Gy+WipKSE1NRUbDZbgCM8dNUNLm54ZTlpRd8wvW4hx7GcKJPTf3u9OZrlxjC+dg5lhXcwa43+XDp9OLedOhzwVaj3GAZ2qyVYLyHgDMNgfV4VBZUNnDA8XScgpEcL9T4q3HRHex5/35dsL6kFYOdfTu+S5xAREemJ2puHaqQ8hARiSzSbzUZWVlagQgq4uEgbT//qaOBoiqqu4fb/LiNuzyJmxa8lrWgxKd5qprGCabYVAHgME1uW9GbzjlH0Gjqevy43WFGbxpzTpvPzSf3blcDWONz8sKOUpOgIhmbGER3R8V97wzDYVlzDgNRYzIc4k+HrLcV8vaWEK47pz57yem5/ay0bC6oB+MesIzn9iMC8fw0uD5G2A5+8KK91Uut00zspOiDPJwf3+cZCFm4o4voTBpGVENXidsMweH9NPluKanC4PVw+rT/pcYGrveD1GmwqrGZYZlzAT/7UOd1E2SwHfdxA9FG7SmvplRjV6aU+eRX13PfxJi6b1p9RvRIOKZZA8XgNHly4mSGZcZw1Jrvd9+vqPn97cY0/IRcREZGuoaQ8hASi0FtlZSWLFy9m2rRpJCSExpfNA0mPj+TB2dOAaQBc9cJSdv/4PcdGbOKagcXEFq3EUlPAMFMuFOVC0Uf8GcAEng9N5H2YQp6RSl10FslZ/Rk1dAim2AyITYfoVLxRyfy/z/J4eVk+jsb1kBaziTG9EzhrTDaXtnNa/J7yOn735hq+2VrK6aOzeHTWuIPeL7esjndX53He+N6kx/+UVFXUObn6xRVUO9y8uXwP1Q1unJ6f1mp+trGwRVJeWe/C4fZ0KDl7YclO7v7gR2ZN6sNdZ41scbvL4+Xcx78lv7KeD68/hgFpsQCU1ToprGpgeFY8320v5aoXlzNv5lBmH9233c8NvuSyuMZBaozdfxLD7fFyx9vrSI2L4P9OHnbA+9Y63PztfxuprHdx989GE2vveDeVW1bHHe+so97p5saThjBlYGqH7v/1lmIWbSpm9uS+9E2J8b8mh9vb5omOtry3Oo/fvroSrwFfbiri2V9NZFhm8zOm76/J57pXVvp/3lFcy5O/nHDAx1y3t5Lb3lrLr6b249wjex80hoc+3czDn2/lwok5LDh3dMAS8zeW7+Hm11cTH2llbJ8kzjgii8HpsewqreM/y3LZW1HPs3MmMiAt9qB91MHa+blvdnDXexsYm5PI85dNIiHK5r/fit3lLNpUTJ3TQ1JMBCePzGRQemyLx7jngx/5YG0++ZUNvPLro5vdtiq3gkWbirlsWj/iIm1sKqgmMyHS/zytMQyDf3+3i+SYCM444qeEeunOMswmE+P7Jh20DRduKOTRL7YSYTUzbVAqyTERB70PtN3n/5hfxercCvqmxDA2J5GoiPb/7lbWuXhjxR7eW53nvy41tn0xiYiISMcoKQ8hP60p73yht7q6OpYtW8aRRx4Z8kn5/u48ezQLIqwcM+FCYgf5kiijKo+PF/6PNSuWMMycyxBLPgPMhUR46+lFCb1MJdCwEXZ8ATuaP54ZuBOYZ4mi1hZLtRFFpTeS2vxIavIjWb0ijTEDsqn22Fiyu5Y6w8bp4/oTYY8CayRY7ZTUe5n9oYkdDb7R5A/W5nP0d8nMntzvgK8jr6KeC55YQl5lA2+t2MN/fjMZs8lEjN3Kk19tp9rh2xKutNY3bf/kkRmcPbYXV7+0gm+2lmAYhj9Zqqx3cfrDX1NW6+S966YxMK1lgrEvwzB44qvt/OWjjQA89+1Ojh6QzCmjmif6763OY0fj6NcTi7bz118cwZJtpVz10nIq6lz865cTePDTzZTXuVjw4Y+cPCKD9PhIvF5f4jMiO57oCCvVDS5W7K6gtMbB0QNSyE6M4u+fbuG5b3dQXudiQGoMz8yZSL/UGL7aUsxry3IBOG5oOhP7JbeIf0dJLXNfWMbWohoAimscnHlENu+tyePH/Gq8hsGpo7L45eS+DM+K57lvdvDemnzS4+xMH5LGBRNz+HBtAb97cw01je0866nvOXNMNvN/Noq4SBuGYfDVlhL6JEfTPzWmRQxvLt/DLW+uweM1eH7JTq6aPpAbTxrCH/+7nn9/t4vTRmdy/QmDGZYZT25ZHQs3FHLq6Ez/yPf320u59+NNnD2uF5cc1QeAl3/YzR//ux6vATERFvIrGzjloa85oncCMRFW7DYzN5w4hAcXbgZg2qBUvt1WwicbClmyrZTqBhc2i5mxOYn8d9Ve8iobOH5YOje8uoqCqgbu/O96jh2SRmqs/YC/G/mV9Tzx1XYAXl2aS6zdSp3Lw/DMOGZP7sdDn27mw7X5XDSpDxdN6tMiKXZ5vDz3zU6SYyI4dXSmf8ZJg8vDvR/7ft+qGtx8tbmYrzYXt3j+pt+zffuo1YVOSmsdnDO2FyaTiYLKBq54YSl7yn1J/Lg+zZPZzYXVzG/83V6VW8HP/vENmQmR1DjcVNa72LVfsch7P97EGUdk8fcLx2FpPDm0o6SWD9flA/DdjlKKqhp4ffkeymud5CRHc8+HP+J0e8mvrGfKoFSuf2UlKTER/iVFsXYrM4amU1zj4IM1+cwcmcF7q/P56/98cS3dUcYfzhjBsl3lzHrqOyxmE5/fdBw5ydF4vAZmE9S7PJTVOslOiPKftPrvqr2Ar6Daf5blcuX0gf72tVnMWMwmXl+Wyz8XbaOy3s2oXvE89csJ1NXV8cPS5WQMHElfcyRpcXacbi8PfbqZfy7ahrdxgdrwrHjev26avx3AN3Pio3UFrNlbgcPl5bcnDCYpJoLiageznvqOLY2fwyZub49f7SYiIhIUWlMeQm59cw2vLs3l5plDuPb4wZ16jPz8fJ588kl+/etfh/Q09o76cG0+b6/cy40nDmFEVhw1pXuoL9qJUbGL5WvXU5C7jf72ahKNCuLc5aSYqkg0BWbK5UXOO6jMmMwxQ1J5YtF2IqxmPrz+GLITI/nHF1tJj4vk+GHp9E6KYkN+Fb99dZU/qQSIslmod3lIjomgzummweXl4YvGsa2ohuSYCGYf3Renx8uYP32Cw+3l03nHMig9DoD/e301ry/fA8DUQSmccUQ2/16yi3knDeHEERmA7yTAY19upV9KDKv3VPpHtkb1imfd3iriI61M6JeMYRiYTSZmjszg2W92+qfM2ywmrjt+MA9/tsX/pTsmwkKt0+N/DRdMyOHP54zk5tfX8N7qPEb1iucfs47k/CeWUFjlAGBweixP/XICM+7/kn17leSYCP59+ST+8cVWPlxbAPiSzt+eOJhvt5bys3G96JMSTVmtk7P/sZjcsnrS4+zUOtzNYtiXzWJixtB0PtlQ2Oz6MTmJrM6tAGBivySGZcbz8g+78XgN+qVEc+mUfizeUsJnG4uwWUxcM2MQ18wYhMdrcMfb6/h6SzFF1b7X0zcl2p/kHTM4la+3lDR7rmMGp7J0ZxkNLi92q5k5U/tx9pheXPyv7yivcwEwrk8iUTYL324rBeAX43tz26nDuOn11Xy5qXniajGb8HgNkqJtfHXLDOZ/uJFXftjtv/5gzh3Xi74pMVQ3uDhmSBq9EqMwm8BsMmExm3jw0828tWIvSdE2f3xNjhua1iyeAakx/PuKo+iVGOU/SfTkV9uY/6Ev8YyzW5l77ADmHjOA15fn8sf/ricrIZInZo/n6y0lvLc6j+oGNymxEQzLjOM/y/YQaTPz/W0nEvXMdBpKdmG1R1HZ4Ht/Y+0W7FYLFXVOGicMYTZBTIQVl8dLtN2KzWyirNaJy2sQYTHh9hrs3ywmINJmxmzy3e50ezHwfQbNJt8UcQP8M2cA7FZzs5/3ZTbR4jmAZs9vAvY/xGYx4fUa/tcSHWHBbDJR63A3O9ZqNpEUbcNsNlFU5fDfZjGZSIuz4/F6Ka11YsJEdITFf0KvSXykFcNrUO10U2wkcr6xgKcvncgLS3by8XrfZ2NsTiIbC6pocHn55yVHMjI7gTV7Kjl5ZAYPf76Vhz/b4n+8aYNSmf+z0VzxwlI2F9aQEW9n1qS+ZCVGcssba4i1W1n3p5NbbSsRERFpqb15qJLyEHLTf1bz5oo93HrqMP8oSUf11KS8LTUON8f89XN/omEygWGAGS9HpBj859LhRDirwNF0qeGHzbl8uXYHdhxE4yDV7sXtqCM50uD4QQmY3A7yyqrYU1LBfOMyHvrtbPqmRHPps0v5anMxPz+yN2lxdv65aJs/juSYCMrrnBgGZMZHcu95R3DDq6v8I+JNxvRO4J1rpraYOnzJv75n8dYS7jxzBJcc3ZdXGkdXTSawWczNtiSyW828PPcohmfFc+5j3/oTbPB90b/ttOHMProvP3vsG9bnVbXabtERFgalx7JmT6X/ujOOyGLl7gr2VtQDMH1IGosaRz3jI61UNbib3b/O6SE11k6d002d08OQjFg2F9YwbVAqf/n5aK58cTnr9laRkxxFYaUDp8fbItGxmE0cOziVkhona/dWkpMcxZtXTWFLYQ1zX1hGUnQEsyf3ZcrAFGoa3Dy9eAefbSzy3//q4wZitZh5/Mut/iUgl0/rz+2nDcdiNrF8VznXvbyCvMoG/332jeHE4ekkRUf4T36YTDD3mAHcesowXvphN394Z53/frOP7ktZnZMP1+b7TzxkxNv9Jyaa9EmOpqCqwf+emU3wfycP48rpA/zve1FVA0u2+5L1l77bzQ87ywC4/bRh/PrYgRRVN3DcvV9S5/SQGG0j0mqhoKqB/qkx9E+N4fONRcTZrdx++nBue2ttq+9xa968agrvrc7jm60l9E+NaXZi46wx2Xy3vZSiage9EqNIjbOzraiGO04fzl//t5GKOhfJMRGUNf5Ox0Va8XoNap0e/t/ZI1udQWIYBqf+/Ws2FlTzhzNGMGfJyVhqC1scJ4cm30hmsuNRf/8XYTXz4PljOf2ILO79eCP/+GIbQzJiKa1xUlrr5JjBqSzZVorba3Dukb34aG0B9S4PVrPvhENGvJ1Xfz2Z/qkx7CmvY9pfv8BuNbPp7lOD/VKlDfVOD++tzuOkERkktXMJhATX1qIaTCYOOhNu2c4ySmqcnDIqs5sikyY7Smpxur0MzYwLdigh7cf8Kub9ZzW/PWGwfk/3oUJvYainbonW1WLtVn597ED/9NGHLxzH04t3sGZPBTeePYmI9LQW95k0Dvqc0kBxtYNou4XM+EiOXvAZ1TVu/j5sLMXVDu5Z/yOGAXedOYJ+jdOc5500hK82F/Pu6r3+ZQYjsuLZWFDlT1TOHJPNracOo1diFB9cfww7SmoZkRXP4q0lLNpcxK+PHdDqWt6pg1JZvLWEF7/bxb++3uFPjC+b2p+kaBv3feKb2jw4PZYtRTXMfvoHshOj2FpUQ2qsnRHZ8bjcXm4+eQjj+/qmhr9w2SS+2FSMt3FIL6+inse/3IbD7eWCiTkcNzSdS5/5AZvFxG2nDudXU/vx+cYiLn9+GdERFh44fwx/+Wgjry/fQ1WDm1i7lcun9efvn23xJ4tvXz2Ft1bs5cFPN7O50DdD4Ipj+tM7KZqXrjia0/7+Nbll9f62GpOTyCs/7Pb/vCG/ii8aR2ljIiz865cTSY+LJD0ukhV/OIkIi7lZcb3JA1N4/tudPP3NDi6f2p85U/sDMH1IKg99uoXTR2dx4aQ+/uPH903io98ey2vLdvP5xiLsVgu3njqMzYXV3PLGGj790Zfgm0zwyEXjOHZIGvGRvvXDs4/uS2Wdk/s+2cy0QancddZILGYTmwureem7XRzRO5Fzj+zFF5uK+OtHm9hUWE1ClI2XrjgKt9dg8dYSvF6D8X2TWhQUS4+P5OyxvQA4aUQGv397HVUNLmYf3c93e1wkT186kXV7Kzl/Qg5xkVYKqhrIiI/EYjaxtaiGGLuFrIQolmwr5d3VeYzrk8jg9Fi+3VZKdYMbr2FgGE0jxAbnT8hhfN+kZmucH/p0M3//bAsXTPCtM8+vbGDWU9+xs7TO/zvYlPQPTIvhfzccy//WFfCXjzb6b89OiOT8iTktfqd97WrikqP78vt31vHQws1Ms8QSb/hOoNmtZiIsZqodbkxAVISFOLsVA1/9BTBhNkFDU00IEyTFRGDrwBKfWqeb6gY3EVYzNouJBpcXm8VMXKTVPyvCYoK0ODsmfL9nHsOguNo3cp0aE4Ftn4Jybq9BtcOF1Wwm1m6h3uXF4/USa7diwoTHMKhucOPyeEmMtlFV/1PdiFi7lZgICzQmzhV1rmY1JeLsVryG0WyGiMVs8sdtt5pJivYlWiU1Dv/MFisektOymBqZwjdbSzGZ4OELx/qXrcyZ0p+nvt7h/3wC/pkfp4zM5P7zxjB9SBq/fXUVbq/BmJxEHr1oHDnJvmU7Ta9f09dD361vreG/q/I4d3svHgjR7eu+3FTE9zvKuO74QZ0qvHowTUVzO1MIssbhprja0erSpq5QUefknH9841tWdcsMUlpZglTndPP/3t/AKz/4ln89fekEThieccjP7fJ4qXN62qyXEWhOt5eCygZ6J0V1qGjuwg2FpMZGtFjS1MQwDOpdni75fapzuvnZY9/gdHv5+gDvUahpTw2cqgaX/7tOoDz25TZ+zK/i/72/gROHp3e6GOvhSiPlIeSal1bwwdp8/nTWSC6d0q9Tj1FVVcWSJUuYPHlySL/WQKtzurnu5ZX0T43h92eMoMHloajKQZ+U9lcWX/DRjzyxaHuz6345uS93nTmy2R+P859Ywg87fKOao3sl8O61U3G4vWwurCYu0tbpP+br9lZyxiOL/T+nxkZwxTEDuHyaL+l89psdDMmIY1L/ZH759A8s21UO+KbKvjL3aCa0ska7NTtKavlmawm/GN+bSJuFb7eVkBEf2ews/b5/AA3DIK+ygdIaB31TYkiIsvGvr7fz4ne7mP+z0UwZlEplvYtpf/mcaoebgWkxLLxxur/Nvt9eyoVPfYdhwB/PGMHPx/fmqa+2M2VQClMGprIhr4rvd5SyvbiWM47I4qgBKZ1qv85YtLmYuc8vw+nxMu+kIVx/QuvLRnaX1tErKarZetz9ebwGX20upl/jSHZ3cnu85Dd+0elM8bbKOhcJ0T/9cS6sauDhz7bQPzWGTQXV/lkEj198JKeO9iV6Lo+XLYU17Cip5YjeCf4ErjU1DjfH3fsFJTW+E1c2M8ya2ItbTx9NVISF1bkVZMRHkpnQspihYRi8ujSX5bvK+b+Th5IR3/Fq9PvWadjXr579gS82FTd7XU22NlbAH5l9aLU5VuVWcNGT33H22OwWxfXcHi+vLcvlwYVbcHu9fHj9MaTF2XmmcTZIdYObR2eNY0BqDDtL6+iTHO3/Hfx0QyFXvLCMMb3imDuojmOnTsFij+YfX2xlXJ8kThrR/Ev7799Zy4vf7aZXYhTzThrCHe+sJS7S5n9OgP8sy6WyzsWlU/oRYf3py1RpjYPxd38KwI4Fp2nbxiBYsbucV77fzbXHD/IXn9xf0+8E+JZsLPv9icTsVyhzQ14Vz3yzg0ibmTvPHNnshFNrXB4v320vpXdS8xocNQ43ZhMtkqC8inoue24pJwxPb7WgZ53TzeQFn1NZ7+K4oWk89csJB4zhsS+38uKSXfzp7FEtfp/3lVtWx0vf7+bnR/YiLtLGzx//loo6J5MHpvCrqf2ZOqh9hT5Laxyc89g35JbVc9eZI/wnfPf1xaYibnxtFb+a0p/fnjiYwsYZUW31f/vyeg2W7ixj8dYSfn5kb5ZsL/Wf9PzdKcO46riBeLxGs781t7+9lpe/3+3/eUxOIu9cPeWAn8MHFm7mmcU7OGZwKhcf1Zdpg5u//m3FNVz78ko2FlRhGPB/Jw/lmhmDWjyO2+P1J1X773ixu7SOv/5vIznJ0VxydB96J0VjGAZvLN/D+2vy2VhQxZ/OGsWJw9NZ8NFGeidF8aup/fntqyv576o8EqNtnDwik5tOHnLQIrZfbS7ml8/8AMCV0wdy08whzX5ncsvqmPefVazOreT+88dwZuPuFYZh0ODytlrg0u3x0uD2nUytc7pZurOco/onN0tiK+tcxEVa+XBdPte+7CvA+vcLx/pPpgdSVYOL577ZyYdr83F5vPRLieHvF43rVKHbxVtK+MN/17GjpJaEKBtZCZEMSIvh5plDGZAWy/JdZTz06Ra+3lLCzBEZ/PXnR7SYVdPg8nDJv76npMbBjScN4awx2ZhMJozGE/2tnVCpcbiZcPdCGly+k2Kt/V1tsm5vJb/593JmjszgzjObFyOuqHPy0boCZo7ICIsTIO2h6ev7CJek/NcvLOOTDYXcfc4oLulgtWs5dIVVDZzzj2/Ir2wgzm7l+hMGc8UxLbdd++zHQi5/3vfFJ1BnrMH3x/rSZ39gT3k9c6b044KJOQc8y+nxGqzKrWD5rjJGZie0+0tHV/rX19u5+4MfeeSicf4/ik1e/n4332wr4a8/P6JTf2S60rq9lWwpqubsMb0Oebu7nsjrNXjmmx00uDxcM2NQpxOyijonP+ZXU1nv5Mg+Sc12JQiW6gYXeRUNXT4l0es12vzdcnm8ON3eFgnUwWwrrqFXYlS7dgSoanDx7yW7OGtMNjnJ0VTU+daq73sy5kAq612M+dMnAGy++9RmCfvhzuM1+L83VhNls3D3OaO65ISFYRic/NBXbC6sISshkttOG85nPxYyqX8yFx/l+65Q43Bzwv1fNltK8+AFY/jZuN4UVTfw0ne+mUJr9/60XGnOlH7+3TmW7ypnT3kdM4al+0fPXlu6m3s/3kxJjYPU2AgW/+54Im0Wfsyv4qKnvsNmMfOf30ym3unh220lXDSpD/M//JGXGhPIZ+ZMINZu47ONhewsqWXqoFQMA+58d70/hrPGZHPfeWNa/E79Z2kut7y5BvDNqPn7heNIiLIxIive/zvr9njZWlzDL5/+gaJqB0nRNnKSo5styQKYOSIDA0iJieCiSX3YkF/Fyt3lTB2UyskjM4m0WXC6vVz8r+9YurPcf7/fnz6cK44ZAPg+wytzy7nkXz9Q7/Jgs5h47leTuPLfy6lzeXhmzkQm9E3i841FrMurJDbCytUzBrF8Vzl3f7CBKQNTGZAWwz+/3ObfYnBEVjzxUVa+2+47yd8rMYoTh6fzn2V7uO6EQVw1fSCFVQ6O+dvnuDwGf/35aO58dz0NLi8vXDaJY4f4ZgE63V7u/mAD8ZE2zhyTzWkPf92sDsnVxw3kpplDsZhN7CqtbVYLpsm+yeaaPRX8/p117Ciu5bXfTGZPeR2//vdyjhmcyjNzJrJkWynXvbKSynrfjCezCe46ayQ1Djd/+98m/2OmxdmZM6Uf9368CZMJ/n3ZUfzyme+bLV+Ls1u586yR/GJ8b//v+qbCalbsqmBvRR2/nNyPG19b5a/LAjBjaBqPzjqSj9YV8PnGQhZtKvbPLoqwmvl/Z48kr6KBd1btZVdpHTOGpnHTzKH+2Wrbi2u4+qUVbC6sZlL/ZDYX1lBW62RS/2T+ffkk7FYLizYX86tnf+CiSX0oq/UliQDnje/NveeN8cdS3eDirnc3sHxXGeV1Lu48cwTnHtmbzYXVxEfayEzwFcj9saCKrUU15CRHc2SfJOqdHtbsqWBCv2Q2F1Yz66nvWtR6uWxqf3+B0RqHm7yKeoZkNP9bVV7rZNHmYhxuDzlJ0bz4/S5/7Z799UuJ5jfTB3L722ub1f3JjI/k1lOHcdaYbP/fqb98tLHZ8sxjBqdy3fGD+dN769lZUstJIzJIjvEtXbxoUh/G5CTy5vI93PT6av99JvZL4vUrp7SII7+ynnP+8Y3/d/C1Xx/tH4wprXFw8b++Z2NBNUMyYnn9yiktZnKU1zr5/TvrcHq83HbqMP/uQaFMSfk+wiUpv/y5pXy2sYi//nw0F0zsc/A7tMLpdFJYWEhGRgYREVpP1lFNH4e2vlh5vQZ/+O86rGYTd501UqNG+6hzurtk+pj0HOqjAqs72rPO6WbEHz8GYMOfT9ZnfB9vr9zDja/5voi+e+1Ujuid2OpxDS4P/1tXQK3TzYUT+xxw1s2Wwmru/uBHVuVWMG1wKpdO7ket082vnl3a6vFXNNbPePjzLTz06Rb6pkRz2ugsHv9yG5P6JTO2TyIvLNnpH70ym+CYwT/VCrl0cl+8Bvz7u12AL6k5b3xvRvdK4Nb9alXc+4sjmDY4lZ/941sKqnw1OjLjIymrdeL0eDlmcCrf7yjz19KItJn9z9sk1m6lxuHm9COy+N+6Ajxegwl9k/jF+N7UONxsyKtifV4Vm4uqMQxfotq0TAYgIcrGFdP6s/DHwmbJ974FMePsVh6+aByfbSzkxe9205bshEj+c+VknvxqOy8s2UWc3cqZY7N5+fvdmEzw1OwJfLm5iNeW5v60bW1j3YV9nzM6wkJ0hMU/Gwjg+hMG8+byPc3ib2oDj9c33Xr/dtnXSSMyiI+08eaKPUzql8x/rpzMn95bz7Pf7CQ1NoLTRmcx++i+/Pu7XbywxPf+xUVaqW5wM21QKjnJ0f6lYpP6JXP2uGweXLiZkhonQzJi/UUhn/p6B1aziV9O7kd5nZN3Vu31J21nHJFFXkU9K3ZXAHBE7wTW7q3EMHz1cWLsVn/C3FTP4jfHDuB/6wta7IYRZ7dS7XBzVP9kfnviYP760UZWN76Hs47qg8Vk4otNRewp/6m9shMiyatswGI28cczRrDgox99o9+NBXSbHNknkYQom38p3P6sZhMvXXEU9S4P1768skVbA7wbcQd9ImpIiLJRWuPA1fje7lvM02KCuEgbNQ43MXYLDpfXv7yq6djoxkK5JiAx2katw+NfptR0XY3DjctjYLeacXsNPF4Dq9m3Sw+GQWVj/Z7U2AjMJhOltU48XoPoCAtWs4lahweDlsVOm8REWIhpXA7l8RpU1bvx7JPuRdrMRNksVDe4f1oGZTYRabNgMkF14/NH2Sw0uDwtipnuy4Tv99fh9uD0+GKsd/ruE2k1Ex1hxZ6YyYtjXuDBhZspr3PiNX76HA3LjOP966ZR6/BwwZNLmtVImtQ/mb9fONa/u826vZVc9dJy/5LICKuZ62YM4qrG2kKhSkn5PsIlKf/lMz/w1eZi7j9vDD8ff/A9h1tzOBZ6E5HwoT4qsLqjPR1uD0N//z8A1tw1M+DrEMOVy+PlxAcW+ZOPX03tx6+PHcDz3+5iW3EN6XF2fnvCYP63voD7P9nsH1k8Z6xvdNhqMbM6t4Lnv93J6j0V5FU0NEs0wJfoZMRFUlDVwLnjerE+r4rc8jqmDkplYWORxtNHZ/HlpiJqnR7+MetIRvWKZ/q9XzZ7nHF9Epk1qQ/Th6aRHhfJA59s4uHPtzY7Zv8EGHyj6SkxEdy/cDPDMuMwDNhUWM3AtBgcbm+zBKrJmN4J1DjcbCuuxWyCc8b28m3p17gMJiHKxpLbjueHHWVc98pKfwKwv4sm5fCHM0Zw5YsrWLW7nAirhZIaR4vjjh6QzF/OPYKrXlrBlsJqHp01zl9PYfmuchZtKiIl1s4PO8v4aG0+vZKimDE0nY/XF1BY5fAnfgDPzpnIjGHp3PH2Wv+I/76mDUrl+hMGc/4TSwBf8jM8K96/nCwnOYoRWfH+3Q/Al1j2SoqioKqBCyf2Yc6Ufjz37U7u/dg3qnxkn0Qm9EvmycYtKy+YkMNbK/f4TwIAPPuricwYmk5hVQPnPvat/31qSoThpwKmVrOJT248lgFpsfx31V5ufXNts9+rYZlxvHD5JNLjfKO48/6zindW5TV7nccPS+fzjUX+x7eaffUymp5r1lF9+OMZI7Bbzdz3ySb+8cU2//Xzfzaaj9bmc9VLK/yvf99Cq4/OGscZR2Tj9Ro89OnmFr+HkTYzE/oms624hvzG+/1sXC8evGAs324r4fLnllHv8pAS4ysCO3VQKuP7JNHg9nDNSyvYVVrH8Ox4jh+azojseP7y0UYWbS5uTJB9yfCkfsncfvpwVu4uJz0ukmi7hWEvH0WWqazFey6HrtKWxtiav/t/f/qlRPPorCO55Onvqahz8bNxvcirqOf7HWWkxdm568yR/q1tIyxmZgxLI8Zu5Z2Ve/EavmK6fZKjWby1hAl9k3xbD4fwTEcVegtDPxUnCd1fLBERObzsW1jP7enx5/EPaP9lCG8u38Ou0jr/iM97q/P4clMxO0p+2o7zP8t+GmHNToikqNrBO6vyqHd5uGnmUC7+1/etjpBecnRf/rtyL2+t3EtBlW+k8KaTh5IRZ8fAV3zvrRV7uOWNNXywNh/wbYN56qhMzGYT0xoLh47qFc9NJw3luKFpzWZ13XDiEIZkxvHV5mKKqx38cko/jhuSxpLtpdzxtm896kkjMvjDGSOorHfxyBdb/SNYaXF2nr9sEg63l7vf38Axg9OwmE3+aenXzBjEkIw4Xlm6m7PH9GJEdjyG4RtBe37JLq6Y1p/oCCvHDU3nv9dM5R9fbKOy3oXdamZoZhwjs+MZmZ3grzHxwmWTAN9JkCe/2s6bK/Zw6qhMLj6qL3GRVuIaTxK9d+1UymqdzZbG7FvY8tIp/XC6vVjNJsxmE7+ZPpCzH13sTxh/M30AM4alA/DHM0ewbm8lq/dUEmWz8PcLx3Lc0HT/NPsLJ+bw2rJc/nz2KE4amcHDn25hQFos503ojdVsYu4Ly/xFRO/52Wj/4za5bGp//r1kFwVVDZw5Jpszx2Szo6SW00dncc64Xsye3Jf/9/4Gvt9RxpicRI5rnKqeER/Jp/Om8+22Ev6zLNef/F9//CD6p8Vw17sb+M30Af4pvWeP7cWEfsn8v/c28PmmIi6b2p8bTxqM3epb8mI2m3jownGce2RvHv5sC8kxEVx3/GBG907goie/8+8QcsYRWUzsn8yrP+Ry7fGDOHnkT5W1b545lD7J0eSW1fvrspwyKpPpQ9L4YUcZT8yewB/fXcfK3RWkxtqZOSLT/9zzZg5lSGYc76zMo29KNJP6J3Ps4DSiIizsLPFNtS+rdfLrY33LCKYMTOWtq6ewYnc5Z4/t1Ww5XHSElWd/NYn9/fOS8Zz7+Lf8mO/bieb00Vk8dOFYbBYzY3MS/ceVJWaSX/HT/aJsFhxuD17Dt22ny2P4t8+0mPBveRkfaSUmwjcqXVrrxO01iLX7tvN0uL1YTCaSYmxYzb4Rb5fHwIRvVkONw41hQEps8wKmHsOgrPGxfM9nIjbS4j+BFRdpJcJixmQyYWnnbE2Hx4vL4yUmwsr+9/DiW3/vcHugcc14nN2KufGxDQxcHgObxdzsvgZQ7/Jt9evxGkRYzSRE2jDwFa+udXiod3kodsRiGL4TbTeeOITkmAisFjN/OmskN762irdX7gV8I+4vXDaJ4Vnx9EmO5u4PfJ+BfU9ynT46i7vPGUVitI3/rspjZHZ8SCfkHaGR8hBy/j+X8MPOMh67+EhOO0BxhIPRKJSIhDL1UYHVXe054LYP8Brww+0nhEQ9gO5UUNnAza+vZsn2UjLjIzl/Qg5XHjeAGfd+SV5lA7edOownvtru34EjMz6SXx87gLdW7mHd3ioirGZuPWUYl07pxxcbi7j65RU43V5sFhMuj8G4Polcf/xgBqbFkhIb0ay2wL+X7GTBRxu55Oi+3H7a8BaxfbmpiKtfWkGd09NsnXFVg4vdpXWMzI7v8BKrBpeH1bkVjO+b5J8S2rRla0yEhdd+M7nFbhKGYfD3z7ZQ0+Dm9tOGt/ol2TAM9pTX0yuxY5W3u9LSnWVc/txSjuidyLO/mtisgFhRVQPPfruT00dntXi9Hq9BSY3jgIUni6sdXPnicsbmJPKHM0a0esyaPRV89mMRV88Y6E+S92UYBj/mV9MrKeqAFdLX7KlgV2kdp4/Owmw2tVm/Yv8Ccgezb+HAt6+ecsDK5wfi8nhpcHmIi7Tx7bYSfv3Ccm45ZSi/bGXrzAOpqHNSVus85HXDuWV13PDaKkb3SuD3pw8/4FTnV37YzW1vrSXSZuarW2awIa+K/yzL5U9njeLNFXv4y0cbMZngnaun4vZ6KapycMqoTP9nrNbhpqCqgYFpsTS4PHyxsYijBqSQ3FhIraiqgQc/3cLJIzM4bmg61Q0uHG4vqa0UNPN4DX7YUcbyXWWce2RvshOjqHO6sZrNYVPXwzAMFny0kSe/2s6kfsm8eMVRLWJvqlFQVe/i6TkTOGZw8x2TVu4uZ9lOX82LE4Zn+Pu4cKLp6/sIl6T8Z499w8rdFTw5ezwzR3Zufz994RWRUKY+KrC6qz2H/P4jnG4v39x6PL0So7rseULN4i0l/PbVlZTWOptdP2NoGl9sKiYzPpIv/+84Fnz4I88v2YXJBK/MPZqjB6Tg9nj53/oChmfFN9vdYvGWEua+8NMU3A+uP6bVnQeauD1eLGbTAZPrPeV1FFY5mm11GGh5FfX8pfHkwKT+7dvpI1zUOz1E2syqD7Mfr9fgrvfWEx1h5dZTW1bS76l+2FFGdISlxYmYgsoGZj/9PacfkcUNJw4JUnThaXuxr8jdgXZaqHd6qHG4/TuB9DSavh6GmqYFHmyLkraYzWaio6Mxd2AfXxGR7qI+KrC6qz1tZhNOflpm1dM53V4e+3Irf/9sC4YBw7Pi+dvPj+Dd1Xt56usd/oJS1x4/iEibhcum9ee77WVcMDGHoxsrCVstZs44IrvFY08bnMpLc4/i6a93cPkx/dtMyJsepy29k6LpndT+7T87IzsxiocvGtelzxEsrW2ZJb4pzH8+e1Sww+h2BzrplJkQycJ507s5mp7hYDMdoiIs+hyikfKQ8rs31rC1uIbfnz68w9OEREREusqYP31CZb2LT+dNZ1B66G9B0xENLg8vfreLsTm+gltfbCriz+9t8K8Nv3BiDnedNdK/ddZZjy5mY0E1vZOi+Pym48JmKqmIiHQ/jZSHob/+4ohghyAiItKCrbEAqdvbs0bKHW4Pv/n3chZtLsZkgon9kvlhh68Cc2psBHecPpyfjftpN5QIq5lHZx3JPR9sYO4xA5SQi4hIQOivSQ9TVFTEww8/TFFRUbBDERFpQX1UYHVXezYViOpJ1dfzK+u54vllLNpcjM1iwjB860lNJrh8Wn++uPm4Zgl5k0HpsTz7q0lMGZQahKhFRKQn0kh5D+PxeCgvL8fj8Rz8YBGRbqY+KrC6qz2tjWvWm7boCXfvrc7jd2+uoc7pwW4188ycidQ63Ly3Jp9fTu7LxH49q5iZiIiENiXlIiIi0ib/9PUwK/Tm9nh5evEO1uyp5PbTh9MrMYpVuRXc9J/VOD1exvdN4u5zRjE8y7fOr7M7n4iIiBwKJeUiIiLSpqYK4K4wmr5eUuPgiueXsSq3AoDVeyq4/oTBPLRwM06Pl5kjMvjnJeNDZs9sERE5fCkpFxERkTZZzeFX6O2hTzezKreCuEgrCVE29pTXc8sbawAYkBrD/eePUUIuIiIhQUl5D5OcnMzFF19McrLWw4lI6FEfFVjd1Z62xpHycCn0VuNw8/aKvQD885LxDE6P5aqXVlDT4Oa4oWlcNq0/cZG2IEcpIiLi0yXV13fu3Mnll19O//79iYqKYuDAgdx55504nc5mx+3evZszzzyTmJgYUlNTuf7661scs3btWqZPn05UVBS9evXiz3/+M4fB1uqdZrfbGTRoEHa7PdihiIi0oD4qsLqrPa2Na8pdYbKm/N1VedQ6PQxIjWHKwBTS4yN586opfHzjsdx22nAy4iODHaKIiIhfl4yUb9y4Ea/XyxNPPMGgQYNYt24dc+fOpba2lvvuuw/wVYw9/fTTSUtLY/HixZSWlnLppZdiGAaPPPII4Nts/aSTTmLGjBksXbqUzZs3M2fOHGJiYrjpppu6IvSwV11dzfLlyxk/fjxxcXHBDkdEpBn1UYHVXe350/T10D8pbhgGL32/C4BZR/XBZNIUdRERCW1dkpSfcsopnHLKKf6fBwwYwKZNm3j88cf9Sfknn3zChg0byM3NJTs7G4D777+fOXPmcM899xAfH89LL71EQ0MDzz33HHa7nVGjRrF582YeeOAB5s2bd8A/tA6HA4fD4f+5qqqqK15mSKqpqWHRokUMHTpUX3hFJOSojwqs7mrPcNoS7Y3le1ifV0WE1cwvxrfcZ1xERCTUdMn09dZUVlY2W/O2ZMkSRo0a5U/IAU4++WQcDgfLly/3HzN9+vRm0/JOPvlk8vLy2Llz5wGfa8GCBSQkJPgvOTk5gX9BIiIihwlrmGyJtm5vJXe8sw6Aa2cMIjE6IsgRiYiIHFy3JOXbtm3jkUce4corr/RfV1BQQEZGRrPjkpKSiIiIoKCg4IDHNP3cdExrbrvtNiorK/2X3NzcQL0UERGRw044FHorr3Vy5YvLcbq9HD8snWtnDAp2SCIiIu3SoaT8rrvuwmQytXlZtmxZs/vk5eVxyimncN5553HFFVc0u6216eeGYTS7fv9jmoq8tbVGzG63Ex8f3+wiIiIindO0ptwVoluiebwGv31tFXvK6+mbEs2D54/VdmciIhI2OrSm/Nprr+XCCy9s85h+/fr5/5+Xl8eMGTOYPHkyTz75ZLPjMjMz+f7775tdV15ejsvl8o+GZ2ZmthgRLyoqAmgxgi4+kZGRjB49mshIVZYVkdCjPiqwuqs9Q3mk3OH2cNtba/lqczGRNjP/vGQ8CdHa7kxERMJHh5Ly1NRUUlNT23Xs3r17mTFjBuPHj+fZZ5/FbG4+KD958mTuuece8vPzycrKAnzF3+x2O+PHj/cfc/vtt+N0OomIiPAfk52d3Sz5l58kJSVx7rnnBjsMEZFWqY8KrO5qz1DdEq3e6eGSp79n+a5yzCa49xdjGJ6l2XEiIhJeumRNeV5eHscddxw5OTncd999FBcXU1BQ0GzUe+bMmYwYMYLZs2ezcuVKPvvsM26++Wbmzp3rn24+a9Ys7HY7c+bMYd26dbz99tvMnz+/zcrrhzu3201ZWRlutzvYoYiItKA+KrC6qz1Dtfr6S9/vYvmucuIirTz3q0mcOSb74HcSEREJMV2SlH/yySds3bqVzz//nN69e5OVleW/NLFYLHzwwQdERkYydepUzj//fM455xz/lmkACQkJLFy4kD179jBhwgSuvvpq5s2bx7x587oi7B6huLiYRx55hOLi4mCHIiLSgvqowOqu9mxaU+4JoaTc5fHy9OIdANxx2nCOHZIW5IhEREQ6p0v2KZ8zZw5z5sw56HF9+vTh/fffb/OY0aNH89VXXwUoMhEREemoUJy+/t7qPPIrG0iNtXPOuF7BDkdERKTTum2fchEREQlPoVborcHl4bEvtwHwq6n9iLRZghyRiIhI5ykpFxERkTaF2pZof35/A1uLakiKtnHJUX2DHY6IiMghUVIuIiIibbKG0Ej5u6vzePn73ZhM8PcLx2n7MxERCXtdsqZcgicrK4s777wz2GGIiLRKfVRgdVd72hrXlLuDvKbc5fHy1482AnDdjEEq7iYiIj2CRspFRESkTU1bormCXH397RV72VtRT1qcnatnDApqLCIiIoGipLyHKSkp4emnn6akpCTYoYiItKA+KrC6qz2tITBS7vZ4eezLrQD8+pgBKu4mIiI9hpLyHsblcrFnzx5cLlewQxERaUF9VGB1V3s2FXpzB2mkfOnOMs589Bt2ltaRFG3j4qP7BCUOERGRrqA15SIiItKmYBZ6K6lxMPvp72lweYmLtLLg3NFER+jri4iI9Bz6qyYiIiJt8hd6C8KWaK8tzaXB5WV4VjwvX3EUSTER3R6DiIhIV9L0dREREWmTv9BbN4+Ue7wGL3+/G4DLpvZTQi4iIj2SkvIeJjExkZ/97GckJiYGOxQRkRbURwVWd7VnsAq9LdpcxN6KehKibJw5Jrtbn1tERKS7aPp6DxMVFcURRxwR7DBERFqlPiqwuqs9f5q+3r0j5f9ZugeAX4zvrWrrIiLSY2mkvIepra3lhx9+oLa2NtihiIi0oD4qsLqrPS3+6evdN1Lu9Rp8t6MUgNOPyOq25xUREeluSsp7mKqqKj766COqqqqCHYqISAvqowKru9rT1rglmqcbR8q3FddQUeci0mZmVHZCtz2viIhId1NSLiIiIm1q2hKtOwu9Ld1ZDsDYnEQirPq6IiIiPZf+yomIiEibrEHYEm3ZzjIAJvVL7rbnFBERCQYl5SIiItImW+Oacnd3jpTv8iXlE5SUi4hID6ekvIeJiIhg4MCBRERoL1cRCT3qowKru9qzaaS8uwq9FVQ2kFtWj9kE4/okdstzioiIBIu2ROthUlJSuOSSS4IdhohIq9RHBVZ3tWd3b4n2Q+PU9eFZ8cRF2rrlOUVERIJFI+U9jNfrxeFw4O3GdX8iIu2lPiqwuqs9rd08fX3hhkIApgxM6ZbnExERCSYl5T1MYWEhf/nLXygsLAx2KCIiLaiPCqzuak+Lufumrze4PHz+o+/1nDpa+5OLiEjPp6RcRERE2mRr3BKtO/YpX7S5mFqnh+yESMblJHb584mIiASbknIRERFpU3cWevtwbT7gGyU3mUxd/nwiIiLBpqRcRERE2uTfEq2LR8obXB4++7EIgNNGZ3bpc4mIiIQKJeUiIiLSpqaR8q4u9Pb1lhJqHG4y4yMZl5PUpc8lIiISKrQlWg+Tnp7OzTffTGRkZLBDERFpQX1UYHVXe/qnr3dxlfefpq5nYjZr6rqIiBwelJT3MBaLhZiYmGCHISLSKvVRgdVd7dk0fd0wfMXeLF2QMDvcHj5t3ArtdFVdFxGRw4imr/cwZWVlvPLKK5SVlQU7FBGRFtRHBVZ3tafF8lMS3lXF3hZvKaHa4SYj3s6RfTR1XUREDh9KynsYh8PB5s2bcTgcwQ5FRKQF9VGB1V3t2TRSDl23LdoHTVPXR2Vp6rqIiBxWlJSLiIhIm6z7jJR3RbG34moHH6zxJeVnjtHUdRERObwoKRcREZE2WfcZue6KYm/PfbsDh9vL2JxETV0XEZHDjpJyERERaZPJZPIn5oEeKa9ucPHCkl0AXHXcQEwmTV0XEZHDi5LyHiYuLo6ZM2cSFxcX7FBERFpQHxVY3dme/m3RAlzo7a0Ve6lucDMoPZaThmcE9LFFRETCgbZE62FiY2OZPHlysMMQEWmV+qjA6s72tJnNNODFHeBCbyt3lwNwzthsFXgTEZHDkkbKe5j6+nrWr19PfX19sEMREWlBfVRgdWd7Nm2L5g7wSPnGgmoARmTHB/RxRUREwoWS8h6moqKCN954g4qKimCHIiLSgvqowOrO9rQ2bosWyJFyp9vL1qIaAIZlKikXEZHDk5JyEREROSibJfCF3rYV1+D2GsRHWslKiAzY44qIiIQTJeUiIiJyUP5CbwHcEm1jQRUAw7LiVXVdREQOW0rKRURE5KBsTdPXAzhSvjHft558eKaq8YuIyOFLSXkPY7VayczMxGpVYX0RCT3qowKrO9vT2gWF3n5sLPI2LEvryUVE5PDV5Um5w+Fg7NixmEwmVq1a1ey23bt3c+aZZxITE0NqairXX389Tqez2TFr165l+vTpREVF0atXL/785z9jGIHdjqUnSUtL4ze/+Q1paWnBDkVEpAX1UYHVne3ZVOjNFcBCbxvzG6eva6RcREQOY11+av2WW24hOzub1atXN7ve4/Fw+umnk5aWxuLFiyktLeXSSy/FMAweeeQRAKqqqjjppJOYMWMGS5cuZfPmzcyZM4eYmBhuuummrg5dREREGtkCPFJeWuOgqNqByQRDMpSUi4jI4atLR8o/+ugjPvnkE+67774Wt33yySds2LCBF198kXHjxnHiiSdy//3389RTT1FV5Ttz/tJLL9HQ0MBzzz3HqFGjOPfcc7n99tt54IEHNFp+APn5+dx9993k5+cHOxQRkRbURwVWd7anxdxY6C1Aa8rX5/n+1vdLiSHGruUMIiJy+OqypLywsJC5c+fy73//m+jo6Ba3L1myhFGjRpGdne2/7uSTT8bhcLB8+XL/MdOnT8dutzc7Ji8vj507dx7wuR0OB1VVVc0uhxOPxxPsEEREDkh9VGB1V3taLb6vDJ4ATV9fu7cSgNG9EgLyeCIiIuGqS5JywzCYM2cOV155JRMmTGj1mIKCAjIyMppdl5SUREREBAUFBQc8punnpmNas2DBAhISEvyXnJycQ3k5IiIihz3/9PUAbYm2OrcCgCN6KykXEZHDW4eS8rvuuguTydTmZdmyZTzyyCNUVVVx2223tfl4re1JahhGs+v3P6Zp2npb+5nedtttVFZW+i+5ubkdeZkiIiKyH3+htwBNX1+zxzdSfkTvxIA8noiISLjq0CKua6+9lgsvvLDNY/r168fdd9/Nd99912zaOcCECRO4+OKLef7558nMzOT7779vdnt5eTkul8s/Gp6ZmdliRLyoqAigxQj6vux2e4vnFhERkc4LZKG3oqoGCqoaMJtgZLa2QxMRkcNbh5Ly1NRUUlNTD3rcww8/zN133+3/OS8vj5NPPpnXXnuNo446CoDJkydzzz33kJ+fT1ZWFuAr/ma32xk/frz/mNtvvx2n00lERIT/mOzsbPr169eR0A8bqampXHXVVSQlJQU7FBGRFtRHBVZ3tmcgt0RrGiUflB6rIm8iInLY65I15X369GHUqFH+y5AhQwAYOHAgvXv3BmDmzJmMGDGC2bNns3LlSj777DNuvvlm5s6dS3y876z5rFmzsNvtzJkzh3Xr1vH2228zf/585s2b1+b09cOZzWYjPT0dm80W7FBERFpQHxVY3dme1gCOlK/ZUwHA6F6Jh/xYIiIi4a5Lt0Rri8Vi4YMPPiAyMpKpU6dy/vnnc8455zTbPi0hIYGFCxeyZ88eJkyYwNVXX828efOYN29esMIOeRUVFbz77rtUVFQEOxQRkRbURwVWd7an1dyUlAdgpLyx8vqYHBV5ExER6ZY5Y/369Wt1X/E+ffrw/vvvt3nf0aNH89VXX3VVaD1OfX09K1euZOLEiSQmJgY7HBGRZtRHBVZ3tmfTlmjuQ5y+XtXgYtnOckBF3kRERCCII+UiIiISPgJV6O21H3KpcbgZmBbDEdqjXEREREm5iIiIHFwgCr25PF6e/WYHAHOPGYDZrPowIiIiSspFRETkoAJR6O2jdQXkVTaQGhvBOeN6BSo0ERGRsKakvIeJiYlh6tSpxMTEBDsUEZEW1EcFVne2py0Aa8oXbigE4MKJfYi0WQISl4iISLjT5qA9THx8PCeeeGKwwxARaZX6qMDqzvaMaEzKne7Oj5Svz/NVXZ/QT/vUi4iINNFIeQ/jcDjYuXMnDocj2KGIiLSgPiqwurM9oyJ8I9v1Tk+n7l/rcLOjpBaAkdkq8CYiItJESXkPU1ZWxvPPP09ZWVmwQxERaUF9VGB1Z3s2TTevc3UuKf8xvwrDgPQ4O2lx9kCGJiIiEtaUlIuIiMhBRR/iSPn6vCoARmbHBywmERGRnkBJuYiIiBxUVONIeUMnR8qb1pNr6rqIiEhzSspFRETkoJrWlNc53Z26v0bKRUREWqekvIcxm83ExcVhNuutFZHQoz4qsLqzPZtGyutdHa++7nR72VxYDWikXEREZH/aEq2HycjIYN68ecEOQ0SkVeqjAqs72/OnNeUdHynfUlSNy2MQF2klJzkq0KGJiIiENQ1ViIiIyEFF+kfKO76mfFuxbyu0oRlxmEymgMYlIiIS7pSU9zCFhYU88MADFBYWBjsUEZEW1EcFVne2Z7R/TXnHk/LcsjoA+qREBzQmERGRnkBJeQ/j9Xqprq7G6+34mj8Rka6mPiqwurM9mwq9dab6elNSnpOkpFxERGR/SspFRETkoKJtvjI0Lo+By9OxkwC55Y0j5clKykVERPanpFxEREQOKjLip68MHV1XvrtppFxJuYiISAtKykVEROSgIixmzI012ho6sK7c7fGSV9EAaKRcRESkNUrKe5jk5GQuvfRSkpOTgx2KiEgL6qMCqzvb02QyER3hm8LekWJv+ZUNeLwGEVYz6XH2rgpPREQkbGmf8h7GbrfTr1+/YIchItIq9VGB1d3tGWmzUONwd2j6elORt95JUZjN2g5NRERkfxop72Gqqqr49NNPqaqqCnYoIiItqI8KrO5uz85si7ZblddFRETapKS8h6mtreWbb76htrY22KGIiLSgPiqwurs9o2wd3xZNlddFRETapqRcRERE2iWqUyPl9QDkJEd1SUwiIiLhTkm5iIiItEvTSHln1pRrpFxERKR1SspFRESkXZrWlNc73e2+z0+F3pSUi4iItEZJeQ8TFRXFuHHjiIrSNEERCT3qowKru9sz0p+Ut2+kvLzWSWmtE4B+qTFdFpeIiEg405ZoPUxiYiJnnXVWsMMQEWmV+qjA6u72/Gn6urddx28sqAZ868lj7frKISIi0hqNlPcwLpeLoqIiXC5XsEMREWlBfVRgdXd7dnT6+sYC31ZtwzLjuywmERGRcKekvIcpKSnh8ccfp6SkJNihiIi0oD4qsLq7PTta6G1T40j5sMy4LotJREQk3CkpFxERkXbp6JZoP/qTco2Ui4iIHIiSchEREWmXjoyUe70Gm5uS8iyNlIuIiByIknIRERFpl+gOVF/fXVZHvcuD3WqmX4oqr4uIiByIkvIeyGKxBDsEEZEDUh8VWN3ZnpEdGClvKvI2JCMOi9nUpXGJiIiEM+1P0sNkZWXx+9//PthhiIi0Sn1UYHV3e0ZH+L42tGdN+Y/5KvImIiLSHhopFxERkXaJivB9bWhox0j5hnzfSPlQJeUiIiJtUlLewxQXF/PEE09QXFwc7FBERFpQHxVY3d2eUTbfSPnB1pQbhsHK3RUAjM1J7OKoREREwpuS8h7G7XZTUFCA2+0OdigiIi2ojwqs7m7P9m6Jtqe8npIaBzaLiVG9ErojNBERkbClpFxERETapWlLtINNX1+xuxyAEdkJ/uJwIiIi0jol5SIiItIu0e0cKW+auj5OU9dFREQOSkm5iIiItMu+W6IZhnHA45pGyo/sm9QtcYmIiIQzJeU9TGJiIr/4xS9ITEwMdigiIi2ojwqs7m7PppFygAaXt9VjGlweNuT5Kq8f2SexO8ISEREJa12alH/wwQccddRRREVFkZqayrnnntvs9t27d3PmmWcSExNDamoq119/PU6ns9kxa9euZfr06URFRdGrVy/+/Oc/t3l2/nAXFRXFyJEjiYqKCnYoIiItqI8KrO5uz33Xh9cfYF352r2VuL0G6XF2eiXqfRYRETkYa1c98JtvvsncuXOZP38+xx9/PIZhsHbtWv/tHo+H008/nbS0NBYvXkxpaSmXXnophmHwyCOPAFBVVcVJJ53EjBkzWLp0KZs3b2bOnDnExMRw0003dVXoYa2mpoa1a9cyevRoYmNjgx2OiEgz6qMCq7vb02I2Ybeacbi91DndJMdEtDhmY0E1AKN7JWAymbo8JhERkXDXJUm52+3mt7/9Lffeey+XX365//qhQ4f6///JJ5+wYcMGcnNzyc7OBuD+++9nzpw53HPPPcTHx/PSSy/R0NDAc889h91uZ9SoUWzevJkHHniAefPm6Y99K6qrq/nkk0/o16+fvvCKSMhRHxVYwWjPqAgLDrf3gBXY95TXAZCTHN0t8YiIiIS7Lpm+vmLFCvbu3YvZbGbcuHFkZWVx6qmnsn79ev8xS5YsYdSoUf6EHODkk0/G4XCwfPly/zHTp0/Hbrc3OyYvL4+dO3ce8PkdDgdVVVXNLiIiInLoopuKvTlbX1O+p7wegN5JmrouIiLSHl2SlG/fvh2Au+66i9///ve8//77JCUlMX36dMrKygAoKCggIyOj2f2SkpKIiIigoKDggMc0/dx0TGsWLFhAQkKC/5KTkxOw1yYiInI4i2ws9lbjcLd6+09JuUbKRURE2qNDSfldd92FyWRq87Js2TK8Xt/Z8zvuuIOf//znjB8/nmeffRaTycTrr7/uf7zWpp8bhtHs+v2PaSry1tbU9dtuu43Kykr/JTc3tyMvU0RERA4gpXEdeWmto9Xb9zZOX9dIuYiISPt0aE35tddey4UXXtjmMf369aO62lfkZcSIEf7r7XY7AwYMYPfu3QBkZmby/fffN7tveXk5LpfLPxqemZnZYkS8qKgIoMUI+r7sdnuzKe+HE7vdzpAhQw7b1y8ioU19VGAFoz3T4yIBKKpqmZTXOz2U1Ph2UcnRSLmIiEi7dCgpT01NJTU19aDHjR8/HrvdzqZNm5g2bRoALpeLnTt30rdvXwAmT57MPffcQ35+PllZWYCv+Jvdbmf8+PH+Y26//XacTicRERH+Y7Kzs+nXr19HQj9sJCcnc9FFFwU7DBGRVqmPCqxgtGdanO8EQHFNy6R8b4VvlDzObiU+qss2eBEREelRumRNeXx8PFdeeSV33nknn3zyCZs2beKqq64C4LzzzgNg5syZjBgxgtmzZ7Ny5Uo+++wzbr75ZubOnUt8fDwAs2bNwm63M2fOHNatW8fbb7/N/PnzVXm9DR6Ph9raWjye1qviiogEk/qowApGe6bH+5Ly1kbKcxvXk/dKitLfaRERkXbqstPY9957L1arldmzZ1NfX89RRx3F559/TlJSEgAWi4UPPviAq6++mqlTpxIVFcWsWbO47777/I+RkJDAwoULueaaa5gwYQJJSUnMmzePefPmdVXYYa+oqIgnn3ySX//61/4ZCCIioUJ9VGAFoz3909erG1rcpiJvIiLhy+v14nQ6gx1GWLHZbFgslkN+nC5Lym02G/fdd1+zJHt/ffr04f3332/zcUaPHs1XX30V6PBERESkE9Kbpq9Xtxwp36MibyIiYcnpdLJjxw5/wW5pv8TERDIzMw9phpgWfImIiEi7Na0pL2o1Kdce5SIi4cYwDPLz87FYLOTk5GA2d8kK5x7HMAzq6ur8hcgPZcaaknIRERFpt6aR8rJaJy6PF5vlpy9vmr4uIhJ+3G43dXV1ZGdnEx2t/rsjoqJ8J6GLiopIT0/v9FR2nQYRERGRdkuKjsBq9k3RK9mvArv2KBcRCT9NxUKbdruSjmk6keFyuTr9GBop72EyMjK49dZbsdlswQ5FRKQF9VGBFYz2NJtNpMXZya9soKjKQVaCLwGvbnD59yhXUi4iEn60a0bnBKLdNFLew5jNZux2u9aCiEhIUh8VWMFqz/RW1pV/s7UUgL4p0SRGa7RFRESkvfStqIcpLS3lxRdfpLS0NNihiIi0oD4qsILVnj8Ve/tpW7QvN/kK3cwYmt6tsYiIiIQ7JeU9jNPpZNu2bdpjUERCkvqowApWe6Y17VVe5RspNwyDLxqT8uOHKSkXERHpCCXlIiIi0iH+vcobC71tyK+isMpBlM3CUQOSgxmaiIhIm373u99x6qmnBjuMZpSUi4iISIekxzdOX28cKf9io2+UfOqgVOzWzm0HIyIi0hnHHnssl112WbuPX7VqFWPGjOnCiDpOSbmIiIh0SHrj9PXixjXln/6oqesiItL9DMNg1apVHHnkke2+z+rVqxk7dmzXBdUJSsp7mPj4eE499VTi4+ODHYqISAvqowIrWO3ZNH29sMpBXkU9q3IrMJngxBFKykVEwp1hGNQ53UG5GIbRoVi3bNlCdXU148eP91+3bt06TjvtNOLj48nMzOSmm27y114pKCigsLAQr9fLscceS3R0NBMmTGD16tX++3u9XubPn8/gwYOJjIwkIyOD2bNnB6ZxD0D7lPcwMTExTJo0KdhhiIi0Sn1UYAWrPfumRGOzmCioauAvH20EYGLfZP8IuoiIhK96l4cRf/w4KM+94c8nEx3R/hR1+fLlWCwW/3T0lStXMn36dK6//noefvhh9u7dy0UXXURiYiJ/+MMfWLlyJQAPPfQQDzzwAMnJyVxzzTVceOGF/PjjjwAsWLCAV155hSeffJIBAwawZ88eNm7cGPgXuw+NlPcw9fX1rFmzhvr6+mCHIiLSgvqowApWeyZGR3D22F4AvLs6D4BTRmV2awwiIiIrVqxg2LBhREdHAzB37lxmz57N3XffzaBBg5g+fTpz587l/fffB3zrySMjI3nnnXeYNm0aI0aM4J577mHjxo0UFBQA8PHHH3P66aczY8YM+vbty9SpU7n88su79HVopLyHqaio4O233+bXv/41UVFRwQ5HRKQZ9VGBFcz2vHL6AN5Yvsf/s5JyEZGeIcpmYcOfTw7ac3fE8uXL/evJN27cyPLly3nxxRebHRMREYHD4StMumrVKs4//3yys7P9t8fExAC+aesAZ511Fr/73e9YuXIl5557Lueffz7JyV27s4hGykVERKTDBqXHcdKIDADG5iSSnaiTLCIiPYHJZCI6whqUi8lk6lCsK1eu9Cfl69evx2azMWTIkGbHbNiwgdGjRwO+pHz/Im8rVqwgMzOTrKwsAG6++WZ+/PFHTjzxRB555BEGDRrEjh07Otma7aOkXERERDrl9tOGM31IGrecPDTYoYiIyGFm+/btVFRU+Iu8xcXF4fF4cLlc/mN2797NG2+8waxZs6irq2Pr1q14PB7/7V6vl0ceeYQ5c+Y0OyEwZMgQbrnlFlasWEFdXR0bNmzo0tei6esiIiLSKf1TY3j+MhXuExGR7rd8+XJMJpN/5Puoo44iOTmZW2+9leuuu46dO3dy3XXXcd5553HqqaeyZMkSLBYLzz77LMceeyyJiYncfvvt1NbWcvvttwPwt7/9jYyMDCZOnIjFYuFf//oXSUlJTJkypUtfi0bKexibzUbv3r2x2WzBDkVEpAX1UYGl9hQRkcPVihUrGDx4MHFxcQAkJCTw3//+l8WLFzNq1Ch/0bfnn38e8O1PPmTIEO666y5+/vOfM27cOGw2G99++63/MRoaGpg/fz7jx49n2rRpbNmyhc8//5ykpKQufS0mo6ObwYWhqqoqEhISqKys1N64IiIiIiIijRoaGtixYwf9+/cnMlJbW3ZUW+3X3jxUI+UiIiIiIiIiQaKkvIfJz8/nT3/6E/n5+cEORUSkBfVRgaX2FBERCX9KykVERERERESCREm5iIiIiIiISJAoKRcRERERETnMHQb1v7uE1+s95MfQPuUiIiIiIiKHKZvNhslkori4mLS0NEwmU7BDCguGYeB0OikuLsZsNhMREdHpx9KWaD2M2+2mqqqK+Ph4rFadcxGR0KI+KrDUniIiEgg1NTXs2bNHo+WdEB0dTVZWVqtJeXvzUP0F72GsVivJycnBDkNEpFXqowJL7SkiIoEQGxvL4MGDcblcwQ4lrFgsFqxW6yHPLlBS3sOUl5fzxRdfMGPGDJKSkoIdjohIM+qjAkvtKSIigWKxWLBYLMEO47CkQm89TENDA2vXrqWhoSHYoYiItKA+KrDUniIiIuFPSbmIiIiIiIhIkCgpFxEREREREQmSw2JNeVMVwaqqqiBH0vWqq6tpaGigurqamJiYYIcjItKM+qjAUnuKiIiErqb882BV7Q+LLdH27NlDTk5OsMMQERERERGRw0xubi69e/c+4O2HRVLu9XrJy8sjLi7ukMvVd6WqqipycnLIzc3t8fuphzO9T+FB71N40PsUHvQ+hQe9T+FB71N40PsU+sLhPTIMg+rqarKzszGbD7xy/LCYvm42m9s8MxFq4uPjQ/YXS36i9yk86H0KD3qfwoPep/Cg9yk86H0KD3qfQl+ov0cJCQkHPUaF3kRERERERESCREm5iIiIiIiISJAoKQ8hdrudO++8E7vdHuxQpA16n8KD3qfwoPcpPOh9Cg96n8KD3qfwoPcp9PWk9+iwKPQmIiIiIiIiEoo0Ui4iIiIiIiISJErKRURERERERIJESbmIiIiIiIhIkCgpFxEREREREQkSJeUiIiIiIiIiQaKkvJs99thj9O/fn8jISMaPH8/XX3/d5vGLFi1i/PjxREZGMmDAAP75z392U6SHpwULFjBx4kTi4uJIT0/nnHPOYdOmTW3e58svv8RkMrW4bNy4sZuiPvzcddddLdo7MzOzzfvos9T9+vXr1+pn45prrmn1eH2WusdXX33FmWeeSXZ2NiaTiXfeeafZ7YZhcNddd5GdnU1UVBTHHXcc69evP+jjvvnmm4wYMQK73c6IESN4++23u+gVHB7aep9cLhe/+93vGD16NDExMWRnZ/PLX/6SvLy8Nh/zueeea/Uz1tDQ0MWvpuc62Odpzpw5Ldr76KOPPujj6vMUWAd7n1r7XJhMJu69994DPqY+T4HVnu/gPfnvk5LybvTaa69xww03cMcdd7By5UqOOeYYTj31VHbv3t3q8Tt27OC0007jmGOOYeXKldx+++1cf/31vPnmm90c+eFj0aJFXHPNNXz33XcsXLgQt9vNzJkzqa2tPeh9N23aRH5+vv8yePDgboj48DVy5Mhm7b127doDHqvPUnAsXbq02Xu0cOFCAM4777w276fPUteqra1lzJgxPProo63e/re//Y0HHniARx99lKVLl5KZmclJJ51EdXX1AR9zyZIlXHDBBcyePZvVq1cze/Zszj//fL7//vuuehk9XlvvU11dHStWrOAPf/gDK1as4K233mLz5s2cddZZB33c+Pj4Zp+v/Px8IiMju+IlHBYO9nkCOOWUU5q194cfftjmY+rzFHgHe5/2/0w888wzmEwmfv7zn7f5uPo8BU57voP36L9PhnSbSZMmGVdeeWWz64YNG2bceuutrR5/yy23GMOGDWt23W9+8xvj6KOP7rIYpbmioiIDMBYtWnTAY7744gsDMMrLy7svsMPcnXfeaYwZM6bdx+uzFBp++9vfGgMHDjS8Xm+rt+uz1P0A4+233/b/7PV6jczMTOMvf/mL/7qGhgYjISHB+Oc//3nAxzn//PONU045pdl1J598snHhhRcGPObD0f7vU2t++OEHAzB27dp1wGOeffZZIyEhIbDBiV9r79Oll15qnH322R16HH2eulZ7Pk9nn322cfzxx7d5jD5PXWv/7+A9/e+TRsq7idPpZPny5cycObPZ9TNnzuTbb79t9T5LlixpcfzJJ5/MsmXLcLlcXRar/KSyshKA5OTkgx47btw4srKyOOGEE/jiiy+6OrTD3pYtW8jOzqZ///5ceOGFbN++/YDH6rMUfE6nkxdffJHLLrsMk8nU5rH6LAXPjh07KCgoaPZ5sdvtTJ8+/YB/q+DAn7G27iOBVVlZiclkIjExsc3jampq6Nu3L7179+aMM85g5cqV3RPgYezLL78kPT2dIUOGMHfuXIqKito8Xp+n4CosLOSDDz7g8ssvP+ix+jx1nf2/g/f0v09KyrtJSUkJHo+HjIyMZtdnZGRQUFDQ6n0KCgpaPd7tdlNSUtJlsYqPYRjMmzePadOmMWrUqAMel5WVxZNPPsmbb77JW2+9xdChQznhhBP46quvujHaw8tRRx3FCy+8wMcff8xTTz1FQUEBU6ZMobS0tNXj9VkKvnfeeYeKigrmzJlzwGP0WQq+pr9HHflb1XS/jt5HAqehoYFbb72VWbNmER8ff8Djhg0bxnPPPce7777LK6+8QmRkJFOnTmXLli3dGO3h5dRTT+Wll17i888/5/7772fp0qUcf/zxOByOA95Hn6fgev7554mLi+Pcc89t8zh9nrpOa9/Be/rfJ2uwAzjc7D9CZBhGm6NGrR3f2vUSeNdeey1r1qxh8eLFbR43dOhQhg4d6v958uTJ5Obmct9993Hsscd2dZiHpVNPPdX//9GjRzN58mQGDhzI888/z7x581q9jz5LwfX0009z6qmnkp2dfcBj9FkKHR39W9XZ+8ihc7lcXHjhhXi9Xh577LE2jz366KObFRmbOnUqRx55JI888ggPP/xwV4d6WLrgggv8/x81ahQTJkygb9++fPDBB20mffo8Bc8zzzzDxRdffNC14fo8dZ22voP31L9PGinvJqmpqVgslhZnZYqKilqcvWmSmZnZ6vFWq5WUlJQui1Xguuuu49133+WLL76gd+/eHb7/0UcfrTOl3SgmJobRo0cfsM31WQquXbt28emnn3LFFVd0+L76LHWvpl0MOvK3qul+Hb2PHDqXy8X555/Pjh07WLhwYZuj5K0xm81MnDhRn7FulJWVRd++fdtsc32egufrr79m06ZNnfp7pc9TYBzoO3hP//ukpLybREREMH78eH/14SYLFy5kypQprd5n8uTJLY7/5JNPmDBhAjabrctiPZwZhsG1117LW2+9xeeff07//v079TgrV64kKysrwNHJgTgcDn788ccDtrk+S8H17LPPkp6ezumnn97h++qz1L369+9PZmZms8+L0+lk0aJFB/xbBQf+jLV1Hzk0TQn5li1b+PTTTzt1gtEwDFatWqXPWDcqLS0lNze3zTbX5yl4nn76acaPH8+YMWM6fF99ng7Nwb6D9/i/T8GoLne4evXVVw2bzWY8/fTTxoYNG4wbbrjBiImJMXbu3GkYhmHceuutxuzZs/3Hb9++3YiOjjZuvPFGY8OGDcbTTz9t2Gw244033gjWS+jxrrrqKiMhIcH48ssvjfz8fP+lrq7Of8z+79ODDz5ovP3228bmzZuNdevWGbfeeqsBGG+++WYwXsJh4aabbjK+/PJLY/v27cZ3331nnHHGGUZcXJw+SyHI4/EYffr0MX73u9+1uE2fpeCorq42Vq5caaxcudIAjAce+P/t3b9qIlEUx3GXOBNjIykE/6GBFEmbdIGg3ZQWNmIRBt8gkAdIyrHZTkKqPEAgnWAq00SSyoAEsRAhT2AiCJLit8VuBnSNCezqXdzvB6aay2Euh3PvHMG539Vqtfyvdnuep0gkouvra7XbbZVKJcXjcb2+vvoxjo6OJk4Oubu709ramjzPU6fTked5CgaDur+/X/r8VsW8PL29vSmfzyuVSunx8XFivxqPx36M6TydnZ2pXq+r1+up1WqpXC4rGAzq4eHBxBRXwrw8DYdDnZycqNlsqt/vq9Fo6ODgQMlkknpass/WPUl6eXlROBzW+fn5zBjU02J95R18lfcnmvIlq1arymQysm1b+/v7E0dtua6rXC43Mf729lZ7e3uybVtbW1sfLhT4OwKBwMzr8vLSHzOdp0qlou3tbYVCIW1uburw8FC1Wm35D/8fKRaLisfjsixLiURChUJBT09P/n1q6d9xc3OjQCCgbrf72z1qyYz3o+emL9d1Jf08dub09FSxWEzr6+vKZrNqt9sTMXK5nD/+3dXVlXZ2dmRZlnZ3d/kx5Q/Ny1O/3/9wv2o0Gn6M6TwdHx8rnU7Ltm1Fo1E5jqNms7n8ya2QeXkajUZyHEfRaFSWZSmdTst1XT0/P0/EoJ4W77N1T5IuLi60sbGhwWAwMwb1tFhfeQdf5f3pm/Tra0cAAAAAAGCp+E85AAAAAACG0JQDAAAAAGAITTkAAAAAAIbQlAMAAAAAYAhNOQAAAAAAhtCUAwAAAABgCE05AAAAAACG0JQDAAAAAGAITTkAAAAAAIbQlAMAAAAAYAhNOQAAAAAAhvwAqX0n82UicKsAAAAASUVORK5CYII=\n", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ - "Estimated Rs 11.5 MOhm\n", - "Estimated Rm 492.6 MOhm\n", - "Estimated Cm 32.62 pF\n" + "Estimated Rs 11.4 MOhm\n", + "Estimated Rm 537.2 MOhm\n", + "Estimated Cm 31.74 pF\n" ] } ], "source": [ "iup = I[:i80] - I1_est\n", "iup = iup[iup > 0]\n", - "Qm_est = np.sum(iup) * dt + dI_est * tau_est\n", + "Qm_est = np.trapz(iup, dx=dt) + dI_est * tau_est\n", "\n", "Rs_est = tau_est * dV / Qm_est\n", "Rm_est = dV / dI_est - Rs_est\n", @@ -1253,6 +1237,47 @@ "So with noise, the method becomes trickier.\n", "One strategy to remedy this is to measure the same pulse N times, and reduce the noise by averaging." ] + }, + { + "cell_type": "markdown", + "id": "4d029347", + "metadata": {}, + "source": [ + "## Wrapping it up in a function\n", + "\n", + "Finally, we can wrap this method up in a re-usable method.\n", + "This version has a few extra features:\n", + "\n", + "1. It uses both steps (V1 and V2) to estimate two taus and two Q1s, from which it then takes an average\n", + "2. It supports irregularly sampled data." + ] + }, + { + "cell_type": "code", + "execution_count": 32, + "id": "e2de955e", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated Rs 11.7 MOhm\n", + "Estimated Rm 500.3 MOhm\n", + "Estimated Cm 31.92 pF\n" + ] + } + ], + "source": [ + "from library import estimate_cell_parameters\n", + "\n", + "I = dA['amp.I_obs']\n", + "Rs_est, Rm_est, Cm_est, points = estimate_cell_parameters(t, I, T, dV, dt)\n", + "\n", + "print(f'Estimated Rs {1e3 * Rs_est:>5.1f} MOhm')\n", + "print(f'Estimated Rm {1e3 * Rm_est:>5.1f} MOhm')\n", + "print(f'Estimated Cm {Cm_est:>5.2f} pF')" + ] } ], "metadata": { diff --git a/artefacts/library.py b/artefacts/library.py new file mode 100755 index 0000000..41daa4b --- /dev/null +++ b/artefacts/library.py @@ -0,0 +1,244 @@ +#!/usr/bin/env python3 +""" +Shared functions for the artefact notebooks. +""" +import numpy as np + + +def _fit_exponential(t, I, Iss, i1, i2, cutoff, invert, plot=False): + """ + Fits a single exponential to ``I - Iss`` on the segment ``i1:i2``. + + The exponential is assumed to be decreasing. For an increasing exponential, + set ``invert=True``. + + If the signal on ``i1:i2`` dips below ``cutoff``, the upper bound ``i2`` + will be reduced. + + Returns ``tau, I0``. + """ + + # Find points for exponential + ilog = I[i1:i2] - Iss + if invert: + ilog = -ilog + + # If any zeroes are found, this is almost certainly due to noise. + if np.any(ilog < 0): + # Find where the signal dips below a set signal-to-noise ratio + i = np.where(ilog < cutoff)[0][0] + # Cut off values after that point, and update i2 accordingly + ilog = ilog[:i] + i2 = i1 + i + tlog = t[i1:i2] + ilog = np.log(ilog) + + # Calculate means, residuals, coefficients + mx = np.mean(tlog) + my = np.mean(ilog) + rx = tlog - mx + ry = ilog - my + b = np.sum(rx * ry) / np.sum(rx ** 2) + a = my - b * mx + + # Get tau and I0 estimates + tau = -1 / b + if invert: + I0 = -np.exp(a) + Iss + else: + I0 = np.exp(a) + Iss + + if plot: + print(f'Tau* = {tau:.3f} ms') + print(f'I0* = {I0:.3f} pA') + + fig = plt.figure() + ax = fig.add_subplot() + ax.plot(tlog, ilog, lw=3, label='Data') + ax.plot(tlog, a + b * tlog, '--', label='Least squares fit') + ax.legend() + plt.show() + + return tau, I0 + + +def _integrate_current(t, I, Iss, i0, i3, cutoff, dt, invert): + """ + Integrates the I[i0:i3] and returns the result. + + If the initial points are below cutoff, ``i0`` will be increased. + """ + # Get segment containing transient + iup = I[i0:i3] - Iss + if invert: + iup = -iup + + # Increase i0 if necessary + i = np.where(iup > cutoff)[0][0] + iup = iup[i:] + i0 += i + + # Integrate + if dt is None: + return np.trapz(iup, t[i0:i3]) + return np.trapz(iup, dx=dt) + + +def estimate_cell_parameters( + t, I, T, dV, dt=None, f1=0.05, f2=0.8, f3=0.8, f4=1): + """ + + Arguments: + + ``t`` + A time vector, starting at 0 and going up to and/or including time 2T. + ``I`` + The corresponding current vector. + ``T`` + The duration of both steps (each has duration T). + ``dV`` + The difference ``V1 - V2``, where ``V1`` is the command voltage during + the first, and ``V2`` during the second step. + ``dt`` + Sampling interval, or ``None`` to assume irregular sampling. + ``f1=0.1`` + The start of the segment where an exponential is fit, as a fraction of + ``T``. + ``f2=0.8`` + The end of the segment where an exponential is fit, as a fraction of + ``T``. If the given signal is noisy, a shorter interval may be used. + ``f3=0.8`` + The start of the segment used to estimate the steady-state current, as + a fraction of ``T``. + ``f4=1.0`` + The end of the segment used to estimate the steady-state current, as + a fraction of ``T``. + + Returns: + + ``Rs`` + The estimated series (or access) resistance. + ``Rm`` + The estimated membrane resistance. + ``Cm`` + The estimated membrane capacitance. + ``points`` + A tuple ``(tau, I01, a1, b1, Iss1, c1, d1, I02, a2, b2, Iss2, c2, d2)`` + where ``tau`` is the estimated time constant, ``Iss`` are current + steady-state values, ``I0`` are initial values for the fitted + transients, and where the remaining numbers give array indices suitable + for drawing fitted transients and steady states. + + """ + # Get indices + f = np.array((f1, f2, f3, f4, 1, 1 + f1, 1 + f2, 1 + f3, 1 + f4)) * T + if dt is None: + i = np.searchsorted(t, f) + else: + i = np.rint(f / dt).astype(int) + i1, i2, i3, i4, iT, i5, i6, i7, i8 = i + + # Estimate I1 and I2 + I1 = np.mean(I[i3:i4]) + I2 = np.mean(I[i7:i8]) + dI = I1 - I2 + + # Estimate the noise + cutoff = np.std(I[i3:i4]) + np.std(I[i7:i8]) + + # Estimate tau and I0 + tau1, I01 = _fit_exponential(t, I, I1, i1, i2, cutoff, False) + tau2, I02 = _fit_exponential(t - T, I, I2, i5, i6, cutoff, True) + tau = 0.5 * (tau1 + tau2) + + # Estimate charge + Q11 = _integrate_current(t, I, I1, 0, i3, cutoff, dt, False) + Q12 = _integrate_current(t, I, I2, iT, i7, cutoff, dt, True) + Qm = 0.5 * (Q11 + Q12) + tau * dI + + # Estimate rest + Rs = tau * dV / Qm + Rm = dV / dI - Rs + Cm = Qm * (Rm + Rs) / (Rm * dV) + + # Gather points for drawing + points = (tau, I01, i1, i2, I1, i3, i4, I02, i5, i6, I2, i7, i8) + + return Rs, Rm, Cm, points + + +def _test_one_shot(): + """ Generates data and shows the results of a one-shot test. """ + + import myokit + import matplotlib.pyplot as plt + + m = myokit.parse_model(''' + [[model]] + amp.Vm = -70 + + [engine] + time = 0 [ms] in [ms] bind time + pace = 0 bind pace + + [amp] + Rs = 11.7e-3 [GOhm] in [GOhm] + Cm = 31.89 [pF] in [pF] + Rm = 0.5003 [GOhm] in [GOhm] + Vc = 1 [mV] * engine.pace + in [mV] + dot(Vm) = (Rm * I_obs - Vm) / (Rm * Cm) + in [mV] + I_obs = (Vc - Vm) / Rs + in [pA] + ''') + m.check_units(myokit.UNIT_STRICT) + + T = 10 + V1 = -60 + V2 = -70 + dV = V1 - V2 + + p = myokit.Protocol() + p.schedule(start=0, level=V1, duration=T, period=2*T) + p.schedule(start=T, level=V2, duration=T, period=2*T) + + if True: + N = 2000 + dt = (2 * T) / N + print(f'Using dt={dt} for a total of {N} samples') + else: + dt=None + print('Using adaptive time steps') + + s = myokit.Simulation(m, p) + s.set_tolerance(1e-12, 1e-12) + s.pre(2 * T) + s.reset() + d = s.run(2 * T, log_interval=dt).npview() + t, I = d.time(), d['amp.I_obs'] + + #I += np.random.normal(0, 5, size=t.shape) + + Rs, Rm, Cm, points = estimate_cell_parameters(t, I, T, dV, dt) + print(f'Estimated Rs {1e3 * Rs:>5.1f} MOhm') + print(f'Estimated Rm {1e3 * Rm:>5.1f} MOhm') + print(f'Estimated Cm {Cm:>5.2f} pF') + + fig = plt.figure(figsize=(12, 5)) + ax = fig.add_subplot() + ax.plot(t, I, label='$Iobs$') + kw = dict(color='tab:orange', lw=2) + tau, I01, a1, b1, I1, c1, d1, I02, a2, b2, I2, c2, d2 = points + ax.plot((t[c1], t[d1 - 1]), (I1, I1), **kw) + ax.plot((t[c2], t[d2 - 1]), (I2, I2), **kw) + te = t[a1:(b1 + a1) // 3] + ax.plot(te, I1 - (I1 - I01) * np.exp(-te / tau), **kw) + ax.plot(T + te, I2 - (I2 - I02) * np.exp(-te / tau), **kw) + ax.legend(loc='lower right') + plt.show() + + + +if __name__ == '__main__': + _test_one_shot() From 8aa3c6a6a933d8fa53f37b58b13974ae5a9c99ad Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 30 Jan 2024 23:48:38 +0000 Subject: [PATCH 49/77] Added library to perform one-shot Rm and Cm estimates. --- artefacts/appendix-E1-rs-cm-one-shot.ipynb | 151 +++++++++++++++++---- artefacts/library.py | 3 +- 2 files changed, 123 insertions(+), 31 deletions(-) diff --git a/artefacts/appendix-E1-rs-cm-one-shot.ipynb b/artefacts/appendix-E1-rs-cm-one-shot.ipynb index 65ce886..48b53e9 100644 --- a/artefacts/appendix-E1-rs-cm-one-shot.ipynb +++ b/artefacts/appendix-E1-rs-cm-one-shot.ipynb @@ -272,12 +272,14 @@ }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": {}, + "metadata": { + "needs_background": "light" + }, "output_type": "display_data" } ], @@ -630,12 +632,14 @@ }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": {}, + "metadata": { + "needs_background": "light" + }, "output_type": "display_data" } ], @@ -713,12 +717,14 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": {}, + "metadata": { + "needs_background": "light" + }, "output_type": "display_data" } ], @@ -885,12 +891,14 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA28AAAEvCAYAAADSCPm5AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAA/60lEQVR4nO3deZxcZ33v+e+v9t6lbu2bZdmysWUMBtnYgDPYGHA2i5DlOgngy828nHiACTPJzYUwyZBMnCFkvSQhuZ7gsITEMTcEnAQC2CxJwJaRjRcJeZG8SdbSUrfUe+3P/HFOtdrt6la3ajl1zvm8X+6XSqdOd/26XOrT33p+z/OYc04AAAAAgM6WCLoAAAAAAMDZEd4AAAAAIAQIbwAAAAAQAoQ3AAAAAAgBwhsAAAAAhADhDQAAAABCIBV0AXOtWrXKbd26NegyAABt8NBDD510zq0Ouo6w4BoJAPGw2PWxo8Lb1q1btWfPnqDLAAC0gZk9H3QNYcI1EgDiYbHrI22TAAAAABAChDcAAAAACAHCGwAAAACEAOENAAAAAEKA8AYAAAAAIUB4AwAAAIAQILwBAAAAQAgQ3gAAAAAgBAhvAAAAABACqaALiCPnnKaKFU3kS5oqVFSqVP0PN+e29/dK1ck5yan2p/f5klR1/rE5x50k+edXXYDfJJbl2u2rtGlld9BlAIiJp45PqCud1OZBfu4AQJgQ3lpgslDWk8cmtP/ouF4YndaR0zM6OpbX8fG8xmdKmiyUCVZ4iXdcsVF/9J9eHXQZAGLirX/8b5Kk5z76owFXAgBYDsJbE1SrTt97blT37j+u/zgwov1Hx2fvy6YSWj+Q0/qBLu08b6UGutLqy6XVl0upL5dWTzapTDKhdDKhdCqhdNK828mEUglTKmkymcwkk2QmSaaESWY2e6x2jvy/J8xmj6Oz/fxfPaCpYjnoMgDExKHR6aBLAACcI8JbA6aLZX3m/uf1ud3P69DojDLJhHZuXan/44aLdOmGfl2yvk8bV3TJjACFhXVnUiqUq0GXASAm7n9mZPb28ERea/pyAVYDAFgOwts5qFadPvfgC/rv9z6lk5NFXbNtSP/nWy7S23asU3eGpxTLk00lVCS8AWiTZ05Mzd7ef3SC8AYAIULSWKbhibx++e8e0f3PjOh15w/qf7zrYr32vMGgy0KIZQhvQCSYWVLSHkkvOud+zMwGJf29pK2SnpP0M865U/65H5L0C5Iqkv5359xX21Xn9Jw27edHpiStbtdDAwAaxFYBy/DU8Qn9xJ9/V48cOq2PvuOVuuvWqwluaFgmlaBtEoiGX5a0f87fPyjpPufcdkn3+X+XmV0q6WZJOyTdKOkTfvBri+liResHckomTMPjhXY9LACgCQhvS3RgeFI/e8cDKlWq+vwvXaObr9rCXDY0BW2TQPiZ2SZJPyrpr+Yc3iXp0/7tT0t6+5zjdznnCs65ZyUdkHRVm0rVdLGsnmxKq3ozOj6eb9fDAgCagPC2BCOTBb37k7tlJv3drVfrso0DQZeECMmkkipWCG9AyP2JpF+TNPcf81rn3FFJ8v9c4x/fKOnQnPMO+8dexsxuNbM9ZrbnxIkTTSl0qlBRTyapNX05DU8w8gYAYUJ4O4tK1ekDf/+ITk4V9an3XKULVvcGXRIiJpNk5A0IMzP7MUnDzrmHlvopdY7V3f3TOXeHc26nc27n6tXNmZs2XSyrO5PS2v4s4Q0AQobwdhZ//Z1n9e9Pn9Rv3bSDETe0hDfnrRJ0GQDO3Rsk3WRmz0m6S9L1ZvY3ko6b2XpJ8v8c9s8/LGnznM/fJOlIu4qdKlTUk01qdV9Ow7RNAkCoEN4WcXRsRn/89ad03cWrdfOVm8/+CcA5yLJgCRBqzrkPOec2Oee2yluI5BvOuXdKukfSLf5pt0j6kn/7Hkk3m1nWzM6XtF3Sg+2qtzbytqYvq5Gpokq0bQNAaLBVwCJ+98tPqFx1+u1dl7E4CVqGBUuAyPqopLvN7BckvSDppyXJObfPzO6W9ANJZUnvdc61bfh9ulgbectKkkYmi1o3wF5vABAGhLcFPHlsQv/06BG997oLtHmwO+hyEGG1rQKcc7xJAIScc+5bkr7l3x6R9OYFzrtd0u1tK2yO6WJF3ZmUhnoykqSRqQLhDQBCgrbJBfzZNw+oJ5PU//rGbUGXgojLprx/hqVK3fUKAKBpnHOaKpbVnUlqqNcbeRudKgZcFQBgqQhvdbwwMq1/fuyI3nXNVq3035kEWiXjhze2CwDQavlSVc5J3ZmUBv3rG+ENAMKD8FbHXd97QSbpP79+a9ClIAYyST+8Me8NQItNFcuSpJ5scrZt8uQk4Q0AwoLwNk+pUtXnHzqs61+xhjkAaItsOilJbBcAoOWmC97Pme5MSgNdaSUTptEp9noDgLAgvM1z3/5hnZgo6OYrtwRdCmKCkTcA7TI78pZJKpEwrezO0DYJACFCeJvnnx47olW9Gb3p4tVBl4KYmJ3zRngD0GK1PSWzae/nzlBPhrZJAAgRwtsc+VJF33piWG+5dJ1SSZ4atEctvLFRN4BWK/sLI6US3s+dwR5G3gAgTEgoc3znwElNFSt62461QZeCGMkS3gC0SW1LklTS21NyqJfwBgBhQnib41/3HlNfNqXXX7Aq6FIQI7RNAmiXctX7OZNOnmmbHJlkwRIACAvCm69adfrGE8O67hVrZn+ZBtrhzMgbq00CaK1ybeQt4Y28DfZkNZ4v8+YRAIQEKcX31PCERqaKunY7o25or2zK2yqAX54AtFq5Wgtv/shbr7fX26lpWicBIAwIb777D45Ikq65YCjgShA3s22TFcIbgNaaXbCkNufN36h7hBUnASAUCG++7x4c0ZbBbm1a2R10KYgZ9nkD0C4lf+Qtnay1TfrhjY26ASAUCG+SKlWn3c+M6JptjLqh/dgqAEC7zN8qYKg3K0msOAkAIUF4k7T/6LjG82W9/kLCG9ovy2qTANqkPH+rANomASBUCG+Svv/CKUnSa89bGXAliCO2CgDQLqV5WwUMdKWVTBgjbwAQEoQ3SY8dHtNQT0YbV3QFXQpiKMNWAQDapOLPeUv6WwUkEqaV3WnmvAFASBDe5IW3V24akJkFXQpiiAVLALRLyW+bTCfOXP6HerK0TQJASDQc3szs/Wb2pJntM7OPzTn+ITM74N/3tkYfp1Wmi2U9PTyhyzetCLoUxJSZKZNKqMBWAQBabP5WAZK34iRtkwAQDqlGPtnMrpO0S9LlzrmCma3xj18q6WZJOyRtkHSvmV3knOu4vrB9R8ZVddLlGweCLgUxlk0mGHkD0HKzm3TPDW+9Ge0/Mh5USQCAZWh05O02SR91zhUkyTk37B/fJeku51zBOfespAOSrmrwsVri0UOnJUmXbyK8ITjZdIKtAgC0XMkfeZvbNrmqJ6OTk8x5A4AwaDS8XSTpWjPbbWbfNrMr/eMbJR2ac95h/1jH2fvimNb157SmPxd0KYixDCNvANqgXHFKmLdQSc1gT1bj+fJssAMAdK6ztk2a2b2S1tW568P+56+UdLWkKyXdbWbbJNVb+cMt8PVvlXSrJG3ZsmVpVTfRU8cn9Yr1fW1/XGCuTIrwBqD1ylU3u0F3zWCvt9fbqakib2QCQIc7a3hzzt2w0H1mdpukLzjnnKQHzawqaZW8kbbNc07dJOnIAl//Dkl3SNLOnTvrBrxWqVSdDp6Y1BvYnBsBy6QSbBUAoOXKlepL5rtJXtukJJ2cJLwBQKdrtG3yi5KulyQzu0hSRtJJSfdIutnMsmZ2vqTtkh5s8LGa7tDotArlqravYeQNwcqmkoy8AWg5b+TtpeFt0A9vrDgJAJ2vodUmJd0p6U4z2yupKOkWfxRun5ndLekHksqS3tuJK00+PTwpSbpwbW/AlSDuMqmEisw3AdBipUpV6eRL37cd8tsm2agbADpfQ+HNOVeU9M4F7rtd0u2NfP1We3p4QpJ04RrCG4LFgiUA2qFccS9rmxzsyUpi5A0AwqDhTbrD7MDxSa0fyKk/lw66FMQcWwUAaId6C5as6EorYdLIJOENADpdrMPb08OTjLqhIzDyBqAdytWXL1iSSJgGezIaYeQNADpebMNbtep0YHiSxUrQEdgqAEA7lCsvX7BE8hYtGWXOGwB0vNiGt+MTec2UKtq2uifoUgBlU0naJgG0XL0FSyRpqCfLnDcACIHYhrcXRqYlSVsGuwOuBKjt80Z4A9Ba5erLFyyRvI26mfMGAJ0vvuFtlPCGzpFNJVRkk24ALVaqVF+2YIkkDTHnDQBCIbbh7dDotBImbVjRFXQpACNvANqiUmeTbslrmxybKanEfpMA0NHiG95OzWj9QJcyqdg+BeggWX+Tbm+PewBojXr7vEle26QknWL0DQA6WmyTywuj07RMomNkkgk5581HARAuZrbZzL5pZvvNbJ+Z/bJ/fNDMvm5mT/t/rpzzOR8yswNm9qSZva1dtZaqCy1Y4oU3WicBoLMR3oAOkE17/xRpnQRCqSzpV5xzl0i6WtJ7zexSSR+UdJ9zbruk+/y/y7/vZkk7JN0o6RNmlmxLoYtsFSCJFScBoMPFMrzNFCs6MVHQliHCGzpDLu393pYvsWgJEDbOuaPOuYf92xOS9kvaKGmXpE/7p31a0tv927sk3eWcKzjnnpV0QNJV7ai1VKkqVWfkbVUvI28AEAaxDG+HTnkrTW5ayWIl6Ay5lBfeZoqENyDMzGyrpCsk7Za01jl3VPICnqQ1/mkbJR2a82mH/WMtV646pevNeevJSpJGJtmoGwA6WSzDG3u8odOcaZskvAFhZWa9kv5B0gecc+OLnVrnWN0Jr2Z2q5ntMbM9J06caLjGStUpWWergBVdaSWMtkkA6HSxDG+1kbfNhDd0iDNtk8x5A8LIzNLygtvnnHNf8A8fN7P1/v3rJQ37xw9L2jzn0zdJOlLv6zrn7nDO7XTO7Vy9enXDdZYqVaXrzHlLJEyrerMaHmfkDQA6WSzD27GxvDKpxOzqWkDQmPMGhJeZmaRPStrvnPujOXfdI+kW//Ytkr405/jNZpY1s/MlbZf0YDtqXWirAElaN5DTsfF8O8oAAJyjVNAFBOHYeF5r+7PyrrdA8HL+foOMvAGh9AZJ75L0uJk94h/7dUkflXS3mf2CpBck/bQkOef2mdndkn4gb6XK9zrn2vLOTcXVb5uUpDV9OR32O1MAAJ0pnuFtLK91/bmgywBmdWUYeQPCyjn3H6o/j02S3rzA59wu6faWFbUA55zqdE1KktYNZPXQ86PtLQgAsCyxbJs8Pp7XWsIbOshs2yQLlgBooUrVKbFA18m6/pxOTZd4EwkAOljswptzTsfGGXlDZ6ltFUDbJIBWqjopucDQ2xr/usiiJQDQuWIX3sZmSsqXqlo3QHhD58ila3PeeMcbQOtUndNC071rb2qyaAkAdK7YhbfaRYnwhk6SZbVJAG1QXaxt0r8uHie8AUDHil94G/PDG22T6CC52U26aZsE0DqLtU3W5oIT3gCgc8UuvNUuSixYgk6SSSZkxsgbgNaqLNI22Z9LKZdOzL7JCQDoPLELb0fHCG/oPGamXCqpmSLhDUDreFsF1E9vZqZ1/WzUDQCdLHbh7fh4XkM9GWVSsfvW0eFy6QRbBQBoqaqTkgsNvcl7Y5PVJgGgc8UuwRwby7NYCTpSLp1kqwAALeXt87bw/esGGHkDgE4Wv/A2XqBlEh3JC2+MvAFoDeecJCmxSHpbN5DTsbG8qlXXrrIAAMsQu/A2MlnQ6t5s0GUAL5NNJRh5A9AytTy20Jw3Sdq8slvFSlXDE7ROAkAnilV4q1adRqeKGurNBF0K8DJdmaQKzHkD0CIVP70t1ja5ebBbknTo1HQ7SgIALFOswtt4vqRy1WmIkTd0oFyKtkkArVNdQtvkppVdkqRDo4Q3AOhEsQpvJyeLkqShHkbe0HlyadomAbSOW0Lb5MYVtfA2046SAADLFKvwNjrlhzfaJtGBWLAEQCtV3NnbJnPppNb0ZXWYtkkA6EixCm8jk94E7KEe2ibReXLpJPu8AWiZ2bbJRUbeJG/eG3PeAKAzxSq8nfRH3lYx8oYORNskgFZy/o+Xs4a3lV20TQJAh4pVeKuNvK1kzhs6UJYFSwC00FLaJiVp08puHR2bUanCm0kA0GliFt6KWtGdVjoZq28bIcGcNwCtVGubTJ4lvW0e7FLVSUdP59tRFgBgGWKVYkaniqw0iY6VSydUqrjZvZgAoJlq4c3O2jbJXm8A0KliFd5OThZYrAQdK5dOShKjbwBaorrEOW/nreqRJD17cqrVJQEAlilW4W1kqsg2AehYuZT3z5HwBqAVzrRNLn7e+v6cutJJHTwx2YaqAADLEa/wNlkgvKFjzY68lVkkAEDzLbVtMpEwXbCmRweGCW8A0GliE97KlapOTZdom0TH6srQNgmgdZbaNilJF6zu1TMnaJsEgE4Tm/A2Os0eb+hs2RThDUDrLLVtUvLC24unZzRVKLe4KgDAcjQc3szs/Wb2pJntM7OP+cfeYmYPmdnj/p/XN15qY0b9DboHGXlDh8qla3PeaJsE0HzV2X3ezj7yduGaXkksWgIAnSbVyCeb2XWSdkm63DlXMLM1/l0nJf24c+6ImV0m6auSNjZWamNOTZUkSSt70kGWASyoNuetwMgbgBZY6pw3yRt5k6SDJyZ12caBltYFAFi6hsKbpNskfdQ5V5Ak59yw/+f355yzT1LOzLK184IwNuONvA10Ed7Qmc4sWEJ4A9B8tS0kk0sIb1tXdSthYtESAOgwjbZNXiTpWjPbbWbfNrMr65zzk5K+H2Rwk6SxGW/kbUU3c97QmWibBNBKZ9omz35uNpXUlsFuPX2c8AYAneSsI29mdq+kdXXu+rD/+SslXS3pSkl3m9k257wrhJntkPR7kt66yNe/VdKtkrRly5bl1r9kp6e98MbIGzpVjgVLALRQpbr0tklJumR9v35wdLyVJQEAlums4c05d8NC95nZbZK+4Ie1B82sKmmVpBNmtknSP0p6t3Pu4CJf/w5Jd0jSzp073TLrX7KxmZJSCVOPvxw70GlqbZMzhDcALeBqbZNLGXqTdNnGAX1l7zGNzZR44xMAOkSjbZNflHS9JJnZRZIykk6a2QpJ/yLpQ8657zT4GE1xeqakFd3pJb/jCLRbVy28FQlvAJpvOW2TkrRjQ78k6QdHGH0DgE7RaHi7U9I2M9sr6S5Jt/ijcO+TdKGk3zCzR/yPNYt9oVYbmy6pn3cO0cFqm3QT3gC0Qq1tcilbBUiaXWVy35GxltUEAFiehlabdM4VJb2zzvHfkfQ7jXztZhubKWkF4Q0dLJNKKJ00TdM2CaAFaqtNJpY49LaqN6v1AzntfZHwBgCdouFNusPi9EyRnn10vK50kpE3AC2x3LZJSdqxYUB7aZsEgI4Rm/A2NlNimwB0vO5MSlOFctBlAGgDM7vRzJ40swNm9sFWP151mW2TknTZxn4dPDHJzyUA6BCxCW+np1ktC52vO5ukbRKIATNLSvpzST8s6VJJP2tml7byMWfbJpcR3q7YslLOSQ+/cKpFVQEAliMW4a1SdZrIlwlv6HjdGdomgZi4StIB59wz/vzxuyTtauUDnkvb5GvPW6lkwvTgs6MtqgoAsByxCG/jM2zQjXDoTtM2CcTERkmH5vz9sH+sZWrhban7vElSbzalyzb0azfhDQA6QizC25gf3lZ0E97Q2boySTbpBuKhXoJyLzvJ7FYz22Nme06cONHQA9baJpe73+lV5w/qkUOnlednEwAELhbh7TThDSHRk01qmrZJIA4OS9o85++bJB2Zf5Jz7g7n3E7n3M7Vq1c39IBnFixZ3ue97vwhFctVPXrodEOPDwBoXCzC2xhtkwiJrnRK07RNAnHwPUnbzex8M8tIulnSPa18wHNpm5SkK7cOykz67sGRVpQFAFiGWIS309NFSdJAF1sFoLN1Z1htEogD51xZ0vskfVXSfkl3O+f2tfIxz2W1SUka6E7rNVtW6htPDLegKgDAcsQivLFgCcKim7ZJIDacc192zl3knLvAOXd7qx+v4qe3ZWY3SdINl6zV4y+O6ejYTJOrAgAsRyzC2+lpwhvCoTudUrFcVblSDboUABHjzrFtUpJuuGSNJOm+/Yy+AUCQYhHexmZK6s4klUnF4ttFiHVnkpJE6ySApjvXtklJunBNr84b6tbXf3C8yVUBAJYjFmlmPF9Sf45RN3S+7qwX3tioG0CzVc5hk+4aM9ONO9bpOwdO6uRkocmVAQCWKhbhbbJQVl8uFXQZwFnNjrwR3gA0mZsNb+eQ3iT95Gs3qVx1+tIjL9vRAADQJrEIbxP5snoJbwiBrrT3Op1iuwAATVZtMLxdtLZPr9o0oM/vOTQbBAEA7RWL8DZZKKs3S3hD5+uptU0y5w1Ak9XWQTrX8CZJP7Vzs544NqHHDo81qSoAwHLEI7zlaZtEONA2CaBVZkfeGrjyv/3VG9SXS+mOf3umSVUBAJYjFuFtIs/IG8Kh1jY5TdskgCZrdM6bJPXl0nrX1efpy3uP6pkTk80qDQCwRLEIb17bJKtNovMx8gagVZrRNilJ73nD+UonE/qzbxxoQlUAgOWIfHirVp0X3mibRAjUtgpgnzcAzdaMtklJWt2X1XvesFVf+P6L+v4Lp5pQGQBgqSIf3qaKXvtZH22TCIHuDG2TAFqjGW2TNe+/frtW92X1kXv2qVwb0gMAtFzkw9uk/0swI28Ig640bZMAWqNSbV54682m9Bs/dqkePTymP/sm7ZMA0C7RD295P7wx8oYQSCZMuXSCrQIANJ2f3ZRsQniTpJtetUHvuGKjPn7f0/rOgZNN+ZoAgMVFPrxNMPKGkOnOpNikG0DT1ea8WROv/L/99st0wepe/eJnH9LeF9n7DQBaLfLhrTbyxpw3hEVXOqkZ2iYBNFm1iXPeanqzKX3mF65Sfy6ld35yt/Y8N9q0rw0AeLnohzdG3hAyPdkkc94ANF2z2yZr1g906a5br9HK7ox+7q926zP3Pze7OArQiHKlqq/tO8brCZgj+uGNOW8Ima5Miq0CADTdbNtkc7ObJGnLULf+4bbX65ptQ/rNL+3Tf/ofD+hhthFAg37/a0/q1s8+pPufGQm6FKBjRD681ea89bFJN0KiO51kqwAATVdt4mqT9Qz2ZPSp91yp3/2JV+qZk1N6xye+q3d9cre+/PhRFcq8IYXlqy2Ek05G/tdVYMkiPxw1O/JG2yRCoieb1IunS0GXASBiZtsmE60Jb5JkZvq5123Rrldv0F9/51l9bvcL+t8+97B6Mkldc8EqXXPBkHZs6NelG/rVn+NNVSzu2RNTkqRUC1+zQNhEPtFMFkrqziRberECmqk3y2qTAJrvzD5vrX+snmxK77t+u25704X696dP6N79x/WtJ70/a1b3ZbVhRZc2rshpbX9OA11p9eXS6s+l1N+VVk8mpUwqoXTSlEkllEkmlE4mlEklvF/mzRtFNHmh0TtUO/7SY2Zeu2jtdhiFtGxZA0/4lD//u8qUN2BW5MPbRL7MfDeESm8uNbvQDgA0i5ud89a+GJBMmN508Rq96eI1kqThibz2HRnXD46M64WRaR0Zm9ETxyb0b0+d5OceFsSCJcAZkU81E4UyLZMIld5serbdFwCapepa2zK5FGv6clpzcU7X+WFurnKlqslCWRP5ssZmSpoqlFWuOhXLVRUrVZUqVRXL3p+lipOTJOdUdd4v906avS15C7Q45x+TdzusISCkZavRsh94ZkTfPTgyO2oMIAbhbTJfZo83hEpfLqVipapCuaJsKhl0OQAiouJcW1omz1UqmdCK7oxWdGe0Oehi0BGu3Dqo7x4coW0SmCPyy/dMMvKGkKm1+TL6BqCZqs61bKVJoBVqbzZUwzr0CLRA5FPNZL6sVb3dQZcBLNlseCuUNdSbDbgaAFHhXOu2CQBaIeGnt04Lb8VyVcfH8xqbKWk8X9L4TFnj+ZIm82Wvxddv7y1UqiqVnUqVqsrVqqpVv51X/vfk/Tfb4jv3+JljLrC22SCf9Q77X74s21b36Nd/5JKWff3oh7dCWb3s8YYQqY0UTzDyBqCJKtXObpsE5qu92RBU22S+VNGjh05r75Fx7TsypmdOTOnI6RmdmCycNVyYSZmkt0pqJpVQMmFKJs6sjjp/BdTayqkvXUU1+BVS27nA0cseO7BHbkx/izv+Ih/eJvIl9dE2iRDpmzPyBgDNUnVudiQDCIPZtsk2prfpYln/8thR/cvjR/XAMyPKl6qSvK0tLlrbqzddvFobVnRpw0CXVnSn1d+VVn8urb5cSn25lLKppNJJU4qNxdEikU41zjlNFSvqybLoA8KjNvLGnDcAzUTbJMLmzMhb68Pb6emiPvGtg/rb3S9oslDWeUPduvnKLbp2+yq9ctOA1vTlWl4DsBSRDm+FclWVqlN3JtLfJiKmL+e1+TLyBqCZaJtE2CQTrW+bdM7psw88rz/46pOaKJS161Ub9PNXn6ed560MtGUQWEikU81MsSJJ6s4w8obwqC1YMkF4A9BEVecC3+cNWI5admrVPm9jMyV94K7v65tPntC121fp//rRS3Xxur6WPBbQLJEOb1NF75dfwhvCpI+2SQAtcOsPbdNPvXZT0GUAS1Z7s6EVm6sfH8/rljsf1IHhSf32rh1619XnMdKGUGh4NqWZvd/MnjSzfWb2sXn3bTGzSTP71UYf51zURt66aJtEiGRTCaUSpslCKehSAETIeUM9umLLyqDLAJasVatNnp4u6l2f3K1Do9P61Huu0ruv2UpwQ2g0lGrM7DpJuyRd7pwrmNmaeaf8saSvNPIYjZj2w1sPI28IETNTby7FVgEAgFirdflWmjjyVq5U9YuffUjPnZzWp95zpV5/4aqmfW2gHRodkrpN0kedcwVJcs4N1+4ws7dLekbSVIOPcc5qbZNdhDeETG82RdskACDWaiNvzWyb/NNvHNDuZ0f1hz/9KoIbQqnRtsmLJF1rZrvN7NtmdqUkmVmPpP8m6bcaLbARZxYsoW0S4dKbTbFgCQAg1pq9VcCjh07rT7/xtN7xmo36SeZ/IqTOGt7M7F4z21vnY5e8kbuVkq6W9F8l3W1e0/BvSfpj59zkEr7+rWa2x8z2nDhxosFv56Vom0RY9eUYeQPCwMx+38yeMLPHzOwfzWzFnPs+ZGYH/Hnhb5tz/LVm9rh/38eNyTZAXbXwVqk2/rWqVaf/+559GurN6rdu2tH4FwQCctbw5py7wTl3WZ2PL0k6LOkLzvOgpKqkVZJeJ+ljZvacpA9I+nUze98CX/8O59xO59zO1atXN+v7kjR3wRLCG8KlN5tinzcgHL4u6TLn3OWSnpL0IUkys0sl3Sxph6QbJX3CzGoXo7+QdKuk7f7Hje0uGgiDhP9bajNG3v7x+y/qkUOn9cEbXzG7nyoQRo22TX5R0vWSZGYXScpIOumcu9Y5t9U5t1XSn0j6XefcnzX4WMt2ZqsA2iYRLr25NOENCAHn3Necc7V/rA9IqvVi7ZJ0l3Ou4Jx7VtIBSVeZ2XpJ/c65+503keczkt7e7rqBMGjWnLdK1enj33hal23s109csbEZpQGBaTS83Slpm5ntlXSXpFtcKzbjOEfTbNKNkOrNstokEEL/RWdWWN4o6dCc+w77xzb6t+cfBzBPs9omv7L3qJ4fmdb7rrtQCTaqR8g1NCTlnCtKeudZzvlII4/RiJliRQnz9s0CwqQvl2KfN6BDmNm9ktbVuevD/hQCmdmHJZUlfa72aXXOd4scX+ixb5XXYqktW7Yso2og/JrRNumc019866C2re7RWy+t988YCJdI9xNOFcvqzqTYeBGh05tNKV+qqlSpKp3kzQcgSM65Gxa738xukfRjkt48p/vksKTNc07bJOmIf3xTneMLPfYdku6QpJ07d3ZMZwvQDs1om3zs8Jj2HRnX77z9MkbdEAmR/q1wplihZRKh1Jfz3leZYt4b0NHM7EZ5W+Pc5JybnnPXPZJuNrOsmZ0vb2GSB51zRyVNmNnV/iqT75b0pbYXDoTAmbbJcw9vn3/okLKphG569YZmlQUEKtIjb9OEN4RUb9b7pzmRL2tFdybgagAs4s8kZSV93e/yeMA590vOuX1mdrekH8hrp3yvc67if85tkj4lqUveHLmvvOyrAlBydp+3c/v8fKmiex45ohsvW6d+VphEREQ8vJXVxUqTCKHayBuLlgCdzTl34SL33S7p9jrH90i6rJV1AVFgDc55+8YTwxrPl/VTbMiNCIl02yQjbwir2juE43kWLQEAxFNiduTt3MLb1/Yd08rutF5/wapmlgUEivAGdKCBbi+8nZ4mvAEA4qmRtslypapvPnlC179irZIsVIIIiXh4KxPeEEoDXf7I2wzhDQAQT7XFws9l5G3P86c0NlPSDZesaXJVQLAiHt4q6mbOG0KotkjJ6ZliwJUAABCM2bbJcxh6u2//cWWSCV170epmlwUEKtLhja0CEFY9maSSCdMYI28AgJiqtTueS9vkvz11Uq/bNji7ejMQFZEOb8x5Q1iZmVZ0pZnzBgCIrcQ5tk2OThX15PEJXb1tqAVVAcGKbHirVp1mShW2CkBoDXSlGXkDAMSWnWPb5PeeG5Ukve78wabXBAQtsuFtpuTthdrDyBtCaqCb8AYAiLdkwpbdNvngs6PKphJ65aaB1hQFBCiy4W266IU32iYRVgO0TQIAYi5hy2+b3P3siF6zZaWyKX4HRPREOLyVJYm2SYTWCtomAQAxZ2aqLCO8TeRL+sGRcV1FyyQiKsLhjbZJhJs38sZWAQCA+EqaaTkDb4+/OKaqk15z3srWFQUEKPLhrYvwhpAa6M5oolBW5VzWSAYAIAIStrwFS/a9OC5JumxDf6tKAgIV4fDmtU2ySTfCakVXWs55LSAAAMRRYpltk3uPjGnDQE5DvdkWVgUEJ8LhjQVLEG4DXWlJYt4bACC2Eonlt03u2Mgqk4iuyIa3GdomEXIrur3wxoqTAIC4Ws5qk5OFsp49OaXLNhDeEF3RDW/+Pm9dacIbwomRNwBA3CXMljz3e//RcTknvXIT890QXZENb3k/vOUIbwip2ZE3whsAIKYSy9ike++LY5LEyBsiLcLhrSpJyqUj+y0i4vprI29sFwAAiKmESW6JbZNPHpvQYE9Ga/pzLa4KCE5kk83syFuKkTeEE22TAIC4W07b5METk7pwdW+LKwKCFd3wVq4ok0ookbCgSwHOSTaVVFc6yYIlAIDYStjS2yYPDE/qgjWEN0RbZMNboVRVLhXZbw8xMdiT0ShtkwCAmEoklrba5OhUUaemS7pgdU8bqgKCE9l0ky9VWKwEoTfUm9HoFOENABBP3sjb2cPbgeFJSdKFjLwh4ghvQAcb6sloZJLwBgCIp+QS2yYPnvDC2wXMeUPERTi8VVlpEqE32JPVyGQh6DIAAAiEmVRdQno7ODypXDqhjSu62lAVEJzIppt8mZE3hN+q3oxOThWXvEwyAABRkkwssW3yxKS2reploTpEXmTD20yxwjYBCL2h3oyK5aomC+WgSwEAoO2WOuft4AlWmkQ8RDa85ctVZWmbRMgN9WQliXlvAIBYMjNVqoufU6pU9eKpGW0d6m5PUUCAIptuCixYgggY6s1IkkammPcGAIifZEJnnTpwbCyvqpM2ryS8IfoiG95YbRJRsKrXG3k7ycgbACCGltI2eWh0WpK0aZDFShB9EQ5vbNKN8Bvs8Ube2OsNABBHZqbKWaa8HTrlhTdG3hAHkU03+XJFXRlG3hButfDGdgEAgDhK2tnbJg+fmlEyYVo/kGtTVUBwohveaJtEBOTSSfVlU7RNAgBiaaltk+sHckolI/trLTArkq9y5xxtk4iMod6MRmibBADEUMJMlbNs0n3o1Iw2rWS+G+IhkummUPbWlM0y8oYIGOrN0jYJAIilREI6S3bT4VPTzHdDbEQzvJW88EbbJKJgqCfDPm8AgFhKmC065y1fquj4eEGbBwlviIdIhrd8uSJJyrFJNyLAa5tk5A3oZGb2q2bmzGzVnGMfMrMDZvakmb1tzvHXmtnj/n0fNzMLpmqg852tbfLF0zOSRNskYiOS6SZf8sNbipE3hN+q3qxGp4oqV6pBlwKgDjPbLOktkl6Yc+xSSTdL2iHpRkmfMLPaRekvJN0qabv/cWNbCwZCJJGwRdsmD5+qhTdG3hAPkQxvM7XwRtskImBtf05VJ51g3hvQqf5Y0q9Jmvsr5i5JdznnCs65ZyUdkHSVma2X1O+cu995vWCfkfT2dhcMhEXiLFsFHBvzwhvbBCAuGg5vZvZ+vyVkn5l9bM7xy83sfv/442bWtn9V+dk5b5HMpoiZ2gXp2Fg+4EoAzGdmN0l60Tn36Ly7Nko6NOfvh/1jG/3b848DqCNhpsqi4c17Y3NtP+EN8ZBq5JPN7Dp57y5e7pwrmNka/3hK0t9Iepdz7lEzG5JUarjaJcoz8oYIqV2Qjo8T3oAgmNm9ktbVuevDkn5d0lvrfVqdY26R4ws99q3yWiy1ZcuWs9YKRE3CTNVFZg0cn8hrqCejDNtDISYaCm+SbpP0UedcQZKcc8P+8bdKeqz2TqRzbqTBx1mWM+GNf8gIv3WMvAGBcs7dUO+4mb1S0vmSHvXXHNkk6WEzu0reiNrmOadvknTEP76pzvGFHvsOSXdI0s6dO8+yYDoQPQnTopt0Hx/LM+qGWGk03Vwk6Voz221m3zazK+ccd2b2VTN72Mx+rcHHWZZa22SWBUsQAYPdGaWTpqOMvAEdxTn3uHNujXNuq3Nuq7xg9hrn3DFJ90i62cyyZna+vIVJHnTOHZU0YWZX+6tMvlvSl4L6HoBOlzBbNLwdG89rbX+2jRUBwTrryNtZ2kVSklZKulrSlZLuNrNt/vE3+semJd1nZg855+6r8/Wb3hJS8LcK6MoQ3hB+iYRpbX9Oxxl5A0LDObfPzO6W9ANJZUnvdc5V/Ltvk/QpSV2SvuJ/AKgjeZbVJo+P53X5poH2FQQE7KzhbaF2EUkys9skfcFfMetBM6tKWiXv3cdvO+dO+ud9WdJrJL0svLWiJYQ5b4iadf05HWPkDeho/ujb3L/fLun2OuftkXRZm8oCQs0WaZsslqs6OVmkbRKx0mjb5BclXS9JZnaRpIykk5K+KulyM+v2Fy/5X+S9+9gWs6tNMnkVEbF2IKfj42wVAACIF2/BkvrhbXjCe1OT8IY4aTTd3Clpm5ntlXSXpFuc55SkP5L0PUmPSHrYOfcvDT7WkjHyhqhZ15/TsbH8onvdAAAQNYu1Tdbe1FxHeEOMNLTapHOuKOmdC9z3N/K2C2i7M/u8Ed4QDesHcpopVTSeL2ugKx10OQAAtMVibZO1LXQYeUOcRLKvMF+uKJ00JRP1ttMBwqd2YWK7AABAnCzWNlm7Jta21AHiIJrhrVRRjm0CECGze72xaAkAIEZSCVN5gfB2fDyvTDKhld10pCA+IhreqsrSMokIWe+HtyOnZwKuBACA9kklFw5vx8bzWtOflbdlIhAPEQ1vFeXSkfzWEFPrB7qUSpgOjU4HXQoAAG2TSiRUqlTr3ndysqDVfWzQjXiJZMLxwhsjb4iOZMK0cWWXDp1i5A0AEB+phKmywMjbyGRRQz2EN8RLhMNbJL81xNiWwW69wMgbACBGUsmEypUFwttUUUM9mTZXBAQrkgknX6qyYAkiZ/NgN22TAIBYSSdNperL2yadczo1VdRgL+EN8RLJ8FasVJVl5A0Rs2WwW6NTRU3kS0GXAgBAW6QSCTmnl7VOjs+UVa46Rt4QO5FMOIVyRVlG3hAxm1d2S5IOjTLvDQAQD6mkt5Lk/EVLRqYKkqQhRt4QM5EMb8VyVZlkJL81xNiWQS+8Me8NABAXaT+8zR95G5kqSpIGWbAEMRPJhFMsV5VJRfJbQ4zVwhvz3gAAcZFMeL/PzV+0ZGTSC2+0TSJuIplwCoQ3RNBAd1r9uZQOnSK8AQDioTbyNn/RktHZkTfCG+IlkgmnWK4qS3hDBG0Z6tbzI4Q3AEA8pBYYeRv157wR3hA3kUw4tE0iqrat6tXBE5NBlwEAQFsstGDJycmierMp5dIsUId4iWTCKVQIb4im7Wt6dfjUjKYK5aBLAQCg5Wptk+Xq/JG3IqNuiKXIJRznnN82yTsxiJ7ta3slidE3AEAs1BYsqdSZ88Y2AYijyIW3oj+szpw3RNGFa/okSU8fJ7wBAKIvnai1Tb505O3kZIGVJhFLkUs4xbIX3tjnDVG0dahb6aTp6WHCGwAg+lLJhRYsoW0S8RS5hFOohTdG3hBBqWRC21b16sDwRNClAADQcqk6WwU453RqusgG3YilyCWc2sgbbZOIqgvX9uop2iYBADGQrrNVwHi+rFLFaRVz3hBDkUs4RUbeEHHb1/Tq0KlpzRQrQZcCAEBL1UbeynO2ChiZZI83xFfkEk5twRLCG6LqFev65Jz0xLHxoEsBAKClUomXbxUwOlWURHhDPEUu4RRKtbZJtgpANL1y0wpJ0uMvjgVbCAAALTa7YMmcOW8jfnhb1cucN8RP5MJbseK1kjHyhqjaMJDTUE9Gjx0mvAEAoi1VZ6sARt4QZ5FLOAW2CkDEmZku3zSgxw6fDroUAABaKl1nqwDmvCHOIpdwWLAEcXD5phU6MDypqUI56FIAAGiZ2QVL5rVN9mSSyqWZIoP4iVzCKbBVAGLg8k0Dqjpp3xEWLQEARFdtq4D5bZNDzHdDTEUu4bDPG+LglZsGJInWSQBApCX9kbfK3JG3ySItk4ityCUc2iYRB2v6ctoy2K0Hnx0NuhQAAFomXWfBkpGpooYIb4ipyCWcM22T9EEj2q7ZNqQHnhlRZc7eNwAARMnsVgFzNukenSow8obYilx4K5bZKgDxcM0FQxrPl7X/KPPeAADRdGbBEu+NSuccc94Qa5FLOMUKbZOIh2suGJIk3X9wJOBKAABojfkLloznyypVHG2TiK3IJZwi+7whJtb257RtdY++e/Bk0KUAANASsyNv/pvzbNCNuItcwimUqzKT0v4/diDK3nDBKu1+dlT5UiXoUoDYMrP3m9mTZrbPzD425/iHzOyAf9/b5hx/rZk97t/3cTPjggUsIJV4advk6JS3QfdQL+EN8RS58FYsV5VJJsS1EHHwlkvXarpY0b8/zegbEAQzu07SLkmXO+d2SPoD//ilkm6WtEPSjZI+YWa1lbT+QtKtkrb7Hze2u24gLMxMyYTNbtI9MumNvA31MOcN8RS58FYoV5nvhti4etuQ+nMpfXXfsaBLAeLqNkkfdc4VJMk5N+wf3yXpLudcwTn3rKQDkq4ys/WS+p1z9zvnnKTPSHp7AHUDoZFKmMr+nLeRWtskI2+IqcilnEK5yjYBiI1MKqEbLlmre/cfV2nOMsoA2uYiSdea2W4z+7aZXekf3yjp0JzzDvvHNvq35x8HsIB0MjG7YEltzhsLliCuIhfeiuWqsoy8IUbeumOdTk+XWHUSaBEzu9fM9tb52CUpJWmlpKsl/VdJd/tz2Or17rtFji/02Lea2R4z23PixIkmfDdA+KSSL22b7MkklUvzRj3iKRV0Ac1WrNA2iXh508WrtaI7rb/fc0g/dNHqoMsBIsc5d8NC95nZbZK+4LdAPmhmVUmr5I2obZ5z6iZJR/zjm+ocX+ix75B0hyTt3LlzwZAHRFlXOqmZorcw18hUgZZJxFrkUk6xXGGbAMRKLp3UO67YpK/tO6aRyULQ5QBx80VJ10uSmV0kKSPppKR7JN1sZlkzO1/ewiQPOueOSpows6v9Ebp3S/pSIJUDIdGdSWraX1V5dKqoQRYrQYxFLuUUylVl05H7toBF/exVm1WqOP3Phw6f/WQAzXSnpG1mtlfSXZJucZ59ku6W9ANJ/yrpvc652p4et0n6K3mLmByU9JX2lw2ER082pelCWZLXNsl8N8RZ9Nom/a0CgDjZvrZPV50/qE999zn95zdsZdEeoE2cc0VJ71zgvtsl3V7n+B5Jl7W4NCAyutJJTRXPjLzt2NAfcEVAcBpOOfU2JzWztJl92t+EdL+ZfajxUpemyFYBiKn3X3+hjo7lGX0DAERKTzal6WJZzjnmvCH2Gko5C21OKumnJWWdc6+U9FpJv2hmWxt5rKUqsNokYuqNF67SFVtW6BPfPKh8qXL2TwAAIAS6M0lNFyqaKJRVqjjaJhFrjaachTYndZJ6zCwlqUtSUdJ4g4+1JIy8Ia7MTL/61ov14ukZ/eW3DwZdDgAATdGTSWmqWNbopL9BNwuWIMYaTTkLbU76PyVNSToq6QVJf+CcG633BZq9h423VQDzfRBPb7hwlX78VRv0iW8d1LMnp4IuBwCAhnVnvZG34QlvReU1fYQ3xNdZw9s5bk56laSKpA2Szpf0K2a2rd7Xd87d4Zzb6ZzbuXp143tUsWAJ4u43fvQS5VIJvf/vHqZ9EgAQej2ZlKZLFQ1P5CVJa/oJb4ivs6Yc59wNzrnL6nx8Sd5mo1/wl0V+UFJtc9Kfk/SvzrmS30r5HUk7W/mN1BTKFbYKQKyt6c/pD3/m1dr74rg+cs8+eXsHAwAQTl2ZpCpVp0OjM5KktX25gCsCgtNoyvmi6m9O+oKk683TI29k7okGH2tJCoy8AXrLpWv1vusu1F3fO6Tf+9cnCXAAgNDqyXjTYZ49OalMMqEV3emAKwKC0+g+b3dKutPfnLQof3NSM/tzSX8taa8kk/TXzrnHGnysJSmy2iQgSfqVt16kU9NF/eW3D2oiX9JHbtqhNG9sAABCpjvr/br63Mlpre7LypuhA8RTQ+Ftoc1JnXOT8rYLaCvnHFsFAD4z0/+z6zL15dL6y28f1P6j4/qDn36Vtq3uDbo0AACWrCfj/br67MiUNq3sCrgaIFiRSjmlitcaxlYBgCeRMH3wh1+h/37zq3VgeFI3/sm/6yP37NPweD7o0gAAWJLurNc2eWKiwEqTiL1G2yY7SrFSlUR4A+bb9eqNunrbkP7k3qf02Qee19/ufkFvvmSNfuKKjXrj9lXqzkTqRwEAIEJ6s2euUReuoXsE8Rap39iKZT+8Ma8HeJm1/Tn9v++4XL/4QxfoU999Tvc8ekRf2XtM6aTpis0rddnGAV2yvk8Xre3ThhVdGurJKJFgXgEAIFgXre2bvX3J+v4AKwGCF6nwVih7e1pl02zSDSxk66oefeSmHfr1H7lEu58d0X8cOKkHnhnV3z74vPKl6ux5mWRCaweyWtmdUV8upb5sWn25lHpzKWVTSaWTpnQy4X+YMqmEUomEUgmTmTfnziT/tmTyjktSwuwlx2rnac7f0dmu3DqolT2ZoMsAEAMDXWdWlyS8Ie4iFd4YeQOWLpNK6Nrtq3Xt9tWSpErV6bmRKR0cntTRsbyOjM3o2Fhep6dLmsiXNDxe0ES+rMlCWcVKdfbfG+Lp8790ja7sGQy6DAAx8eOv2qB/evSItg71BF0KEKhIhbd1Azn98/vfqI0rWIkIWK5kwnTB6l5dsMTVKJ1zqlSdylWnYqWqUrmqUsWpXK3KOXkfcv6f3vnen5LkVJ1/zpzb6Hznr+IXKADt80c/8yr99k07lKSdHzEXqfCWTSV12caBoMsAYsHMlEqaUkkpR6syAKCF0skErdqAIrZVAAAAAABEFeENAAAAAEKA8AYAAAAAIUB4AwAAAIAQILwBAAAAQAgQ3gAAAAAgBAhvAAAAABAChDcAAAAACAHCGwAAAACEAOENAAAAAELAnHNB1zDLzE5Ier4JX2qVpJNN+DrtQK2tE6Z6qbV1wlRv3Go9zzm3uhnFxEGTrpFxe421U5jqpdbWCVO91No6jda74PWxo8Jbs5jZHufczqDrWApqbZ0w1UutrROmeqkVrRam/29hqlUKV73U2jphqpdaW6eV9dI2CQAAAAAhQHgDAAAAgBCIani7I+gCloFaWydM9VJr64SpXmpFq4Xp/1uYapXCVS+1tk6Y6qXW1mlZvZGc8wYAAAAAURPVkTcAAAAAiJTQhjczu9HMnjSzA2b2wTr3m5l93L//MTN7TRB1+rVsNrNvmtl+M9tnZr9c55w3mdmYmT3if/xmELX6tTxnZo/7deypc39HPLdmdvGc5+sRMxs3sw/MOyfQ59XM7jSzYTPbO+fYoJl93cye9v9cucDnLvoab1Otv29mT/j/n//RzFYs8LmLvmbaVOtHzOzFOf+vf2SBz23r87pIvX8/p9bnzOyRBT633c9t3Z9Xnfq6RX1huUaG7fro18M1sjn1heb6uEi9XCNbUyvXx8U450L3ISkp6aCkbZIykh6VdOm8c35E0lckmaSrJe0OsN71kl7j3+6T9FSdet8k6Z+Dfm79Wp6TtGqR+zvmuZ33mjgmb1+MjnleJf2QpNdI2jvn2MckfdC//UFJv7fA97Poa7xNtb5VUsq//Xv1al3Ka6ZNtX5E0q8u4XXS1ud1oXrn3f+Hkn6zQ57buj+vOvV1y0fd/4ehuUaG7fro18M1sjk1heb6uEi9XCNbUOu8+7k+zvsI68jbVZIOOOeecc4VJd0lade8c3ZJ+ozzPCBphZmtb3ehkuScO+qce9i/PSFpv6SNQdTSJB3z3M7xZkkHnXPN2OS9aZxz/yZpdN7hXZI+7d/+tKS31/nUpbzGm6perc65rznnyv5fH5C0qZU1LNUCz+tStP15lRav18xM0s9I+rtW17EUi/y86sjXLeoKzTUygtdHqUOe23k67hoZpuujxDWyVbg+Ll9Yw9tGSYfm/P2wXv7DfinntJ2ZbZV0haTdde6+xsweNbOvmNmO9lb2Ek7S18zsITO7tc79nfjc3qyF/3F3yvNas9Y5d1TyfhBIWlPnnE58jv+LvHeT6znba6Zd3ue3r9y5QNtCJz6v10o67px7eoH7A3tu5/28CuvrNo5CeY0MyfVR4hrZSmH+OcM1svm4PtYR1vBmdY7NXzZzKee0lZn1SvoHSR9wzo3Pu/thee0Mr5L0p5K+2Oby5nqDc+41kn5Y0nvN7Ifm3d9Rz62ZZSTdJOnzde7upOd1OTrtOf6wpLKkzy1wytleM+3wF5IukPRqSUfltVrM11HPq+9ntfi7ioE8t2f5ebXgp9U5FvTzG0ehu0aG6PoocY0MWkc9vxLXyBbi+lhHWMPbYUmb5/x9k6Qj53BO25hZWt7/6M85574w/37n3LhzbtK//WVJaTNb1eYya7Uc8f8clvSP8oZ65+qo51beP9qHnXPH59/RSc/rHMdrLTT+n8N1zumY59jMbpH0Y5J+3vmN2/Mt4TXTcs654865inOuKun/W6CGjnleJcnMUpLeIenvFzoniOd2gZ9XoXrdxlyorpFhuj76NXCNbJ3Q/ZzhGtkaXB8XFtbw9j1J283sfP8dpZsl3TPvnHskvds8V0saqw1ptpvfs/tJSfudc3+0wDnr/PNkZlfJ+38z0r4qZ+voMbO+2m15k3H3zjutY55b34LvzHTK8zrPPZJu8W/fIulLdc5Zymu85czsRkn/TdJNzrnpBc5Zymum5ebNKfmJBWroiOd1jhskPeGcO1zvziCe20V+XoXmdYvwXCPDdH30H59rZGuF6ucM18iW4vq4ENemFVqa/SFvNaen5K3c8mH/2C9J+iX/tkn6c//+xyXtDLDWN8obGn1M0iP+x4/Mq/d9kvbJW33mAUmvD6jWbX4Nj/r1dPpz2y3vQjMw51jHPK/yLphHJZXkvevyC5KGJN0n6Wn/z0H/3A2SvrzYazyAWg/I69GuvW7/cn6tC71mAqj1s/7r8TF5PxDXd8LzulC9/vFP1V6rc84N+rld6OdVR75u+Vjw/2MorpGLvN465uf4vHq5RjavttBcHxepl2tkC2r1j39KXB/rfpj/xQAAAAAAHSysbZMAAAAAECuENwAAAAAIAcIbAAAAAIQA4Q0AAAAAQoDwBgAAAAAhQHgDAAAAgBAgvAEAAABACBDeAAAAACAE/n9hRlhATXB5AgAAAABJRU5ErkJggg==\n", "text/plain": [ - "
" + "
" ] }, - "metadata": {}, + "metadata": { + "needs_background": "light" + }, "output_type": "display_data" } ], @@ -962,12 +970,14 @@ }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": {}, + "metadata": { + "needs_background": "light" + }, "output_type": "display_data" } ], @@ -1012,12 +1022,14 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": {}, + "metadata": { + "needs_background": "light" + }, "output_type": "display_data" } ], @@ -1124,18 +1136,20 @@ "name": "stdout", "output_type": "stream", "text": [ - "Tau* = 0.355 ms\n", - "I0* = 983.533 pA\n" + "Tau* = 0.368 ms\n", + "I0* = 927.829 pA\n" ] }, { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": {}, + "metadata": { + "needs_background": "light" + }, "output_type": "display_data" } ], @@ -1184,21 +1198,23 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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\n", "text/plain": [ - "
" + "
" ] }, - "metadata": {}, + "metadata": { + "needs_background": "light" + }, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ - "Estimated Rs 11.4 MOhm\n", - "Estimated Rm 537.2 MOhm\n", - "Estimated Cm 31.74 pF\n" + "Estimated Rs 11.6 MOhm\n", + "Estimated Rm 506.6 MOhm\n", + "Estimated Cm 32.34 pF\n" ] } ], @@ -1254,7 +1270,7 @@ }, { "cell_type": "code", - "execution_count": 32, + "execution_count": 26, "id": "e2de955e", "metadata": {}, "outputs": [ @@ -1278,6 +1294,81 @@ "print(f'Estimated Rm {1e3 * Rm_est:>5.1f} MOhm')\n", "print(f'Estimated Cm {Cm_est:>5.2f} pF')" ] + }, + { + "cell_type": "code", + "execution_count": 27, + "id": "531b54fc", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated Rs 11.1 MOhm\n", + "Estimated Rm 471.2 MOhm\n", + "Estimated Cm 30.34 pF\n" + ] + } + ], + "source": [ + "I = dB['amp.I_obs'] + np.random.normal(0, 5, size=t.shape)\n", + "Rs_est, Rm_est, Cm_est, points = estimate_cell_parameters(t, I, T, dV, dt)\n", + "\n", + "print(f'Estimated Rs {1e3 * Rs_est:>5.1f} MOhm')\n", + "print(f'Estimated Rm {1e3 * Rm_est:>5.1f} MOhm')\n", + "print(f'Estimated Cm {Cm_est:>5.2f} pF')" + ] + }, + { + "cell_type": "markdown", + "id": "883f5be6", + "metadata": {}, + "source": [ + "The quality of the estimate varies with the noise, so in real life it will vary a bit every time the test is run.\n", + "Besides reducing noise by averaging, we can also try increasing the sampling rate:" + ] + }, + { + "cell_type": "code", + "execution_count": 28, + "id": "ed421000", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Estimated Rs 11.6 MOhm\n", + "Estimated Rm 502.0 MOhm\n", + "Estimated Cm 31.59 pF\n" + ] + } + ], + "source": [ + "f = 0.01\n", + "sB.reset()\n", + "dB = sB.run(2 * T, log_interval=f * dt).npview()\n", + "t = dB.time()\n", + "I = dB['amp.I_obs'] + np.random.normal(0, 5, size=t.shape)\n", + "Rs_est, Rm_est, Cm_est, points = estimate_cell_parameters(t, I, T, dV, f * dt)\n", + "\n", + "print(f'Estimated Rs {1e3 * Rs_est:>5.1f} MOhm')\n", + "print(f'Estimated Rm {1e3 * Rm_est:>5.1f} MOhm')\n", + "print(f'Estimated Cm {Cm_est:>5.2f} pF')" + ] + }, + { + "cell_type": "markdown", + "id": "c8f25203", + "metadata": {}, + "source": [ + "## Conclusion\n", + "\n", + "We have rederived and implemented a method of calculating $R_s$, $R_m$, and $C_m$ suggested in the pCLAMP manual.\n", + "On noise-free signals it performs well.\n", + "On noisy signals it still provides usable estimates, and the accuracy can be increased by averaging out the noise or using higher sampling rates." + ] } ], "metadata": { diff --git a/artefacts/library.py b/artefacts/library.py index 41daa4b..dbd6088 100755 --- a/artefacts/library.py +++ b/artefacts/library.py @@ -75,6 +75,7 @@ def _integrate_current(t, I, Iss, i0, i3, cutoff, dt, invert): # Increase i0 if necessary i = np.where(iup > cutoff)[0][0] + iup = iup[i:] i0 += i @@ -85,7 +86,7 @@ def _integrate_current(t, I, Iss, i0, i3, cutoff, dt, invert): def estimate_cell_parameters( - t, I, T, dV, dt=None, f1=0.05, f2=0.8, f3=0.8, f4=1): + t, I, T, dV, dt=None, f1=0.1, f2=0.8, f3=0.8, f4=1): """ Arguments: From f349c11d6e214ab688a1465359faa77098a1fc84 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 31 Jan 2024 00:39:21 +0000 Subject: [PATCH 50/77] Reference fixes --- artefacts/appendix-E1-rs-cm-one-shot.ipynb | 6 ++- artefacts/appendix-E2-rs-cm-iterative.ipynb | 44 +++++++++++++++++++++ artefacts/artefacts-2-compensation.ipynb | 2 +- artefacts/references.ipynb | 3 +- 4 files changed, 51 insertions(+), 4 deletions(-) create mode 100644 artefacts/appendix-E2-rs-cm-iterative.ipynb diff --git a/artefacts/appendix-E1-rs-cm-one-shot.ipynb b/artefacts/appendix-E1-rs-cm-one-shot.ipynb index 48b53e9..04ae11c 100644 --- a/artefacts/appendix-E1-rs-cm-one-shot.ipynb +++ b/artefacts/appendix-E1-rs-cm-one-shot.ipynb @@ -15,9 +15,11 @@ "metadata": {}, "source": [ "During a patch clamp experiment, estimates of $R_s$ and $C_m$ must be made to facilitate slow capacitance and series resistance compensation.\n", - "Here we review two methods: A \"one-shot\" method, that uses current measured during a test pulse without $R_s$ or $C_m$ compensation to make a single prediction, and an \"iterative\" method, that uses currents measured during successive test pulses while $R_s$ and $C_m$ compensations are refined.\n", + "In this appendix, we will review a \"one-shot\" method that uses current measured during a test pulse without $R_s$ or $C_m$ compensation to make a single prediction.\n", + "In the next appendix, we wil look at an \"iterative\" method that uses currents measured during successive test pulses while $R_s$ and $C_m$ compensations are refined.\n", "\n", - "This notebook describes the one-shot approach described in Axon's pCLAMP manual, where it is attributed to Dr. Fernando Garcia-Diaz. As reference we use the pCLAMP 9 User Guide Rev D, as available from [Molecular devices](https://support.moleculardevices.com/s/article/pCLAMP-Software-Manual-Download-Page), starting on page 229." + "The approach described here is explained in Axon's pCLAMP manual, where it is attributed to Dr. Fernando Garcia-Diaz.\n", + "As reference we shall use the pCLAMP 9 User Guide Rev D (later versions are missing equation numbers), as available from [Molecular devices](https://support.moleculardevices.com/s/article/pCLAMP-Software-Manual-Download-Page), starting on page 229." ] }, { diff --git a/artefacts/appendix-E2-rs-cm-iterative.ipynb b/artefacts/appendix-E2-rs-cm-iterative.ipynb new file mode 100644 index 0000000..613ae17 --- /dev/null +++ b/artefacts/appendix-E2-rs-cm-iterative.ipynb @@ -0,0 +1,44 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "7fb2df6f", + "metadata": {}, + "source": [ + "# Appendix E2: Estimating Rs and Cm; an iterative approach\n", + "**Appendix E describes Rs and Cm estimation methods**" + ] + }, + { + "cell_type": "markdown", + "id": "e2ffeda4", + "metadata": {}, + "source": [ + "Having reviewed the \"one-shot\" approach used in Axon's pCLAMP, we now turn to the iterative method employed in HEKA devices such as the EPC-10.\n", + "\n", + "As reference we shall use the EPC-10 hardware manual version 3.1, as available from [HEKA](http://www.heka.com/downloads/downloads_main.html#down_pca), starting on page 57, but more importantly - [Sigworth 1995c](https://doi.org/10.1016/0165-0270(94)00129-5), section 3." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.11.6" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 0a7eeb4..176cde2 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -468,7 +468,7 @@ "## Filtering the output\n", "\n", "Patch-clamp amplifiers have several options to filter the data as they record it.\n", - "In the HEKA EPC-9 and EPC-10, which are based on the Sigworth design discussed here, there are two built-in analog filters, one of which is always on [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00129-5).\n", + "In the HEKA EPC-9 and EPC-10, which are based on the Sigworth design discussed here, there are two built-in analog filters, one of which is always on [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4).\n", "\n", "Instead of working out the transfer function etc., we'll simulate the application of the analog filter using a digital filter from SciPy.\n", "I'm not 100% sure this is the best way to do it.\n", diff --git a/artefacts/references.ipynb b/artefacts/references.ipynb index e14fcae..e22e9f2 100644 --- a/artefacts/references.ipynb +++ b/artefacts/references.ipynb @@ -22,7 +22,8 @@ "- [Magistretti, Mantegazza, Guatteo, Wanke (1996) Action potentials recorded with patch-clamp amplifiers; are they genuine](https://doi.org/10.1016/s0166-2236(96)40004-2)\n", "- [Sigworth (1983) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4615-7858-1_1) in Single-channel Recording\n", "- [Sigworth (1995a) Electronic Design of the Patch Clamp](https://doi.org/10.1007/978-1-4419-1229-9_4) in Single-channel Recording\n", - "- [Sigworth (1995b) Design of the EPC-9, a computer-controlled patch-clamp amplifier, 1 Hardware](https://doi.org/10.1016/0165-0270(94)00129-5)\n", + "- [Sigworth (1995b) Design of the EPC-9, a computer-controlled patch-clamp amplifier, 1 Hardware](https://doi.org/10.1016/0165-0270(94)00128-4)\n", + "- [Sigworth, Affolter, Neher (1995c) Design of the EPC-9, a computer-controlled patch-clamp amplifier, 2 Software](https://doi.org/10.1016/0165-0270(94)00129-5)\n", "- [Strickholm (1995b) A single electrode voltage, current- and patch-clamp amplifier with complete stable series resistance compensation](https://doi.org/10.1016/0165-0270(95)00021-L)\n", "- [Weerakoon, Culurciello, Klemic, Sigworth (2009) An Integrated Patch-Clamp Potentiostat With Electrode Compensation](https://doi.org/10.1109/TBCAS.2008.2005419)\n", "- [Wilson, Goldner (1975) Voltage clamping with a single microelectrode](https://doi.org/10.1002/neu.480060406)\n" From abe70c7fa2889a2a5b6e04018b482be9adbc5e75 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 1 Feb 2024 17:17:36 +0000 Subject: [PATCH 51/77] Tweaks --- artefacts/appendix-E1-rs-cm-one-shot.ipynb | 2 +- artefacts/artefacts-3-simulations.ipynb | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/artefacts/appendix-E1-rs-cm-one-shot.ipynb b/artefacts/appendix-E1-rs-cm-one-shot.ipynb index 04ae11c..834c3ab 100644 --- a/artefacts/appendix-E1-rs-cm-one-shot.ipynb +++ b/artefacts/appendix-E1-rs-cm-one-shot.ipynb @@ -133,7 +133,7 @@ "Alternatively, we can rephrase this as a model for $I$\n", "\n", "\\begin{align}\n", - "\\dot{I}_\\text{Rs} = \\frac{\\frac{V_c}{R_s + R_m} - I}{\\frac{R_sR_m}{R_s+R_m}C_m} + \\frac{\\dot{V}_c}{R_s}\n", + "\\dot{I} = \\frac{\\frac{V_c}{R_s + R_m} - I}{\\frac{R_sR_m}{R_s+R_m}C_m} + \\frac{\\dot{V}_c}{R_s}\n", " = \\frac{I_\\infty - I}{\\tau} + \\frac{\\dot{V}_c}{R_s}\n", "\\end{align}\n", "\n", diff --git a/artefacts/artefacts-3-simulations.ipynb b/artefacts/artefacts-3-simulations.ipynb index b34f955..a984738 100644 --- a/artefacts/artefacts-3-simulations.ipynb +++ b/artefacts/artefacts-3-simulations.ipynb @@ -5,7 +5,7 @@ "id": "cf0eda98", "metadata": {}, "source": [ - "# Simulating a patch clamp experiment\n", + "# Simulating a manual patch clamp experiment\n", "\n", "In the [last notebook](./artefacts-2-compensation.ipynb) we completed our model of whole-cell patch clamp in voltage-clamp mode, with compensation circuitry.\n", "Here, we use this model to simulate a manual patch clamp experiment." From 5b693fb5757148f864bbf803de810b8b20d7b26f Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 13 Feb 2024 11:30:35 +0000 Subject: [PATCH 52/77] Added note on filtering --- artefacts/artefacts-2-compensation.ipynb | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 176cde2..a9e2d44 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -655,7 +655,8 @@ "For this fast current, the filtering does seem to have a notable effect.\n", "It's also worth noting that, in the published EPC-9 design, the $R_s$ compensation uses a signal that has been passed through this filter.\n", "\n", - "For now, we will not include the filter in our model." + "For now, we will not include the filter in our model.\n", + "See [Kuo & Bean (1994)](https://doi.org/10.1016/0896-6273(94)90335-2) for a real-life mention of the filter's effect on very fast INa properties." ] }, { From 9944740f5fe67cc5f05d8c12afb6ed6c8e4f4345 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Mon, 11 Mar 2024 16:59:44 +0000 Subject: [PATCH 53/77] Update appendix-C3-parameter-values.ipynb --- artefacts/appendix-C3-parameter-values.ipynb | 2 ++ 1 file changed, 2 insertions(+) diff --git a/artefacts/appendix-C3-parameter-values.ipynb b/artefacts/appendix-C3-parameter-values.ipynb index 5094fb3..67f0e62 100644 --- a/artefacts/appendix-C3-parameter-values.ipynb +++ b/artefacts/appendix-C3-parameter-values.ipynb @@ -47,6 +47,8 @@ "| Sutter IPA | 1-100 MOhm |\n", "| Warner PC-505B | 0-10 MOhm |\n", "\n", + "To-do: https://www.npielectronic.com/product-category/electrophysiology-amplifiers\n", + "\n", "### Origin and definition\n", "\n", "Consists _at least_ of the pipette resistance, followed by the resistance of the connection to the cell.\n", From d88c5d8afc7a325eec59fb80444ebd24c785bfc7 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 15 May 2024 21:45:26 +0100 Subject: [PATCH 54/77] Updated simplified models notebook. --- .../appendix-B1-uncompensated-models.ipynb | 2 +- artefacts/appendix-C1-symbols.ipynb | 2 +- artefacts/appendix-C3-parameter-values.ipynb | 2 +- .../artefacts-1-modelling-patch-clamp.ipynb | 26 ++- artefacts/artefacts-2-compensation.ipynb | 2 +- artefacts/artefacts-4-simplified.ipynb | 217 +++++++++++++++--- 6 files changed, 217 insertions(+), 34 deletions(-) diff --git a/artefacts/appendix-B1-uncompensated-models.ipynb b/artefacts/appendix-B1-uncompensated-models.ipynb index 123b66f..02efde5 100644 --- a/artefacts/appendix-B1-uncompensated-models.ipynb +++ b/artefacts/appendix-B1-uncompensated-models.ipynb @@ -1026,7 +1026,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.3" } }, "nbformat": 4, diff --git a/artefacts/appendix-C1-symbols.ipynb b/artefacts/appendix-C1-symbols.ipynb index c8813bf..28cbd80 100644 --- a/artefacts/appendix-C1-symbols.ipynb +++ b/artefacts/appendix-C1-symbols.ipynb @@ -89,7 +89,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.3" } }, "nbformat": 4, diff --git a/artefacts/appendix-C3-parameter-values.ipynb b/artefacts/appendix-C3-parameter-values.ipynb index 67f0e62..b7399aa 100644 --- a/artefacts/appendix-C3-parameter-values.ipynb +++ b/artefacts/appendix-C3-parameter-values.ipynb @@ -322,7 +322,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.3" } }, "nbformat": 4, diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 857c76d..35923b9 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -801,6 +801,30 @@ "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "a2b5477e-528f-487d-b3bb-392ec513cd33", + "metadata": {}, + "source": [ + "## Final equations\n", + "\n", + "\\begin{align}\n", + "1.1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off} - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "1.2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} + C_f\\dot{V}_o - \\frac{V_p + E_\\text{off} - V_m}{R_s}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "1.3. && \\dot{V}_o = \\frac{V_c - V_p}{\\tau_a}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "1.4. && I_\\text{obs} = \\frac{V_o - V_c}{R_f}\n", + "\\end{align}" + ] + }, { "cell_type": "markdown", "id": "e3528d0b", @@ -832,7 +856,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.3" } }, "nbformat": 4, diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index a9e2d44..f494585 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -848,7 +848,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.3" } }, "nbformat": 4, diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb index f6baec4..f3fd3ad 100644 --- a/artefacts/artefacts-4-simplified.ipynb +++ b/artefacts/artefacts-4-simplified.ipynb @@ -662,77 +662,234 @@ }, { "cell_type": "markdown", - "id": "92852f44", + "id": "285ac42e-e2e4-402c-ab56-3c04383544f3", "metadata": {}, "source": [ - "## Simplified uncompensated\n", + "## Alternative formulation\n", + "\n", + "We now have the model\n", + "\n", + "\\begin{align}\n", + "3.1. && C_m\\dot{V}_m = \\frac{V_\\text{ref} - V_m}{R_s} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3.2b. && C_f\\dot{V}_o &= \\frac{V_\\text{ref} - V_m}{R_s} - \\frac{V_o - V_\\text{ref}}{R_f} + C_f \\dot{V}_\\text{ref} - C_m^* \\dot{V}_\\text{est}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3.3. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3.4. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3.5. && R_f I_\\text{obs} &= V_o - V_\\text{ref}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "5c36bb80-961f-4213-b7d6-ebc0613fd9b2", + "metadata": {}, + "source": [ + "To write it in the style of Lei et al., we turn 3.5 into an ODE:\n", + "\n", + "\\begin{align}\n", + "R_f \\dot{I}_\\text{obs} &= \\dot{V}_o - \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "Multiply by $C_f$, fill in 3.2b, then 3.5, then 3.1 to find\n", + "\n", + "\\begin{align}\n", + "R_fC_f \\dot{I}_\\text{obs} \n", + " &= C_f\\dot{V}_o - C_f\\dot{V}_\\text{ref} \\\\\n", + " &= \\frac{V_\\text{ref} - V_m}{R_s} - \\frac{V_o - V_\\text{ref}}{R_f} + C_f\\dot{V}_\\text{ref} - C_m^* \\dot{V}_\\text{est} - C_f \\dot{V}_\\text{ref} \\\\\n", + " &= \\frac{V_\\text{ref} - V_m}{R_s} - C_m^* \\dot{V}_\\text{est} - I_\\text{obs} \\\\\n", + "\\tau_f \\dot{I}_\\text{obs} &= I + C_m\\dot{V}_m - C_m^* \\dot{V}_\\text{est} - I_\\text{obs}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "f13a18bd-3cc0-4d8a-8e0c-5527056a6c1e", + "metadata": {}, + "source": [ + "With this equation, the simplified model becomes:\n", "\n", "\\begin{align}\n", - "5.1. && C_m\\dot{V}_m = \\frac{V_\\text{ref} - V_m}{R_s} - I\n", + "3.1. && C_m\\dot{V}_m = \\frac{V_\\text{ref} - V_m}{R_s} - I\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "5.2. && C_f\\dot{V}_o &= \\frac{V_\\text{ref} - V_m}{R_s} - \\frac{V_o - V_\\text{ref}}{R_f} + \\left(C_f+C_p- C_p^*\\right)\\dot{V}_\\text{ref}\n", + "3.3. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", "\\end{align}\n", "\n", "\\begin{align}\n", - "5.3. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c - V_\\text{ref}\n", + "3.4. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "5.4. && R_f I_\\text{obs} &= V_o - V_\\text{ref}\n", + "3.2c. && \\tau_f \\dot{I}_\\text{obs} &= I + C_m\\dot{V}_m - C_m^* \\dot{V}_\\text{est} - I_\\text{obs}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "11b1c16f-aeb4-49e2-a63e-8cbe469b9402", + "metadata": {}, + "source": [ + "Besides dropping from 5 to 4 equations, this formulation lumps $R_f$ and $C_f$ into a single parameter $\\tau_f = R_fC_f$. This has two benefits.\n", + "\n", + "First, in the case that we want to infer $R_f$ and $C_f$, e.g. to account for the limited accuracy of the electrical components.\n", + "This formulation shows that fitting both $R_f$ and $C_f$ would lead to identifiability problems, so that we should fit only $\\tau_f$ instead.\n", + "\n", + "Second, we can account for clever electronics tricks that reduce the apparent $\\tau_f$ by simply using a shorter value." + ] + }, + { + "cell_type": "markdown", + "id": "cd5e7601-d969-46c2-aadc-a67131d0d147", + "metadata": {}, + "source": [ + "### Initial value for $I_\\text{obs}$\n", + "\n", + "A downside of this formulation is that we now need to have an initial value for $I_\\text{obs}$.\n", + "\n", + "**Assuming** we start in a stable situation where $\\dot{I}_\\text{obs} = 0$ and $\\dot{V}_m = \\dot{V}_\\text{est} = 0$ we get this as:\n", + "\n", + "\\begin{align}\n", + "\\tau_f \\dot{I}_\\text{obs}(0) &= I(0) + C_m\\dot{V}_m(0) - C_m^* \\dot{V}_\\text{est}(0) - I_\\text{obs}(0) \\\\\n", + "0 &= I(0) - I_\\text{obs}(0) \\\\\n", + "I_\\text{obs}(0) = I(0)\n", "\\end{align}\n" ] }, { - "cell_type": "code", - "execution_count": null, - "id": "0f8a9888", + "cell_type": "markdown", + "id": "3f010526-1594-4660-b51f-25fbbd006f5c", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "## Model and parameters" + ] }, { "cell_type": "markdown", - "id": "1dc8e296", + "id": "30f5fe3f-6c3c-4f57-bf16-be434aa56f8e", "metadata": {}, "source": [ + "To get the final model, we use the alternative formulation and add back in the remaining voltage offset $E_\\text{off}^\\dagger$ and leak to find:\n", + "\n", + "\\begin{align}\n", + "I_\\text{leak} = \\frac{V_m - E_\\text{leak}}{R_\\text{leak}}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C_m\\dot{V}_m = \\frac{V_\\text{ref} +E_\\text{off}^\\dagger - V_m}{R_s} - I - I_\\text{leak}\n", + "\\end{align}\n", + "\n", "\\begin{align}\n", - "6.1. && C_m\\dot{V}_m = \\frac{V_c - V_m}{R_s} - I\n", + "\\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", "\\end{align}\n", "\n", "\\begin{align}\n", - "6.2. && C_f\\dot{V}_o &= \\frac{V_c - V_m}{R_s} - \\frac{V_o - V_c}{R_f}\n", + "\\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "6.3. && R_f I_\\text{obs} &= V_o - V_c\n", + "\\tau_f \\dot{I}_\\text{obs} &= I + I_\\text{leak} + C_m\\dot{V}_m - C_m^* \\dot{V}_\\text{est} - I_\\text{obs}\n", "\\end{align}" ] }, { "cell_type": "markdown", - "id": "c81645be", + "id": "154713fb-cc38-40f3-895a-9d21d6fecdce", "metadata": {}, "source": [ - "Can go one step further but then I_obs(0) becomes important" + "With initial conditions \n", + "\\begin{align}\n", + "V_m(t=0) &= V_{m,0} \\\\\n", + "V_\\text{est}(t=0) &= V_{m,0} \\\\\n", + "V_\\text{ref}(t=0) &= V_{m,0} \\\\\n", + "I_\\text{obs}(t=0) &= I(V_{m,0}) + I_\\text{leak}(V_{m,0})\n", + "\\end{align}" ] }, { - "cell_type": "code", - "execution_count": null, - "id": "caf64920", + "cell_type": "markdown", + "id": "cdeefcc8-b0ab-4801-8b19-d1e3c04199c0", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "This means we need the following parameters:\n", + "\n", + "| Parameter | Meaning | Source | Approximation |\n", + "|:-----------------------|:----------------------------|:-------------|-----------------|\n", + "| $C_m$ | Membrane capacitance | Unknown | $C_m^*$ |\n", + "| $C_m^*$ | Estimated $C_m$ | User setting | |\n", + "| $R_s$ | Series resistance | Unknown | $R_s^*$ |\n", + "| $R_s^*$ | Estimated $R_s$ | User setting | |\n", + "| $\\tau_f$ | Feedback time constant | [Appendix C3](appendix-C3-parameter-values) | |\n", + "| $\\tau_\\text{sum}$ | $R_s$ compensation lag | User setting | |\n", + "| $\\alpha$ | $R_s$ compensation fraction | User setting | |\n", + "| $\\beta$ | $R_s$ prediction fraction | User setting | |\n", + "| $E_\\text{off}^\\dagger$ | Remaining voltage offset | Unknown | 0 |\n", + "| $E_\\text{leak}$ | Leak offset | Unknown | 0 |\n", + "| $R_\\text{leak}$ | Leak resistance | Unknown | $R_\\text{seal}$ |\n" + ] }, { - "cell_type": "code", - "execution_count": null, - "id": "85368a7f", + "cell_type": "markdown", + "id": "aef6b296-82fe-4ce9-82a8-2ab00fcc0eed", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "Estimates for $C_m$ and $R_s$ are usually made before running the experiment. But we could also choose to infer these values, or use the correction estimates $C_m^*$ and $R_s^*$.\n", + "\n", + "Similarly, a pre-experiment estimate for $R_\\text{seal}$ (or $R_\\text{memb}$) is often available, and could be used for $R_\\text{leak}$.\n", + "Alternatively, a special leak-estimation step could be included in each protocol (especially if $E_\\text{leak}$ appears to be non-zero).\n", + "\n", + "On many amplifiers, $\\alpha$, $\\beta$, $R_s^*$ and $C_m^*$ will be read off from a dial, so perhaps should include an error to infer.\n", + "Of some amplifiers, $\\alpha = \\beta$, removing one parameter.\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "d4adc471-ce82-47be-bc9b-c8c94b433b8c", + "metadata": {}, + "source": [ + "### Capacitance-normalised model\n", + "\n", + "If we want to use capacitance-normalised currents $\\tilde{I}_x = I_x / C'_m$, we get the following equations:\n", + "\n", + "\\begin{align}\n", + "\\tilde{I}_\\text{leak} = \\frac{V_m - E_\\text{leak}}{R_\\text{leak} C'_m}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_m = \\frac{V_\\text{ref} +E_\\text{off}^\\dagger - V_m}{R_s C'_m} - \\tilde{I} - \\tilde{I}_\\text{leak}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* C'_m \\tilde{I}_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\tau_f \\dot{\\tilde{I}}_\\text{obs} &= \\tilde{I} + \\tilde{I}_\\text{leak} + \\frac{C_m}{C'_m}\\dot{V}_m - \\frac{C_m^*}{C'_m} \\dot{V}_\\text{est} - \\tilde{I}_\\text{obs}\n", + "\\end{align}\n", + "\n", + "Where we have differentiated between\n", + "1. $C_m$: The true, unknown, capacitance\n", + "2. $C_m^*$: The estimate of $C_m$ used in capacitance correction\n", + "3. $C'_m$: The estimate of $C_m$ used in normalisation.\n", + "\n", + "Occasionally we may equate all three, which simplifies the final two equations. " + ] }, { "cell_type": "markdown", @@ -744,7 +901,9 @@ "We have shown that\n", "\n", "1. Omitting the op-amp dynamics removes any differences between the model formulation based on Sigworth 1995 and on Lei 2020, and leads to visually indistinguishable results.\n", - "2. Omitting the effects of $C_p$ and $C_p^*$, or even the capacitative spike due to $C_f, leads to transient differences at the start of any step change, but may be beneficial for parameter estimation purposes." + "2. Omitting the effects of $C_p$ and $C_p^*$, or even the capacitative spike due to $C_f$, leads to transient differences at the start of any step change, but may be beneficial for parameter estimation purposes.\n", + "3. The final model can be reformulated to lump $R_f$ and $C_f$ into a single parameter $\\tau_f$, which can be beneficial.\n", + "4. Although the final model has 11 parameters, we usually only need to infer a few." ] } ], @@ -764,7 +923,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.3" } }, "nbformat": 4, From aedef10aa508ddec2e29ffb995b4a4021e1e28cf Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 16 May 2024 22:06:33 +0100 Subject: [PATCH 55/77] Updated simplified models notebook. --- artefacts/artefacts-4-simplified.ipynb | 172 +++++++++++++++++++------ 1 file changed, 130 insertions(+), 42 deletions(-) diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb index f3fd3ad..3ded706 100644 --- a/artefacts/artefacts-4-simplified.ipynb +++ b/artefacts/artefacts-4-simplified.ipynb @@ -114,7 +114,16 @@ "execution_count": 1, "id": "a6385fdd", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.36.2.dev).\n", + " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" + ] + } + ], "source": [ "import myokit\n", "\n", @@ -324,14 +333,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -359,14 +366,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -407,14 +412,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -479,7 +482,7 @@ }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 10, "id": "98880e36", "metadata": {}, "outputs": [], @@ -526,7 +529,7 @@ }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 11, "id": "7bbe5119", "metadata": {}, "outputs": [], @@ -539,20 +542,18 @@ }, { "cell_type": "code", - "execution_count": 15, + "execution_count": 12, "id": "9c7a03b0", "metadata": {}, "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA30AAAK9CAYAAACQFQW5AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAAD5yklEQVR4nOzdd3yV9fn/8dd1RjYZQMKOTJUhiERBrXuhtuIuamtrbalWu762VbuXP7u31abVVju01jqwxV1HrQODioCCICiEGcheJ2d8fn+cAyYkQAI5uc9J3s/HIw/Pua97vLlNcuc6931/bnPOISIiIiIiIv2Tz+sAIiIiIiIikjxq+kRERERERPoxNX0iIiIiIiL9mJo+ERERERGRfkxNn4iIiIiISD+mpk9ERERERKQfU9MnIiLSB8zsDjPbZmbL91A3M/uVma0xszfM7Ii+zigiIv2Tmj4REZG+8Sdg7l7qZwKTEl8LgFv7IJOIiAwAavpERET6gHPuOaB6L7PMA+5ycS8BhWY2om/SiYhIfxbwOkB3DR061I0dO9brGCIikmRLlizZ7pwr9jqHB0YBG9q9r0xM27z7jGa2gPjZQHJzc2cdeuihfRJQRES8tb/HyLRp+saOHUtFRYXXMUREJMnM7D2vM3jEupjmuprROVcOlAOUlZU5HR9FRAaG/T1G6vJOERGR1FAJjGn3fjSwyaMsIiLSj6jpExERSQ0LgcsTo3jOAeqcc50u7RQREemptLm8U0REJJ2Z2d3AicBQM6sEvgUEAZxztwGLgLOANUAzcIU3SUVEpL9R0yciItIHnHOX7KPugGv6KI6IiAwgSb2808zGmNnTZvaWma0ws88npg82syfMbHXiv0XJzCEiIiIiIjJQJfuevghwnXNuMjAHuMbMpgA3AE855yYBTyXei4iIiIiISC9L6uWdiRvQNydeN5jZW8SfOTSP+H0NAHcCzwDXJzOLiHQtWlvJ5rf+R/22SpaOuAiA0dueZnB4K1kFw8gpGUvhyIPJLhoO1tWI8iIiIiKSyvrsnj4zGwvMBF4Ghu0ckcw5t9nMSvawzK6Hz5aWlvZRUpH+z7U1s+aJ35Pzxp2MCr3DaKDRZXFWKP6A59uCf+Y4/ysdltnAMD5ddDsjC7M4K/IfhmW0kFE0mtyhoyksKaVw2Biyc/IwNYYiIiIiKaVPmj4zywP+CXzBOVff3T8Kd3/4bPISigwcK7fU8/pfv878hjt5k3EsHfEZciYdR/FBU3lhSAk+M6LRE3i7djt12zfSWvUurmYdDc0hhmdkUVnTwoTafzCD1R3W+0rsYD4c/ja5mQFut+9TYjW0WRbODIePt4JT+Uv+J/EZfKnmewyK1WPEMBw4WJoxk3vyPoJz8L3a68lyrYmaw4AXMo7h7zkfBuDXtdfGl3XE58HxeMap3Jd1AVmuhVsaPg/ElzMXrz+Q8SH+mXEORbFaftv8f/FaYlmAu4If5qHgXEbGNvPr1q++/w9L/Ob5bfByHvOfyPjoOn4W/l6n/frjwKd4xmZzWGwlP4j+pFP9u/5redl3OLNjr/PN6G861W/wf4llvkM5IfYyX4n+vlP984Gvs8bGMjf2LJ+N/rlT/dOB71FpIzg/+hhXxv7RqX554MfssCIujS7kstjCTvULA7+mxbL5ZPRezos93ql+dvAPAHw2ehdzY891qLWQyYXBWwA4Z8ZIvv7BKZ2WFxEREe8kvekzsyDxhu+vzrn7E5O3mtmIxFm+EcC2ZOcQGfCc48H/vcZXHt1KccZpFJV9gJPOuIApQX/X8w/Og/FjgWN3TTp717peoam+mh2b11G7dQMtOzZQHwnwmYKJNIYiNL83mZrWTQRiIcCBi2HBTLKCPmIxwHw4n58YASD+IZAFM8nLjP9KCgXyibmseNtm8fbMsvIpGZSFAdUto+MxEq0bZvhyhlE6KIcM52djdDLxls4Sqzf8eaUcmpdPdszHO1VHdli3w8jKn8DhuYUMisR4u+rYTleyDimYwJzcIQwOh3ln+wfimdvVDxo8npNzSigOtbIuUW9v4pDx5GWXMLxlPOt2dK5PGTqWkqwSRjdPYF115/qMklIOyihhVOMk1tV2rs8aNppDgkMoaTiEdXWd63NGjKTVP4ii+smsq6/uVD9+5HAivkxya6eyrrG5U/2U0fELMjJrprGuKdahFrEgp4yK1yePyO+0rIiIiHjL4iNEJ2nl8VN6dwLVzrkvtJv+Y2CHc+4HZnYDMNg595W9rausrMxVVFQkLatIv+YcS/9wNUUbnuT7Y8r5f5ccy9C8TK9TiXTJzJY458q8zpEudHwUERk49vcYmezRO48FPgqcbGavJ77OAn4AnGZmq4HTEu9FJEle++vXmbHxbtYOOYFbrjhBDZ+IiIjIAJLs0Tufp+MVUO2dksxti0jc8v8+yIzVt/BS3ikcd205fv8eLucUERERkX4p2Wf6RMRDNds2MuKpz7HeP5rDrv6TGj4RERGRAUhNn0g/9vPHVrAkdjDR8+8gN08DbIiIiIgMRH32nD4R6VuL11Vz14owhSffwunTDvE6joiIiIh4RGf6RPqhWDTGO3+/gWMGVXH1iRO9jiMiIiIiHtKZPpF+6JWnH+CS1r8zZcYhZGfoPj4RERGRgUxn+kT6GeccOS/9jCobwrSzr/E6joiIiIh4TE2fSD+z5IUnOCyynMopn8KfkeV1HBERERHxmJo+kX6m+YXf00QW087+jNdRRERERCQFqOkT6Uc2VDezrC6LFaMuJphT4HUcEREREUkBGshFpB+5t2IDv4nO5/mLTvY6ioiIiIikCJ3pE+knojHH64uf5cRJQxhVmO11HBERERFJEWr6RPqJN5a/wZ8jX+a6gqe9jiIiIiIiKURNn0g/UfXyvQCMP/Yij5OIiIiISCpR0yfSD8RijlGbHuXdzEPIGT7R6zgiIiIikkIG5EAuQ4cOZezYsV7HkH7q3XffZfv27X26zeVvv810t4Y3x3++T7crIumvvLyc8vJyAFauXMmhhx7qcSLpr7w4PopI3IBs+saOHUtFRYXXMaSfKisr6/Ntbl6yiOnAmCM/1OfbFpH0tmDBAhYsWADEf3/p+CjJ4sXxUUTidHmnSD/wx7qZfLPgJgaNneV1FBERERFJMWr6RNJcXUuYVyqbKZh6Gvj0Iy0iIiIiHekvRJE098Zri/mi7x5OHOW8jiIiIiIiKWjANH3l5eWUlZVRVlZGVVWV13FEek3Dm49zbeAhDhue43UUEREREUlBA6bpW7BgARUVFVRUVFBcXOx1HJFek7dtCdv9xWQMKfU6ioiIiIikoAHT9In0Ry1tUSaFVlBVOMPrKCLSDWY218xWmdkaM7uhi3qBmT1sZkvNbIWZXeFFThER6V/U9ImksZUrVzDCqvGVzvE6iojsg5n5gVuAM4EpwCVmNmW32a4B3nTOzQBOBH5qZhl9GlRERPodNX0iaWz9O2/S4LIZNu1Er6OIyL4dBaxxzq11zrUB9wDzdpvHAYPMzIA8oBqI9G1MERHpb9T0iaSxfzdMZF7uXygcp+fziaSBUcCGdu8rE9Pa+w0wGdgELAM+75yL7b4iM1tgZhVmVqHByUREZF/U9ImkseUb65g2ZoiezyeSHqyLabs/a+UM4HVgJHA48Bszy++0kHPlzrky51yZBicTEZF90V+KImmqrqmNnzZ/nXN5xusoItI9lcCYdu9HEz+j194VwP0ubg2wDji0j/KJiEg/5VnTt68RzERk79ate5uj/W8yapDXSUSkm14BJpnZuMTgLPOBhbvNsx44BcDMhgGHAGv7NKWIiPQ7AS822m4Es9OIf/L5ipktdM696UUekXRU/U4FAEMnlHmcRES6wzkXMbNrgccAP3CHc26FmV2VqN8GfA/4k5ktI3456PXOue2ehRYRkX7Bk6aPdiOYAZjZzhHM1PSJdFN00zJiGIPH6Rl9IunCObcIWLTbtNvavd4EnN7XuUREpH/z6vLO7oxgptHJRPYiq/ZtqvzDsaxOYzyIiIiIiOziVdPXnRHMNDqZyB4453i7tZB3i472OoqIiIiIpDivmr7ujGAmInuwvbGN74Xm8+bMb3odRURERERSnFdNX3dGMBORPXhveyMAY4fmepxERERERFKdJ02fcy4C7BzB7C3gXufcCi+yiKSjplX/YXHmZzgk+o7XUUREREQkxXk1emeXI5iJSPe0bV1FidUSHjFm3zOLiIiIyIDm2cPZRWT/+WvW0kIWwcJOg96KiIiIiHSgpk8kDQ1qeo9twVFgXQ2EKyIiIiLyPjV9ImnGOUdR2xaac3SWT0RERET2TU2fSJqpbQ7zRHQm20ee5HUUEekHysvLKSsro6ysjKqqKq/jiIhIEqjpE0kzG2tb+GHkEhqnXOJ1FBHpBxYsWEBFRQUVFRUUFxd7HUdERJJATZ9ImtlSXU+QCCMLs72OIiIiIiJpQE2fSJrxr32SVZkfY0zbGq+jiIiIiEga8Ow5fX2tvLyc8vJygKTfs1Dd1Ib97WKyQtvJnHkxviM/CRk5Sd2mDByR6vX4zFFYcpDXUUREREQkDQyYM319cc9CdNXj/Pjh15j9/57kR++O581trfie+AYNPy8j+s6zSdmmDDz++kpaycCXN9TrKCIiIiKSBgZM05dsbv3LcPd8Br38U849fBRnffxG3jnnAb5R9EO2NcXgz+eybfF9XseUfiC7eRM7/CV6Rp+IiIiIdMuAubwzqWIxau+/jpDLJ3TM//Hjs2bsKl0069MsqjiZF/79LW79t4+vZm3ig9NHehhW0l1+21Yac4Z7HUNERERE0oTO9PWCtrcWUVS7jL/lfZxr587sUDMzzj7yYE783O0MGzaM6/72Mkt+dQmhqrUepZV0Fos57gkfx6oR53gdRURERETShJq+XrD9mVvZ4oqYPe8q/L6uL7kbMziHez99NF+e5WPijmdo/e0JbH79sT5OKumutiXMnyOnsmOcmj4RERER6R41fQfIRdrYUtPEk1lzOWbSsL3OG/T7+ORF81hx9kNUuQKKH5jPsru+RLSttY/SSrrbXtfAaKuiOEf384mIiIhI96jpO0Arq1o5v/HLuBO+gnVzYI1jjjqKnM88zf9yT+Gwtb/nqZ9+hP+t2Y5zLslpJd01b1rJ85mf5+BqjQYrIiIiIt2jgVwO0JOvv4PP4Mzpo3q03MiSYkZ8+R+8+MS9/G1xDc/84WXOGF7PFcPWUXrcZYwYVdrtJrI95xyhUIiGuh0011fT2tJMY8HBxJwjo+pN/C3biUXDuFgEHEQDOdQMmwNA/vbXyAjXtlubEQkOoq54FoZRULUEf6RpVw2DcEYBDUOmA1BQVYE/0rprVEmHEc4sonnwlPj6t1Xgc+H3lwfasobSUjBx1/K4WKIcr4ezS2gdNBacY1DVkval+PI5wwjljcFiEQZtf73jzjAI5Y6kLXckFg2Rt2MZRsd9GsobTTh3OL5ICznVK9ovGq8POohwdjG+cCO5tas67e9QwTgiWUPwh+rJrn0b50vuj1Rz9SYAcoZoMCARERER6R41fQeipZYFL59OdslVDM07u8eLmxlHn/5hZp4U5f5XN9L49M+Zs+oOWPVD3mMEW3IOpjVnBEvGXQ0ZOZTWLaG4dinW1oAv3IQ/3EgsGubmnC/R0Brh882/4YzYf8m1EFmJbWx2gzkj9BsA/hj8ISf5l3bIsCY2kvPbfgLA3zO+ywzfyg71pbHxfKLt+wD8O+NGpvre61B/ITqFK8JfB+CZjC8y1re1Q/2J6Cw+Fb4OgFcyr6LY6jvUH4geyxfD1wDwZuYV5FioQ/0vkVP4euRKjBjrsj7SaR/+LnI2N0cuYxDNLMv6ZKf6z8IX8qvo+QxnBy9lfbZT/Xvhj3B79Cwm2Eaeyvxyp/r14U/x9+hJTLd3WJj5jU71z7Zdy8OxYzjat4K7M26iyhUAJZ3m6y1ttZsBKBg6OmnbEBEREZH+RU3fAahd+QyFtFEy/rADWk9W0M+ls0th9s9Z/9alVL36MBmbKxjT/DYFzS/zqU0foi1mfD/wD04IPEUYP81k02I5NPkLKM7NYPzQPFzzkawIF+OyCrCsAnw5RfjyivnTqCMJ+HwMqruZNyON+PwBzB/E5zNivgwWDj4EgIya3/B2uDmRKn6paZY/mwcTdX/173g70gLO4RL1ouAgHig6GICW7X9gVaS1w/IjMwr5Z6K+Y+sfqXZhaHcZ68HZQ/hn4SScgw2b/wTEdtUdMCNnOP8onADOsWrzXex+BezRuaP4e/44LBbmrS13dtq3Jw06iGMGlWLREG92UT8rfxyn5I3CF25mRVXnZu2igonMyxmOr20Ky3eUdiw6+EjhIXw4u5hA6BCWVx9MzBeAf3+703p6S6wh3lTnDdWZPhERERHpHjV9B2D7iqfJdkEmHH5Cr62zdPKRlE4+8v0JzvG2Gc45YqETIBAkGMikAChIzPLHXTN3fFxEZ0P3Xh595N7rY47ee730+L3XDzp17/WxZ+29Pm7e3usTz917fdI+6oeW7qU4FBi3j/rExOtv7307B8DXtI1WMsjKzE/aNkRERESkf1HTdwAyN77EMpvIzDHJu5xv5w1sZoY/Ky9525G08HzwaJbnlnDtftzvKSIiIiIDk0bv3F9tzYxoeZuthUfs8dl8Ir3txfAkXi0+1+sYIiIiIpJG1PTtp+0NLdwcvpTwpDO9jiIDSFH9SiZk1nodQ0RERETSiJq+/bSsKsrt0bMYPvkYr6PIAOGc4yfhm/hgdecBaURERERE9kRN337avGoxI2wHU0dqQA3pG82hCEU04rKKvI4iIvvJzOaa2SozW2NmN+xhnhPN7HUzW2Fmz/Z1RhER6X80kMt+OnbFt5iUk8egrMu9jiIDRG19HaMsjOUO9jqKiOwHM/MDtwCnAZXAK2a20Dn3Zrt5CoHfAnOdc+vNLIkjhYmIyEChM337I9LGyLZ3qS2Y4nUSGUAaa7YB4M/dx6M3RCRVHQWscc6tdc61AfcAuz+L5lLgfufcegDn3LY+zigiIv2Qmr79UFv5FkEi+IdP8zqKDCAtdVUAZAwa4nESEdlPo4AN7d5XJqa1dzBQZGbPmNkSM+vychIzW2BmFWZWUVVVlaS4IiLSXySt6TOzH5vZSjN7w8weSFyysrN2Y+J+hlVmdkayMiTLtnXLACgo1Zk+6TvbfCVc2/ZZ/KPLvI4iIvunq+f7uN3eB4BZwNnAGcA3zOzgTgs5V+6cK3POlRUXF/d+UhER6VeSeabvCWCac2468DZwI4CZTQHmA1OBucBvE/c5pI3mTW8BMGLCYR4nkYFkWySHf8WOJq+k1OsoIrJ/KoEx7d6PBjZ1Mc+jzrkm59x24DlgRjJDlZeXU1ZWRllZGTprKCLSPyWt6XPOPe6ciyTevkT84Abx+xfucc6FnHPrgDXE73NIG09nnMQ1sS8zYqgus5O+46rXcrRvBQWZXZ0sEJE08AowyczGmVkG8Q9AF+42z0PAcWYWMLMcYDbwVjJDLViwgIqKCioqKtBZQxGR/qmv7un7BPBI4nV37mlIaa/WD2J98YmY6Y9v6Tullf/i7oybyAroVlyRdJT4IPRa4DHijdy9zrkVZnaVmV2VmOct4FHgDWAx8Afn3HKvMouISP9wQI9sMLMngeFdlL7mnHsoMc/XgAjw152LdTH/7vc07Fz/AmABQGnpgV3SVl5eTnl5OcCBXb7iHFM2P0DsoA8cUB6RnvK11tBIDnn+oNdRRGQ/OecWAYt2m3bbbu9/DPy4L3OJiEj/dkBNn3Pu1L3VzexjwAeBU5xzOxu77tzTsHP95UA5QFlZWZeNYXctWLCABQsWkFjXfq+naft6bozcyjO+AuL/NJG+EQzV0uAbRJ7XQUREREQkrSRz9M65wPXAOc655nalhcB8M8s0s3HAJOKXsKSFLe+tAiBv2ASPk8hAkxmuo9lf4HUMEREREUkzB3Smbx9+A2QCTyTufXvJOXdV4v6Fe4E3iV/2eY1zLprEHL2qYfM7ABSNmuRxEhlosqL1hDLyvY4hIiIiImkmaU2fc27iXmo3ATcla9vJ1LbjXQCGlarpk771A67kyFGD0dMhRURERKQnNAxgD/nr1rONweTl6s4q6Vsvtx1E/WA9G1JEREREeiaZl3f2S7/P/gR+9yFu8TqIDCjhaIzTos9TGvEBk72OIyIiIiJpRGf6emhlXQArOdTrGDLANDW38OuM3zCl7hmvo4iIiIhImlHT1wPRcBsX1t9FWeZ6r6PIANNUXwOAZWkgFxERERHpGTV9PVC1aS3X+u9nMu95HUUGmJbGWgAC2Wr6RERERKRn1PT1wI4NqwHIKRnncRIZaFp3Nn05hZ7mEBEREZH0o6avB1q3vwtA4Ug9mF36VltT/PLOYG6ht0FEREREJO2o6euBcO0mAIaOGOttEBlwNmcfwjmh72GjjvA6ioiIiIikGTV9PWCNW6glj+ycXK+jyABTG8vkDTeBQflFXkcRERERkTSjpq8Hbh90NVfm/97rGDIAZVat4MP+p8kLxryOIiIiIiJpRk1fD2xpaCO3cKjXMWQAGr7tWX4Y/D3ZQb/XUUREREQkzajp64EPV9/KKSz2OoYMQNbaQCtBLJDpdRQRERERSTNq+ropHA4zP/pvDom943UUGYB8bfU0oXtJRURERKTn1PR1046tlfjN4csf6XUUGYACkQZafDlexxARERGRNKSmr5tqtq4HIGuwmj7pe4FIMyGfzvSJiIiISM8FvA6QLpq2VwKQV1zqcRIZiH6R81mKs+EnXgcRERERkbSjpq+bmuqrCbkgRcMP8jqKDEAbwvnkDc33OoaIiIiIpCFd3tlN/8s9lcOid1FYPNrrKDIAndG8iFkhjRwrIr2vvLycsrIyysrKqKqq8jqOiIgkgZq+btpW30pxXhbm0y6Tvvex6H0c3vhfr2OISD+0YMECKioqqKiooLi42Os4IiKSBAPm8s7y8nLKy8sB9uuTzOM33c4cXxQ4uZeTiexbtmslFtTonSIiIiLScwPmtNWBfpI5pellprlVSUgmsnfhaIxsWiGo0TtFREREpOcGTNN3oAZFa2nLGOJ1DBmAmltayLAoZKjpExEREZGeU9PXDbGYo9DVEc0Z6nUUGYBamxoAMDV9IiIiIrIf1PR1Q119HbkWwnLV9Enfa7IcZrbexuYJF3odRURERETS0IAZyOVA1NTsoD5WghWM9DqKDEDNYUcN+WRk6zl9IiIiItJzOtPXDVtjhZzQ9gtCky/yOooMQNGa9Xw5cA+DWzd4HUVEDpCZzTWzVWa2xsxu2Mt8R5pZ1Mx0il9ERA6Ymr5u2NEUAmBIXqbHSWQgcjXvck1gIYPC27yOIiIHwMz8wC3AmcAU4BIzm7KH+X4IPNa3CUVEpL9S09cN2e88xl+CNzHUV+91FBmAoq2NAGRk53mcREQO0FHAGufcWudcG3APMK+L+T4L/BPQJz0iItIrkt70mdmXzMyZ2dB2025MXNqyyszOSHaGAxWsXcMH/CsozNc9VdL3IommLzNH338iaW4U0P467crEtF3MbBRwHnBbH+YSEZF+LqkDuZjZGOA0YH27aVOA+cBUYCTwpJkd7JyLJjPLAWnaQSsZZGXqTIv0vVioCYCMHH3/iaQ562Ka2+39L4DrnXNRs65mT6zIbAGwAKC0tLS38omISD+V7DN9Pwe+QseD2jzgHudcyDm3DlhD/JKXlBVo3U6dFcBeDsAiyeJC8TN9WTkFHicRkQNUCYxp9340sGm3ecqAe8zsXeBC4Ldmdu7uK3LOlTvnypxzZcXFxUmKKyIi/UXSmj4zOwfY6Jxbultpn5e3tFvHAjOrMLOKqqqqJCXdt8y2GhoDRZ5tXwa2V0ou5pDWP5Gdr+dEiqS5V4BJZjbOzDKIX/WysP0MzrlxzrmxzrmxwH3AZ5xzD/Z5UhER6VcO6PJOM3sSGN5F6WvAV4HTu1qsi2m7X94Sn+hcOVAOUFZW1uU8fWFTrIiW3BFM8CqADGjN4SgEsvD7Ne6SSDpzzkXM7Frio3L6gTuccyvM7KpEXffxiYhIUhxQ0+ecO7Wr6WZ2GDAOWJq4J2E08KqZHUX3Lm9JKV+NfIoLJozmA14HkQFp/NbHuD7wGvFR3kUknTnnFgGLdpvWZbPnnPt4X2QSEZH+LykDuTjnlgElO98n7k0oc85tN7OFwN/M7GfEB3KZBCxORo7eEInGaGiNUJgT9DqKDFAH1b3CBF7wOoaIiIiIpKmkjt7ZlcSlLPcCbwIR4JpUHrmzrrGJJzK+zNaaBcDBXseRAcgfaSLky/I6hoiIiIikqT5p+hI3pLd/fxNwU19s+0A11G5nkm8jzf6I11FkgPJHQ4Qt0+sYIiIiIpKmNDLEPjTVbgcgmDfY4yQyUPmjrUR8avpEREREZP+o6duHlrr4oyIyB2m4fPFGzEGbL9vrGCIiIiKSpvr8nr5009awA4CcAjV94o0bc7/DQUNy+J3XQUREREQkLelM3z5URzN5LnoYuYNHeh1FBqhQJEZmwO91DBERERFJUzrTtw+rsmZwS+RG1pQc5HUUGaA+3fJ7Ag2TgZleRxERERGRNKSmbx9qm8MUZAfx+czrKDJAnRx9gfWt+v4TkeQoLy+nvLwcgKqqKo/TiIhIMgyYyzvLy8spKyujrKysRwe149/7NX/nhiQmE9m7TBfCBfScPhFJjgULFlBRUUFFRQXFxcVexxERkSQYME3f/h7UckNbybOWJCYT2btM2tT0iYiIiMh+GzBN3/7KDNfR4s/3OoYMUOFIlCwLg5o+EREREdlPavr2ITtST1tQTZ94IxRqocrlE83Q96CIiIiI7B81ffuQF2sgnFnodQwZoFpdkCNDt7FmwuVeRxERERGRNKWmby/C0RjPRKdTVTTL6ygyQLWGowB6Tp+IiIiI7Dc1fXtR1xLmm5Er2DhxvtdRZICK1G3i98GfMrLuVa+jiIiIiEiaUtO3F7VNbQAUZAc9TiIDVaRxB6f5l5AbqfY6ioiIiIikKTV9exGqWsPKzI8xoeoJr6PIABUJxR8XEsjI9jiJiIiIiKQrNX170dJQQ5aFycrSH9zijUioGQBfRo7HSUREREQkXanp24u2ploAMvOKvA0iA1a0LX6mL5ippk9ERERE9o+avr2INNUBkJVX6G0QGbBCMR/vxUrwZ+s5fSIiIiKyf9T07UW0Jd705Qwa7HESGag2Dz6KE9p+gQ2b4nUUEREREUlTavr2YrN/JH+LnkxOYbHXUWSA2vWcvqCe0yciIiIi+0dN316sypjCDwNXY1kFXkeRAWrYxsf5a/AmsqONXkcRERERkTSlpm8vmpubyc/ULhLvZDVu4Fj/CjKCAa+jiIiIiEiaUkezFx/a+HMeCH3K6xgygLlwKwBZ2bkeJxERERGRdKWmby+CkQZaffpjW7xjkRYizkcgmOF1FBERERFJU2r69iIj0kgooKZPPBRuJYQaPhERERHZf2r69iIr2kQ4MMjrGDKA1fmLeMvGex1DRERERNKYRofYi2zXRHNwlNcxZAB7YvClvFB9Oi94HURERERE0lZSz/SZ2WfNbJWZrTCzH7WbfqOZrUnUzkhmhv3lnOOeyImsKz7Z6ygygIUiMT2jT6QfMbO5iWPfGjO7oYv6ZWb2RuLrBTOb4UVOERHpX5J2ps/MTgLmAdOdcyEzK0lMnwLMB6YCI4Enzexg51w0WVn2R3NblPLI2dww+lCvo8gAds7mX3NeaAdwotdRROQAmZkfuAU4DagEXjGzhc65N9vNtg44wTlXY2ZnAuXA7L5PKyIi/Ukyz/RdDfzAORcCcM5tS0yfB9zjnAs559YBa4CjkphjvzS0tFFMDQXBmNdRZAAb1vYeo9xmr2OISO84CljjnFvrnGsD7iF+TNzFOfeCc64m8fYlYHQfZxQRkX4omff0HQwcZ2Y3Aa3Al5xzrwCjiB/IdqpMTOvEzBYACwBKS0sPKEx5eTnl5eUALF++nLKysm4t99Mn4acHtOX3VVVVUVxc3EtrS49tD7TtAqxcubLX1uWPhYj6NHqnSD8xCtjQ7n0lez+LdyXwSFeFZB0fV65c2e3jY3te/s7dXapkSZUckDpZevP4KCI9c0BNn5k9CQzvovS1xLqLgDnAkcC9ZjYesC7md12t3zlXTvzSFsrKyrqcp7sWLFjAggULSKyLioqKA1ndfvFqu15ue6Btd+e2e0sg1kZbIK/X1icinur28S9xi8SVwAe6qifr+Li/vPydu7tUyZIqOSB1svTm8VFEeuaAmj7n3Kl7qpnZ1cD9zjkHLDazGDCU+CebY9rNOhrYdCA5RPqrQKyNFl+m1zFEpHd06/hnZtOBPwBnOud29FE2ERHpx5J5T9+DwMkAZnYwkAFsBxYC880s08zGAZOAxUnMIZK2VvvGsTlrgtcxRKR3vAJMMrNxZpZBfFCzhe1nMLNS4H7go865tz3IKCIi/VAy7+m7A7jDzJYDbcDHEmf9VpjZvcCbQAS4pq9H7jzQy1jSbbtebnugbbe3t/29wGeZM3wIZ/baGkXEK865iJldCzwG+IE7nHMrzOyqRP024JvAEOC3ZgYQcc6l/DVxXv7O3V2qZEmVHJA6WVIlh8hAZPE+LPWVlZW5VLgeXaQvlX3/SU6fOoz/d95hXkcR6TNmtiQdGp1UoeOjiMjAsb/HyKQ+nF1EDsxdkS9xStVfvI4hIiIiImlMTZ9IChvvKsl1TV7HEBEREZE0NqCavrFjx3LYYYdx+OGH9/mwwbW1tVx44YUceuihTJ48mRdffDHp21y1ahWHH374rq/8/Hx+8YtfJH27AD//+c+ZOnUq06ZN45JLLqG1tbVPtgvwy1/+kmnTpjF16tSk/3s/8YlPUFJSwrRp03ZNq66u5rTTTmPSpEmcdtpp1NTU7GUNe+ZiMbIsDH49p09EvLOvY6dzjs997nNMnDiR6dOn8+qrr+6qPfrooxxyyCFMnDiRH/zgB0nN8de//pXp06czffp0jjnmGJYuXdrtZXs7yzPPPENBQcGu4+93v/vdXbW+3Cc//vGPd2WYNm0afr+f6urqbi3bU/v6O6evvk9EZA+cc2nxNWvWLHegDjroIFdVVXXA69kfl19+ufv973/vnHMuFAq5mpqaPt1+JBJxw4YNc++++27St1VZWenGjh3rmpubnXPOXXTRRe6Pf/xj0rfrnHPLli1zU6dOdU1NTS4cDrtTTjnFvf3220nb3rPPPuuWLFnipk6dumval7/8ZXfzzTc755y7+eab3Ve+8pX9WndLc5Nz38p3L/7xxl7JKpIugAqXAseddPnqjePj3uzr2Pnvf//bzZ0718ViMffiiy+6o446yjkXP+6MHz/evfPOOy4UCrnp06e7FStWJC3H//73P1ddXe2cc27RokW7cnRn2d7O8vTTT7uzzz670/S+3iftLVy40J100kn7tWx37OvvnL76PhHp7/b3GDmgzvR5pb6+nueee44rr7wSgIyMDAoLC/s0w1NPPcWECRM46KCD+mR7kUiElpYWIpEIzc3NjBw5sk+2+9ZbbzFnzhxycnIIBAKccMIJPPDAA0nb3vHHH8/gwYM7THvooYf42Mc+BsDHPvYxHnzwwf1adyjUEn8R1HP6RCR1PfTQQ1x++eWYGXPmzKG2tpbNmzezePFiJk6cyPjx48nIyGD+/Pk89NBDSctxzDHHUFRUBMCcOXOorKxM2rb2V1/vk/buvvtuLrnkkqSsuzt/56TK94nIQDWgmj4z4/TTT2fWrFmUl5f32XbXrl1LcXExV1xxBTNnzuSTn/wkTU19e5/WPffck7Rf9rsbNWoUX/rSlygtLWXEiBEUFBRw+umn98m2p02bxnPPPceOHTtobm5m0aJFbNiwoU+2vdPWrVsZMWIEACNGjGDbtm37tZ62SIwnorNoGTS2F9OJiPTMvo6dGzduZMyY9585P3r0aDZu3LjH6cnK0d7tt9/OmWe+/7Cb3j7+d2d9L774IjNmzODMM89kxYoVwJ73VTJzADQ3N/Poo49ywQUX9HjZ7ujO3zl99X0iIl0bUE3f//73P1599VUeeeQRbrnlFp577rk+2W4kEuHVV1/l6quv5rXXXiM3N7dPr1lva2tj4cKFXHTRRX2yvZqaGh566CHWrVvHpk2baGpq4i9/6ZsRKCdPnsz111/Paaedxty5c5kxYwaBQDIfR5k8rb5cPhW+jqqRJ3sdRUQGsH0dO+NXG3VkZnucnqwcOz399NPcfvvt/PCHP+zxsr2V5YgjjuC9995j6dKlfPazn+Xcc88F9ryvkpVjp4cffphjjz22w5UpvblPuvN3Tl99n4hI1wZU07fzEsOSkhLOO+88Fi9e3CfbHT16NKNHj2b27NkAXHjhhR1uYE62Rx55hCOOOIJhw4b1yfaefPJJxo0bR3FxMcFgkPPPP58XXnihT7YNcOWVV/Lqq6/y3HPPMXjwYCZNmtRn2wYYNmwYmzdvBmDz5s2UlJTs13raojEAMgMD6sdURFLMvo6do0eP7nBFRWVlJSNHjtzj9GTlAHjjjTf45Cc/yUMPPcSQIUN6tGxvZsnPzycvLw+As846i3A4zPbt2z3ZJ9D11T69uU+683dOX32fiEjXBsxfk01NTTQ0NOx6/fjjj3cYcTGZhg8fzpgxY1i1ahUQv79uypQpfbJtSO51/F0pLS3lpZdeorm5GeccTz31FJMnT+6z7e+8nHL9+vXcf//9ffpvBzjnnHO48847AbjzzjuZN2/e/q1o61u8knk1o6qe78V0IiLd151j5znnnMNdd92Fc46XXnqJgoICRowYwZFHHsnq1atZt24dbW1t3HPPPZxzzjlJy7F+/XrOP/98/vznP3PwwQf3aNnezrJly5ZdZ7AWL15MLBZjyJAhfb5PAOrq6nj22Wc7HIt6e5905++cvvg+EZE9S8/r3vbD1q1bOe+884D4ZQiXXnopc+fO7bPt//rXv+ayyy6jra2N8ePH88c//rFPttvc3MwTTzzB7373uz7ZHsDs2bO58MILOeKIIwgEAsycOZMFCxb02fYvuOACduzYQTAY5JZbbtl1Y38yXHLJJTzzzDO7PsH9zne+ww033MDFF1/M7bffTmlpKf/4xz/2a92RUCPFVsdmfy+HFhHppj0dO2+77TYArrrqKs466ywWLVrExIkTycnJ2XV8CwQC/OY3v+GMM84gGo3yiU98gqlTpyYtx3e/+1127NjBZz7zmV3br6io6PXjf3ey3Hfffdx6660EAgGys7O55557MLM+3ycADzzwAKeffjq5ubn7XPZAdPV3Tl9/n4jInllX11KnorKyMldRUeF1DJE+s+LFR5j62HxWnHIXU4/bz7OFImnIzJY45/r2YappTMdHEZGBY3+PkQPm8k6RdBNtiz+ywZ+Z7XESEREREUlnavpEUtTOpi+QoaZPRERERPafmj6RFNUQHMqD0WPw5xV7HUVERERE0piaPpEUVTVoKl8IX4u/qNTrKCIiIiKSxtT0iaSoUCTxnL6gfkxFREREZP/pr0mRFDVu3V9ZkXkFWeFar6OIiIiISBpT0yeSoizURK6FyMjK3ffMIiIiIiJ7oKZPJFWFm4g5IytbTZ+IiIiI7D81fSKpKtxMC5mYTz+mIiIiIrL/9NekSIqycDMtluV1DBERERFJcwGvA4hI197OnMbqYJCPeB1ERERERNLagGn6ttS2EK1e22l6LGMQsewhuGiUQP36zvWsQmJZRbhYZA/1wcSyCnGRVoL1GzrVoznFxDILsEgL/oaNneqR3GG4jEFYuBl/fRf1vBG4jDysrZFAYxf1QaNxwRx8oTr8DZs71cMFB+EC2fhaawg0bcHtXi8cj/Nn4m/Zgb95K7vPECqcBP4g/qatBFq243abITT4UPD5CTRuxt+6A7f78kOnARBo2EigtRp4fxMOH6GhUwEI1r+HP1SbmB6fw/mChIZMASCjdi2+toYO6475M+PbBzJrVuMLN3WsB7IJDT4kXq9eiS/SsqvmHMSCeYSKJgGQtX05vmjo/TqOaGYBocKJAGRXLcVi4Q7LR7IG01YwHoCcba+Ci3bct9nFtOWPBefI3foKu2vLHkZbfinEIuRuW9Kp/mLkENblHaOmT0REREQOyIBp+j5/96v8fetZnab/LnI2N0cuYxDNLMv6ZKf6z8IX8qvo+Qyjmpezru1U/174I9wePYsJtpGnMr/cqX5D+JPcEz2Z6fYOCzO/0an+2bZreTh2DEf7VnB3xk2d6le0fZmnYzM5zVfB7zN+1ql+cegbLHaTmed7nl9m/LZT/ezQ/2OFG8tl/ie5KXhHp/qJoZ/yrhvBAv/DfDV4d6f6ka2/pYpCvhj4B58PPNCpPrn1DlrI4huBP3Nl4JEOtZgzxof+CsDNgd9zSeDpDvV6l8300O0A/Dr4Kz7kf6lDfYsr4tTQLQDcEfwRJ/tf71B/JzaCD7b9FIC/Z3yX2b6VHepLY+OZ1/Z9AP6dcSNTfe91qL8QncKl4a8D8HTGFxnn29qh/kR0Fp8KXwfAK5lXU2x1HeoPRo/hC+H498SbmVeQY6EO9b9GTuFrkSsxYqzL6ty67et7b2L4QtoOvrrTdBERERGRnjC3+6mZFFVWVuYqKir2e/lnV20jZ+V9naY3DJpAbeFULNbGmE2PdarX5R9MfcEh+CMtjNz8VKd6beEUGgdNIBBuZNjWZzHbvT6NpryDCLbVUrLthU7LVw+eQUvOKDJbtzNk+yudlt8xpIxQdglZLVsZvOPVTsvvKJ5NW+Zgsps3UlizHKDDOrYXzyGcUUBO0wbya9+K19stXzXsA0QDueQ2rGNQ/Wp2n6Fq+AnE/Jnk1r9DXsO6TtuvGnEizhcgr24VOU2Vu6bvzLBt5CkADKp9i6zmjmcinQXYPuIEAPJrlpPZUtVh+ag/k+phxwBQUP0GwVB1h+Vj/mxqSmbH6zteI9hW36EeyRhE3dBZ8fr2JQTCjbvVC6kfMgOAwqpX8EVbO9YzB9MwOH4msnDby/janekDaMsaSlNR/Exj0ZYX2P00aSh7GC0Fk8A5ira+yO5ac0fSkj8Wi0Uo2Nb5TGBr3mgmHTKNoXmZnWoi/ZmZLXHOlXmdI10c6PFRRETSx/4eIwdM0yciIulBTV/P6PgoIjJw7O8xUqN3ioiIiIiI9GNq+kRERERERPqxpDV9Zna4mb1kZq+bWYWZHdWudqOZrTGzVWZ2RrIyiIiIiIiIDHTJPNP3I+A7zrnDgW8m3mNmU4D5wFRgLvBbM/MnMYeIiEhKMLO5iQ8815jZDV3Uzcx+lai/YWZHeJFTRET6l2Q2fQ7IT7wuADYlXs8D7nHOhZxz64A1wFFdLC8iItJvJD7gvAU4E5gCXJL4ILS9M4FJia8FwK19GlJERPqlZD6n7wvAY2b2E+LN5TGJ6aOA9g9kq0xM68TMFhA/6FFaWpq0oCIiIn3gKGCNc24tgJndQ/yD0DfbzTMPuMvFh9Z+ycwKzWyEc25z59WJiIh0zwE1fWb2JDC8i9LXgFOALzrn/mlmFwO3A6fS8TFxO3X53AjnXDlQnthWlZm919V8PTAU2H6A6+hvtE860z7pmvZLZ9onnfXGPjmoN4KkoFHAhnbvK4HZ3ZhnFNCh6Wv/oSgQMrPlvRu1X9PPbc9of/Wc9lnPaH/1zCH7s9ABNX3OuVP3VDOzu4DPJ97+A/hD4nUlMKbdrKN5/9LPvW2reD9jts9UoWc/daR90pn2Sde0XzrTPulM+2SvuvOhZ7c+GN3tQ1Ht8x7Q/uoZ7a+e0z7rGe2vnjGz/XowazLv6dsEnJB4fTKwOvF6ITDfzDLNbBzx+xYWJzGHiIhIKujOh5779cGoiIjI3iTznr5PAb80swDQSuIyFOfcCjO7l/g9DBHgGudcNIk5REREUsErwKTEB54biY9kfelu8ywErk3c7zcbqNP9fCIicqCS1vQ5554HZu2hdhNwU7K2vRflHmwz1WmfdKZ90jXtl860TzrTPtkD51zEzK4FHgP8wB2JD0KvStRvAxYBZxEf2boZuKIbq9Y+7xntr57R/uo57bOe0f7qmf3aXxYfIExERERERET6o2Te0yciIiIiIiIeU9MnIiIiIiLSjw2Ips/M5prZKjNbY2Y3eJ0nFZjZGDN72szeMrMVZvb5fS81MJiZ38xeM7N/eZ0lFSQeDn2fma1MfL8c7XUmr5nZFxM/N8vN7G4zy/I6kxfM7A4z29b+GXFmNtjMnjCz1Yn/FnmZsT/Z17HM4n6VqL9hZkd4kTNVdGN/XZbYT2+Y2QtmNsOLnKmiu38rmdmRZhY1swv7Ml+q6c7+MrMTzez1xPHi2b7OmEq68fNYYGYPm9nSxP7qzv3M/VZXx9fd6j3+fd/vmz4z8wO3AGcCU4BLzGyKt6lSQgS4zjk3GZgDXKP9ssvngbe8DpFCfgk86pw7FJjBAN83ZjYK+BxQ5pybRnxAjvnepvLMn4C5u027AXjKOTcJeCrxXg5QN49lZxJ/DNIk4iNm39qnIVNIN/fXOuAE59x04HsM4MEkuvu3UmK+HxIfjGjA6s7+MrNC4LfAOc65qcBFfZ0zVXTz++sa4E3n3AzgROCnZpbRp0FTy5/ofHxtr8e/7/t90wccBaxxzq11zrUB9wDzPM7kOefcZufcq4nXDcT/kB/lbSrvmdlo4GzgD15nSQVmlg8cD9wO4Jxrc87VehoqNQSA7MQjaXIYoM9Rc849B1TvNnkecGfi9Z3AuX2ZqR/rzrFsHnCXi3sJKDSzEX0dNEXsc385515wztUk3r5E/JmIA1V3/1b6LPBPYFtfhktB3dlflwL3O+fWAzjnBvI+687+csAgMzMgj/ixJdK3MVPHHo6v7fX49/1AaPpGARvava9EzU0HZjYWmAm87HGUVPAL4CtAzOMcqWI8UAX8MXHJ6x/MLNfrUF5yzm0EfgKsBzYTf47a496mSinDdj5XLvHfEo/z9BfdOZbpePe+nu6LK4FHkpoote1zfyWucjgPuK0Pc6Wq7nx/HQwUmdkzZrbEzC7vs3Sppzv76zfAZOIfoi4DPu+c099ie9bj3/cDoemzLqbpORUJZpZH/FO7Lzjn6r3O4yUz+yCwzTm3xOssKSQAHAHc6pybCTQxwC/XS9yjNg8YB4wEcs3sI96mkgGgO8cyHe/e1+19YWYnEW/6rk9qotTWnf31C+B651w0+XFSXnf2V4D486rPBs4AvmFmByc7WIrqzv46A3id+HH1cOA3iauNpGs9/n0/EJq+SmBMu/ejGaCXYu3OzILEG76/Oufu9zpPCjgWOMfM3iV+6cHJZvYXbyN5rhKodM7tPAt8H/EmcCA7FVjnnKtyzoWB+4FjPM6USrbuvMQk8d+BfElTb+rOsUzHu/d1a1+Y2XTil/PPc87t6KNsqag7+6sMuCdxjLwQ+K2Zndsn6VJPd38eH3XONTnntgPPEb8vfiDqzv66gvjlsM45t4b4PbeH9lG+dNTj3/cDoel7BZhkZuMSN4TOBxZ6nMlziWumbwfecs79zOs8qcA5d6NzbrRzbizx75P/OOcG9Bkc59wWYIOZHZKYdArwpoeRUsF6YI6Z5SR+jk5hgA9us5uFwMcSrz8GPORhlv6kO8eyhcDliVHd5hC/9HhzXwdNEfvcX2ZWSvxDm4865972IGMq2ef+cs6Nc86NTRwj7wM+45x7sM+Tpobu/Dw+BBxnZgEzywFmM3CPFd3ZX+uJH08xs2HAIcDaPk2ZXnr8+z7QN7m845yLmNm1xEea8gN3OOdWeBwrFRwLfBRYZmavJ6Z91Tm3yLtIkqI+C/w18Yt6LfFP4wYs59zLZnYf8Crxm8xfY4CO+mdmdxMfZW2omVUC3wJ+ANxrZlcSP4gP2BHretOejmVmdlWifhuwCDgLWAM0M4B/Vru5v74JDCF+xgog4pwr8yqzl7q5vyShO/vLOfeWmT0KvEF8nIA/OOe6HH6/v+vm99f3gD+Z2TLily5enzhDOiDt4fgahP3/fW/ODdTL/UVERERERPq/gXB5p4iIiIiIyIClpk9ERERERKQfU9MnIiIiIiLSj6npExERERER6cfU9ImIiIiIiPRjavpEREREJKnM7BkzO2O3aV8ws9/2cD33mdn4bs6ba2Y7zKxgt+kPmtnFZvZBM/tOT7Yvkq7U9In0gt46mO22/Llm9s0ezP8nM/t0F+tYZGYZZvacmfX7Z3OKiEhKupv4Q7nbm5+Y3i1mNhXwO+e69dBu51wT8Dhwbrt1FAAfAP4F/Bs4J/HwdJF+TU2fSO844INZF74C9KRp3GMG51wb8BTw4QPIIyIisr/uAz5oZpkAZjYWGAk8b2YnJj6YfMDM3jSz28ysq79RLwMe2vnGzBrN7IdmtsTMnjSzoxIfwq41s3MSs+1+bDwPeNQ51+ziD6t+Bvhgr/9rRVKMmj6R3rGvg9mzZnavmb1tZj8ws8vMbLGZLTOzCbuvzMwOBkLOue2J938ys1vN7OnEwewEM7vDzN4ysz8lFnsSONTMRiSWyQFOBR5M1B8kfsAUERHpU865HcBiYG5i0nzg74nGC+Ao4DrgMGACcH4XqzkWWNLufS7wjHNuFtAAfB84jXhj993EPI8Cs8xsSLvttv9AtgI4bv//ZSLpQU2fSC/oxsFsBvB54gezjwIHO+eOAv4AfLaLVR4LvLrbtCLgZOCLwMPAz4GpwGFmdrhzLgrcD1ycmP8c4GnnXEPi/XLgyAP5d4qIiByA9mfddm++Fjvn1iaOZXcTvwRzdyOAqnbv24g3dQDLgGedc+HE67EAiStdFgIXmtlQ4HDil3zutI34h7Qi/ZqaPpHes7eD2SvOuc3OuRDwDu8fcHYdmHaz+4EN4OFEE7kM2OqcW+aciwEr2q1jjxkSB9I2MxvU83+aiIjIAXsQOMXMjgCynXPtP9x0u827+3uAFiCr3ftwuw9XY0AIIHFsbH8P+85j44XAQ4nGcKesxHpF+jU1fSK950H2fDALtXsda/d+9wPTTrsf2Nqvo/3yu6/jf8AIM5sBHAMs2m0dmUDrPv8lIiIivcw510j8Hro76HzP+1FmNi5xL9+Hgee7WMVbwMT92PTTwCTgmi62ezDxK2FE+jU1fSK9ZB8Hs57arwNb4hPPe4E7gUXOuV0NXuJ+hqrdPuEUERHpS3cTv+Xhnt2mvwj8gHgDtg54oItl/w2c2NMNJs78/RMYAjy3W/mkxHpF+jU1fSK9a08Hs556DphpZtaLGU6i85k/ERGRPuOce8A5Z865lbuVmp1zH3bOTXHOXZVo1HZ3H3CqmfkT68prt95vO+d+0u59XvsFnXOfd86NbL9eMxtG/MqcZb3xbxNJZfb+pdAikkrM7JfE7+N7spfWdz9wo3NuVW+sT0REpDeY2YnAl5xz+3x0QuKZuG8559b3wnaPJH5f4OsHui6RVKemTyRFJT6BnO2cW9gL68oA5jvn7jrwZCIiIiKSTtT0iYiIiIiI9GO6p09ERERERKQfU9MnIiIiIiLSj6npExERERER6cfU9ImIiCSRmb1rZsvM7HUzq0hMG2xmT5jZ6sR/i9rNf6OZrTGzVYmRCkVERA6Imj4REZHkO8k5d7hzrizx/gbgKefcJOCpxHvMbAowH5gKzAV+u/OZZCIiIvsr4HWA7ho6dKgbO3as1zFERCTJlixZst05V+x1jiSbB5yYeH0n8AxwfWL6Pc65ELDOzNYARwEv7mlFOj6KiAwc+3uMTJumb+zYsVRUVHgdQ0REkszM3vM6Qy9zwONm5oDfOefKgWHOuc0AzrnNZlaSmHcU8FK7ZSsT0zowswXAAoDS0lIdH0VEBoj9PUamTdMnIiKSpo51zm1KNHZPmNnKvcxrXUzr9EDdRONYDlBWVqYH7oqIyF7pnj4REZEkcs5tSvx3G/AA8cs1t5rZCIDEf7clZq8ExrRbfDSwqe/SiohIf6SmT0REJEnMLNfMBu18DZwOLAcWAh9LzPYx4KHE64XAfDPLNLNxwCRgcd+mFhGR/iapl3ea2RjgLmA4EAPKnXO/NLPBwN+BscC7wMXOuZpkZhEREfHAMOABM4P4MfdvzrlHzewV4F4zuxJYD1wE4JxbYWb3Am8CEeAa51zUm+giItJfJPuevghwnXPu1cQnnUvM7Ang48SHqv6Bmd1AfKjq65OcRUREpE8559YCM7qYvgM4ZQ/L3ATclORoIiIygCS16UuMTLZzdLIGM3uL+ChkexqqWkT6QGsoRHVLjOa2KG77KmLNdUTbWolGQjjnCAcLqB9yGDgo2PoivkgLxGKAwzlHKKuY2sEzAEfx5mewWLjj+nNGUF80DYCSTU+Bi3Wot+SOpqFwMrhYvL6b5ryDaCw4GIu1Ubz52U71pvwJNA0ajy/SwtCtz3eqNxQcQkteKf5wI0O2dR7pvr5wCq25owi21VJU9Uqnet3g6YSyh5HRuoPCHa92qtcOmUlb1lAyW7ZSUP1Gp3rN0DLCmUVkNW0kv/bNTvXqkjlEgoPIbtzAoLrOY3rsGHYs0UAOOQ3ryKtf06leNfwEnD+DvLrV5DS+26m+beTJYH4G1a4ku2nDblVj26hTAcivWU5W8+YOVWcBqkaeBEDBjqVktW7tUI/6stg+4ngACre/SmZo+/s1fzZZk89g2qiCTplERFJGuAXe+x8UjYMhE7xOI9In+mz0TjMbC8wEXmbPQ1XvvkyHIalFpGdiMceaN/7Hjtf/Reb2FQxpXseQ6HZWujFc2PZtAB7JuJ7Jvo6NwX+j07gi/NX464zrGOOr6lB/NHokV4W/CMCSzC8zxBo61P8ZPY7rwlcDsCrzC2Rax6bwrshpfDNyBQEirMn6bKfct0Y+xA8jl1BAI0u7qP84fDG3RM9lFFX8L+vznerfDl/On6JzmWSVPJH5lU71L4cX8I/oicy01TyQ+a1O9c+0fY5FsTl8wLeMv2Tc3Kn+sbbreTY2gzN8i/ldxi861S8IfYsl7hAu8D3HTzNu61SfG/oBK10pH/U/zveCf+pU/0DoF1S6Eq72L+T64D2d6ke03kY1+Xwp8HeuDTzUqX5I658IkcG3AndyReCxDrWw8zMp9GcAfhy4jYsCz3Wo17pcLgj9HoBbgr/gbH/H28kq3VAuDP0KgDuDP2CO//2m993YMG6tPZQfXji9UyYRkZSx+PfwxDfAfHDqd+DYz3mdSCTpzLnkj/RsZnnAs8BNzrn7zazWOVfYrl7jnCva2zrKysqcnkMk0j3RmOPvr2zgD/9dy9frvsWJvqVs8g2nKnscobwxtBZMYPOkS8jJ8DOi+hUyCePPyMIfzMTMiGYWECqahAHZ1W/hi0UwnwEWr2fkEykoxTCyalZ2OpMXzSggMij+aLHMHW+x+4jz0cwiInkjwDkyq9/qnD9rCJHcYRCLkFnzdqd6JLuYaE4xRNvIrO18JiySM4xo9hAs0kpG3dpO9XDuCGJZRVi4mYz6dzvX80YRyyzA19ZIsGF95/qgMcQyBuEL1RFs3Nip3pY/FhfMwddaQ7Bpc+d6wThcIBt/SzWB5i2d64UTcP5M/M1VBFqqOtVDRQeDL0CgaSv+1h2d64MPBfMRaNqMv7Xz7dKhIVMACDRsxN9W17FovvjyQKChEn9bx4be+QK0FU0CIFj/Hr5wc7takOyRkxlZmN1pmz1hZkucc2UHtJIBRMdHkZ7ZVt/Cf/+ziFmb72bs1ifgwjtg2gVexxLplv09Ria96TOzIPAv4DHn3M8S01YBJybO8o0AnnHOHbK39eigJtI9m99bxda/fJprGj7O0NETufowx1GTxzO4pNPznUVSkpq+ntHxUaSbtq9me00dZ91by7aGEAEiPJz7fQ4Jbsf32QrIHeJ1QpF92t9jZLJH7zTgduCtnQ1fws6hqn9Ax6GqReQAbFi9lIy/nsd4WvjRKYM45tRjSYwaKCIiMrAtLif/lTsJR//Avz57ArXNYa6/65N8LfAgR7bW41PTJ/1Ysu/pOxb4KLDMzF5PTPsq8Wav01DVIrL/6mqr4W/zCRKm+qIHOXbqbK8jiYiIpIZYlMgb/+TxyEyuOHHyrgGnNs07iw/fN5rvvm1cfrTHGUWSKNmjdz4P7Ok0Q5dDVYvI/ll95zUcHtvC6rl/Y7IaPhERkfdtep1A6w6ecJfxrTkH7Zp80azRLHx9E/c9/gwXZmSQM+tSD0OKJI/P6wAicuBef6+K1h3rWTziUiYffabXcURERFJK7J3/AOCbcCKDczN2TTczbjjzUC6P/JPAv/8PWuv2tAqRtKamT6Qf+PXT7/K5wLeYfvlPvI4iIiKScppXPc2bsYM4bkbncQOnjSpgzdhLyYi10LL4Lg/SiSSfmj6RNLdu3WqWr1zJ5ceMJS/nwIbKFxER6Y/um/gDrg5/nmMmDO2y/sG5Z/FK7GDaXrgNYtE+TieSfGr6RNLctkd/wn8yr+OjR2jUMRERka48814r/qETGF6Q1WV92qgCXhh6EQWtlYRXPtrH6USST02fSBqLRaOM2/o4b+cewZDBavpERER259Y9x9Hv3cpxpV03fDsdfvpHeSc2ghVvLu+jZCJ9R02fSBpbteQ/lFBN9NBzvY4iIiKSkurf+Bcfdw9z6OiSvc53/CHD+WzRrdxQeTTOuT5KJ9I31PSJpLG61xfS5vwccsLFXkcRERFJSa2b3uIdN5JpY/Z+RYyZccVxE1m5pZ7FS9/oo3QifUNNn0gaG7ztJdZkHMqggsFeRxEREUlJGbXvsJaRHDw8b5/znnP4SL6Rcz+HPTQXQg19kE6kb6jpE0lT9a1hPtF0LUum3Oh1FBHZAzMbY2ZPm9lbZrbCzD6fmP5tM9toZq8nvs5qt8yNZrbGzFaZ2RnepRfpB8ItFIQ2UZszjsyAf5+zZwb85E//IDmuma3P6/EN0n+o6RNJU4vXVlPphjJpxjFeRxGRPYsA1znnJgNzgGvMbEqi9nPn3OGJr0UAidp8YCowF/itme37L1UR6Vr9JlrIIlw0sduLnHLq2axw43CLfw+6t0/6CTV9ImmqeekDfCTwJIePKfQ6iojsgXNus3Pu1cTrBuAtYNReFpkH3OOcCznn1gFrgKOSn1Skf2rNH8u00B+oH3/WvmdOGJyXycrS+QwPraP2raeTmE6k76jpE0lTY9+7j09k/IesoE4CiKQDMxsLzAReTky61szeMLM7zKwoMW0UsKHdYpV00SSa2QIzqzCziqqqqmTGFklr67Y34ZwxvqSgR8sdcdaV1Lg8Nj39+yQlE+lbavpE0tTI1tXsGHSI1zFEpBvMLA/4J/AF51w9cCswATgc2Az8dOesXSze6foy51y5c67MOVdWXFycnNAi/cHzv+CbgbsYX5zbo8XGjSjmllE/4JM7LqM1HE1SOJG+o6ZPJA1VbXqPodQSGz7d6ygisg9mFiTe8P3VOXc/gHNuq3Mu6pyLAb/n/Us4K4Ex7RYfDWzqy7wi/Ule5XPM8L3DuKE9a/oATj31LDY1G/9csmHfM4ukuIDXAUSk5zatfIliIH/sLK+jiMhemJkBtwNvOed+1m76COfc5sTb84DlidcLgb+Z2c+AkcAkYHFf5R06dChjx47tq83JAPPuu++yffv2Pt1mdvNGqgPjycno+Z+8s8cN5iPD3mPOYzcQmvwkmQXDkpBQpG+o6RNJQw1b1hFzxshD1PSJpLhjgY8Cy8zs9cS0rwKXmNnhxC/dfBf4NIBzboWZ3Qu8SXzkz2ucc0m9tqy8vJzy8nIAcnNzqaioSObmZAArKyvr2w3GYhSEt9GS94H9WtzMmPeBmYz71waWP/hDpn/sZ/teSCRFqekTSUP/zjqbL/kP46XBJV5HEZG9cM49T9f36S3ayzI3ATclLdRuFixYwIIFCwAP/igXSabGLQSJEMkfs+9596CsbA4vPnU8M9b9lebaG8gp1HFX0pPu6RNJQ+u2NzKquGjfM4qIiAxQbc21rI6Nwg0et9/rMDPyz/gqWS7Eqnu+2ovpRPqWmj6RNHT5lps5N/iS1zFERERS1ubgQZzW9mNiY088oPVMmzmHF4rmcdjmf7J+9Ru9E06kj+nyTpE009RQy1mxZ3kxOM3rKCIiA080DP5g/PWy+2Dz6xCNxN+bDwpLYc5V8fctNZBZAD59xu6FjTUtAIwqyj7gdR166c185bfjqXyqkbsnOPy+rq7aFkldavpE0szmdW8yEcgadrDXUURE+j/nYOMSWPlvWPMkNG0n+oUVbK5vJfuVf1BY+TRRXwYOh89Fac4eyQu58xhRmM2UR+cT3LYMGzYFSudA6THx/+YM9vpfNSAUvvxjbgsuYVThQwe8ruKSkRz9wU/w5fveoPzx17l67sxeSCjSd9T0iaSZ+o2rAMgfdajHSURE+rmV/4Ynvw3b38aZn8pB03nZncS3v/1vGsM+MriENj5K+7F6Ak0RIn99FYB5viM4IlDIrC3rOXTjbQRe+DWNY04k54oH8fkMqt6GoZPAdNYoGTJ3vMlBtpXhBVm9sr4LZ41mx9JHuOzFK1mSeRuzTjq3V9Yr0hfU9Imkmbbq9QAMHTXB4yQiIv1QpA2ibZCZR3U4g5bWTO4KXMPdjYfTFMpj6sh8LjqkiIOHDeKgwTkMK8giNyNAdtBPJBajNRKjrjnM5roWNtVOZX11M09uaWDNxu2MaX2L6Bofa7//BCeX+vjxuxfh8obhn/IhOOxiGF2mBrAXBVu2UeUvIjPg75X1mRkfvfACtv/qNxz8zFW8njeEw488rlfWvTsXi1FfW01DGFotk3BzPf6qt4hFQhhgfj/m8xMunIjLGUwg3ERm0wZ8vgA+fwCfL4AFApBXjD+Ygy/WRiDSjPkD+P1+/P4AvkAAvz8Dv98XP6O95394ou66mM0S9ViHdex6Zb529VgX6/bH541F2y/1Pl+iVUl6PdK5ts+6gc/f/br58Pv9mEc/42r6RNJMfUuE99xwSguHeB1FRKR/Wfss/Pv/aBh1PN+PXcH9r4WJua9y3KShfHvGSE6bMoxBWcF9rmZUYTZTRuZ3mOacY0P1Sby8bgcvr6vm9Xc28qW2BZxWt4STF/+JzMXltOWXErzgd9hBxyTrXzig5LTtoDlzRq+uM7dgCOFPPkhr+amM/9fFPLXtF5x81sX79Ye8c44tdS2s3NrIhnWrOeTNXzK46R3yo9UUuToKLMp3267in7HjmWmreSDzW53W8Zm2z7EoNocP+Jbxl4ybO9U/3vYVnokdzhm+xfwu4xed6heGvkmFO5Tzfc/xs4zbOtXnhn7ASlfKR/2P873gnzo9f+a40M/Z4IZxtX8h1wfv6bT8Ea23UU0+Xwr8nWsDnS+zPaT1T4TI4FuBO7ki8FiHWtj5mRT6MwA/CvyOiwPPdqjXuRxmhP4AwC3BX3C2f3GH+kY3hGNDvwbgzuAPOMHfcRCet2OjOL3txwDcl/Ftynxvd6i/FpvIeW3fBeCRjBuY7Fvfof7f6DQ+Go6P6Ppcxucp9VV1qD8WLePT4f8DYEnmp/lZ5CKu+Pz3mFiS12k/9AU1fSJp5sHsc1mZfwr/0cAAIiK9IxKCJ74JL99GTdYYvvxaMf91G7nkqFI+ddx4xgzOOeBNmBmlQ3IoHZLDRWVjcG46a7Ydx+NvbuWu5esYteUJ5tX8j1//fSPHHPE284e+y/DoZph2PmQO6oV/5ADjHAXRGsL5vf9cvcIR46n/9FPU3X4uJy3+NDeuCzHvzLOZPW5w/LLdLjS3RVi77h12rH6FyKY3yK1ewcjW1dwTPoHfRs+liHqezHqZzVkTWJ9/COuyh0BuMaeNPI7jiw4m101iae0YLBD/0MHForhYlA/nH8o5mUMJtpRSsX04LhaBWBQXjUIsyrlDZnNq5jByGwfxUlVG/IyXi+JiMSwW4ayS2RwbLKG4yfFideczcecPn0FzxlCGNbTxYk1GYur7/8bLRs4gFMhnRP2pvFhb2HFhg0+OmkrEn83I2jN5sX5Ep/VfO+ZQnAUYXPNBXmzY/dEaPq4rjY9fkL1jHi82Te5QjfoyuW50vG7bL+DF5iM61Nv8eVw3Kl5v3XYxL7Z2/DClNVjIdSPi9Zqtl/BiaGuHelNGCdcNj9c3b/4IteHqDvXmrFFcVxKvr9v0cTZGGjrUY9ljua44Xn+z8koOK5jF4NwMvGJub6dzU0hZWZmrqKjwOoaI58695X/kZQb4yydnex1FJCnMbIlzTk8J76bePD6WlZUx4I619Zvg7x+FjRXcFzibrzdeyGkzxvG1syb32r1g3bGtvpUn39rGw0s38dK6Hdzk/wOXBv5D2J9NZPL5ZM/+OIw+Mq0v/+zT76+2Jv5301y2jD+fCz72xaRsItpSz5KHb+XTbx1OTUuEG3IeZmR+EJc3PH75ZWsd68MF/K35KLbUNrIi8xNkWRiAzf4R7Mg7hB1jP0T24edzyPBBFGTv+yyyyP4eIz0702dmc4FfAn7gD865H3iVRSSdfGX719g27HhATZ+IyIFybU00bd/AV8JfZGnW8dzxyekcM3Fon+coyc/i0tmlXDq7lM11LTz8+iF8seJpZtf8iw8tuw+W/5XNw0+m8BP3kZ3RO/eo9WdNLpPLQjdw/UHJG/TMn53PURdfzwttUR5bsYUpT/yEibWv4at9/4TKa8GZvD3hDMYPHcPb4ZsZNmo8xRNnMSKnkM7nvUSSx5Omz8z8wC3AaUAl8IqZLXTOvelFHpF00RYKMSf2Oi8HZ3kdRUQkve14h9ZBB3HdYw08UfcjTp46hkUXTKcgx/uzLSMKsllwwkQ4YSKrt87n90vepuXVf7J5vfGfm57kQ1OK+GLoVoYe/RF8E07ScwC7sK0hBEDJoMykbys7w8+5M0fBzKch3ALN1fFBSzIHMTOrgJm7zs5OSnoWkT3x6kzfUcAa59xaADO7B5gHqOkT2YvtW95jpDn8BaO8jiIikr7e/R/uLxfwl5xP8O9tx/LVsw7jU8eN92xUvb2ZNGwQXzhrFrG5R7D43WqyXq3knWUvEORxfGvvpy5zBJHplzHkA1dAwWiv46aM6NJ/8FzG99nkvx/ow/0SzAYdoyUFedX0jQI2tHtfSRfXqpnZAmABQGlpad8kE0lhdVveZSSQNUQ/DyIi+6VyCbG/XUxlbAi/33EYv5x/OPMOT/0/0n0+Y874IcwZP4SWc6bx5LK5bHjxH0zf9hAfeOUnxF75KQ8d+yAnHHOMp4NFpIpw9XtM9FXRMqTY6ygiKcGrpq+rj9I6jSjjnCsHyiF+o3qyQ4mkutbqjQDkDtWnuSIiPVa9lthfL2RbJJfL2r7KTz5+KsdNSr+mIDvDz4dmjYNZX2Fb/ee456VXqHvtIW5+qpHA00/yq5KFTC7OYtTJnyJjxFSv43oiVr+FRpdF8WA93kgEvGv6KoEx7d6PBjZ5lEUkbdSEA7wWm8jo4tT/VFpEJKVEw8T+Np+m1jAfafs637v8tLRs+HZXkp/F/NOPg9OP4/jN9Tzw2kZir2xmdM1/Ca7+I5uyJhGbdiEjP/BRfIUD59jha95GFYUcpBExRQDvmr5XgElmNg7YCMwHLvUoi0jaeCNnNr9o+y6r1fSJ9Fsa3To5ohbgNvswz4di/N8lZ3HiIb3//DavTR6Rz+QR+UTOeIDFy1ex5X9/ZcLWRcyouJm/v7qUFTO+xtypJRw13E8gr3+fAQu27KDOV7TH5+aJDDSeNH3OuYiZXQs8RvygdodzboUXWUTSyY7GNgpzggT9GqlNpD/S6NZJ0rSdHz1bxe82HMr3zp3GWYf178HyA34fx8yYDDO+T2Po2zy++GVeX13LAxUbWPnSo/wt8ybW5M4gdsjZlB5zMVlDD/I6cq9bHphMQ3aAw70OIpIiPHtOn3NuEbDIq+2LpKPT1/6A031VwOleRxGR5NDo1r1twytE/vRBVrdcy0fnnMdH5/S/Bmdv8jIDnH7csZx+HHyjLcIrrw7hP6+sY/z2Zzj41e/Dq99nXXASi4/6JbNmzGBCcW5KjmLaU7f5LmH0sBw+6nUQkRThWdMnIj03pPVd0Ek+kf5Mo1v3ppZawvd+nK2RQcTGzOGbH5ridSJP5WQEOGHOUTDnKEKRKC+/VkH96w9StOUFvvbUdiJPPcvX8xYyO3cLkbEnMOzwMxkx9tD0awKdo6q+lZmlRV4nEUkZavpE0khupIYdOeO9jiEiyaPRrXtR5NGv4mvYxFf93+dHlx6nS+PbyQz4mX3kbDgy/pnC09XNPLe6ivyXHqO4ehnDlz4DS7/DRoaxquh4Ko/6OkeUFjGpJJfMYGr/+RhtqeOF6CX8t/E64DCv44ikhNT+qRWRDgpitWzJGup1DBFJHo1u3VvWPkNg6V+5NfIhrvjIRQwvyPI6UUobMziHy2YfBLN/RTT6C9asWsqONx4ls/IFaurq+eZD8aEXnsz8Mq2BAnYUTMWGTaVo3AxGTZpJUWFhypwRbKjeSqFFyMjO8zqKSMpQ0yeSJsLhNgppJJajpk+kH9Po1r1k7ZsVRGKjqD7y/zjp0P43Umcy+f0+Jk6ZycQpMwGY4RxH1bTwxvrt1Lx0PEXVrzO7+iGyqv8Bb8GfIqfz8+CnmDAkk2vc3VjhQWSXjGPQiAkUjphASVEhGYG+O8vaULOFQiA4SMdLkZ3U9Imkidq6eiqiR5I9ZGDfkyLSn2l0697R3Bbh42/OIiNvOg+fOcPrOGnPzBgzOIcxg0vh8NviE2NRdlS+zabVr1LQWsCHwiNo2rKa47b8g4wdEXjn/eW/Hr6CR7M/2Gd5m2urAMgqULMvspOaPpE0UdWWwdXhL3Lr+CO8jiIiSaTRrQ/Q9jXc99jzrK8u5u8L5pCd4fc6Uf/k8zOkdDJDSidzGHAeAIdB7Fxaazaybf3bNG19h8iOdUzJmU3UlfDEg30TLVS3DYDcIjV9Ijup6RNJE7XNbQAU5mR4nEREJHXVP/Qlzl3/EhuOepDZ4/v3A8hTks9H1pAxlA4ZA5wCvD+UyhP/r28ibPWP5G+Rkzl5yMi+2aBIGtAwViJpInPNI7yauYDi1ne9jiIikpJibz9B/oanucN/EZ89a5bXccQjb2dN46uRT1JYqEc2iOykM30iaSLatIPB1kjboHyvo4iIpJ5ohIaF11MTG8bYs79IflbQ60Tikfq6OgZlGFlBXdorspPO9ImkiVhLDQC5BbpcSURkdy2L/0hB4zv8vWgB88rGeR1HPHT2mm/ygP9Gr2OIpBSd6RNJE66ljojzkTeo0OsoIiIp5z9r6glEyzj7ok+mzPPixBuZ4VqaA7oqRqQ9nekTSRO+UB0Nlov59GMrIr2nvLycsrIyysrKqKqq8jrOftlS18r/rZrCoqk/YdroQq/jiMdyIrW0Bgu9jiGSUvTXo0iaWB2YxBOBE72OISL9zIIFC6ioqKCiooLi4mKv4/RcuJXn7vsV5iJcd9ohXqeRFJAXrSecqUFcRNrT5Z0iaeKJjFOpKTyei70OIiKSQrY/+zsu3nATjVN/S+mQHK/jiNdiMfJdA7EsNX0i7elMn0iaaGxp1Wh0IiLthVsJvvgLFrspnHPOhV6nkRTQEmrjl5EL2FFytNdRRFKKmj6RNPGzHVfzubofex1DRCRlbP7vnRREq3lv6mcYmpfpdRxJAdUhx6+i59M66hivo4ikFDV9ImkiL9aAC2Z7HUNEJDXEYtiLv+ZNN5bTztaF7xJXW1dPCTUMztYIriLtqekTSQMuFmOQayKWWeB1FBGRlPDu+rXUhmD1xE9QmKuzfBLnNrzM4qxrGN3whtdRRFKKBnIRSQOtLU1kWwSy1PSJiAD85pUmHo79iOfnneR1FEkhoYYaALLzh3icRCS16EyfSBporN0OgC9bo5GJiGxev5onX1vNJUcdRHG+LnuX94Wb4k1fbsFgj5OIpBad6RNJA/Vh44HI2RxacpjXUUREPLf9/uv5V3Ap/uOWeh1FUkyspRaAvMKh3gYRSTE60yeSBup8Bfy/yGVER8z0OoqIdJOZ/djMVprZG2b2gJkVJqaPNbMWM3s98XVbu2VmmdkyM1tjZr8yM41GsZu6bRs4tOYZ1g49mRFFeV7HkRTjWmqJOiM7V7dDiLSnpk8kDTQ3N5NLC7lBv9dRRKT7ngCmOeemA28DN7arveOcOzzxdVW76bcCC4BJia+5fZY2Taxc9BuCFmXUadd6HUVS0LLsI/m5/+OYT8dLkfbU9ImkgZz3nmJF1pUMaVzldRQR6Sbn3OPOuUji7UvA6L3Nb2YjgHzn3IvOOQfcBZyb3JTpJRxuY9y79/JG5iwmHDrD6ziSgt7wHcojued6HUMk5ajpE0kDkZYGALJy8z1OIiL76RPAI+3ejzOz18zsWTM7LjFtFFDZbp7KxLROzGyBmVWYWUVVVVVyEqegV579FyVU44680usokqKyGt5lfEat1zFEUo4GchFJAy6UaPrydI+CSCoxsyeB4V2Uvuaceygxz9eACPDXRG0zUOqc22Fms4AHzWwq0NX9e66r7TrnyoFygLKysi7n6W+cc/xw1TCGZP+SP5z4Ya/jSIr61PYf4QLZ6CS5SEdJa/rM7MfAh4A24B3gCudcbaJ2I3AlEAU+55x7LFk5RPqDWGu86csdVOhtEBHpwDl36t7qZvYx4IPAKYlLNnHOhYBQ4vUSM3sHOJj4mb32l4COBjYlI3c6enV9LUs31PLdeSfiC+gza+ladrSR2uxhXscQSTnJvLyzyxvYzWwKMB+YSvwG9d+ame62FdmbtkaizsjMyvU6iYh0k5nNBa4HznHONbebXrzzuGdm44kP2LLWObcZaDCzOYlROy8HHvIgekra8vB3+VXWbVwwc6TXUSSF5bpGohm6KkZkd0lr+vZyA/s84B7nXMg5tw5YAxyVrBwi/cHb2UfwW7sY8+k2XJE08htgEPDEbo9mOB54w8yWAvcBVznnqhO1q4E/ED82vkPH+wAHrOqGFmZVPcih+WFyszK8jiMpKhpzDHJNxLLU9Insrq+uj/gE8PfE61HEm8Cd9nqjOvGhqyktLU1mPpGUtjzzcJ7PHM1nvQ4iIt3mnJu4h+n/BP65h1oFMC2ZudLRS0/+g7Osmuicj3sdRVJYQ2MDhRbGsgq9jiKScg7otIGZPWlmy7v4mtdunt1vYO/RjerOuTLnXFlxcfGBRBVJa/7mbYwINu97RhGRfiYWc+SsuId6y2fUUed7HUdSWF1rhC+2XU3N6JO9jiKScg7oTN/+3MBO/MzemHaz6UZ1kX24dPMPyYrUER8bSURk4HjlrTUcHX6ZDRPmkx/QpZ2yZ7UhHw/EjuPsYVO9jiKScpJ2g9CebmAHFgLzzSzTzMYRv4F9cbJyiPQHwWgTbf4cr2OIiPS5+ys28Bf7IGNOucrrKJLimmqrKLOVFAVDXkcRSTnJHBWiyxvYnXMrgHuBN4FHgWucc9Ek5hBJe5nRZsJq+kRkgKlqCPHPVa1sKruezFGHeR1HUpx/0xLuy/wuJc1rvY4iknKSNpDLnm5gT9RuAm5K1rZF+pss10J1QI9rEJGB5fEXl3Asr3NJ2dFeR5E00NZcB0BO/mCPk4ikHo3/LpIGslwL0aCaPhEZOJxzhJf8lTszfsjE7Cav40gaiLTEm77c/CKPk4ikHjV9Imng59GLWVN8mtcxRET6zPLKOo5teZqtRbOgYPS+F5ABL9pSD0BWXqG3QURSkJo+kRQXicb4c/hkdhTP9jqKiEif+e/zTzPJt5H8I+d7HUXShIUaiGGgK2NEOumrh7OLyH5qamllsr1HkU+fdIvIwBCKRMle9QBR/GTPuMDrOJIm/pdzEs9kjeB7Pp3TENmdmj6RFBeq3cIjmTeyeMe3gBlexxGRfizc1sqm997e9T6WPQQXyMYiLfhaqjvNH80eAoEsigdlkhX091qOp1duY3rsTWpHH8eQ3CG9tl7p39a6kVTnDfU6hkhKUtMnkuJCLY0A+DL0yAYRSa7g9rcY+ccjd72/su06norN4hTfEm7P+Gmn+ee3fZ2XYlM4orSQ+z9zbK/luG9JJSsyb+L5S47otXVK/zeifhljAwAf8DqKSMpR0yeS4tpamwHwZeoeBRFJruacUVTM+NKu9+cPncOZOSPJbi6mYntBp/k/UnI8F77wO0q3vwk81ysZqhpCPL2qik8eNw7/oOJeWacMDBc2/JkifytwpddRRFKOmj6RFBdujZ/pC2TqTJ+IJFdOYQll532ui8po4Kgul1m8pJGxTRt6LcPC19bzQOCrlOR8Fpjca+uV/i8z2kQ4M9/rGCIpSU2fSIqLtMafTxXI0pk+Eel9t9x6G+W33QYuSk1tLY1179+75zLywAwiISzW1mlZl5FHzPwECNNUX9NFfVD8RaQVc5HdqobLiP9es0grFosCsGrx41zpW0drbgZNociu5YlFwTouTzDn/bqLYu1nMB8ukLVr/bhYx+XND4HM+OtwK+B2W70fF8iIv0zU42/s/eX9GYntt7RPFf+376o7LBKi0wzmB38QnINou/rOmXx+8AUS9XCnMuaPz+McxMKdyvgC4PN1WWdn3XbWd/9/A7arHgMX7by8+fdajy9viXoMgFgsRnNzY2LxzPjysUj8/+3u/BmYz+K1xPIdyoEgwUDH+0izXTOh4MjO6xIRNX0iqa46ZxxfCn+aTwyZ5HUUEekBM/s28CmgKjHpq865RYnajcSvQYsCn3POPZaYPgv4E5ANLAI+75xzycx57AfnU1/5OjcG76bs7kHkvfa7XbXyyAdpJpOjfSuY7VvZadnfRM5lbItjiouS++ptHWoxZ/wqej4Ap/qWMM33bod6yAW5NXoOAGf5XuZgXyXrmjI4tHYxkUCAcM0mbv/vWgDm+f7HON+WDstXu0HcFT0dgIv8zzLKtneob3FF3BM9GYDL/E9RbLUd6utjJdwfOw6Aj/sfpdA6PgD+ndhIHo4dDcCn/P8i1zo2Zm/FSnksFr//8Rr/gwStY+PyRmw8/4nNxHB8PnD/7ruOitjBPB87jEzCXB1Y2Kn+QnQKi91k8mjhk4FFnerPRmfwmptIEQ18LPB4p/oT0VmscGMZRjWXBJ7uVF8Unc3bbjRjbBsX+P/bqf5g9FjedcOZYJv4kP/FTvV7IyewiaEcauuZ63+lU/2vkVOoopDptpaT/a8B4NuylJwfjQLg+NDPWe+G8Wn/w9wYvLvT8mWtt7KdAv4vcC+fCzzYqX549E4e/uLpjBkcb/ydc+S4ZpqDgzrNKyJq+kRSXn1wKPdFT+DT+SVeRxGRnvu5c+4n7SeY2RRgPjAVGAk8aWYHO+eiwK3AAuAl4k3fXOCRZAYcU5TNzJMvYHHtoYTv+x0bS07aVftA/khiFiCr1dgYGt5p2RPzh7NjZTbBpggbS07sVD+lYBgAOc0zqGw7qGPRfJySH68HmmeyMTyev2xq4RP+W2gaNov60Sdx8qDE772mI6iMNHZYPObL2FUPN5ZRGe3YtEX82ZyUF683NxxJZbS14/KBXE7KjdfrG2bTuNuZTAvmc1JOvF5dfzQ1u52pzAgWcmKivrXumE5no3IyBnNidjHgqKzrPMhNQeZQTsgqxlyUyvpjOtWHZA7nhKxifLFwl/Vh2SM5PnMogeggKhuO7lQflT2aIRlDCERzqGzsXD8op5ThwSIyIllUNs15v5D4iGFi7kGMCeaTGQ5S2dT+c4f460PzRjMhkEdWm4/K5s6PSJiWN4qwP5ucNqhsiZ8Rbcos4eXxV+KAj4+cSVswn5K6Nl6u7ThCq3Pw6dHTifizGVF7Ni/XdTx7V9PURuN6Y1tD666mrzUcI5cWqjLzOmURETV9IinP1W/hCHubLF/vjYwnIp6aB9zjnAsB68xsDXCUmb0L5DvnXgQws7uAc0ly0zckL5O5p5wGnEbw5r8wasr7DcCoXa8KgM5XG4wCniycyEtbJ3PcIbMJ+H2d6u8v39nodnXnHJv//QdG2Q44+vsUTD6KMbvqhV0uX7rr1cwu6+8r20d99j7qnZumDsbsa7TI4/dRP2Ef9X196DdiL7Ui2u/pnUZ3qJfuoz5uL/XBwMR91A8GIHfIKGZf/n0A3v8umwCc12n5jvWLO9ReWraSE+++F1/rYYn1Q0MozFVt1/OxcbOY3mltIqKnV4qkuJKNT3B/5rfJiTV4HUVEeu5aM3vDzO4ws6LEtFFA+5FPKhPTRiVe7z49pa0efT5XhK8nHD2wq1Df3FzP2zUx1ow+Dw45s5fSSX+UV7OSP2T8lMya1bumNbZGeNUdDEMmeJhMJHWp6RNJcS4cf2RDVrYuWRFJNWb2pJkt7+JrHvFLNScAhwObgZ0PurMuVuX2Mr2r7S4wswozq6iqqupqlj6TEYj/KdEW6TzYRk8sXLqJd20MQy4ph+yifS8gA9fOwXTaXVLbVF/Leb7/MjSyZQ8LiQxsurxTJMW5tvh9KFk5avpEUo1z7tTuzGdmvwf+lXhbCe2uXIxfCbcpMX10F9O72m45UA5QVlaW1IFe9mXKlgd5PvM3tLUshpwh+16gC7GY46XXl3PpQWGKcoK9nFD6nUTTF2v3nR+ureTnGbeyuu5QYJY3uURSmM70iaS6cDNtLoA/oD+ERNKJmbW/0eo8YHni9UJgvpllmtk44jfLLXbObQYazGyOmRlwOfBQn4beD1mxVkbbdtraWvc98x68ur6Gk5oW8Z3Nn4Emb89cSuozS/z52u5REaHGOgAycru+f1RkoNOZPpEUZ5EWWi2TDK+DiEhP/cjMDid+iea7wKcBnHMrzOxe4E0gAlyTGLkT4Gref2TDIyR5EJfeYIln2UVCuz9rrvsWLt3EJf4lxEbPxp+nkYpl72zX5Z3vn+oLt8SbvqzcQg8SiaQ+NX0iKe6lgrP4R82EXTcDiUh6cM59dC+1m4CbupheAUxLZq7e5ks0feHw/jV9kWiMJW+8wXftPZh8ZW9Gk36qZchUPhz6Bl8onLJrWrQ50fTlFXqUSiS1qekTSXHrAuNYmqlBDUQkNe1s+iLhtn3M2bWX1lYzs3UxBIGDNWqn7FssM5+X3WQimfnvT2utByB7kI6XIl3RPX0iKa6kfgWH2+p9zygi4oFI/hgWRY8i5PbvvuNFyzdzSmApsaLxMLTzswBFdpcR2sE83/MEmrftmvbGoA9wbuRmMgpH7mVJkYFLZ/pEUtyHqu8gM9IILPA6iohIJy0jZvOZ8Bf4W1bP78WLxhyPr9hC66Tvc9Lpg98fil9kLzLr3uWXGb9laU0ZMBWA7ZEcKrMmQUB3wIt0RWf6RFJcIBoi4svyOoaISJcO5Dl9r7xbzfbGNk6dMR5KJvd2NOmnfF18ODC8+hUu8j3T92FE0oSaPpEUF4y1EvGr6ROR1DR428u8lrmA7K2v9XjZR5Zt5nMZCzm17p9JSCb9VqLpc+0ezn5YzZMsCP/Fq0QiKU+Xd4qkuAwXokFNn4ikqIAfiqyRWLilR8vFYo5Hl23iieBjZGwJJymd9Ec+X+dHNgQjjbT6cj1KJJL6dKZPJMUFXYioP9vrGCIiXQoEMwGI9nD0ztc21DCy6U3yozUatVN6KP7nq+vQ9DUR8qvpE9kTNX0iKe5r9jleGHap1zFERLoUCMYHzohGetb0LVq2hdMDr+HMD5NOTUY06adCgw/h7NBN1Aw5Yte0zFgT4YCaPpE9SXrTZ2ZfMjNnZkPbTbvRzNaY2SozOyPZGUTS2cuRSTTkaxhzEUlNwYydZ/q6/3B25xyPLNvMh7KWYqVHQ7aerSY9kJHDCjeOcHDQrklZsRYiavpE9iipTZ+ZjQFOA9a3mzYFmE98jN25wG/NzJ/MHCLpysVinB59jlHh97yOIiLSpcz8Ev4ZPY5q/9B9z5ywtLKO7XUNBIpGw5R5SUwn/VGgpZrL/E+S1bhh17Qrwjfw1MQbPUwlktqSfabv58BXANdu2jzgHudcyDm3DlgDHJXkHCJpKRJp4xfBWzi09lmvo4iIdClryBi+FLma97IO7fYyjyzfTMyXQfbHH4DZegap9ExG8yZuCt5BXu0qAFrDUTZF87FBwz1OJpK6ktb0mdk5wEbn3NLdSqOADe3eVyamdbWOBWZWYWYVVVVVSUoqkrpCrc3xF0GN3ikiqcnMyA76aW6Ldmv++KWdWzhpfC4FOcEkp5P+yKzjQC6NoQif8T/ExObXPUwlktoOqOkzsyfNbHkXX/OArwHf7GqxLqa5LqbhnCt3zpU558qKi4sPJKpIWgqHWgGwQKbHSURE9qBpO6/7LmP6pn90a/YVm+rZWN3Ab7Z+FJ6+OcnhpD+ynQ9nTzynr7Glja8E/05pXYWHqURS2wE9p8851+VwW2Z2GDAOWJr4wRwNvGpmRxE/szem3eyjgU0HkkOkv2oLxc/0mc70iUiq8meQQQTCzd2a/dHlW5jpX0tmuA6KD0lyOOmPbNfD2ePnDJqaGgHwZ+V5lkkk1SXl8k7n3DLnXIlzbqxzbizxRu8I59wWYCEw38wyzWwcMAlYnIwcIukuEoo/7NgXUNMnIikqmHiOaKR1n7M651i0bDOXDn4bzAfjT0xuNumnOjZ9LY11AATU9InsUZ8/p885twK4F3gTeBS4xjnXvRsBRAaY5qzhnBP6HtUjj/c6ioj0kJn93cxeT3y9a2avJ6aPNbOWdrXb2i0zy8yWJR5r9CvbdR1bCvMHieLDutH0vb21kbXbmzjO9waMPAJyBvdBQOlvIoMncFLop1SVHAtAa3MDABnZg/a2mMiAdkCXd3ZX4mxf+/c3ATf1xbZF0lmry+ANNwFfbveHQheR1OCc+/DO12b2U6CuXfkd59zhXSx2K7AAeAlYRPzRRo8kMWavaLNMfNF9N32Llm2m0BoZWr8CDv9KHyST/sgCWaxzI2gL5AAQao7/aGXk5HsZSySl9fmZPhHpPtewifn+/5DXptFrRdJV4mzdxcDd+5hvBJDvnHvRxa9buws4N/kJD9wz+eew1Pb9yIZHl29hxpgh2Fk/gann9UEy6Y/8LdUs8D9Mbv1aADZljGd66+/xHXK6x8lEUpeaPpEU5t/+Nj8I/oH8lg37nllEUtVxwFbn3Op208aZ2Wtm9qyZHZeYNor4PfA77fGRRqlm0fCredKO3us8a6saWbW1gROmT4Ajr4SS7j/XT6S9QOsOvhq8m4K6lQA0tMWoJ5e8XN3TJ7InfXJ5p4jsn0gkBEAgqEc2iKQiM3sS6OqJ0F9zzj2UeH0JHc/ybQZKnXM7zGwW8KCZTaUHjzQyswXELwOltLR0f+P3mtxAjEho76N3PrpiC+A4z/88NOZCXknfhJN+x3wdn9OXs+NNvhq8l8zWMggO8zKaSMpS0yeSwmJt8Xtk/JnZHicRka7s6dFFO5lZADgfmNVumRAQSrxeYmbvAAcTP7M3ut3ie3ykkXOuHCgHKCsr67Ix7EufX3sVc9vygLP2OM+jy7fwoRF1FD12LWT+Go64vO8CSr+y+3P6BtWt4gL/wxD+roepRFKbLu8USWGxcPxMXzBDTZ9ImjoVWOmc23XZppkVm5k/8Xo88UcXrXXObQYazGxO4j7Ay4GHulppbyovL6esrIyysjKqqvbv/mEXyCIQCxGOxrqsV9Y080ZlXfxRDQATTtnfuCLxx33ArqbPheLP6SNDl3eK7ImaPpEUFgvHn9MX1Jk+kXQ1n84DuBwPvGFmS4H7gKucc9WJ2tXAH4A1wDv0wcidCxYsoKKigoqKCoqLi/dvJcFssixMXUu4y/Kjy7cAcHjbEiieDAVpcauipKhOTzIJN8X/m5Hb92FE0oQu7xRJYWuLT+GGUBZ3F4z0OoqI7Afn3Me7mPZP4J97mL8CmJbkWL3OF8wmi63UtYQZmtf5HuRHl29hxrAg2ZtehqMWeJBQ+hNXUMqRrbfw5eFHMQewtiZiGL6APiAV2ROd6RNJYU3k8I4bRUamBnIRkdTly8gmizZqmzuf6dtW38qS9TV8dMwOiLbBhJM9SCj9ifkDVFFE2Bc/NlqkhTbLAp/+rBXZE/10iKSwwh2vc6V/EZn6SRWRFNY04Szujp5MXUtbp9pjb27FOZh+3Afh/96CsR/wIKH0J75QLV8M/IPCurcA+JX/Y9w4aaHHqURSm/6UFElhI3e8wDeCfyEj6Pc6iojInk27gNujZ3V5T9+jyzczvjiXSSV5kD8SArpyQQ6ML9TA5wMPUFQff05fYyhCdrYu7RTZGzV9IinMRUK0OT9+v5o+EUldBcEoxdR0uryzuqmNl9ZW8+FJDrvnMtiy3KOE0p/sfE4fief0nRt6mNN2/M3DRCKpT02fSAqzaIgwQa9jiIjsVeGrv+WVrGvYXt/UYfojyzcTjTk+lPMmrPo3+PX7TA6cz95v+toiMU6igkPqX/A2lEiKU9MnksIs2kab6Y8kEUltvuwCAGqrd3SY/tBrm5hYkseI7S9AwRgYerAX8aS/STyywbkYTaEIudZKLKjHNYjsjZo+kRRmkVbayPA6hojI3mXFm7762vebvo21LSx+t5rzDivB1j0XH7Vz9+erieyH9mf6GkMRcmjVM/pE9kFNn0gKu7/4M1yV/WOvY4iI7F1mPgDNDTW7Jj28dBMAFwzbDKF6mHiKJ9Gk/3GDhjGl9Q5WjjiHhtYIORaCjDyvY4mkNDV9IimszuXQGBzqdQwRkb3Lijd9rQ01tIajOOf4R8UGZpYWMjwXGHkEjDvB24zSb5jPRzNZOF+QxlCEqPPhy873OpZISlPTJ5LCZtU+xoeiT3gdQ0Rk74ZMZOW0L/NebCirtjTwwjs7eKeqiY/MPih+WeeCpyG70OuU0k/42hr5euDPlNS8TmMozAltv6Dq2O94HUskpanpE0lhsxse5/TQk17HEBHZu/yR5J78f1S6Yl5dX8Ntz77D4NwMzp5SBOFWr9NJP2ORVj4ZeITBDStpaI0AkJcV8DiVSGpT0yeSwgKxNqI+DeQiIikuFmO028xRxTFu+vdb/Hf1dq45aSJZbz8MPxwLO97xOqH0IzsHcnE4Wpvq+E3wlwzZ/LzHqURSm5o+kRTmd2E1fSKS+lwU+/UR3Fz6MkG/j1MnD+Pyow+C1U9AZh4UjfM6ofQj5kuMAusc4cYaPuh/mezWzd6GEklxOhcuksKCsTaa1PSJSKrzByFnCBOyGlnxnTPw+QxiUXjnPzDpdPDpM2bpTe2avpZGADKyB3mYRyT16bewSAoLuDZifjV9IpIGBo2Ahi3xhg9g02vQUg2TTvM2l/Q7Pp8/8coRbmkAwPTIBpG9UtMnksIuz/gZ/xh1o9cxRGQPzOwiM1thZjEzK9utdqOZrTGzVWZ2Rrvps8xsWaL2K7P4E8vNLNPM/p6Y/rKZje3jf86BGTQCGtpdYrf6CcBg/EmeRZL+yXIKGdv6N14feQmR1viZPjJyvA0lkuLU9ImksIZoENOBTCSVLQfOB55rP9HMpgDzganAXOC3Zrbz9MStwAJgUuJrbmL6lUCNc24i8HPgh0lP35sGDe/Y9E09D87+KeQO8S6T9Eu++OckOKAlHKHKhkCmntMnsjdq+kRS2NWRPzO9USOSiaQq59xbzrlVXZTmAfc450LOuXXAGuAoMxsB5DvnXnTOOeAu4Nx2y9yZeH0fcMrOs4BpYeZHYO7N778vORSOvNK7PNJvWaSVmwO/Z3T1i7zqm8ZVJX+BkYd7HUskpWkgF5EUdol7hKVN2V7HEJGeGwW81O59ZWJaOPF69+k7l9kA4JyLmFkdMATYnvS0vaF0zvuvVz0KPr/u55OksFiYSwJP81zTYTS2jqcoV/e+i+xLUs/0mdlnE/cyrDCzH7Wb3uV9DiLSUZAIaCAXEU+Z2ZNmtryLr3l7W6yLaW4v0/e2TFeZFphZhZlVVFVV7f0f0FdiUXjvRdj6JjzyZXj+F14nkn7KEs/pwzkOb3yOL23/BrQ1eRtKJMUl7UyfmZ1E/FKV6c65kJmVJKa3v89hJPCkmR3snIsmK4tIOnKxGEGLqukT8Zhz7tT9WKwSGNPu/WhgU2L66C6mt1+m0swCQAFQvYdM5UA5QFlZWZeNoSfu/Sj8f/buPD6q6v7/+OszS/aFsC9h3xcBJSDiVldwA/ei1qVqqa1Wbfu1rbW/1rq02s1WbbXU3brUYhVURMUNFwQDKsgmOwQCJASyZyYz8/n9MQMkmQABJnMnyef5eMTMnHPvnfdcJ5w5dzmnMtIJPfMeZ7OY1mvPPX2qdPVvYkToM3B5HQ5lTGJrzjN9PwDuU1UfgKruiJQ3ep9DM+YwpkUKBPzhB25ryIxpgWYBUyMjcvYlPGDLQlUtBMpFZHzkfr2rgJl11rk68vhi4L3IfX8tg8sNY68PP+59Agw5z9k8phULd/pCGsITrCIoHvDYAVJjDqQ57+kbBJwoIvcCNcD/qern7P8+hygiMo3wCGf06tWrGaMak3hq/TV4AbFOnzEJS0QuAB4COgFviMiXqjpRVZeJyEvAciAA3FjnipYfAE8BqcCbkR+Ax4FnRWQN4TN8U+P3TmLk5J/DoEnQaYhNyG6aj7io0FRq1YM3WE2tNw33wdcypk07ok6fiMwFujZSdUdk2znAeGAs8JKI9OMQ7llI2MtXjImDWlc6fWqe49d9hjL+4IsbYxygqq8Ar+yn7l7g3kbK84ERjZTXAJfEOmNcidgoiqb5eVM4Tp7mzKyujOdXBNw2tZExB3NEnb4D3ecgIj8A/he5NGWhiISAjuz/PgdjTB3+YAgQvB47fmmMMcbU5XEJpdV+dpJFedYAMpwOZEyCa85rL14FTgUQkUFAEuFhpxu9z6EZcxjTIgUqirnPM51upV85HcUYY4xJHKEg9+tfGVzyAfcFLueLkx5zOpExCa85O31PAP1E5GvgReBqDVsG7LnPYQ7173MwxkRodSlTPR+QXbPF6SjGGGNMQjlTP6FjzToAMpJt2mljDqbZ/kpU1Q98Zz91jd7nYIzZp9bvA0C8NpCLMSY+NmzYQF5e3iGvV1RURKdOnZoh0aFLlCyJkgMSJ8vKlStjtKXw8BD+2gAPeP/OoK8/gUG/i9G2jWmd7NCIMQkqGAh3+tw2DLUxJk6Ki4sPa728vDzy8/NjnObwJEqWRMkBiZPlcA4oNCoyT19NbZBRspb06o6x2a4xrZiNp2xMggrWhufpE0+yw0mMMcaYBBLp9KFKutTgTs50No8xLYB1+oxJUIFggHJNRbwpTkcxxhhjEkqxtKdCU0jDhzfVxu405mCs02dMgtqdM4qjfI9T2eMEp6MYY8wBTZs2zekIeyVKlkTJAYmTJZY5vpP9NP8KnkM6NXhSrNNnzMFYp8+YBBUIhQBIctufqTEmsSVKpwISJ0ui5IDEyRLLHB634CXIlzIYad83Zts1prWyb5PGJKiUoiU85H2Q9MoCp6MYY4wxCeX28vu40P0RN6f+Ho5udLB4Y0wd1ukzJkG5y7dynvszkkJVTkcxxhhjEsrRtYsYJAVkpti0RsY0hXX6jElQumfKBq9N2WCMcU6fPn046qijGD16dKND7qsqN998MwMGDGDkyJEsXrx4b92cOXMYPHgwAwYM4L777mvWHM899xwjR45k5MiRTJgwga+++qrJ68Y6ywcffEB2djajR49m9OjR3HXXXXvr4rlP/vjHP+7NMGLECNxuNyUlJU1a91Dt3r2biy++mCFDhjB06FDmz59frz7Wn5MQbgZKAf8q/yGs+/CI8xvT2tk8fcYkqFAgPGWDx+bpM8Y47P3336djx8bnQnvzzTdZvXo1q1evZsGCBfzgBz9gwYIFBINBbrzxRt555x1yc3MZO3YskydPZtiwYc2So2/fvnz44Yfk5OTw5ptvMm3aNBYsWNCkdWOdBeDEE0/k9ddfr1cW731y2223cdtttwHw2muv8cADD9C+ffsmv4dDccsttzBp0iRmzJiB3++nqqr+VSqx/pyExE0HKSM3sAlCtTF5D8a0Znamz5gEpXs6fUk2T58xJnHNnDmTq666ChFh/Pjx7N69m8LCQhYuXMiAAQPo168fSUlJTJ06lZkzZzZbjgkTJpCTkwPA+PHjKShIvPuh471P6nrhhRe47LLLmmXbZWVlzJs3j+uuuw6ApKQk2rVrV2+ZWH9OQrjJJNKx9KbH+i0Z0+pYp8+YBOWXJLZpDm7r9BljHCQinHnmmYwZM4bp06dH1W/ZsoWePXvufZ6bm8uWLVv2W95cOep6/PHHOeussw5r3VhlmT9/PqNGjeKss85i2bJlwP73VXPmAKiqqmLOnDlcdNFFh7xuU6xbt45OnTrx3e9+l6OPPprrr7+eysrKesvE+nOyI6kH1UTax2SbssGYg7HLO41JUKu7nMV3fL35Mqur01GMMfshIpcAdwJDgXGqmh8pPwO4D0gC/MBtqvpepO4DoBtQHdnMmaq6Q0SSgWeAMcBO4NuquiFub2Y/PvnkE7p3786OHTs444wzGDJkCCeddNLeelWNWkdE9lveXDn2eP/993n88cf5+OOPD3ndWGU55phj2LhxIxkZGcyePZvzzz+f1atXO7ZPXnvtNY4//vh6l3bGcp8EAgEWL17MQw89xLHHHsstt9zCfffdx9133713mVh/Th7u/RDupf/hgaRHIMnO9BlzMHamz5gEVRsMz9PntXn6jElkXwMXAvMalBcD56nqUcDVwLMN6q9Q1dGRnx2RsuuAXao6AHgAuL8ZczdZ9+7dAejcuTMXXHABCxcurFefm5vL5s2b9z4vKCige/fu+y1vrhwAS5Ys4frrr2fmzJl06NDhkNaNZZasrCwyMsJnn84++2xqa2spLi52ZJ8AvPjii1GXdsZyn+Tm5pKbm8uxxx4LwMUXX1xvoJY9y8Tyc1IbDFFCFls7HAcp7Q47uzFthX2bNCZB9Sl8kye8f8AjQaejGGP2Q1VXqOqqRsq/UNWtkafLgJTImbwDmQI8HXk8AzhNjuQ0UAxUVlZSXl6+9/Hbb7/NiBEj6i0zefJknnnmGVSVzz77jOzsbLp168bYsWNZvXo169evx+/38+KLLzJ58uRmy7Fp0yYuvPBCnn32WQYNGnRI68Y6y7Zt2/aewVq4cCGhUIgOHTrEfZ8AlJaW8uGHHzJlypRDXrepunbtSs+ePVm1Kvyn8O6770YNxBLrz8mVhfcyWtbwxclPQlr7gy5vTFtnl3cak6AyKzZwnPtLQm6bg8iYFu4i4AtV9dUpe1JEgsDLwD0a7iH0ADYDqGpAREqBDoTPGtYjItOAaQC9evVqtuDbt2/nggsuAMKX8F1++eVMmjSJRx99FIAbbriBs88+m9mzZzNgwADS0tJ48sknAfB4PDz88MNMnDiRYDDItddey/Dhw5stx1133cXOnTv54Q9/uPf18/Pz97tuc+6TGTNm8Mgjj+DxeEhNTeXFF19EROK+TwBeeeUVzjzzTNLT0w+67pF46KGHuOKKK/D7/fTr148nn3yyWT8ng0NrKXF1oXeHtCPKbUxbIY1dS52I8vLyND8/3+kYxsTN/Om3MGbLsyT9tsTpKMbElYgsUtUjnzgsRkRkLtDYzbV3qOrMyDIfAP+3556+OusOB2YRvm9vbaSsh6puEZFMwp2+f6vqMyKyDJioqgWR5dYSvk9w54HyWfto2qLQw8fiKl6JdhqK3PiZ03GMiZvDbSPtTJ8xCUpCtQTwYLP0GeMsVT39cNYTkVzgFeCqPR2+yPa2RH6Xi8jzwDjCA7gUAD2BAhHxANmAHfUxphGuyFUwUr3L4STGtAx2T58xiSroJyB2XMaYlkhE2gFvALer6id1yj0i0jHy2AucS3gwGAifEbw68vhi4D1tKZfjGBNvrshXWBu505gmsU6fMQmqwp3Neno4HcMYcwAicoGIFADHAW+IyFuRqpuAAcD/E5EvIz+dgWTgLRFZAnwJbAH+FVnncaCDiKwBfgL8Io5vxZiWpXNkoBjr9BnTJHYawZgE9XbHq5hXcjZ2p4IxiUtVXyF8CWfD8nuAe/az2pj9bKsGuCR26YxpxS54FEoLQENOJzGmRbBOnzEJqjaoeD2OjtZujDHGJK7eE8BlI1wb0xTW6TMmQZ25/THO8m8GTnU6ijHGGJNYXrsFQgGY8nenkxjTIlinz5gE1dW3nuxQgdMxjDHGmMRTsh4CvoMvZ4wBbCAXYxKWK1RL0EbvNMYYY6K5PLD5M5j7W6eTGNMiWKfPmAQV7vTZvQrGGGNMFE9y+Lfb2kljmsI6fcYkKJfWEnTZmT5jjDEmSigY/p3SztEYxrQUzdbpE5HRIvJZZG6ifBEZV6fudhFZIyKrRGRic2UwpiXb4upBobe30zGMMcaYxJPVPfw7NcfZHMa0EM15pu8PwG9VdTTw68hzRGQYMBUYDkwC/iEi7mbMYUyL9FD6j3iu4y1OxzDGGGMSzzFXhn+ntnM0hjEtRXNeO6ZAVuRxNrA18ngK8KKq+oD1IrIGGAfMb8YsTHt6Ib9bHz3n7QzXRB5zXUK6VvG/4I+i6p+RKfzbNZmOuosXQj+Nqn9Evs3Lron01EKeCt0RKdW99X+Wq3lDTmawrucRvTtq/bvl+7wnx3K0ruAB/UNU/S+4lfkyiuN1Eb/noaj6m/gFXzKYM5nPb5geVf9dvZNv6M35vMfPeDqq/lLuZzNd+Q6zuYn/RNWfrX9lJ+34Pi9zncyKqj9J/0k1KfxEnuNy3qrzzgGEvFD4Nf+fPM758mG92gpSOSn0KAD3yd+ZKAvq1RfRjtODDwLwd9efOVG+rFe/gW5MDoT32VPuexgjq+rVf619mRoM3+A9w/0rhsqGevULdRjXBH4JwBzvT+nN9nr17+kx/DDwEwA+9t5IJ3bXq38tNIGfBn4IwFdJ15FGTb36F0Kn8v8C14GGWJt8JQ1ND57DfYHLyaSKpSnXR9WfUnsx3wz5YVS5McYY0+YlZ8OYa6DjIKeTGNMiNGen71bgLRH5E+EzihMi5T2Az+osVxApiyIi04BpAL169TqiMHl9clhbdnJUeWbGUZzZrivekI81W0+Jqu+QNYKzsruSEkhndWH0fGnd243gnMxuZNQm8c3206Pq++QM57yM7mT7XXyz/Yyo+kEdhpKZ1p0ONbWsKpoIUn8y7pEdB9M1tQedq2tYWXxW1PpjOw2mf0ou3atGsKLk7Kj6E7oMYFRSV3pWjGbZrl1R9ad3G0CVN4ceZWP4urQmqv7c7v3xu9PpWDqepWXRJ2Qvye1D0JVE9q7jWVKeHi7c+x6EK3uFL09MKTmZJRWd6q0bdHm5OrcPAK7i0/iquv7/Y587ne/2CNf7iybyZfWQevVV3nZc260vIlC+/Ry+8B1Tr748qTPf69oPgKJt51PlL65XX5HSg+93Cddv3noxRYGyvXUCBFP78INO/QFYvWUqG4LV9d6eJ20AN3YcAMCSzVfi0gB1/+9lZAzjR+0HgIZYuPnaqH3XKWsUN+cMxBPy8VlBdKevZ3YeZx43IKrcGGOMafM6DoDz/uZ0CmNaDFHVgy+1v5VF5gJdG6m6AzgN+FBVXxaRS4Fpqnq6iPwdmK+q/45s43Fgtqq+fKDXysvL0/z8/MPOaowxpmUQkUWqmud0jpbC2kdjjGk7DreNPKIzfaoafWprX6BngD03JP0XeCzyuADoWWfRXPZd+mmMMcYYY4wxJoaacyCXrcCe6ylPBVZHHs8CpopIsoj0BQYCC5sxhzHGGGOMMca0Wc15T9/3gL+JiAeoIXJvnqouE5GXgOVAALhRVYPNmMMYY4wxxhhj2qxm6/Sp6sfAmP3U3Qvc21yvbYwxxhhjjDEmrDkv7zTGGGOMMcYY4zDr9BljjDHGGGNMK2adPmOMMcYYY4xpxY5onr54EpEiYOMRbqYjUHzQpdoW2yfRbJ80zvZLNNsn0WKxT3qraqdYhGkLYtQ+gn2eG2P7JJrtk2i2T6LZPokWq31yWG1ki+n0xYKI5NuEv/XZPolm+6Rxtl+i2T6JZvuk5bL/d9Fsn0SzfRLN9kk02yfRnN4ndnmnMcYYY4wxxrRi1ukzxhhjjDHGmFasrXX6pjsdIAHZPolm+6Rxtl+i2T6JZvuk5bL/d9Fsn0SzfRLN9kk02yfRHN0nbeqePmOMMcYYY4xpa9ramT5jjDHGGGOMaVPaRKdPRCaJyCoRWSMiv3A6TyIQkZ4i8r6IrBCRZSJyi9OZEoWIuEXkCxF53eksiUBE2onIDBFZGfm8HOd0JqeJyI8jfzdfi8gLIpLidCYniMgTIrJDRL6uU9ZeRN4RkdWR3zlOZjQHZ21kfdY+7p+1j9GsjYxmbWRito+tvtMnIm7g78BZwDDgMhEZ5myqhBAAfqqqQ4HxwI22X/a6BVjhdIgE8jdgjqoOAUbRxveNiPQAbgbyVHUE4AamOpvKMU8BkxqU/QJ4V1UHAu9GnpsEZW1ko6x93D9rH6NZG1mHtZF7PUWCtY+tvtMHjAPWqOo6VfUDLwJTHM7kOFUtVNXFkcflhP+R6uFsKueJSC5wDvCY01kSgYhkAScBjwOoql9VdzsaKjF4gFQR8QBpwFaH8zhCVecBJQ2KpwBPRx4/DZwfz0zmkFkb2YC1j42z9jGatZH71ebbyERsH9tCp68HsLnO8wLsH+96RKQPcDSwwOEoieCvwM+AkMM5EkU/oAh4MnJJz2Miku50KCep6hbgT8AmoBAoVdW3nU2VULqoaiGEvzwDnR3OYw7M2sgDsPaxnr9i7WND1kY2YG3kATnaPraFTp80UmZDlkaISAbwMnCrqpY5ncdJInIusENVFzmdJYF4gGOAR1T1aKCSNn65XuQa/ClAX6A7kC4i33E2lTGHzdrI/bD2cR9rH/fL2sgGrI1MXG2h01cA9KzzPJc2eJq5MSLiJdygPaeq/3M6TwI4HpgsIhsIX+J0qoj829lIjisAClR1z1HuGYQbuLbsdGC9qhapai3wP2CCw5kSyXYR6QYQ+b3D4TzmwKyNbIS1j1GsfWyctZHRrI3cP0fbx7bQ6fscGCgifUUkifDNpLMczuQ4ERHC16CvUNW/OJ0nEajq7aqaq6p9CH9O3lPVNn10SlW3AZtFZHCk6DRguYOREsEmYLyIpEX+jk6jjd+438As4OrI46uBmQ5mMQdnbWQD1j5Gs/axcdZGNsrayP1ztH30xPPFnKCqARG5CXiL8AhCT6jqModjJYLjgSuBpSLyZaTsl6o627lIJkH9CHgu8oVwHfBdh/M4SlUXiMgMYDHhUf6+AKY7m8oZIvIC8C2go4gUAL8B7gNeEpHrCDf+lziX0ByMtZGNsvbRHAprI+uwNjIsEdtHUbVL940xxhhjjDGmtWoLl3caY4wxxhhjTJtlnT5jjDHGGGOMacWs02eMMcYYY4wxrZh1+owxxhhjjDGmFbNOnzHGGGOMMca0YtbpM8YYY4wxxphWzDp9xhwBEflARCY2KLtVRP5xiNs5X0SGHaD+VhG5Kha5RKSTiMw5lHzGGGPMkYpFmykiM0SkXxOXTReRnSKS3aD8VRG5VETOFZHfNvW1jWnJrNNnzJF5AZjaoGxqpPxQnA802ukTEQ9wLfB8LHKpahFQKCLHH2JGY4wx5kgcUZspIsMBt6qua8ryqloJvE24jd2zjWzgBOB14A1gsoikNWV7xrRk1ukz5sjMAM4VkWQAEekDdAc+FpEzRWS+iCwWkf+KSEZkmftEZLmILBGRP4nIBGAy8EcR+VJE+jd4jVOBxaoaiKz/gYg8ICLzRGSFiIwVkf+JyGoRuedguSL1rwJXNM8uMcYYYxp1oDbzMhFZKiJfi8j9+1n/CmDmniciUiEi94vIIhGZKyLjIm3kOhGZHFmsYUfzAmCOqlapqgIfAOfG9F0ak4Cs02fMEVDVncBCYFKkaCrwH6AD8CvgdFU9BsgHfiIi7Qk3OMNVdSRwj6p+CswCblPV0aq6tsHLHA8salDmV9WTgEcJN4A3AiOAa0Skw/5yRRo4InlOPPI9YIwxxjTNAdrMbsD9hA9yjgbGisj5jWyiYXuYDnygqmOAcuAe4AzC7exdkWXmAGNEpEOd16x7ZtHaQ9MmWKfPmCNX9yjinsZkPOHLNT8RkS+Bq4HeQBlQAzwmIhcCVU3YfjegqEHZrMjvpcAyVS1UVR+wDuh5gFx77CB8dNUYY4yJp8baprGEO29FkatangNOamTdhu2hn3CnDsLt4YeqWht53AdAVf2E28yLRaQj4U7l23W2Ye2haROs02fMkXsVOE1EjgFSVXUxIMA7kTN3o1V1mKpeF2nMxgEvE77HoCkDqlQDKQ3KfJHfoTqP9zz3HCDXHimR7RpjjDHx9CqNt5lN0bA9rK1zBcve9lBV67aFsK+jeTEwM9Ix3MPaQ9MmWKfPmCOkqhWE7wl4gn1n0z4DjheRAQAikiYigyL39WWr6mzgVsJHHCF8WUrmfl5iBTAgRrn2GAR8fajbNMYYY47EftqmBcDJItJRRNzAZcCHjax+WO0h8D4wkPCtENYemjbJOn3GxMYLwCjgRYDICJnXAC+IyBLCncAhhDt2r0fKPgR+HFn/ReA2EfmikYFc3qTxy1wOOVcdpxAetcwYY4yJt4ZtZiFwO+HO2VeEBy+b2ch6bwDfOtQXi5z5e5nw/fbzGlRbe2jaBNl3VtwYk6hE5BXgZ6q6OkbbmwdMUdVdsdieMcYY09xEJJVwx/B4VQ3GYHtdgOdV9bQjDmdMgrNOnzEtgIgMBrqoasMjlIezrU6EG8xXjziYMcYYE0eRyd1XqOqmGGxrLOH7Ar884mDGJDjr9BljjDHGGGNMK2b39BljjDHGGGNMK2adPmOMMcYYY4xpxazTZ4wxxhhjjDGtmHX6jDHGGGOMMaYVs06fMcYYY4wxxrRiHqcDNFXHjh21T58+TscwxhjTzBYtWlSsqp2cztFSWPtojDFtx+G2kS2m09enTx/y8/OdjmGMMaaZichGpzO0JNY+GmNM23G4baRd3mmMMcYYY4wxrZh1+owxxhhjjDGmFbNOnzHGGGOMMca0YtbpM8YYY4wxxphWzDp9xhhjjDHGGNOKWafPmAQWCimhkDodwxhjjGldfBUQDDidwpi4sU6fMQls0t/m8YPnFjkdwxhjjGkdggF49Yfw+1z4yxD4+mWnExkTF9bpMyaBuXcs46tly52OYYwxxrQO4sKX1Ydvel1Ksbc7zLgWls9yOpUxza7FTM5uTFsTDClvJt9OhaYAVzkdxxhjjGnxdlUHuOiLcawrGk4yZ/FK2r0MefWHuHodBxmdnI5nTLOxTp8xCaq2thY3kCE1TkcxxhhjWr6F/+L1xSVsLjmKp68dh9cl/PTftzLSvYNfe3NIdzqfMc3ILu80JkH5/dUAvBQ42eEkxhhjTAunSu1Hf6Pn1je4/sR+nDyoExMGdOT/XTmJl3YP5p43VoDawGmm9bJOnzEJqtbnA2CV9iRoI3gaY4wxh2/rF3jLN/OmHse1x/fdWzyhf0euO74v7Rc/SNkTFzkY0JjmZZ0+YxKU35PBZf47AKWixoaVNsYYYw5XaO0HAGj/M+iUmVyv7pbTB+JJSiVr87voxvkOpDOm+Vmnz5gEVRuEY2Q1/8/7HBXVVU7HMcYYY1qs8lXvsyqUy4RRQ6PqMlO89Dj9h+zUTErm/N6BdMY0P+v0GZOgApXF3OIJzx9UU1HqcBpjjDGmhVJld0U1n4ZGcMqQzo0ucv64QfzXO4UOhR+iW7+Ic0Bjmp91+oxJUFpZQpIEAaipqnA4jTHGGNNCifDz9Lt5ufONZKd6G10kyeOi0yk/pExT2THnj3EOaEzzs06fMQkqEPDvfeyvKncwiTHmSIjIBhFZKiJfikh+pKy9iLwjIqsjv3PqLH+7iKwRkVUiMtG55Ma0Dv5AiC8372Zs3w4HXO68Y4fyp6Qbuaf8HNRG8jStTEw6fSLyhIjsEJGv65TdKSJbIo3clyJydp06a9CMOYhgrW/v45pq6/QZ08KdoqqjVTUv8vwXwLuqOhB4N/IcERkGTAWGA5OAf4iI24nAxrQWJa/9mn/yO8b2aX/A5ZI8LoacfjWvFbZj3uriOKUzJj5idabvKcKNU0MPRBq50ao6G6xBM6apgv7wmb7f1F5NUUp/h9MYY2JsCvB05PHTwPl1yl9UVZ+qrgfWAOPiH8+Y1kM2zSdTqhjVs91Bl71oTA/GZu2G/30PrdjR7NmMiZeYdPpUdR5Q0sTFrUEzpilC4U7fGu1OedCuxDamBVPgbRFZJCLTImVdVLUQIPJ7z+gSPYDNddYtiJTVIyLTRCRfRPKLioqaMboxLZwqWaUrWe3qS/fslIMunuxxc8W4HpxY/QEFcx6IQ0Bj4qO5v0neJCJLIpd/7rlfoUkNGlijZtq2sk55jKl5hKNkPck7v3E6jjHm8B2vqscAZwE3ishJB1hWGimLurlIVaerap6q5nXq1ClWOY1pfcq3kRqqoCJzICKN/XlFm3TyiXzoGkfOsmfAZ7dXmNahOTt9jwD9gdFAIfDnSHmTGjSwRs20bSEgTWr4hfdFMkuWOB3HGHOYVHVr5PcO4BXCV7dsF5FuAJHfe64jKwB61lk9F9gav7TGtC66cw0A7k4DmrxOitdNxdibyNAKNr79j+aKZkxcNVunT1W3q2pQVUPAv9h3Cac1aMY0Qcru1dzueQEA9Vc6nMYYczhEJF1EMvc8Bs4EvgZmAVdHFrsamBl5PAuYKiLJItIXGAgsjG9qY1qPohrhneAYsnuNOKT1zjjjHD6XEWR+OR3qjKZtTEvVbJ2+PUcwIy4g3MiBNWjGNElSxVbOdof/NLS2yuE0xpjD1AX4WES+ItzWvaGqc4D7gDNEZDVwRuQ5qroMeAlYDswBblTVoCPJjWkFljKQ79X+lF59Bx7SeileNztG/4iXfOP5YsO2ZkpnTPx4YrEREXkB+BbQUUQKgN8A3xKR0YQv3dwAfB/CDZqI7GnQAliDZkzjNLT3ocvO9BnTIqnqOmBUI+U7gdP2s869wL3NHM2YNmHDjlIA+nXMOOR1TznrYk5c0oH33t3Cf/r3bPI9gcYkoph0+lT1skaKHz/A8tagGXMQWqfTJ3amzxhjjDlk586/lJyUPrRLO/vgCzeQluThx2cM4q1Zz/Plm8s4+uzrmyGhMfFh48Abk6BUw+MbTQv+nOfTr3Q4jTHGGNPChELk1BSgKTmHfZZu6tie/DhtDv0W/oaasp0xDmhM/MTkTF9LMH36dKZPnw7AypUrGTJkyCGtX1VWQlrFRnw5A0lOPfRLBPYnFFI2Fm4nKy2ZDjk5B1/BJLwNGzZQXFx8xNtRhRr1UpHUicqANwbJjDHmwDp27EifPn2cjmFaqVi1j01WVUwStQQycw97Ex63C5l4D5mvn8eXz/+cY254LIYBjYmfNtPpmzZtGtOmhefEzcvLIz8//5DWX/zWvzlm/o2svfBJ+o88LnbBVCm5uz9L3UM4+Y43Yrdd45i8vLyYbGdHt1O40Pc0N6fMI1C+GTg+Jts1xpj96dOnzyG3j8Y0Vazax6YK7NqEB/Dk9Dzosgdy9NgT+Xj+BUwonMGazy9lwNgzYxPQmDiyyzubKjLWjLhifBOvCNu7nMjR/kVsKymL7bZNi7bn8s5JwQ/5lu99h9MYY4wxLcvuwvUAZHTuc8TbOurqv1AonUmd/SNKy0qPeHvGxJt1+pqopGMeU/2/IpDdJ+bbzhx9PllSzcr5dqbP7JO18yv+4v0HmVSRrNVOxzHGGGNalM2hjjwZmEhOj0ObrqEx2dk5lJ31EI8FJnHLjJUEQxqDhMbEj3X6mqgmKYfPQsMgKT3m2+5xzCSqSEFWWafP7JNSVcCF7o9xi5KiNU7HMcYYs4cq7N4MWxbBmrmw4rV9dYVLYOsXUL4NQjYjlZNWSH9+G7iaHt26xmR7Q4+dyMBzf8oH3xRz77OvEQgEYrJdY+KhzdzTd6RSKrcw2fUJHv9oIDOm2xZvKuuyx9Nt95dU+4OkJrljun3TMu25vLPWnUE6O/AFgiR77LNhjDFxF/CBJzn8eO6d8Pnj4Nt3S4Y/pQMfMY7aoJL32W/pWDAXAHV5kY4DoeexcN5fwwuHQuCyY+7xsKtoKymuIF2zUmK2zcuP7YXu2siU+Rcz/4GTGD7tcdpnZx3RNjUUZEtJOZtKA5QVbSFn9f8IVpXg9pWSVFuGO1jFm+nn86X3GHJrN3Bd6YMISgg3QXETEjevZV3OmtSR9Kpdz7mlzxMSNypuVFyouJjX/mJ2pPSnh28Nx+16DcQV+QHExeedL6E0JZfuVSsZvvvdqIyfd5lKZVJHepQvYdCuD6PqP+t2FdXebHqVLaL/7k/D76tO/cc9rqPWnUa/3fPpXRZ93/CHuT8g5PIwaNeH5JYv2bdvUBQX7/e8EYChJXPpVrmi3roBVzLzeoTH7TiqeDadq9bUq69xZ/Jx9+8CcHTRTNrXbKxXX+ltz2ddvwNA3vb/0s5fWK++NKkLC7t8G4Dx254jo7b+KK4lKT1Z3OkCAE7Y+hSpwfqX/+5I7c9XHc8F4IaT+9MpMznq/ceDdfqaqN3OL3kw6e9srLwE6BHz7Ved8Qem/HsVD6/awVlHdYv59k0LFArP0xfwppFZXUOVzzp9xhgTN6qw8VNY/Aysms2Oaxfy3sZaZF0yqXoinwe6sCXUnt2awS5fJuufDn+R7S8T6S9H0Vl2kyvFDNuxFS1dx2zfEoZ2y+TiL64mzevG1fcEGHgm9BoPbhuhuTmcu+zHjE324HZNjul2r5h4AkuKr+HENY+y+q/H88X4uznp9Ml43QfuzKsqRWWVbF2xkIqNX+Da/jXtylfRy7+OJwMX8XjwbHrLNj5M/it+dVMuGVRIBn5JIeCpJuAOEVDBT/jz4tIQLq3FqzVU+3zsDPnp6N9Nl+o1uAni0iBuggjwku84FrlycNeuZWjte7gIf8dwEUJQ/lE0kq9UOVvz+Y78Jyr77wtG8g29+LYs4BL5b1T9HVuOZQuduVY+5cJG6n+65VvsIosb5ROmyKyo+hu2TMJPErfJR4yUd+rVBXFx/dbzAPiNfMgoPqpXX04a3y+YBMB98gEjWVivfhsdeKHgNACOk7mMYmm9+nX04IVNJwFwmrzNUdTvNH5Nf17ceBwiwnm8xUA216tfyHD+syE8SNG3mU136o9Q+wFj+M/6UQBccWwvxzp9sudsQqLLy8vTWI0odjijd+a/Pp28/NvYfPmH9Bw0OiY56goEQxz7u3c5rn8HHr78mJhv38TP4Xy+GvP5rEcZu/jnPDHyee5ZGGLez08jNyctBgmNSWwiskhV4zvMXwvmdPvY6qjCN3Pgwz/A1sX4PZm8757Ar0qnUEQ7OqQnMaJHNsO6Z5Gbk0qXzBTapXnxul24XYIvEKTSF2RnpY8tu6rZsruajTurWFFYxq4qPz/2zGCCawVHu9bgIUDAm4me+FO8J/3Y6Xfe7OL9+dp1V18WJeVx+i+iOyGxsOGzV8l6+1bah3bxCaN4ZdiDDO+RTU/dSoob/BW7CJRuJVS0mlU17XiqfCzVVRUsS74WtygVpFKQ1I+y7CHs7ncuGQNPonNmEp1TAmRmtkPsjLBpxOG2kXamr4k0ctYFaZ4/QI/bxc97fMWAVf+hxvcJKclJzfI6puUIubwUaxbe1AxCVFDlt3tDjDGm2ZWsQ1+4jF3J3XkodD3PV5zAwB4d+e5x3Th1SGcGd8k8rIm+VZXtZT6WFOTx9oYS/rxuCznbPuXkwGI+n1tC6fp8zuornLP2blJGXQRDz4VUm7/3sAX8ZId24UuLzf18jekz/nz0mDNYM/shKjdt4t2VO5ixeAvzkm6hl6uo3rKpKacxacR5DOqSyarAY3TtP4L23QcxxDp2Jk6s09dUkTOirmb84xzZsyNDNn3Dwo9eY9zpFzXb65iWYXO3iXzb15V/6k7u8fybqopR0CW295MaY4wBgrWw7kOqen+Lf+QH+Dr4K+aXD+Lc0b156bjejOrZ7ohfQkTomp1C1+yunDm8KzCMSt8pLFxfQtrKHXy2cgc7Vy4hz7uM3hvfJ/jardT0Opn0oy+BYZObZSC51kwrtuFCCWV0b9bXkaR0Bpz/CwYAZ6hSVOEjsOJPbK4uJSkjh/QOPcjoOoCTU7I5ee9afZs1kzGNsU5fE6mGz/RJM53pAxhw0qWUf3I7wS+fB+v0tXmhyIGGzv7NTPS8y8LyEiDX2VDGGNPalG2Fl66Cgs+5IeWvzNvdmfNGncacMwbRt2PzdrTSkz2cMqQzpwzpzF2qrC0ay1srzmXtVx/Tb8fbnLNhAakb3+OJLbmcnDeagSllkNYBvLEbmKS1qiwpJAPwtmu+M30NiQidM1Ng3Plxe01jmso6fU1U2PkkzvPdwz8ymm+QFU9yGqs7T2T09jcoKi6iU8dOzfZaJvF1LvqU6d5/kipnAeCvLnc4kTEmHkRkEvA3wA08pqr3ORyp9dr0GfqfK/FXV/BT/4/YlN6Hl74/inF928c9iogwoHMmAzpnwskD2FY6lTlLt7L0ywX87+My7vloHi9m/IXRupKageeQPfZypO+J4LIBvhqzQ9vxYO1ljO12lNNRjEkIdiFxE/m87Viq/RBv84640/mEa0gVP8vmPtOsr2MSX1rVVs50LyIpKfyZq60uO8gaxpiWTkTcwN+Bs4BhwGUiMszZVK3UytnoM1MorPFyTvWddBx/GW/ecpIjHb7GdM1O4ZoT+vHnmy5jwe2ncfeU4bybfRGv+4/BvWIW8uwUKn4/kO1v/YmWMihfPG0Otmd68DzadevndBRjEoKd6WuijIq1XO5+F3ftsUDzjaCYe9TJvPnmuby2KZOTVQ/rZnHTOuwZPMibFp7/p7a6wsk4xpj4GAesUdV1ACLyIjAFWO5oqlZo+5b1FAd7cl3tbdz+7ROYMjr20zHFSuesFK48rg8c90OKyq/j9SUb2L5oFkOL3uLzeet47+sPmTIsh8sDr9Jx/GVIp0FOR3Zc6bb19JTtMZ2jz5iWzDp9TdSh5At+532c7f4bgY7N90IilJ92H7NnLGH+up1M6N+Mr2USW+TIbVJaNj71EvBVOxzIGBMHPaDeJFAFwLEOZWmdqnfzdYlw5ScDSXHfw2PXH8eIHtlOp2qyTpnJXHb8YDj+NoorbqZ42Ta6LS1kwUdv8SPvA8iiv7AtfQgcdTFdjrscyU7czmxz6rViOq8lzSYt6xqnoxiTEOzyzqaKnHVxNeNALntMHtWdkWklrH7z783+WiaRhT9z7twxDPE/zcrM8Q7nMcbEQWOXd0Rduyci00QkX0Tyi4qKGlnFNOqbtwk8cBS/+9ezpCV5ePEHJ7aoDl9DHTOSueLY3jx3/Xge/OUtvHLqXJ7N/j7by/10/ewe9IHhPPbqW3y9pXTf1FNthKeqiBJpR5LHvuoaA3amr+kiZ13icbllitfNHd0XMXbzU2xacxG9Boxo9tc0icfvTmNjqDOZHi9pXjdVvoDTkYwxza8A6FnneS6wteFCqjodmA7hydnjE62F276c4H+v4Rt/Z0oz+vHf7x1H93apTqeKmY4ZyVx0ch6cnMfOCh+vLVzI7q9e5/cLAwQ/+5i/pT3BUalF1PafRM/jLiKt22CnIzerZF8xZe7EuD/TmERghz+aSPccaI3TJJoDzvkxAVxsf/P+uLyeSTxru57Dyf6/Iknp3O9+hJ7FHzodyRjT/D4HBopIXxFJAqYCsxzO1PJVFhN47lJKapP4RfIv+df3TmlVHb6GOmQkc96pJ3Llj+/n8zvO4A8Xj6Q2ZwC1FbsZvOR+0v45ji33jODz5+5k9fbyVjkQTEZtCVVJHZyOYUzCsDN9TSR75+mLz8AqHbr15tOOUxhbPJPtG5bTpY8N3tbWhCJtsLg9nKsfMLvcJnM1prVT1YCI3AS8RXjKhidUdZnDsVq2YC2BF64gWLadm7mTP113Vqvu8DXUPj2JS/N6Qt79+AO/Z9HXSyhaNJOOW9/lqxUruWfpPDqne5ie+jDaI4/OoyfSffBYpIVPBZEdLMGXbJ0+Y/awTl8Tre16Frd/2Z4ZafH7B6TvhXcSmP46ha/+mi63zojb65rE0Hv7OzznfQoJTsBHEq5ApdORjDFxoKqzgdlO52gtQsEA83Z3ZFZgGj++7jIGdcl0OpJjkjwuxoweDaNHA7+hc3ElGet2snzVSrLWrqPfqnmw6i/sJpNNacNZP/g6uhx1Gkf1yCY9uQV9ZVTlTp3GwC4jOcXpLMYkiBb0F+wsnyeTddodccVvl3Xr0ZsPul7Glq1baF9cQa+OGXF7beO89JpCxruXUY7ik1TctdbpM8aYQ/XXDzfzYPHl3H3+iISZgy9R9OqYTq+O6TCuF6pfs2HjWrYsmoN740d0K/+aVxas5oP56Yx3reCe1OcozhpBsMsIMnqOovvgY+jYoVNCTi1VEwjxkm88/9fFpq4wZg/r9DVR+9LlfM/9Oq7gSUBS3F536BV/4Ad//ICT31zFo1eOidvrmgSge0aMFSrc6XiD1ukzxpgmqyph11OXMXfzZC4ZcwLfObaX04kSmojQp88A+vS5CbgJgD9X+PiqYDfFS8uoWZPNsF3vkrVrFqwE3oFL5E+4u43gpLSNDHdvIqXzANr1GEy3Xv3JSnNufrySndsZJyvommITsxuzh3X6mqjzrsXc4X2e0uDdQPwuDemSlcJNpw5g7tuv8/W7qxhx2uVxe23jrD031ovLxW5vF2pqbdwlY4xpKt/MH5O+I58+HaZy9/kjEvKMVKLrkJHMqUO6wJDLgMtAlZLC9Wz7ZhFVBUsYnDyar3f4SVvzBiczC1aF1/Ormw3SmR9lP0y7rExO5gv6uLbFLXfN2vm8lHw3C4IjgaFxe11jEllMOn0i8gRwLrBDVUdEytoD/wH6ABuAS1V1V6TuduA6IAjcrKpvxSJHcxL2nXWJt+tP7MuEj/9Lr482UHPMKaTkdIt7BuOASKfP5XLzeP+/8dHqYiY5HMkYY1oCXTWH5FWv8tfgJfzoiotI8bbsQUkShgjtu/ejffd+wCXk7SkPjae8aCM7N62konA1geJ1UL6Vrjnt2FHuI3fnbE4PfQgMjEvM6tLw3JUZOZ3i8nrGtASxOtP3FPAw8Eydsl8A76rqfSLyi8jzn4vIMMJDUA8HugNzRWSQqgZjlKVZ1D3rEm/JHjfBs/5E8mvnsfnJqxlw65y4TR1hnFPtacfyUG/6u1ykJXmotHn6jDHm4HwVVL16K1tCPcg6/TaGdstyOlHr53KT2aUfmV3qX075rz0PdCZaVQKvT4xLnNrynQBkt+8Sl9czpiWISc9BVecBJQ2KpwBPRx4/DZxfp/xFVfWp6npgDTAuFjma054pG1wOdbby8o7jrZ63MKBsARte+70jGUx8Le86mbP9v8flTWHC7pncG3ygVc6lZIwxsVTx8T9Jry7k351+wjUn2kAeCUEESY/f6OeBymIC6qJDBzvTZ8wezdmD6aKqhQCR350j5T2AzXWWK4iUJbS9Z/rEuTNsZ1x5Ox96JpD7xZ8pXrPIsRwmPvbO0wd09m/hdNcifIGQo5mMMSbR3V54EtcEf8k1U6fictl9fG1SVQmlZJCa7HU6iTEJw4keTGP/Ajd6+kJEpolIvojkFxUVHdGLTp8+nby8PPLy8jicbS3tfgnjav6OeJ2b0DU12UP3Kx/jz6HLuX5OFTW1CX1FrDlCwwpf5dWkX+FC0ZRsUsVPVVWV07GMMSYxqfL+0vW89vUO8k65kH6dbJqjturtjCn8LuXHTscwJqE0Z6dvu4h0A4j83hEpLwB61lkuF9ja2AZUdbqq5qlqXqdOR3aKftq0aeTn55Ofn8/hbKvWncYOchCHjxoO7N2DUZf+ii8Lyvj9s68RKFrraB7TfNJ92xntWoeIoCntAKgu3+VsKGNMq3OkB0UTRe2qtxj18smc0aGYaSf1dzqOcdCyQHfWZx/rdAxjEkpzdvpmAVdHHl8NzKxTPlVEkkWkL+GhnBY2Y46Y6LZrEbd6ZuBS5y+vmzSiK//vnKF8e8OvqfznmQR3rHI6kmkOdQYPcqe2A6C6rOGts8YYc2SO9KBoQggGKJ91O6WhFK4+73SSPDbYWVvWb/d8Rnk2OR3DmIQSk38VReQFYD4wWEQKROQ64D7gDBFZDZwReY6qLgNeApYDc4AbE33kToBupYu51fM/pPErUePuuhP7sWjMffhra6l69Ax8az9yOpKJuRBBDZ9Z9mR3YXWoB+XV1Q5nMsaYxFM+/wnaV61jdpcbOGFIwg8TYJrZzRUPMqlqltMxjEkosRq98zJV7aaqXlXNVdXHVXWnqp6mqgMjv0vqLH+vqvZX1cGq+mYsMjS7OnOmJYorp5zN+xOeZkcgDfezU6j86B97c5pWQENo5BZYV/9TOMP/RwqT+jibyRhjEk1tDaEP/0B+aDCTLvme02mM01TJ0nJCKTlOJzEmodj1D00VuaxTHJic/UAunXgKK897lY9CI1n+3vMsWFfsdCQTI6VJXVmkgwHISUsCYFeV38lIxhiTcAoW/I/s2iKWD76J/p0znY5jHFZTVU6y1KKp7Z2OYkxCsU5fkzk3OfvBnDN2CJ2mvcJv027nsscW8vAr7+H/8AEI+JyOZo7AF53P58rQbwBoJxX8J+kuOmxsGSfGjTEmXu5cM5DL+R1Tzp/qdBSTAMpKwuMGutKt02dMXYnXg0lUGiKkiXWWr64RuTm8ePNELs3rSVn+SyS9fyeVfxlDaPG/IWBnh1ok3Te/SUpKGse6VuIt3ehoJGNM04nInSKyRUS+jPycXafudhFZIyKrRGRinfIxIrI0UvegJNrlJQlmyaYi5q7cwYSTziQ7PcnpOCYBVJaGO32e9I4OJzEmsVinr4k+63Eto2sfdzrGAWUke7jvopFM+v7v+VXmXWyscOGadSPVfz6K0MLHnI5nDtHYwud4xf2L8BNvKjUk4aqx0TuNaWEeUNXRkZ/ZACIyDJgKDAcmAf8QkT03jD8CTCM8svXASL1pTMBHl2dOYlrqe1xzfF+n05gEscPTkwt9dxLqdZzTUYxJKNbpa6Ja8VKFcxOzH4pjeuXw2x/fzJoL3+SXab/hy4r2vDrnbf42dzXbdlfDyjfAX+l0THMQ6bU76btnCksRylzZJNXsdDaUMSYWpgAvqqpPVdcDa4BxkTlts1R1vqoq8AxwvoM5E9rG95+gS2ArRx11DBnJHqfjmASxK+BhsQ4iI6eL01GMSSj2r2QT9d31MT9zfwCcfZAlE4PbJUwe3YNzR/6Yd1Zczqz5a/lg7je8996bzEz6fwRcyQT7nkryUVOg/6mQaf84JhzVvaN3ApS7c0iptcnZjWlhbhKRq4B84KequgvoAXxWZ5mCSFlt5HHD8igiMo3wGUF69erVDLETXCiEZ8E/WEkfTj37UqfTmASi25ZysftD2iWf4HQUYxKKdfqaKLfsSya75jgd45C5XMLE4V2ZOLwrG4oreXVxX/7vS2FE2TwmrllAt7XhgUG+PvMFBo6bSLK/FFxuSMl2OLlpqCBtGLuqfIxxOogxZi8RmQt0baTqDsKXat5NeCSwu4E/A9cCjd2npwcojy5UnQ5MB8jLy2tzc/Wsmf8qAwKbeG/Y3QxJ8TodxySQ9gXv8yfvP6lO+63TUYxJKNbpayLREKFG2+OWo0/HdG49cxicOYzV269hxtKtFKxYQIftn/LkrGp48x3ubTeTC8pfoLLdYJL6jiep93joOQ7a9wMbT8BR7/T9P15fUmjXehmTQFT19KYsJyL/Al6PPC0AetapzgW2RspzGyk3DQQ+fpDttOfYc21ePtNAzW6qNJnUlBSnkxiTUKzT11R1JspuDQZ2yWRgl8Fw+mAqfJdzzNqdfLymmA/WjGNTwMfRO1dz9K7/kPTFU/hcqcya9BlH9WrPwPLPcXuTodtoSM5w+m20ajtTevMpo9jzjTInLYnS6lqCIcXtaj2fRWNaKxHppqqFkacXAF9HHs8CnheRvwDdCQ/YslBVgyJSLiLjgQXAVcBD8c6d6NYVVXBT6eXcMCqJi9Naxr32Jn7EV0qFpJNmB6qNqcc6fYegNXX66spI9nD6sC6cPqwLMJxK35V8uXk3T23YybY1X1K2bQ2v/28ZALOTf8kw2UAIF+VZA5DcPDKGnYlrxAXOvolWaHHHKby04Zi93xLHlr7NB94H2b17PB3ad3A0mzGmSf4gIqMJX6K5Afg+gKouE5GXgOVAALhRVYORdX4APAWkAm9Gfkwd//poPZtcPTn57FOdjmISkNtfRrUr3ekYxiQc6/Q1VYNBNVqz9GQPxw/oyPEDOsLpgwmFlJ/srGRJQSlvbHiEVzYupF3JEkbsWs2o0pm8vXw9z8zvxlG52VxT8GvSu/Qns9+xSG4eZOfaZaGHqeEnLjtZ6O3awZqiQuv0GdMCqOqVB6i7F7i3kfJ8YERz5mrJirdvYcKXP6PTsBvplJnsdByTgLy15dS4M52OYUzCsU5fE73V82a+t+MCljodxAEul9CvUwb9OmXA0T2ACQSCIdYUVfD25t2s3FRIRWEtL3y8gonudQwveB9Z/AgAld72bDjqFnJO+j7dMr2IvwJS2zn6flqKk7c+zndkDuGTAZAaGX66bOc27DuhMaYtWv7G3znP9Smbx0T1l40B4PfJt9AvxxN9RMWYNs46fU2kCtJGzvQ1hcftYkjXLIZ0zYKx4eHCfYEgq7adysubiileuwj31sV0rVjGG5+V896n73Fc2hZeCN3GztQ+1HQeRVrfY2k38DikywjwJDn8jhJPSqCczuybjD2zQ3cAqkpsXAdjTNtT7aul36b/siplFIMHH+N0HJOg1tZk0Tu7s9MxjEk41ulrouE736Y/nwMTnY6SsJI9bkbmtmNkbjuYMAD4NtX+IH23lfGtLaVsWu/lqU3fIbdyOaM2vE/OxpnwAfyh4+9gwGkcm1nMCFlP+8ETEBstlIYXeLbr2geAQOkWh/IYY4xzPp87g5PYweqxv3I6iklQqsr5Na/SO3A8MNLpOMYkFOv0NVGvyiUM4iOnY7Q4qUlujumVwzG9cuC4PsCZ1NQGWbG1lHnrvqF63QIWlA/gq3nrEHmFk70vwdtQ7spkR+YIgt2OJuXkW+nZtTPSJjuB+95zaruuzONotgVtDkVjTNuiqiR9+SS7JJsBJ091Oo5JUFW+ALe5nmdplRe4zOk4xiQU6/Q1lYYanyHXHLIUr5uje7fn6N7j4ZTxfAeoqQ2yaus4Xv/mUvwbFpBe/BW9dq+k5+4vGP3leNJSUrgz4xVGyRp8HYeT2nM0nQfmkd59KLhb68e4wSfO5ea3mXcy2J2JfeUxxsRKWU0tq1YsI6V8I7X+GrZvXLm3zpfeHVwePL7dePxlUev6MnJBXHh8u3DXVjRSH56O0OMrwV1bGSmNHMwSwZfeAwBvzU5cgep6F3iouPGndwNg5dqNLK/uhvYdyYDCTeF6lwd/WhcEwVtdhAR99V5b3UnUpoUv8/NW7UBCtXvrBAi5kwikdorUb0dCgXrxQu4UAikdIvWFiIaoeyAu5EklkJwDQFJVYfg+kDpC3nSCydmgkFRZSEPBpHRCSdmgIbxV26LqQ0mZBL2ZiAbwVBXtyy571s8k5M2AUABPdVEk+r58waQsQt40JFiLp2Zn9Osnt0M9KUjQj9u3az/1yUigBrevNDpfSnvUnYQEqnH76nw29uRLaY+6vLgCVbj85QD4qitYv/QTAPztB6HuZNxVRXiqtkfdQuPLGQxuL56q7Xiqi6Ne39v9KLrn1B+ls7S8lO4SxGVjBxgTpbV+W24GSgiX0yFarRSvm1G9OzKq9xnAGUD4HsHVBcXctd3H0i2llK1Nobp8JwPLniN5/VMwDzZLN37d6xkGd83ilNAndM3JomOfo0jvMqDFdwYLUwexnWKm1CnrnJnCjtIaxzIZY1qfdUWVzJ3xKLd7X8Dry6TL+lf21k0PnEsVyRznWsaxrpVR6z4cOJ8Abk52fcXRrjX16kIqPBi8EIDTXYsY4dpQr96nXh4JTgbgbNcCBrkK6tWXayrPB88GYNmy5czXi7i29woy1s4AoEQzeT54JgCXuD+kh9TvGGzTHP4bDE/rcIX7XTrJ7nr1m0Kd+V/oRACucc+hnVTWq18b6s5roeMA+J77ddKlfqdyRagXb4XGAnCj+1W8EqxXvyTUj/dCRyMot3j+R0P5oUF8HDqKZGr5gWdWVP2nwWEs1KFkUM31ntlR9R8GR/GFDiCHcq72vB1V/05wDMu0D10o4TLP+1H1s4PH8o3m0lN2cJE7+kqmV4PHs0G70l+2cp57flT9S4GT2UpHhsgmJrk/j6p/LnAaRbRjpKzjVPcXACTvWk3fl8P/T0/yPcAm7cL33a9xu/eFqPXzah6hmGx+4nmJmz2vRtUPqXmS139yJgM675szuGJ3uHPrSWsXtbwxbV3L/lYcR+EjfCaekj1uRvTpwog+e0r+SiikbCkpp2D1Eio2LqZodzmFpTV8vKaYqe776e3aDkAtHrZ5uvNNzimsHnELfTumM0jX06lbLzLad28R9wt+0eEcZhUcXa/Td2P1I3TbvRja5DiyxpjmMKBzBmdd/iNWVFxAxRNX8OmSVXvrajoNozilHwsrgwRLVkWt6+u8npLknqztchS5PUcD9a9RuDgjfCbPU5POjkBeg7WFi9LDA1R5a1LZEaiuV6vi4qL07uyq8LH4/bWcPfRYqgadT9WeepeXC9O6AuCuOp0dIX/9zbuSuDA1POpxsGoi2xvUe93JXJAaPhNYU3k22zVQrz7VncoFqR0BKK88l/IG3wOyPGmcn9IBFHZWTKbh1RkdvBlMSW4PKNsqptSrQ6FrUhZTknMQDUbXAz2TsumWnI2EAmyrnLxntb36JufQ05uFK1RLYaS+rkEpHejvzUCCHSisyoiqH57SicGedFzBHAqr2zWMx6iUzozwpOEOZFNY3aFeHUBealdCnlTctVlsrenSoBaOS+tByJ2Ex5/O1prIQGQZ/2LRcXcD8KvO4wl60kgtb09++QlR+e7pMoGgK5mMsmzyK06vV7d5VxW1X3kora7//7S6PDz4mTe9/vsxxlinr8kC4qWaFKdjtHkul9CzYxY9O54Ax4UbicuB2mCIjVve49P1X1O9dTmyczWZ5etYV1zJfW+uBJSVydeQIrVUk0SRqwu7k7uztvOZFPe/kB7tUuhfvYTMTr1o1yWXtPQsR9/nHg27pqkpqXQNbac2EMTrcTuSyRjTumQkexg9fDgwnLRAKSN3ztlb90TRIOZpEifJN3zXNSdq3Yd3jGRHqIDSrAAX/+KHB3iVtIOk2H/95x/N5hnvvWzr8yCde53c+ELt+x548x36HaR+wEHqBx24vuOQg9QPO3B9p4PU0+4g9TkHqMsADjS3awbQ6SD1XQ5S3/UA9ZlAuPOfltWeMROvaFDfDTjuAOt3A+p3CkOLF/P9rx/FU9EfaL+33FcRvkw1Kb09xpj6rNPXRK92u5V55d/hM6eDmEZ53S4G9MplQK9cYNLe8rHApdW1rN9RxlcrH8RfvB7X7k2kVBaQXbOVdRvW8dCqFeRQxhcpN+xdr0zTKHG157XMS1ne+VxyU2o4ZdcMSGuPK6093oz2eDM7kNR5EBk5nclM9pDqdeP1xO4S4DMLHmZa6F1g9d4yd04u6YU+CrZvI7dHj5i9ljHGALi6jyLjt/l7nz+299FZwC+jln8e+Pyv36bn7nzgQJ2+wxMKKd4lL1IjKXQde1HMt29aprSKTfzM+x9WVFwEDN9bXpA6jB/WPMLLfSc4F86YBGWdviZSBVfiXxFoGpGd6mV07w7Q+/Koup+ocn11gC3FJXy17kl8u7YSKitEKraTVL0dnzuT1TsqKCpfze2hJ3FJ/ct3flb7PV4KnsLRspr/Jv2WclLYc0TzSHm0hnTqX+6U0nkgLIedm1dap88YkxDU5cVN8OALHoaFqwv4VuBjtveaRO/k6EsUTRsVuUVDGwyeU+ZXiskmMyPTiVTGJDTr9DXRsTtfZVxgFXCa01FMDIkI2Wlesnt1gV4XRtUfDfwfACejwWsoLyuhYncR1aXF1JQVcXZKP8ZIR9idzZebrwF/JbA4NtlUaXiBZ7vc8CVGlYX2WTTGJAiXu9k6fas/fJHxUk3Sydc1y/ZNy7SnZWzY6cvY/jk/9swm0/0tICnesYxJaNbpa6Le1cvoEfrC6RjGQeL2kJnTmcycznvL9t2F0Yu99xw81nCwgsPXcJqQTr2G8t/gt/DXtscuXjHGJASXF7fGvtNXVlNL+4J32ZXSjZx+0QN9mLZL9wzG1qDT13FnPhd7XgHPPx1IZUxiazNzEEyfPp28vDzy8vIoKio6+AoNNJyfxxgnuJNSeDjrVuYHDjKogDHGxIvLi4fAwZc7RLO+3MqP/Dey7YIZ4GozX1dME4iEPw/aYERV8ZVSQxJ4kp2IZUxCazP/ik6bNo38/Hzy8/Pp1OlAo1Ttj9rk7CauNqaNYI6cGFU+sGMaOwoLGlnDGBNvInKJiCwTkZCI5DWou11E1ojIKhGZWKd8jIgsjdQ9KBI+bSEiySLyn0j5AhHpE+e3c1iWdZ3CD2tvjfl2/5u/mUFdsxkyZPjBFzZtSkXnMRxd8yjlHY+pV+72l1Ehdu+nMY1p9k6fiGyING5fikh+pKy9iLwjIqsjvw801nBiULAzfSaevsiZxF/c10aVf9/3BE+UfQ9/beyPrBtjDtnXwIXAvLqFIjIMmEp4aMFJwD9EZM88K48A04CBkZ89Qw5fB+xS1QHAA8D9zZ6eI78SpjyzPx+GRhEMxe7Q6MptZXxv+138ttMHSAuYV9XEmTuJXWQRcte/b89bW061K92hUMYktnid6TtFVUer6p6joL8A3lXVgcC7kecJrcqdwS5p53QM08Y09l3H3XU4GVLDlnXL4h/IGFOPqq5Q1ehZy2EK8KKq+lR1PbAGGCci3YAsVZ2v4VEongHOr7PO05HHM4DTJA49niO9EqaDbxMTXZ9TG4jdgah3Ps3nXPcCjupql+mZaCmVW7jN8yLJZRvqlScFKvC57UyfMY1x6vLOug3b0+xr8BLWf7vcys1pcTnoagwAUwr+yBu134sqb9dvDAA71+RH1RljEkYPYHOd5wWRsh6Rxw3L662jqgGglP3Mqi0i00QkX0TyD+fsXCwN2vke/0x6AL/fF5PtBUMKy/4HQNrRl8Rkm6Z1Sa7ayo2eWSRX1L/V4adJv+Yfvf/qTChjElw8On0KvC0ii0RkWqSsi6oWAkR+d97v2gmi4bDAxjQ30RAuQlHlPQYdTa268W/5yoFUxrQ9IjJXRL5u5GfKgVZrpCx6HpZ95QdaJ7pQdbqq5qlq3uHdpx474vICEKj1x2R7C9bt5JTaj9iVMxLa94vJNk0rs795+nxBUtLs8k5jGhOPKRuOV9WtItIZeEdEVjZ1xUgncRpAr169mitfk5xe8gJn+jYB33I0h2k7BEUb+Q6YnJLGWm9fsoq/jH8oY9ogVT39MFYrAHrWeZ4LbI2U5zZSXnedAhHxANlAyWG8dny5w18lYtXp+2TBfG5zbaB2zD0x2Z5pjRofvXOa72k6V44HjnIgkzGJrdnP9Knq1sjvHcArwDhge+S+BiK/d+xn3YQ5ktmrZhWjgl87msG0PY11+gAW9b6eB6sn4gs0z4TIxpgjNguYGhmRsy/hAVsWRq5uKReR8ZH79a4CZtZZ5+rI44uB97QFXGbi8oQH04hFp6+mNsi8b4pZmD0J71EXHvH2TOvU2K2uNbVBprrm0rfavqsZ05hm7fSJSLqIZO55DJxJeKSzug3b1exr8BLY/q7KMaa57P+7XtbR5/N27Wi+3lIaxzzGmIZE5AIRKQCOA94QkbcAVHUZ8BKwHJgD3Ki6dwbzHwCPER7cZS3wZqT8caCDiKwBfkILGOQMQPae6as94m29v3IHS32dqTnnYcjucfAVTNu05+tYnWayrNpPBjVISqYjkYxJdM19eWcX4JXIERkP8LyqzhGRz4GXROQ6YBOQ+Hdq64G+ghsTe6vT81hSms71jdQd27cDw10bWL+gmDG9r25kCWNMPKjqK4SvYmms7l7g3kbK84ERjZTX0BLawwaKu5/KJQuV3yVlH/G25i5awXHphUzo1z4GyUxrVd35GAbVPM2jXY/dW1ZRXkZnUdzW6TOmUc3a6VPVdcCoRsp3Aqc152vHnp3pM/H1ZfZpfFw0qtFOX056Er/LmEHHlYWgVzU+t4MxxsRBML0rn+sQ/HiPaDul1bXkrH2FP7ufgbJTbRAXs18iLvx40ToXrFWW7wbAk5rlUCpjEptTUza0OKXuDmx3Jfwgo6YVcYf8JOv+h0Av738OPUKFbP764zimMsaY+rJ8W7nQNY9Q1e4j2s6crws5XT6nOmewdfjMASVXbOFOz1Ok7f5mb1l1ZRnVmoQ37cjPOBvTGlmnr4le6PgjfpPxG6djmDbkwsK/8KL/R/utH3r61VRoCiXvPxTHVMYYU1+73cv4S9KjuMq3HNF23lu0nLGuVaQcNTlGyUxr5fXt5BrP2/Xm6dvh7cFQ31MEhl3kYDJjEpd1+prI7ucz8SYH+dR16NCJRR3OY9jOuezauDROqYwxpj6X+8hH79xZ4SNn81zchJCh58UqmmmltJFH5TUBALJSk+Kex5iWwDp9TXT+zse5tcrOqJj4CQ/UfuB79XpO+X/sJIs5c9+JSyZjjGnI7QkPDxAMHP7onW8v386prsX4M3Kh68hYRTOtlDQyOXvKtsU84P07Wf7tTsUyJqHFY3L2VqFH7Xoyg/YPiYmng59f7te7N/ePfZVHPt5CxldbOW9U9zjkMsaYffbM0xcKHP6ZvtlLCynK/D/O+E5PG5jKHJRI+JxF3StiksvWc7b7E9QVcCqWMQnNzvQ1lep+J8o2prloEz5yP540kjG9c3jvv39n07+ugBqbu88YEz/uI+z07ar08+nanXzrqH5I16NiGc20VuLCr260TiMZrCkPVyXblA3GNMY6fU10sPurjIm1ZRnH8z/32QddLsnj4qnvjiUvp4rcgjfY/cejKXr/H1BbE4eUxpi2Lth1JGf7fkdxdtTUg03yzort/FBe5krXnBgnM62Vv9NwBvmeZXv3U/eWiS/c6cM6fcY0yjp9TWZn+kx8Lc06iReTzm/SspkpXr5965+ZMeYZNgTa0+nD2ym9byir332q3j0PxhgTa+6ULJZrH2ok9bDWf2vpFq73zqF75fIYJzOtlWvvPX37ysRfQRAXeFIcSmVMYrNOXxNt93SnwNPT6RimDUkKVtJOy5u8vMft4tLJk+nx0495bsjfWRbsyR/e3ciZD8zjP+99Tvnqj+u3kMYYEwPJtbv4jvsdkss2HvK6ZTW1lK/9jGwqkEETmyGdaY28FVv5k/dRsnbtG7m6OigUubvYPaHG7IcN5NJEz+XcyO4qP6c4HcS0GRdte4Af1SwBphzSep2yUrhi6neoqb2MLV9t5d8LNrH13UfI9L7M5uQBVI/+LgNO/S6u5PTmCW6MaVOSqndwj/dJPtw9HDj+kNZ9d8V2TmIxKm6k/2nNE9C0Om5/KRe75/F55YV7y55Ovpw3OlzDkw7mMiaR2Zm+JgqPnm9Hj0z8HOl9pCleN5fk9WTmjcczado9vNbzZ1T7/AxacAcVvx/Iwn/dzOaSqhilNca0VcnJ4cvpgocxT9/spds40/sV9DwWUtvFOJlpvSJfXzW0t6TSFyA92c5lGLM/9tfRRN8peRhPbQWHehTTmCMRq/tIh/buztDr7qDG/3M+/Xg2rvzH2blpJZf+4X3G92vPjTkLGHD0KXTrP9IObhhjDkmSNxk49Hn6KnwBPv1mK9K+KzJscnNEM63V3nZq38HRq6uepNeuDOAYRyIZk+is09dEXQMFJAcrnI5h2phYd79SkjxMOHUynDqZLbsq+cnirXy8eAknbv0NLPsN26UjG7PHoT3yyBx+Bt37DKFdWlKMUxjTeojIJcCdwFBgnKrmR8r7ACuAVZFFP1PVGyJ1Y4CngFRgNnCLqqqIJAPPAGOAncC3VXVDvN7L4fJ6I5OzH+KZvvdX7qAy4GbXBS9A3/bNEc20UnsmZ697Qczo4Nek+zo4E8iYFsA6fU0kqqhdDWviSWN3pq8xPXLSufm0gdx82kAK1g1nw4JZpGyex+Dd88jePZuffrGDl0MncVzqJu6RRyn3dCDgSUM9qQRcyczreCk7U3qTW/0Nx+58GUIhCAVwhWoRDfBcxnfZID0YVb2AS6pewq0B3ARwawAPQX7q+SUb6MbkwNvcov/GrUEE3duGTw79ma104hp5jRvl5bq7BYAz9SFKyeQHzOAqmR31/k7WfxKQJH7Mv7mI9+rVBXBzCv8C4Jc8zll8Eq6I7O4y0jmHhwC4h79zMovqrb+dDlzCHxER/qR/YizL6tVvoAfXuO4B4O+hexjO2nr1y+nPD12/AuDJ0K/oy5Z69fkM5/9c/wfAi6Hb6EpxvfqPGMP/c90EwKzQj8ii/gGpt5nA71zfA+Cd0PfwUn+y4lc5lb+6rsSjtczVaTT0nJzDv+RisrScWXpzVP1jchH/lnPposX8R2/bW76Fzvz3mH9z5+ThUeu0Yl8DFwL/bKRuraqObqT8EWAa8BnhTt8k4E3gOmCXqg4QkanA/cC3myN0LIk3PGqnBnyHtN7by7fTMy3ImN45zRHLtGYuD7s1nZC4AQiFlDStJuTNcDiYMYnLOn1NJITssjcTV4uyTqXCP4ifxuG1cvsNIbffEOBnaChEwYZvOLfMzdByN77NNVQUdCOzdieemiKStIYk9fNCZR6fu9I5NriebwcXorgJiZuguAniIUl9ZKR4yJQUXIFUguKhVjyEXB5C4uHorp0ZkNKZblVHsbz0LFTcIK69R3DP6T6QGk82PUvHsWz3nk7LvsO6F/ToS607jU67xrGqLPpv8+KevVHxkF0yjm8q6pytVAiJi0t6hkfjTdk5nm8q68/rVOtK5uLc3PCTogmsqu5Ur77GncmF3cP1/qITWFVTZ2RfVcq9HZjStTsA5TtOYpVvQL31y5O6MaVLuH7ntpPx1+6sV+9L6c2UTuH6bYWnUBooq1cfSh3AlI7h+o1bTicpVF2v3p0+jCntw/VrCs7EpcF69akZo5mS0x2XBlhVED1iYk7W0UzJ7o43WM2qrdH1nbNHMSWrO6mBDFYV7quv8rTj6F7topZvzVR1BdQ583AQItINyFLV+ZHnzwDnE+70TSF81hBgBvCwiIgm+rwraR2YLA8yLnsIZzVxFX8gxCertvCp6/u4P10LJ/y4WSOa1iXUvj+jff/iL91GAVBVGyRDqilLsgHKjNkf6/QdgsRudU1r83XGBJaVlsal01eXuFzhTiBERqvtB1wUtdyDex+dBvwsqv7+vY+OBX4YVX/03kcjgSuj6sfvfTQUuDqq/ti9j4YRPmlS37h69dHGH6R+3927jZ+1Ovkg9afvfXRPo/X7ukp/bLT+nL2P/tpo/b4xXf8eVXcsdf+P/avR+n2eOEj92IPUT2g0nwGgr4h8AZQBv1LVj4AeQEGdZQoiZUR+bwZQ1YCIlAIdoMGpXkBEphH54Pfq1avZ3kCTuNwUJeVSGmr6/GgL15cw3L+UlKQq6NymzgybGBDqz9NX6QuQTjWlSTYxuzH7Y52+Jtro6YdLaxnqdBDTZmTU7qRzaJfTMYxp80RkLtC1kao7VHXmflYrBHqp6s7IPXyvishwGr9Vd88xxQPV1S9UnQ5MB8jLy3P8mORVOgt/2WhgVJOWn7tiO6d5vkI9KUifE5o1m2l93JXb+If3r6QW3wjkUlFTS4l2JJjR3eloxiSsNtPpmz59OtOnTwegqKjokNd/NnsaoRBNvnTFmCN1cdHDdKr5BrjE6SjGtGmqevrBl4paxwf4Io8XichaYBDhM3u5dRbNBbZGHhcAPYECEfEA2UDJEUSPm+v8z/FuWQ3w3YMuq6q8s3w7/0legfQ8DpLSmj+gaVVctZWc7V7IZ9Xhefoq/UEm++/nseF5jHA4mzGJqs2MTDJt2jTy8/PJz8+nU6dOB1+hAVViP5SiMQeS4LfxGGP2T0Q6iYRHmRCRfsBAYJ2qFgLlIjJewjcCXgXsOVs4i33XMl8MvJfw9/NF1EoSEmzaQC4rCsvx7y4kt3YD9PtWs+YyrdO+0TvDfx4VvvB93zZPnzH712Y6fUfqpl3386Nd9x98QWNiqDlH7zTGHDkRuUBECoDjgDdE5K1I1UnAEhH5ivCgLDeo6p6zdj8AHgPWAGsJD+IC8DjQQUTWAD8BfhGnt3HEaiUJdxM7fXNXbMcnXspPux+GntfMyUyr5Nrz9TXc6QuWbGJG0p10Kf7MuUzGJDg7JNJEHYLFdqbPxJXY0EHGJDxVfQV4pZHyl4GXo9eAyFx+UVehqWoNDlzPfaS3PwAExIsr1LRO3zvLtzOgZw8yT7z0sF7LGJFIpy9ypi9QsZM81zdso8rBVMYkNjvT12RqZ11MXIWbMvvMGWOa15He/gAQcCXhCh18cvbC0mqWbtnNDR2+gPLth/VaxojbS4F2JOAOjxjrrwnPVZqSaqN3GrM/1ulrovBZF/sCbuLnk8yzeS7ZjoQbYxLfg70f5j5P9NQsDc1dsYN+UsiZK+6AVW/EIZlpjTSrByf4HmRT1zMBCFSHO33J6VlOxjImoVmnr8kUtcnZTRytSB/LB0knH3xBY4xxWDClA7uDSQddbu7y7ZyX+U34iQ3iYg7Tnm9jocjlnSFf5ExfWoZDiYxJfNbpa6KV3qGsSbJZ+kz8tPcX0jNYcPAFjTHGYRPK3uRs/1sHXKbCF2D+2p2clbYK2vWCnL5xSmdaG6ku4hnv7+la9AkApaEUlmp/JDXH4WTGJC7HBnIRkUnA3wA38Jiq3udUlqZ4NuM60pM9nO90ENNmXLTzUXKqNwFXOB3FGGMOaFTpu+SGSlDVfcPpNzDvmyICwQADKhfDUReAXT1jDpMr4Ock91Lm12wDYGnyGB5K/gML2vV0OJkxicuRM32RuYv+Tniu82HAZSIyzIksTWXjKJp4s9E7jTEthXiSSKKWKn9wv8vMXbGdvJSteGrL7dJOc0T2HFjY00pW+AM2R58xB+HUX8g4YI2qrgMQkReBKcByh/Ic1C93/4ZaTwbwqtNRTBsRvlXBjoQbY1oAbwrJ1FLha/zLdzCkfLCqiJOGjIOzV0CyDbhhDl/DKRvGF83gp1VvQmhRnTn8jDF1OfWX0QPYXOd5QaQsYWWHSskIlTsdw7QhdqbPGNNSuCKdvvKa2kbrv9i0i5JKP6cN7QJZ3SHZBtwwR2BPx05DAGT7ttEjtMU6fMYcgFN/HY2dvoj6hisi00QkX0TyD3fC2Niysy4mvqzbZ4xpCdzeFJKllvKaQKP1c1fsIN1Vy6TlP4dNn8U5nWltXG4Pq0K5+DzheflcwWr8kuJwKmMSm1OdvgKg7t22ucDWhgup6nRVzVPVvMOdMDZWBLUv4Cau5mZfyDOpVzodwxhjDmrr8Xdzgu9vVPga7/S9t3I7V3TbgnfVLIgMr2/MYUvrxET/H1jXZSIAnkA1fneqw6GMSWxOdfo+BwaKSF8RSQKmArMcytI0qjbSmImrVSmjWZh0rNMxjDHmoDLS0wngafRM3+aSKr7ZXsE5Gd+Aywu9j3MgoWlVIl/HNHJPnzdYRcA6fcYckCOdPlUNADcBbwErgJdUdZkTWZpqcdIxrEoZ5XQM04Z096+nX2Ct0zGMMeagOmyfz92eJ6isqo6qm7tiOwBDqhZBz2MhKT3e8UwrI77dvJz0G/rseBeAFaFctmQd7XAqYxKbY+PbqupsYLZTr3+onkm9iq5ZKVzqdBDTZly463EyfDuAa5yOYowxB5RR+g1XeubybFVZVN17K3dwdIcgyUVfwym/dCCdaW1cwVrGuFbzia+Y2mCIP/kv4ieDB3GM08GMSWA2zFET2dWdJu7U7iI1JtGJyB9FZKWILBGRV0SkXZ2620VkjYisEpGJdcrHiMjSSN2DEpl0TESSReQ/kfIFItIn/u/o8CSnh6dgqC7fXa+8vKaWz9bt5Jy+At1GQr9THEhnWp29o3SGqIzcR2rz9BlzYNbpa6I/lt3GtB33OB3DGGNMYnkHGKGqI4FvgNsBRGQY4fvVhwOTgH+IiDuyziPANGBg5GdSpPw6YJeqDgAeAO6P15s4Uq7k8CiKlQ06fR+tLqY2qIwcczx8fx70HOtAOtPaSOTrqypU+AL8N+lOTlj7J4dTGZPYrNPXRKlajVf9TscwbYjN02dM4lPVtyP3qQN8Rng0aoApwIuq6lPV9cAaYJyIdAOyVHW+hkeheAY4v846T0cezwBO23MWMOFFOn3V5aX1iueu2E52qpdjeqQ5kcq0Uq7ImT7REJW+IL1kB6mhGodTGZPYrNPXRFLnv8bEgwJqnzljWpJrgTcjj3sAm+vUFUTKekQeNyyvt06kI1kKdGjGvLGTlEEtXiqr9k3HUBsM8e6KHVzcL4jnD31gxWvO5TOtirg9LA4NoDKpAxW+AKn4cCXbAEHGHIhdAN1kdlOfia/Xsy+nuqqSe50OYkwbJyJzga6NVN2hqjMjy9wBBIDn9qzWyPJ6gPIDrdNYpmmELxGlV69e+80eN73Gc/vwd/l0TfHeok/X7qS0upYLc9bD2mroMNDBgKZVSc7kQv9d3NZpMCk1taThozLFOn3GHIh1+pooPDm7dfpM/KxJHsaugF1SbIzTVPX0A9WLyNXAucBpqntHYCoAetZZLBfYGinPbaS87joFIuIBsoGS/WSaDkwHyMvLc/5acBG6ZqWwvdyHPxAiyeNi9pJCMpI94akaMrpCp8FOpzStRN1j8NXV1XgkhDsl07lAxrQAdnlnE33oPZ6VaWOcjmHakL6+VQypXe50DGPMAYjIJODnwGRVrapTNQuYGhmRsy/hAVsWqmohUC4i4yP3610FzKyzztWRxxcD79XpRCY2XwWXFtzDiXzBppJK/IEQby/fxulDOuLeMA/6fcuuljExI/5K5iT9nEHbXqPS5+P14Hik81CnYxmT0OxMXxM9m3wZg9plcLnTQUybcf7up0kNlBK5gssYk5geBpKBdyJjrnymqjeo6jIReQlYTviyzxtVNRhZ5wfAU0Aq4XsA99wH+DjwrIisIXyGb2rc3sWRcrnptXkWwySVNTsqWLmtnF1VtVzetwJWFYc7fcbEiBBiiGszxf7dbAl4ubP2ZhYPPcPpWMYkNOv0NZGGQohd3mmMMaaOyPQK+6u7F6Jvy1XVfGBEI+U1wCUxDRgvnhTUk0r7YCVfbNrNF5t306NdKmMG94OaX0J/m5/PxI5IZMoGlEp/+FhKerL7QKsY0+ZZp6+Jnqi6iZ2F/QlffWNM87MpG4wxLYYIkt6JwUk13DNvHQC/PncY7pye8K2fOxzOtDYu2TdlQ/rOpSxN/gHJG5+H/qc6nMyYxGX39DWRqA3kYuLNPnPGmBYkvSNHtfOT7HFxwoCOfGdMZ/jmLfBXOp3MtDLiCreNqkqoppxMqQaXnccw5kDazF/I9OnTmT59OgBFRUWHsQWbssE4wT5zxpgWIqc37WqrWfbbibhdgqx9D56/FK6YAQPtfisTO+LyMC94FGXJ3QhWRw4qeG3KBmMOpM10+qZNm8a0aeEBMfLy8g55fZuc3cTbS9nX4ff7+YPTQYwxpikueQqo88Vi7XvgToLeE5xKZForTzJX1d7OzR0HkLH2tXBZUpqzmYxJcG2m03ekxM70mTjb4B1AlQacjmGMMYdn7XvQ6zhIsjMwJvbcLiGouu/yYa91+ow5ELunr4ne8JzG8vRjnY5h2pChNV8x0v+l0zGMMaZpNnwCT50LZYWwexPsWA4DTnM6lWmNAn4+9P6IUVv/y8ZQFz5Mnwip7ZxOZUxCszN9TfRv70XktWvPlU4HMW3G5PLn8YZ8wI1ORzHGtGJHfs97RCgAGz6C4lVQvDpcNvS8GCQ0JlquFLMmWM5CPYmSbmM5OSXb6UjGJDQ709dEKaHqyBdwY+LDpmwwxsTDtGnTyM/PJz8/n06dOh3+hjoOCv8uWgVjr4cbPoH2/WIT0pi6IrfbaEipqvGTnmznMIw5GOv0NdHTvlu5ZNufnY5hjDHGJKbMrpCVC6vfCX8p7xo1/7wxMbJvyoYb/E9w18pzHc5jTOKzTl8TidpZFxNfNjekMaZFEYERF8Cad2D+351OY1qzPWf6NIQ3WEPI5XU4kDGJz86HN5GN3mmcYJ0+Y0yLcsodkNMX+p7kdBLTqgnvyTi2uHuSxQoCHhu505iDsU7fIbEv4CZ+Hs++EVXlAaeDGGNMU3lTYex1TqcwrZ3LxS88P2dUcjsu5TVC1ukz5qCs09dE4UE1rNNn4meLp5cN5WKMMcY0wu0SyqprSaWGkCfV6TjGJDzr9DXRC+5zyckazFing5g24+iaBZFHxzmawxhjjEk0s33X8Oqu85kTGkf73j3p6HQgYxKcDeTSRC+4J7Mi+0SnY5g25LzKGUyunOF0DGPMAYjIH0VkpYgsEZFXRKRdpLyPiFSLyJeRn0frrDNGRJaKyBoReVAkfMO4iCSLyH8i5QtEpI8z78qYxJdFBVJbxb+DZ1Ay/Gqn4xiT8Nrkmb4NGzaQl5d3yOu9UFTE3N8fwRxGMVJUVHRkcynFUKJkSZQcACtXrozJduxiYmNahHeA21U1ICL3A7cDP4/UrVXV0Y2s8wgwDfgMmA1MAt4ErgN2qeoAEZkK3A98u5nz13O47WMi/RucKFkSJQckTpZYtY8AIVwEAgEyqSLdG4rZdo1prZqt0ycidwLfA4oiRb9U1dmRutsJN25B4GZVfau5cjSmuLj4sNbLy8sjPz8/xmlabg5InCyJkgM4rC9MjVJFxU7GG5PIVPXtOk8/Ay4+0PIi0g3IUtX5kefPAOcT7vRNAe6MLDoDeFhERDV+cwa19PYREidLouSAxMkSs/aRSKcvGOC95J+QtHAy9LFpQow5kOb+RvmAqo6O/Ozp8A0DpgLDCR/d/IeIuJs5hzEtjg0eZEyLcy3hztsefUXkCxH5UET23B/QAyios0xBpGxP3WYAVQ0ApUCHxl5IRKaJSL6I5BcVFTW2iDGtWggXbkKk48Odku50HGMSnhOXd04BXlRVH7BeRNYA44D5DmQxJqGpzQ1pjONEZC7QtZGqO1R1ZmSZO4AA8FykrhDopao7RWQM8KqIDKfxIzl7zuQdqK5+oep0YDpAXl6eDfRr2pz3k77F1/4+pHl8+FIznY5jTMJr7k7fTSJyFZAP/FRVdxE+kvlZnWXqHuVMaNOmTXM6ApA4OSBxsiRKDohdlgezbyPJ4+JvMdmaMeZwqerpB6oXkauBc4HT9lyKGTmw6Ys8XiQia4FBhNu83Dqr5wJbI48LgJ5AgYh4gGygJIZvpdm0xn+Dj1Si5IDEyRLLHP/IvIl1ZTsA8KZYp8+Yg5EjuVXgQEc/CXfsigkfpbwb6Kaq14rI34H5qvrvyDYeB2ar6suNbH8a4Zvd6dWr15iNGzcedlZjWpqLH/mUZK+L564f73QUY+JKRBapauxu/mlGIjIJ+AtwsqoW1SnvBJSoalBE+gEfAUepaomIfA78CFhAeCCXh1R1tojcGFnmhshALheq6qUHy5CXl6eJcL+WMfE05eGP2VKwifyUH8DZf4Jx33M6kjFxcbht5BGd6TvY0c89RORfwOuRp3uOZO5R9yhnw+3b5SumzTquZh7ugBewTp8xCexhIBl4JzLzwmeqegNwEnCXiAQID1p2g6ruOWv3A+ApIJXwPYB77gN8HHg2cttDCeH7340xjXis5Bo+8g7mUddUbshtEceIjHFUc47e2U1VCyNPLwC+jjyeBTwvIn8BugMDgYXNlcOYluqcylepdacAP3U6ijFmP1R1wH7KXwairmCJ1OUDIxoprwEuiWlAY1opN0F86uWltMu4ofvRTscxJuE15+idf4hMPrsEOAX4MYCqLgNeApYDc4AbVTXYjDkOqk+fPhx11FGMHj260eGEVZWbb76ZAQMGMHLkSBYvXry3bs6cOQwePJgBAwZw3333NXuW5557jpEjRzJy5EgmTJjAV1991eR1Y5njgw8+IDs7m9GjRzN69GjuuuuuvXXx3id//OMf9+YYMWIEbrebkpKSJq17KHbv3s3FF1/MkCFDGDp0KPPn1x97qHk+JzaQizHGWYnSRiZK+9iU7cWrjUyU9hHi30aGcJEmPvp4d0HAf8T5jWn1VLVF/IwZM0abS+/evbWoqGi/9W+88YZOmjRJQ6GQzp8/X8eNG6eqqoFAQPv166dr165Vn8+nI0eO1GXLljVrlk8++URLSkpUVXX27Nl7szRl3VjmeP/99/Wcc86JKndin9Q1a9YsPeWUUw5r3YO56qqr9F//+peqqvp8Pt21a1e9+lh/TlbePU6X/O5bMcluTEsC5GsCtDst5ac520fVxGkjE6V9bMr24tVGJkr7qBr/NnLHXQN166/7qP4mS3XDJzF7H8YkusNtI23m5yaYOXMmV111FSLC+PHj2b17N4WFhSxcuJABAwbQr18/kpKSmDp1KjNnzmzWLBMmTCAnJweA8ePHU1BQcJA14suJfVLXCy+8wGWXXRbz7ZaVlTFv3jyuu+46AJKSkmjXrl29ZWL/OVGbssEYk/ASpY1M9PYRnG0jm6t9BGfaSEXIoDr8xJsW67dkTKtjnT5ARDjzzDMZM2YM06dPj6rfsmULPXvuG3smNzeXLVu27Le8ObPU9fjjj3PWWWcd1rqxyDF//nxGjRrFWWedxbJly4D976vmzgJQVVXFnDlzuOiiiw553YNZt24dnTp14rvf/S5HH300119/PZWVlfWWaZ7PiXX6jDHOSpQ2MlHax6ZuLx5tZCK0j+BMG/lZ5hl8EYrcUptkk7MbczBOTM6ecD755BO6d+/Ojh07OOOMMxgyZAgnnXTS3vrwmdT6RGS/5c2ZZY/333+fxx9/nI8//viQ141FjmOOOYaNGzeSkZHB7NmzOf/881m9erWj++S1117j+OOPp3379oe87sEEAgEWL17MQw89xLHHHsstt9zCfffdx9133713mVh/Tu7N/jVZKV4ePuS0xhgTO4nSRiZK+9iU7cWrjUyE9hGcaSPf7nwtmUX/5iT3Uuv0GdMEdqYP6N69OwCdO3fmggsuYOHC+oOJ5ubmsnnz5r3PCwoK6N69+37LmzMLwJIlS7j++uuZOXMmHTp0OKR1Y5UjKyuLjIwMAM4++2xqa2spLi52bJ8AvPjii1GXrsRqn+Tm5pKbm8uxxx4LwMUXX1zvJvQ9y8Tyc1LqyqHcnXNYeY0xJlYSpY1MlPaxKduLVxuZCO0jONNGpnuULKrCT+zyTmMOqs13+iorKykvL9/7+O2332bEiPojaU+ePJlnnnkGVeWzzz4jOzubbt26MXbsWFavXs369evx+/28+OKLTJ48uVmzbNq0iQsvvJBnn32WQYMGHdK6scyxbdu2vUfnFi5cSCgUokOHDo7sE4DS0lI+/PBDpkyZcsjrNkXXrl3p2bMnq1atAuDdd99l2LBh9ZaJ9efk9Oo5jK9677DyGmNMLCRKG5ko7WNTtxePNjJR2kdwpo28Ze31XOl5h88G/gSSMg47uzFtRZu/vHP79u1ccMEFQPjyhMsvv5xJkybx6KOPAnDDDTdw9tlnM3v2bAYMGEBaWhpPPvkkAB6Ph4cffpiJEycSDAa59tprGT58eLNmueuuu9i5cyc//OEP92bIz8/f77rNlWPGjBk88sgjeDweUlNTefHFFxERR/YJwCuvvMKZZ55Jenr6Qdc9XA899BBXXHEFfr+ffv368eSTTzbr52RizRyqA9nALw47szHGHIlEaSMTpX1sapZ4tJGJ1D5C/NvIoAorQ72pGHYduNv811ljDkoau5Y6EeXl5Wl+fr7TMYyJm9X35FHtacfIX8x1OooxcSUii1T1yCcOayOsfTRt0ebfj6W82sfu8x5nwtixTscxJm4Ot41s85d3GpOwFJuywRhjjGlEh6xUhrk2Mv6ja5yOYkyLYJ0+YxJWyzgLb4wxxsRbWnISAK5ku5/PmKawTp8xCc3O9BljjDFRRkVGI7XpGoxpErvz1ZgEdXvW7+icmco/nA5ijDHGJJqx18HS/4Lb63QSY1oEO9NnTIKqljT87lSnYxhjDkBE7haRJSLypYi8LSLd69TdLiJrRGSViEysUz5GRJZG6h6UyEzUIpIsIv+JlC8QkT4OvCVjWgZfOZRttekajGki6/QZk6AmV89kQvlbTscwxhzYH1V1pKqOBl4Hfg0gIsOAqcBwYBLwDxFxR9Z5BJgGDIz87Bkr/zpgl6oOAB4A7o/XmzCmxfnPd2D3Rhg3zekkxrQI1ukzJkGd7n+Xo6s+cTqGMeYAVLWsztN09o3ANAV4UVV9qroeWAOME5FuQJaqztfwnEnPAOfXWefpyOMZwGl7zgIaYxoQN/QYA/1PcTqJMS2CdfqMSVhqw7gY0wKIyL0ishm4gsiZPqAHsLnOYgWRsh6Rxw3L662jqgGgFOiwn9ecJiL5IpJfVFQUq7diTMvhSYEti6B0i9NJjGkRrNNnTKJSm7TBmEQgInNF5OtGfqYAqOodqtoTeA64ac9qjWxKD1B+oHWiC1Wnq2qequZ16tTp0N6QMa2BNyX8e9GTzuYwpoWw0TuNSVBiZ/qMSQiqenoTF30eeAP4DeEzeD3r1OUCWyPluY2UU2edAhHxANlAyeEnN6YV01D4d3KmszmMaSHsTJ8xCUztdh5jEpqIDKzzdDKwMvJ4FjA1MiJnX8IDtixU1UKgXETGR+7XuwqYWWedqyOPLwbei9z3Z4xpqM8J4d+p7Z3NYUwLYWf6jElQN2X+lV4d0njU6SDGmAO5T0QGAyFgI3ADgKouE5GXgOVAALhRVYORdX4APAWkAm9GfgAeB54VkTWEz/BNjdebMKbFyR0b/p2a42wOY1qINtPp+/NbKzhlyW1R5V+lT+CzzDNJClVz7Y776tWpwucZp7Ao41ukB0u5qujPUet/kjmJr9InkBMo4rLiB6Pq38+cwvK0PDrXFnBJSfTX97ezL2V1ylH08K3jgl3R16XPancFG5KH0K9mOeeVPlc/HzCj3XVsSerLkJovmVT236j1/51zI0Xe7oysXsBpZTOj6p9s/xN2eTqSVzWPkyrmRNU/2uEXVLqzmFDxNhOq3o+q/2vHO6mVJE6teJ0x1Q1GmlThD51+B8Ck8pcZVbOwXrVfkvlLx98CcH7Z8wz1fVWvvtyVxUPt7wDg26VP0N+/ql79TncnHs35PxTlqtJH6VW7vl59oSeXx7J/BMC03X+la3Brvfr13v48k/V9AH60637ah4ojucO/ViUN58XMawC4bddvSQ+V11t/afJoZmRcAcD/K/klXvXXq/88eTyz0i8GDXH3rujP3scp3+LN1HNJCVXz69JfRdWP9p1MScdLo8qNMYlDVS86QN29wL2NlOcDIxoprwEuiWlAY1ozT6p1+oxpojbT6du6u5qc6k1R5bXBgWz0V5Gi1bSrLoiq9+lONvmraBeqILumwQhRAtXsosBfTW2wgixfYdT61bKbrf5qPMEKsnzbo+ory8so9NWQGSgnw78jur6ygh3+GrrUVpHm3xlVX1Fdzc5aP9X+KtJqd0WvX1XNLo8fv6+K1EBpVH15jY/d7loC+6mvqKml3FVLyF9DcqA8qr7SV4tfXIRqa0gOVNarU4Tq2siB7aAfb8hXrz4k4KsNX5OvwVrcoX2dJgHc1FIbDNdLKIBba+ut79IAwZBGHgdxEaxXLxqqMzpCCGkwHoJLFVfk6kmROiMoRB64RHFHFgiJC907xdaeDbjxul2Reg9Bqb99cXtI9roQhaAriYZjNLjdHtKSPCSHPARcKTTUq2MWJ4zuHlVujDHGtHkagj7HQ7dRTicxpkWQlnK7QF5enubn5zsdwxhjTDMTkUWqmud0jpbC2kdjjGk7DreNtIFcjDHGGGOMMaYVs06fMcYYY4wxxrRi1ukzxhhjjDHGmFbsiDp9InKJiCwTkZCI5DWou11E1ojIKhGZWKd8jIgsjdQ9GJmnyBhjjDHGGGNMMzjSM31fAxcC8+oWisgwwvMLDQcmAf8Q2Tv04SPANMIT1Q6M1BtjjDHGGGOMaQZH1OlT1RWquqqRqinAi6rqU9X1wBpgnIh0A7JUdb6Ghw19Bjj/SDIYY4wxxhhjjNm/5rqnrwewuc7zgkhZj8jjhuXGGGOMMcYYY5rBQSdnF5G5QNdGqu5Q1Zn7W62RMj1A+f5eexrhS0EBKkSksbOKh6IjUHyE22htbJ9Es33SONsv0WyfRIvFPukdiyBtxaJFi4pFZGMMNmWf52i2T6LZPolm+ySa7ZNosdonh9VGHrTTp6qnH8Z2C4CedZ7nAlsj5bmNlO/vtacD0w/j9RslIvk24W99tk+i2T5pnO2XaLZPotk+iT9V7RSL7dj/u2i2T6LZPolm+ySa7ZNoTu+T5rq8cxYwVUSSRaQv4QFbFqpqIVAuIuMjo3ZeBezvbKExxhhjjDHGmCN0pFM2XCAiBcBxwBsi8haAqi4DXgKWA3OAG1U1GFntB8BjhAd3WQu8eSQZjDHGGGOMMcbs30Ev7zwQVX0FeGU/dfcC9zZSng+MOJLXPQIxu1S0FbF9Es32SeNsv0SzfRLN9knLZf/votk+iWb7JJrtk2i2T6I5uk8kPHOCMcYYY4wxxpjWqLnu6TPGGGOMMcYYkwCs02eMMcYYY4wxrVib6PSJyCQRWSUia0TkF07nSQQi0lNE3heRFSKyTERucTpTohARt4h8ISKvO50lEYhIOxGZISIrI5+X45zO5DQR+XHk7+ZrEXlBRFKczuQEEXlCRHaIyNd1ytqLyDsisjryO8fJjObgrI2sz9rH/bP2MZq1kdGsjUzM9rHVd/pExA38HTgLGAZcJiLDnE2VEALAT1V1KDAeuNH2y163ACucDpFA/gbMUdUhwCja+L4RkR7AzUCeqo4A3MBUZ1M55ilgUoOyXwDvqupA4N3Ic5OgrI1slLWP+2ftYzRrI+uwNnKvp0iw9rHVd/qAccAaVV2nqn7gRWCKw5kcp6qFqro48ric8D9SPZxN5TwRyQXOITytSJsnIlnAScDjAKrqV9XdjoZKDB4gVUQ8QBqw1eE8jlDVeUBJg+IpwNORx08D58czkzlk1kY2YO1j46x9jGZt5H61+TYyEdvHttDp6wFsrvO8APvHux4R6QMcDSxwOEoi+CvwMyDkcI5E0Q8oAp6MXNLzmIikOx3KSaq6BfgTsAkoBEpV9W1nUyWULqpaCOEvz0Bnh/OYA7M28gCsfaznr1j72JC1kQ1YG3lAjraPbaHTJ42U2TwVESKSAbwM3KqqZU7ncZKInAvsUNVFTmdJIB7gGOARVT0aqKSNX64XuQZ/CtAX6A6ki8h3nE1lzGGzNnI/rH3cx9rH/bI2sgFrIxNXW+j0FQA96zzPpQ2eZm6MiHgJN2jPqer/nM6TAI4HJovIBsKXOJ0qIv92NpLjCoACVd1zlHsG4QauLTsdWK+qRapaC/wPmOBwpkSyXUS6AUR+73A4jzkwayMbYe1jFGsfG2dtZDRrI/fP0faxLXT6PgcGikhfEUkifDPpLIczOU5EhPA16CtU9S9O50kEqnq7quaqah/Cn5P3VLVNH51S1W3AZhEZHCk6DVjuYKREsAkYLyJpkb+j02jjN+43MAu4OvL4amCmg1nMwVkb2YC1j9GsfWyctZGNsjZy/xxtHz3xfDEnqGpARG4C3iI8gtATqrrM4ViJ4HjgSmCpiHwZKfulqs52LpJJUD8Cnot8IVwHfNfhPI5S1QUiMgNYTHiUvy+A6c6mcoaIvAB8C+goIgXAb4D7gJdE5DrCjf8lziU0B2NtZKOsfTSHwtrIOqyNDEvE9lFU7dJ9Y4wxxhhjjGmt2sLlncYYY4wxxhjTZlmnzxhjjDHGGGNaMev0GWOMMcYYY0wrZp0+Y4wxxhhjjGnFrNNnjDHGGGOMMa2YdfqMOQIi8oGITGxQdquI/OMQt/NHEVkmIn9spO58Efn1IWzrKRH5fiPbmC0iSSIyT0Ra/XQtxhhjnCciFQep/5aIvH4E279VRK46hOX3226LSCcRmXO4WYxJZNbpM+bIvEB4otq6pkbK9xIR90G2833gGFW9rZG6nwGH0oncbyZV9QPvAt8+hO0ZY4wxCSdyAPNa4PlDWO1AbWQRUCgix8coojEJwzp9xhyZGcC5IpIMICJ9gO7Ax5Gjl++LyPOEJ/l1R87ofS4iS/acjRORWUA6sEBE6nXGRGQQ4FPV4sjzp0Tkkch214nIySLyhIisEJGnIqvNBYaISLfIOmnA6cCrkfpXgSuaaX8YY4wxUSTsjyLytYgsbdDeZYnIKyKyXEQeFRFXpM18qs7yP25ks6cCi1U1EHmND0TkgcgVLStEZKyI/E9EVovIPZF19ttuR+pfxdpI0wrZJV7GHAFV3SkiC4FJwEzCRwv/o6oqIgDjgBGqul5EpgGlqjo20th8IiJvq+pkEalQ1dGNvMTxwOIGZTmEG7rJwGuRZa4HPheR0ar6pYj8D7gU+FtkufdVtTyy/tfA2JjtBGOMMebgLgRGA6OAjoTbrHmRunHAMGAjMCey7Hqgh6qOABCRdo1s83hgUYMyv6qeJCK3EG6XxwAlwP9v525CZQrDAI7/H+U7KywkhbDFXVhISkp2lnb2kq1Y2ElWJCt1EytSuBEiiRvJTcL1kYSlr4UScel6LM6L2xgzzIzcTv9fTXPOec955szq6TnP+56nEbGvVd4u198CdiHVjJ0+qXtjp4o0Tu0cysznZXsdsCki7gA3gZnA4jax5wBvGo6dKclpGHiVmcOZ+RV4AMxvd0+ZOQp8jogZf/TvJEnq3iqqKZSjmfkKuMrPB5BDmfms5Kej5dxnwMKIOBAR64F3TWI2y5Gny/cw8CAzX2TmSIk3r4y1ytuvqTp/Uq1Y9EndGwDWRkQfMDUzx3bmPozZDmBrZi4rnwWZebFN7I/AlIZjI+X765jt7/vfu/fXgTkRsRRYCZxriDEZ+NTmtyVJ6pVoMZaN+5n5lqoreAXYAvQ3ua7THDnA7/P2lBJXqhWLPqlLmfmeKikdouEFLg0uAJsjYiJU6/UiYnqb8I+ARR3cUwLHgSPAucz8UeBFxEzgTWZ++du4kiR1aBDYWNbqzQZWA0NlbEVELIiICVQvGrsWEbOACZl5AtgJ9DWJ2WmObJW3l1Atg5BqxaJP6o2jVE8kj7U4px94CNyOiPvAQdqvqx0ElkdZINije1rDr50/SZL+pVPAPeAucBnYlpkvy9gNYA9VsfW8nDsXuFKWRBwGdjSJeZ6qeOxEqxx5tsOY0rgVP9etShqPImI/1Tq+Sz2KdxLYkZmPexFPkqT/JSJOURWQT3oUbxDYUKaXSrVhp08a/3YD03oRKCImAQMWfJKkmthO9UKXrpVpp3st+FRHdvokSZIkqcbs9EmSJElSjVn0SZIkSVKNWfRJkiRJUo1Z9EmSJElSjVn0SZIkSVKNfQPSi+VT08RT8AAAAABJRU5ErkJggg==\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -576,7 +577,7 @@ }, { "cell_type": "code", - "execution_count": 16, + "execution_count": 13, "id": "bb7dd063", "metadata": {}, "outputs": [], @@ -623,7 +624,7 @@ }, { "cell_type": "code", - "execution_count": 17, + "execution_count": 14, "id": "8f889575", "metadata": {}, "outputs": [], @@ -636,20 +637,18 @@ }, { "cell_type": "code", - "execution_count": 18, + "execution_count": 15, "id": "695fee27", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -751,20 +750,107 @@ }, { "cell_type": "markdown", - "id": "cd5e7601-d969-46c2-aadc-a67131d0d147", + "id": "8bd659d0-055a-4f7d-893f-c833795ef596", "metadata": {}, "source": [ - "### Initial value for $I_\\text{obs}$\n", + "## Initial conditions\n", "\n", - "A downside of this formulation is that we now need to have an initial value for $I_\\text{obs}$.\n", + "How do we pick initial conditions for the model?\n", + "Ideally, we'd like to start in a stable position, with all derivatives equal to zero.\n", "\n", - "**Assuming** we start in a stable situation where $\\dot{I}_\\text{obs} = 0$ and $\\dot{V}_m = \\dot{V}_\\text{est} = 0$ we get this as:\n", + "Looking at the equations, we can see that $V_\\text{est}$ will approach $V_c$, so we can start with\n", + "\\begin{align}\n", + "V_\\text{est}(t = 0) = V_c(t = 0)\n", + "\\end{align}\n", "\n", + "But after this it gets trickier:\n", + "$I_\\text{obs}$ will approach $I$, because in a stable position $\\dot{V}_m$ and $\\dot{V}_\\text{est}$ will be zero.\n", + "However, $I$ is a function of $V(m)$, so that we get\n", + "\\begin{align}\n", + "I_\\text{obs}(t=0) = I(V_m(t=0))\n", + "\\end{align}\n", + "$V_\\text{ref}$ will approach $V_c + \\alpha R_s^*I_\\text{obs}$, so that\n", + "\\begin{align}\n", + "V_\\text{ref}(t=0) = V_c + \\alpha R_s^* I(V_m(t=0))\n", + "\\end{align}\n", + "Finally, $V_m$ will approach\n", + "\\begin{align}\n", + "V_m(t=0) &= V_\\text{ref}(t=0) - R_s I(V_m(t=0)) \\\\\n", + " &= V_c - (R_s - \\alpha R_s^*) I(V_m(t=0)) \n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "e71b5730-697d-49e4-9c6e-74ca0a5acd62", + "metadata": {}, + "source": [ + "So all four initial states are functions of just $V_c$ and $I(V_m)$, and can be found by solving $V_m = V_c - (R_s - \\alpha R_s^*) I(V_m)$\n", + "Typical values are \n", "\\begin{align}\n", - "\\tau_f \\dot{I}_\\text{obs}(0) &= I(0) + C_m\\dot{V}_m(0) - C_m^* \\dot{V}_\\text{est}(0) - I_\\text{obs}(0) \\\\\n", - "0 &= I(0) - I_\\text{obs}(0) \\\\\n", - "I_\\text{obs}(0) = I(0)\n", - "\\end{align}\n" + "V_c &= -80 \\text{mV}\\\\\n", + "R_s = R_s^* &= 10 \\text{MOhm} = 0.01 \\text{GOhm} \\\\\n", + "\\alpha = 0.7\n", + "\\end{align}\n", + "for $V_m = -80 - 0.003 I(V_m)$.\n", + "The solutions of this $V = f(V)$ system can be found graphically, by looking for all crossings with the diagonal.\n", + "\n", + "In general, this solution depends on $I(V_m)$, so we can't say much more.\n", + "But for cell electrophysiology we know that\n", + "\n", + "1. Most currents have very small steady-state currents. And many experiments we simulate will start at a holding potential, chosen to _make_ the steady-state current small.\n", + "2. A notable exception is IK1, which will dominate when measuring whole-cells.\n", + "3. The multiplier 0.003 makes even this less of a problem, as it makes any function $I(V)$ very flat, decreasing the chance of $I=V$.\n", + "\n", + "We'll show an example using an equation based on the IK1 model from [Nygren et al. 1998](https://doi.org/10.1161/01.res.82.1.63):" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "id": "0d9374b8-2839-4e0f-98c0-eb60662543e3", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "V = np.linspace(-140, 60, 160)\n", + "IK1 = 20 * (V + 85) / (1 + np.exp(0.06 * (V + 85)))\n", + "\n", + "fig = plt.figure()\n", + "ax = fig.add_subplot()\n", + "ax.axhline(0, color='gray', lw=1)\n", + "ax.axvline(0, color='gray', lw=1)\n", + "ax.plot(V, V, 'k--', label='I=V')\n", + "ax.plot(V, -80 - 0.003*IK1, label='$-80 - 0.003$ IK1')\n", + "ax.plot(V, -80 - 0.01*IK1, label='$-80 - 0.01$ IK1')\n", + "ax.plot(V, -80 - 0.1*IK1, label='$-80 - 0.1$ IK1')\n", + "ax.set_xlim(-140, 60)\n", + "ax.set_ylim(-140, 60)\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d2b0271e-5d1a-43c8-b2e4-d8dfd6b6f5f6", + "metadata": {}, + "source": [ + "Here, we've first plotted the case with 70% series resistance compensation and $R_s = 10$ MOhm (blue).\n", + "Next, the same but without series resistance compensation (orange).\n", + "And finally $R_s = 100$ MOhm without series resistance compensation.\n", + "\n", + "For all three cases, there looks to be only a single solution.\n", + "This means we can work out $V_m(t=0)$ with an iterative scheme, or simply by starting at $V_m(t=0)=V_c$ and running for a few milliseconds to find the value it settles at." ] }, { @@ -808,7 +894,8 @@ "id": "154713fb-cc38-40f3-895a-9d21d6fecdce", "metadata": {}, "source": [ - "With initial conditions \n", + "With initial conditions:\n", + "\n", "\\begin{align}\n", "V_m(t=0) &= V_{m,0} \\\\\n", "V_\\text{est}(t=0) &= V_{m,0} \\\\\n", @@ -903,7 +990,8 @@ "1. Omitting the op-amp dynamics removes any differences between the model formulation based on Sigworth 1995 and on Lei 2020, and leads to visually indistinguishable results.\n", "2. Omitting the effects of $C_p$ and $C_p^*$, or even the capacitative spike due to $C_f$, leads to transient differences at the start of any step change, but may be beneficial for parameter estimation purposes.\n", "3. The final model can be reformulated to lump $R_f$ and $C_f$ into a single parameter $\\tau_f$, which can be beneficial.\n", - "4. Although the final model has 11 parameters, we usually only need to infer a few." + "4. For typical electrophysiology models, we can find a clamped steady-state by just running a short simulation.\n", + "5. Although the final model has 11 parameters, we usually only need to infer a few." ] } ], From 6e4cc44dca416a7e8256fcdd0a5984f5cfca2622 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 17 May 2024 10:40:55 +0100 Subject: [PATCH 56/77] Updated simplified models notebook. --- artefacts/artefacts-4-simplified.ipynb | 48 ++++++++------------------ 1 file changed, 15 insertions(+), 33 deletions(-) diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb index 3ded706..a261175 100644 --- a/artefacts/artefacts-4-simplified.ipynb +++ b/artefacts/artefacts-4-simplified.ipynb @@ -740,12 +740,9 @@ "id": "11b1c16f-aeb4-49e2-a63e-8cbe469b9402", "metadata": {}, "source": [ - "Besides dropping from 5 to 4 equations, this formulation lumps $R_f$ and $C_f$ into a single parameter $\\tau_f = R_fC_f$. This has two benefits.\n", - "\n", - "First, in the case that we want to infer $R_f$ and $C_f$, e.g. to account for the limited accuracy of the electrical components.\n", - "This formulation shows that fitting both $R_f$ and $C_f$ would lead to identifiability problems, so that we should fit only $\\tau_f$ instead.\n", - "\n", - "Second, we can account for clever electronics tricks that reduce the apparent $\\tau_f$ by simply using a shorter value." + "Besides dropping from 5 to 4 equations, this formulation lumps $R_f$ and $C_f$ into a single parameter $\\tau_f = R_fC_f$. This has two benefits:\n", + "1. If we want to infer $R_f$ and $C_f$ (e.g. to account for the limited accuracy of the electrical components), then this formulation shows that it would lead to identifiability problems, and we should fit only their product $\\tau_f$ instead.\n", + "2. We can account for clever electronics tricks that reduce the _apparent_ $\\tau_f$ by using a smaller value." ] }, { @@ -756,7 +753,7 @@ "## Initial conditions\n", "\n", "How do we pick initial conditions for the model?\n", - "Ideally, we'd like to start in a stable position, with all derivatives equal to zero.\n", + "Ideally, we'd like to start in a stable position (with all derivatives equal to zero).\n", "\n", "Looking at the equations, we can see that $V_\\text{est}$ will approach $V_c$, so we can start with\n", "\\begin{align}\n", @@ -785,7 +782,7 @@ "id": "e71b5730-697d-49e4-9c6e-74ca0a5acd62", "metadata": {}, "source": [ - "So all four initial states are functions of just $V_c$ and $I(V_m)$, and can be found by solving $V_m = V_c - (R_s - \\alpha R_s^*) I(V_m)$\n", + "So all four initial states are functions of just $V_c$ and $I(V_m)$, and can be found by solving $V_m = V_c - (R_s - \\alpha R_s^*) I(V_m)$.\n", "Typical values are \n", "\\begin{align}\n", "V_c &= -80 \\text{mV}\\\\\n", @@ -795,14 +792,14 @@ "for $V_m = -80 - 0.003 I(V_m)$.\n", "The solutions of this $V = f(V)$ system can be found graphically, by looking for all crossings with the diagonal.\n", "\n", - "In general, this solution depends on $I(V_m)$, so we can't say much more.\n", + "In general, the existence and uniqueness of the solution depends on $I(V_m)$, so that we can't say much more.\n", "But for cell electrophysiology we know that\n", "\n", - "1. Most currents have very small steady-state currents. And many experiments we simulate will start at a holding potential, chosen to _make_ the steady-state current small.\n", - "2. A notable exception is IK1, which will dominate when measuring whole-cells.\n", - "3. The multiplier 0.003 makes even this less of a problem, as it makes any function $I(V)$ very flat, decreasing the chance of $I=V$.\n", + "1. Most currents have very small steady-state currents. And many experiments we simulate will start at a holding potential chosen to _make_ the steady-state current small.\n", + "2. One of the few currents to worry about is IK1, either when we measure it directly or as part of a whole cell (where it will dominate the steady-state behaviour at negative potentials).\n", + "3. However, the multiplier 0.003 makes this less of a problem, as it makes any function $I(V)$ very flat which decreases the chance of their being more than one intersection with the diagonal.\n", "\n", - "We'll show an example using an equation based on the IK1 model from [Nygren et al. 1998](https://doi.org/10.1161/01.res.82.1.63):" + "Below we show an example based on the IK1 model from [Nygren et al. 1998](https://doi.org/10.1161/01.res.82.1.63)." ] }, { @@ -847,10 +844,10 @@ "source": [ "Here, we've first plotted the case with 70% series resistance compensation and $R_s = 10$ MOhm (blue).\n", "Next, the same but without series resistance compensation (orange).\n", - "And finally $R_s = 100$ MOhm without series resistance compensation.\n", + "And finally $R_s = 100$ MOhm without series resistance compensation (green).\n", "\n", "For all three cases, there looks to be only a single solution.\n", - "This means we can work out $V_m(t=0)$ with an iterative scheme, or simply by starting at $V_m(t=0)=V_c$ and running for a few milliseconds to find the value it settles at." + "This means we can work out $V_m(t=0)$ with an iterative scheme, or simply by starting at $V_m(t=0)=V_c$ and running a short simulation (typically a few milliseconds) to find the value it settles at." ] }, { @@ -889,27 +886,12 @@ "\\end{align}" ] }, - { - "cell_type": "markdown", - "id": "154713fb-cc38-40f3-895a-9d21d6fecdce", - "metadata": {}, - "source": [ - "With initial conditions:\n", - "\n", - "\\begin{align}\n", - "V_m(t=0) &= V_{m,0} \\\\\n", - "V_\\text{est}(t=0) &= V_{m,0} \\\\\n", - "V_\\text{ref}(t=0) &= V_{m,0} \\\\\n", - "I_\\text{obs}(t=0) &= I(V_{m,0}) + I_\\text{leak}(V_{m,0})\n", - "\\end{align}" - ] - }, { "cell_type": "markdown", "id": "cdeefcc8-b0ab-4801-8b19-d1e3c04199c0", "metadata": {}, "source": [ - "This means we need the following parameters:\n", + "With a user defined $I=I(V_m)$, an input $V_c$, and the following parameters:\n", "\n", "| Parameter | Meaning | Source | Approximation |\n", "|:-----------------------|:----------------------------|:-------------|-----------------|\n", @@ -936,8 +918,8 @@ "Similarly, a pre-experiment estimate for $R_\\text{seal}$ (or $R_\\text{memb}$) is often available, and could be used for $R_\\text{leak}$.\n", "Alternatively, a special leak-estimation step could be included in each protocol (especially if $E_\\text{leak}$ appears to be non-zero).\n", "\n", - "On many amplifiers, $\\alpha$, $\\beta$, $R_s^*$ and $C_m^*$ will be read off from a dial, so perhaps should include an error to infer.\n", - "Of some amplifiers, $\\alpha = \\beta$, removing one parameter.\n", + "On many amplifiers $\\alpha$, $\\beta$, $R_s^*$ and $C_m^*$ will be read off from a dial, so perhaps should include an error to infer.\n", + "On some amplifiers $\\alpha = \\beta$, removing one parameter.\n", "\n" ] }, From d8bab853b2abc7b4cab432a0c95ff027ec2c1e97 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 26 Jun 2024 17:10:32 +0100 Subject: [PATCH 57/77] Updated readme --- artefacts/README.md | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/artefacts/README.md b/artefacts/README.md index e66493b..2d1f255 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -14,3 +14,12 @@ The first notebook] describes the uncompensated patch-clamp set up, and shows ho ## Modelling electronic compensation [![github](../img/github.svg)](artefacts-2-compensation.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-2-compensation.ipynb) In this notebook we update the model to include the compensation circuitry commonly used in patch-clamp amplifiers. + +## Simulating a manual patch clamp experiment [![github](../img/github.svg)](artefacts-3-simulations.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-3-simulations.ipynb) + +(Unfinished) In this notebook, we walk through the steps of a manual patch-clamp experiment. + +## Simplified models [![github](../img/github.svg)](artefacts-4-simplified.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-4-simplified.ipynb) + +In this notebook we derive simplified models of the compensated voltage clamp setup, which can be used in fitting. + From 546ad5f64d8296f0c618bec59d4e704aeecb045e Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 26 Jun 2024 17:11:46 +0100 Subject: [PATCH 58/77] Update README.md --- artefacts/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/artefacts/README.md b/artefacts/README.md index 2d1f255..184e16d 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -3,7 +3,7 @@ When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest _and_ the experimental set up. In these notebooks we retrace the steps taken in the supplement to [Lei et al., 2020](https://doi.org/10.1098/rsta.2019.0348), and construct (1) a model of a patch-clamp experiment with various experimental artefacts, and (2) a model of the corrections applied by patch-clamp amplifiers to mitigate these effects. -Both models and their exposition draw heavily on a book chapter by [Sigworth (1995a)](https://doi.org/10.1007/978-1-4419-1229-9_4). +The exposition draws heavily on a book chapter by [Sigworth (1995a)](https://doi.org/10.1007/978-1-4419-1229-9_4). I have tried to keep things as to-the-point as possible, but a lot of extra detail is provided in the appendices. From c96dcda7a9ac7c5155e499bd14696b049d7c688b Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 26 Jun 2024 17:12:00 +0100 Subject: [PATCH 59/77] Update README.md --- artefacts/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/artefacts/README.md b/artefacts/README.md index 184e16d..2184ed7 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -9,7 +9,7 @@ I have tried to keep things as to-the-point as possible, but a lot of extra deta ## Modelling patch-clamp experiments [![github](../img/github.svg)](artefacts-1-modelling-patch-clamp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-1-modelling-patch-clamp.ipynb) -The first notebook] describes the uncompensated patch-clamp set up, and shows how to derive both an electrical schematic and an ODE model. +The first notebook describes the uncompensated patch-clamp set up, and shows how to derive both an electrical schematic and an ODE model. ## Modelling electronic compensation [![github](../img/github.svg)](artefacts-2-compensation.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-2-compensation.ipynb) From 06285757d162815f16f59ee38672dcff664eb187 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 26 Jun 2024 17:12:34 +0100 Subject: [PATCH 60/77] Update README.md --- artefacts/README.md | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/artefacts/README.md b/artefacts/README.md index 2184ed7..341416c 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -13,7 +13,7 @@ The first notebook describes the uncompensated patch-clamp set up, and shows how ## Modelling electronic compensation [![github](../img/github.svg)](artefacts-2-compensation.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-2-compensation.ipynb) -In this notebook we update the model to include the compensation circuitry commonly used in patch-clamp amplifiers. +In the second notebook we update the model to include the compensation circuitry commonly used in patch-clamp amplifiers. ## Simulating a manual patch clamp experiment [![github](../img/github.svg)](artefacts-3-simulations.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-3-simulations.ipynb) @@ -21,5 +21,6 @@ In this notebook we update the model to include the compensation circuitry commo ## Simplified models [![github](../img/github.svg)](artefacts-4-simplified.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-4-simplified.ipynb) -In this notebook we derive simplified models of the compensated voltage clamp setup, which can be used in fitting. +In notebook number four we derive simplified models of the compensated voltage clamp setup, which can be used in fitting. + From b5fe0c7e7b186655e709400de97b68e991cf65bc Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 11 Oct 2024 12:07:56 +0200 Subject: [PATCH 61/77] Update README.md --- artefacts/README.md | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/artefacts/README.md b/artefacts/README.md index 341416c..143aa02 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -23,4 +23,9 @@ In the second notebook we update the model to include the compensation circuitry In notebook number four we derive simplified models of the compensated voltage clamp setup, which can be used in fitting. +## Appendices +- Electronics + - A1. Ideal op amps [![github](../img/github.svg)](appendix-A1-op-amp.ipynb) + - A2. Laplace transforms and filters [![github](../img/github.svg)](appendix-A2-laplace-and-filters.ipynb) + - A3. Non-ideal op amps [![github](../img/github.svg)](appendix-A3-non-ideal-op-amp.ipynb) From 01979d390c5a62f2eab0fecf6f8e117e4c712a18 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 11 Oct 2024 13:01:44 +0200 Subject: [PATCH 62/77] Update README.md --- artefacts/README.md | 18 +++++++++++++++++- 1 file changed, 17 insertions(+), 1 deletion(-) diff --git a/artefacts/README.md b/artefacts/README.md index 143aa02..b692dcf 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -1,4 +1,3 @@ - # Modelling patch-clamp experiments When analysing data from whole-cell patch-clamp experiments, it can be useful to have a model of both the biological system of interest _and_ the experimental set up. @@ -29,3 +28,20 @@ In notebook number four we derive simplified models of the compensated voltage c - A1. Ideal op amps [![github](../img/github.svg)](appendix-A1-op-amp.ipynb) - A2. Laplace transforms and filters [![github](../img/github.svg)](appendix-A2-laplace-and-filters.ipynb) - A3. Non-ideal op amps [![github](../img/github.svg)](appendix-A3-non-ideal-op-amp.ipynb) +- Extended models + - B1. Models without compensation [![github](../img/github.svg)](appendix-B1-uncompensated-models.ipynb) + - B2. Models with compensation [![github](../img/github.svg)](appendix-B2-compensated-models.ipynb) + - B3. Sigworth 1983/1995 Rs compensation [![github](../img/github.svg)](appendix-B3-sigworth-rs.ipynb) +- Parameter names and values + - C1. Names & symbols [![github](../img/github.svg)](appendix-C1-symbols.ipynb) + - C2. Default parameter values used in examples [![github](../img/github.svg)](appendix-C2-parameter-defaults.ipynb) + - C3. Parameter values, estimates for different amplifiers etc. [![github](../img/github.svg)](appendix-C3-parameter-values.ipynb) +- Remaining noise and errors + - D1. Strategies for dealing with experimental error [![github](../img/github.svg)](appendix-D1-strategies.ipynb) + - D2. Stochastic and periodic noise [![github](../img/github.svg)](appendix-D2-inspecting-noise.ipynb) + - D3. Liquid junction potential [![github](../img/github.svg)](appendix-D3-liquid-junction-potential.ipynb) + - D4. Leak (unfinished) [![github](../img/github.svg)](appendix-D4-leak.ipynb) + - D5. Handling remaining capacitance artefacts (unfinished) [![github](../img/github.svg)](appendix-D5-remaining-Cp-artefacts.ipynb) +- Estimating Rs and Cm + - E1. Estimating Rs and Cm; a one-shot approach [![github](../img/github.svg)](appendix-E1-rs-cm-one-shot.ipynb) + - E2. Estimating Rs and Cm; an iterative approach [![github](../img/github.svg)](appendix-E2-rs-cm-iterative.ipynb) From 25a5b805160ff2fa88366320a300f9b156518d9c Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Mon, 28 Oct 2024 09:55:02 +0000 Subject: [PATCH 63/77] Look at iterative Rs Cm --- artefacts/appendix-E2-rs-cm-iterative.ipynb | 44 ++++++++++++++++++++- 1 file changed, 43 insertions(+), 1 deletion(-) diff --git a/artefacts/appendix-E2-rs-cm-iterative.ipynb b/artefacts/appendix-E2-rs-cm-iterative.ipynb index 613ae17..fe19848 100644 --- a/artefacts/appendix-E2-rs-cm-iterative.ipynb +++ b/artefacts/appendix-E2-rs-cm-iterative.ipynb @@ -18,6 +18,48 @@ "\n", "As reference we shall use the EPC-10 hardware manual version 3.1, as available from [HEKA](http://www.heka.com/downloads/downloads_main.html#down_pca), starting on page 57, but more importantly - [Sigworth 1995c](https://doi.org/10.1016/0165-0270(94)00129-5), section 3." ] + }, + { + "cell_type": "markdown", + "id": "6b6ceac4", + "metadata": {}, + "source": [ + "Step from $-V_0/2$ to $V_0/2$ at $t=0$\n", + "\n", + "Linear network $G_s$ followed by ($G_m$ and $C_m$) in parallel" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "24654ce2", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "d5160014", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "b86f5553", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "46712904", + "metadata": {}, + "outputs": [], + "source": [] } ], "metadata": { @@ -36,7 +78,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.11.9" } }, "nbformat": 4, From 97f9df6bfc728636db44b4a1f85bb9b7779226c5 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Tue, 5 Nov 2024 12:46:13 +0000 Subject: [PATCH 64/77] Added note on a priori ljp correction --- ...ppendix-D3-liquid-junction-potential.ipynb | 29 ++++++++++++++++--- 1 file changed, 25 insertions(+), 4 deletions(-) diff --git a/artefacts/appendix-D3-liquid-junction-potential.ipynb b/artefacts/appendix-D3-liquid-junction-potential.ipynb index 07d946b..97089a2 100644 --- a/artefacts/appendix-D3-liquid-junction-potential.ipynb +++ b/artefacts/appendix-D3-liquid-junction-potential.ipynb @@ -189,11 +189,32 @@ "source": [ "### Current clamp, whole-cell, a posteriori correction\n", "\n", - "As a result, if the apparatus reports a $V_m$ measured in current-clamp, we are actually getting a $V_m + V_{LJ}$ and so we need to _subtract_ the LJP.\n", + "As a result, when we measure $V_\\text{observed}$ in current-clamp, this is $V_\\text{observed} = V_m + V_{LJ}$ and so we need to _subtract_ the LJP to get the membrane potential:\n", + "\\begin{align}\n", + "V_m = V_\\text{observed} - V_{LJ}\n", + "\\end{align}\n", "\n", "### Voltage clamp, whole-cell, a posteriori correction\n", "\n", - "Similarly, if the apparatus reports an applied voltage $V_{cmd}$, we were actually holding $V_m + V_{LJ}$ at that potential, so to get the truly applied voltage we again need to _subtract_ the LJP." + "Similarly, when we voltage-clamp to $V_\\text{applied}$, we are actually holding $V_\\text{applied} = V_m + V_{LJ}$ at that potential, so again we need to _subtract_ the LJP to obtain:\n", + "\\begin{align}\n", + "V_m = V_\\text{applied} - V_{LJ}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Voltage clamp, whole-cell, a priori correction\n", + "\n", + "Using e.g. a HEKA amplifier, we can implement a prior correction:\n", + "\n", + "\\begin{align}\n", + "V_m = (V_\\text{intended} + V_{LJ}^*) - V_{LJ} \\approx V_\\text{intended}\n", + "\\end{align}\n", + "\n", + "this _adds_ the estimated LJP $V_{LJ}^*$ to the applied signal, so that $V_m \\approx V_\\text{intended}$." ] }, { @@ -222,9 +243,9 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.7" } }, "nbformat": 4, - "nbformat_minor": 2 + "nbformat_minor": 4 } From 19760d54316921709c1857bd30924dc865048690 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 13 Nov 2024 09:53:51 +0000 Subject: [PATCH 65/77] Update README.md --- README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index a3579a1..6ab965d 100644 --- a/README.md +++ b/README.md @@ -4,7 +4,8 @@ This repository contains notebooks showing how to fit Myokit models to data usin A part on fitting AP models is planned, but for now the repository contains: -- A [set of notebooks](ion-currents/README.md) showing how to fit kinetic parameters of ion current models. +- A series of notebooks showing how to [fit kinetic parameters of ion current models](ion-currents/README.md, +- and how to include the [amplifier electronics](artefacts/README.md) in models of patch clamp experiments. ## Requirements From 56e4381568abd4ef8d40db74ad40020428bced2c Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 13 Nov 2024 09:54:04 +0000 Subject: [PATCH 66/77] Update README.md --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index 6ab965d..22de29b 100644 --- a/README.md +++ b/README.md @@ -4,7 +4,7 @@ This repository contains notebooks showing how to fit Myokit models to data usin A part on fitting AP models is planned, but for now the repository contains: -- A series of notebooks showing how to [fit kinetic parameters of ion current models](ion-currents/README.md, +- A series of notebooks showing how to [fit kinetic parameters of ion current models](ion-currents/README.md), - and how to include the [amplifier electronics](artefacts/README.md) in models of patch clamp experiments. ## Requirements From c7788d3568ea97504d8a4906293c488f899575af Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 21 Nov 2024 15:38:50 +0000 Subject: [PATCH 67/77] Adding more nbviewer links --- artefacts/README.md | 34 ++++++++++++++++++---------------- 1 file changed, 18 insertions(+), 16 deletions(-) diff --git a/artefacts/README.md b/artefacts/README.md index b692dcf..5f62df0 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -24,24 +24,26 @@ In notebook number four we derive simplified models of the compensated voltage c ## Appendices + + - Electronics - - A1. Ideal op amps [![github](../img/github.svg)](appendix-A1-op-amp.ipynb) - - A2. Laplace transforms and filters [![github](../img/github.svg)](appendix-A2-laplace-and-filters.ipynb) - - A3. Non-ideal op amps [![github](../img/github.svg)](appendix-A3-non-ideal-op-amp.ipynb) + - A1. Ideal op amps [![github](../img/github.svg)](appendix-A1-op-amp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A1-op-amp.ipynb) + - A2. Laplace transforms and filters [![github](../img/github.svg)](appendix-A2-laplace-and-filters.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A2-laplace-and-filters.ipynb) + - A3. Non-ideal op amps [![github](../img/github.svg)](appendix-A3-non-ideal-op-amp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A3-non-ideal-op-amp.ipynb) - Extended models - - B1. Models without compensation [![github](../img/github.svg)](appendix-B1-uncompensated-models.ipynb) - - B2. Models with compensation [![github](../img/github.svg)](appendix-B2-compensated-models.ipynb) - - B3. Sigworth 1983/1995 Rs compensation [![github](../img/github.svg)](appendix-B3-sigworth-rs.ipynb) + - B1. Models without compensation [![github](../img/github.svg)](appendix-B1-uncompensated-models.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-B1-uncompensated-models.ipynb) + - B2. Models with compensation [![github](../img/github.svg)](appendix-B2-compensated-models.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-B2-compensated-models.ipynb) + - B3. Sigworth 1983/1995 Rs compensation [![github](../img/github.svg)](appendix-B3-sigworth-rs.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-B3-sigworth-rs.ipynb) - Parameter names and values - - C1. Names & symbols [![github](../img/github.svg)](appendix-C1-symbols.ipynb) - - C2. Default parameter values used in examples [![github](../img/github.svg)](appendix-C2-parameter-defaults.ipynb) - - C3. Parameter values, estimates for different amplifiers etc. [![github](../img/github.svg)](appendix-C3-parameter-values.ipynb) + - C1. Names & symbols [![github](../img/github.svg)](appendix-C1-symbols.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-C1-symbols.ipynb) + - C2. Default parameter values used in examples [![github](../img/github.svg)](appendix-C2-parameter-defaults.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-C2-parameter-defaults.ipynb) + - C3. Parameter values, estimates for different amplifiers etc. [![github](../img/github.svg)](appendix-C3-parameter-values.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-C3-parameter-values.ipynb) - Remaining noise and errors - - D1. Strategies for dealing with experimental error [![github](../img/github.svg)](appendix-D1-strategies.ipynb) - - D2. Stochastic and periodic noise [![github](../img/github.svg)](appendix-D2-inspecting-noise.ipynb) - - D3. Liquid junction potential [![github](../img/github.svg)](appendix-D3-liquid-junction-potential.ipynb) - - D4. Leak (unfinished) [![github](../img/github.svg)](appendix-D4-leak.ipynb) - - D5. Handling remaining capacitance artefacts (unfinished) [![github](../img/github.svg)](appendix-D5-remaining-Cp-artefacts.ipynb) + - D1. Strategies for dealing with experimental error [![github](../img/github.svg)](appendix-D1-strategies.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-D1-strategies.ipynb) + - D2. Stochastic and periodic noise [![github](../img/github.svg)](appendix-D2-inspecting-noise.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-D2-inspecting-noise.ipynb) + - D3. Liquid junction potential [![github](../img/github.svg)](appendix-D3-liquid-junction-potential.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-D3-liquid-junction-potential.ipynb) + - D4. Leak (unfinished) [![github](../img/github.svg)](appendix-D4-leak.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-D4-leak.ipynb) + - D5. Handling remaining capacitance artefacts (unfinished) [![github](../img/github.svg)](appendix-D5-remaining-Cp-artefacts.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-D5-remaining-Cp-artefacts.ipynb) - Estimating Rs and Cm - - E1. Estimating Rs and Cm; a one-shot approach [![github](../img/github.svg)](appendix-E1-rs-cm-one-shot.ipynb) - - E2. Estimating Rs and Cm; an iterative approach [![github](../img/github.svg)](appendix-E2-rs-cm-iterative.ipynb) + - E1. Estimating Rs and Cm; a one-shot approach [![github](../img/github.svg)](appendix-E1-rs-cm-one-shot.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-E1-rs-cm-one-shot.ipynb) + - E2. Estimating Rs and Cm; an iterative approach [![github](../img/github.svg)](appendix-E2-rs-cm-iterative.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-E2-rs-cm-iterative.ipynb) From 54e4128bdfa2176cc998144ea1bef3ca8d2fadc4 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 21 Nov 2024 21:53:44 +0000 Subject: [PATCH 68/77] Fix to notebook 4, added very short notebook 5 --- artefacts/README.md | 4 +- artefacts/artefacts-4-simplified.ipynb | 61 ++-- artefacts/artefacts-5-summary.ipynb | 386 +++++++++++++++++++++++++ 3 files changed, 419 insertions(+), 32 deletions(-) create mode 100644 artefacts/artefacts-5-summary.ipynb diff --git a/artefacts/README.md b/artefacts/README.md index 5f62df0..a86f373 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -22,9 +22,11 @@ In the second notebook we update the model to include the compensation circuitry In notebook number four we derive simplified models of the compensated voltage clamp setup, which can be used in fitting. -## Appendices +## Summary [![github](../img/github.svg)](artefacts-5-summary.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-5-summary.ipynb) +Finally, we present two simplified models (with currents in pA and currents in A/F), in equation & Myokit form. +## Appendices - Electronics - A1. Ideal op amps [![github](../img/github.svg)](appendix-A1-op-amp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A1-op-amp.ipynb) diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb index a261175..ba49c99 100644 --- a/artefacts/artefacts-4-simplified.ipynb +++ b/artefacts/artefacts-4-simplified.ipynb @@ -114,16 +114,7 @@ "execution_count": 1, "id": "a6385fdd", "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.36.2.dev).\n", - " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" - ] - } - ], + "outputs": [], "source": [ "import myokit\n", "\n", @@ -333,7 +324,7 @@ "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABMUAAAPbCAYAAABc1TwEAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOzdeXhU1f3H8c+dSWaykJWELJCwiSCLgEERQZGqoCLV2rpLQZHWCio/tCrVVqwCLqht1bq0FbVWpRZXqAp1ARFFRFwAAdkjIawhk3XW+/sjZCAmgZBkcmeS9+t55pF758ydT26czJnvnHOuYZqmKQAAAAAAAKANsVkdAAAAAAAAAGhpFMUAAAAAAADQ5lAUAwAAAAAAQJtDUQwAAAAAAABtDkUxAAAAAAAAtDkUxQAAAAAAANDmUBQDAAAAAABAm0NRDAAAAAAAAG1OlNUBmioQCKigoEAJCQkyDMPqOAAAIEKYpqmSkhJlZ2fLZuN7wnBEPw8AADRGQ/t5EV8UKygoUE5OjtUxAABAhMrPz1enTp2sjoE60M8DAABNcbR+XkiLYrNmzdJrr72mdevWKTY2VqeddpoeeOAB9ezZM9jGNE3dc889euaZZ1RUVKTBgwfriSeeUJ8+fRr0HAkJCZKqftDExMSQ/BwAAKD1cblcysnJCfYlEH7o5wEAgMZoaD8vpEWxxYsXa9KkSTr55JPl8/l05513auTIkVq7dq3i4+MlSQ8++KAeeeQRPffcczr++ON133336ZxzztH69esb1EmtHkqfmJhIZwkAABwzpuWFL/p5AACgKY7WzzNM0zRbKIv27NmjDh06aPHixTrjjDNkmqays7M1ZcoU3X777ZIkt9utjIwMPfDAA/r1r3991GO6XC4lJSWpuLiYzhIAAGgw+hDhj98RAABojIb2IVp0Vdni4mJJUmpqqiRpy5YtKiws1MiRI4NtnE6nhg8frmXLltV5DLfbLZfLVeMGAAAAAAAAHIsWK4qZpqmpU6dq2LBh6tu3rySpsLBQkpSRkVGjbUZGRvC+H5s1a5aSkpKCNxZfBQAAAAAAwLFqsaLY5MmT9c033+jll1+udd+P53iaplnvvM9p06apuLg4eMvPzw9JXgAAAAAAALReIV1ov9qNN96ot956S0uWLKlxKczMzExJVSPGsrKygvt3795da/RYNafTKafTGdrAAAAAAAAAaNVCOlLMNE1NnjxZr732mj744AN17dq1xv1du3ZVZmamFi1aFNzn8Xi0ePFinXbaaaGMBgAAgCaYNWuWTj75ZCUkJKhDhw666KKLtH79+hptTNPU9OnTlZ2drdjYWJ155plas2aNRYkBAABqCmlRbNKkSXrxxRf10ksvKSEhQYWFhSosLFRFRYWkqmmTU6ZM0cyZM/X6669r9erVGj9+vOLi4nTllVeGMhoAAACaYPHixZo0aZI+++wzLVq0SD6fTyNHjlRZWVmwzYMPPqhHHnlEjz/+uFasWKHMzEydc845KikpsTA5AABAFcM0TTNkB69nXbA5c+Zo/Pjxkqq+Qbznnnv09NNPq6ioSIMHD9YTTzwRXIz/aLhUNwAAaAz6EM1rz5496tChgxYvXqwzzjhDpmkqOztbU6ZM0e233y6p6iriGRkZeuCBB/TrX//6qMfkdwQAABqjoX2IkK4p1pB6m2EYmj59uqZPnx7KKACA5maaqnS75fJIrkqvyip9cuQvlc9dKp+nUgFPpfyecgU8lQr4KlVsb6+vUkbJ4w/I5zd1bv6jcvpLpYBfhumTUf1f069Ce7bmJPxavoApf8DUtOJ7lOrfJ7v8spl+Gap6fzFkqsCWqd/F/l6mKQVMUw9VTFcnc6cMMyDJlHGwnWRqt9prnH1W8Ed43H+vemmLDJmH3araH1CCLjAeD7Z9zJypgVpX56lwy6ER+psOxtLDelin6Zt6T91g87mqUyhplvG4ztaKetsOCzylCsXINKV7bH/TGGNpvW3P8j+mIlW96U+zPa9LjQ/qbTvaP1sFSpckTbG9ovHGf+tt+3P/TG1S1ZqgvzFe0/W21+tte7V/ur5Vd0nSOGOBptpeqbftBP/v9IVOkCRdZvxPv7M9X+P+JwI/lzlsqm4d1bPeYyB8FBcXS5JSU1MlSVu2bFFhYaFGjhwZbON0OjV8+HAtW7aszqKY2+2W2+0ObrtcrhCnBtAaFFd49eiiDfpi236VVPrk85syTVOmqvoGVX0EBff9+HPqjz+1/vhj7NHa17Wz9jFrP+qoz/ujFqEbzgJY4+KTOmrWxSdamqFFFtoHAEQGv9+vwr37VFgZpT0lbu0pLlOPtY/JKN+n6Mp9ivMWKcFfpHizTPFmuT4O9NdE763Bx693XiOn4a3z2MsDvfS0p0dw+2bnQqUZdX/g/TrQTcv37g9uZzs2K8e2p862bp+pzaWHpmulOPYo27arzrZe06b9ZZ7gdryjRKm2ujN4TLuKKw/9LA5HpRJsFXW2jTL9KnH7Dm1Hu9XOXndbSSpz+4P/tkd7FG+vrLdtpTegSgUOHtereJu73rZen1/ug21tUT7FRR2hrT8gt1nV1ojyKTbK06C2ivIppp7fcVVb/6G2dp9i7PW39Qf8cgeq2gbs/tptAz75AnwCiASmaWrq1KkaNmxYcLR/YWGhJNW6eFJGRoa2bdtW53FmzZqle+65J7RhAbQqpmlq8ktf6uPv91odBcAx8vqt7+eFdPpkS2BYPQAcuwN7CvTD+pUqzf9WUfvWK6Z0u5LdO9UhsFuLAnma5J1ysKWp9c5xchq+Oo/zWeAEXeH9vRKcUWrnjNJTvrvklFc+m1N+m1N+u1MBu1Om3an9cV21ouMvFW23KcpuU97OuYqWV4YtSoY96uB/7TJsUfLFpmpv9lmKthuyGYbSdi+T3fTKtB1sZxgyZMiw2RSIjlNF2omy2QwZkuL2r5U94JbNsEmGIZvNJhmSzbDJjHLIm3qCqmf3Rxdvkc3vkWTINKrGiBmGIRmGTMMuX1KX4M9qLy2QzV+zyBRcJMAw5E/ueljbQtn8NQtdh68o4E861NZWvkc2X3m9v6tAUo5k2A623XvEtv6ETpLNXtW2Yr8MT+kR2mZLtqrvxozKA7J56l/jyR+fIdkdB9sWH7ltXLoUVXWVaMNdIpu7+Aht06SomKq2nlLZKg/UuD/gTFR8YqqSYqPrPUZT0IdoPpMmTdKCBQu0dOnS4JXGly1bpqFDh6qgoKDGVcYnTpyo/Px8vfvuu7WOU9dIsZycHH5HAOq1cXeJzn5kiaLthh76RX/lpMYqymaTYUi2g2++NsNQVXfAOLi/riPV3vnj1YDqelhdSwb9eE9dqwoZDXi+utR5rIY8EAhDcdF2pcQ7QnLssJg+CQCwnruiROs2btbHe+L0Vf4Brd+xXx+4r1Bfw1+7sSF1MvapU0qsOiQ4lZ7g1GelV8oRE6eohHRFJ2YoOiFdMUlpiktIUZ/EFG1KSJEt2Ls864hZRtbY+n3Df4g+Fze8bZdhDW+bcQzDtTsc3/C2ad0b3rZ954a3TclteNvkjg1vq1hJWUdtdaht5jG07XAMbdMb2Bbh5MYbb9Rbb72lJUuWBAtikpSZWfX/SWFhYY2i2O7du2uNHqvmdDrldDpDGxhAq/LZ5qqR5Sd3SdVFA4/lvQ8AKIoBQKtjBgLauvYzFa54Wyk7F6u7e51Ms4tme+4NttnkyFaCzaM9sd1VltxD9rTj1C6ju9Jyjlff7C5aGnX4yJxBLf9DAAh7pmnqxhtv1Ouvv66PPvpIXbt2rXF/165dlZmZqUWLFmngwIGSJI/Ho8WLF+uBBx6wIjKAVmjTnqpR0f06JlmcBEAkoigGAK3E5tWfadfSF9Rt17vqau5T8OOpIaUbJRrdN115XdLVt2OSsjt8rsT4OPF9KoDGmjRpkl566SW9+eabSkhICK4hlpSUpNjYWBmGoSlTpmjmzJnq0aOHevTooZkzZyouLk5XXnmlxekBtBYFB6rW8OyYEmtxEgCRiKIYAESwUrdPr335g/79Rb6m7P69zravkiSVmU5tiD9Jni5nK+Ok0ercraeesNksTgugNXnyySclSWeeeWaN/XPmzNH48eMlSbfddpsqKip0ww03qKioSIMHD9bChQuVkJDQwmkBtFYFB6rW8MxOoigG4NhRFAOACLS/cJs2vfmAbt95hjZXVn24fCNquFLbJUj9LlWv03+mgXHtLE4JoDVryLWaDMPQ9OnTNX369NAHAtAmVV9VOj2B9QgBHDuKYgAQQUoO7NHqufdoQMFcnWx4dKGvQm+mj9PYUzvrwgHnKDVEV28BAAAIR65KryQpIYaPtgCOHX85ACAC+H0+fTXvQR333RMaolLJkL6LOkFDTv+pbhwx/LCrPwIAALQNpmmq1O2TJLWjKAagEfjLAQBhbtt3K1Ux7wbl+dZJkrbYcuUa+judOOIyGawTBgAA2qhyj1/VM7kTnNFHbgwAdaAoBgBhyjRN/WPpFrVfNF0/s61TqWL1Ta+pOvnn/6foaDp+AACgbSuprBolZrcZionmi0IAx46iGACEIVelV7f++2stXLtLqbpSGe2j1ePK2TqtYzerowEAAISFUveh9cQMg6UkABw7imIAEGYKNq3Ru3Of0ELX+XLY7fq/MadpyODL6ewBAAAcptTtlyTFO/hYC6Bx+OsBAGHk+5UfKO3tcbpWLu1rF69R4+/UiZ2SrY4FAAAQdjy+gCTJEcXUSQCNQ1EMAMLEd5/+V53fHa84w63v7cfpmgk3Ki0r2epYAAAAYSlYFLNTFAPQOBTFACAMHF4Q+8Y5SN0mv6Z2CUlWxwIAAAhbXj8jxQA0DUUxALDYxq+WBAtiX8cMUs+b31JMbLzVsQAAAMKam+mTAJqIvx4AYKGCXbuU8sZYxRlufesYSEEMAACggTwHR4pF27kYEYDGoSgGABYpdfs0/qV1ut97qTbYj1PnSa9REAMAAGigQwvt2y1OAiBSURQDAAuYpqnb532jDbtKtThulNpNWqzEpFSrYwEAAESM4JpiLLQPoJFYUwwALPDBG8/pk29iFWVL1FNj85Sd2s7qSAAAABHl0Egxpk8CaByKYgDQwjatWqwzvrpFC5zJWnzGKzopN8XqSAAAABEnWBRjpBiARqIoBgAtyF1Zpui3b1C04VdhfB9dMWKQ1ZEAAAAiUvVC+1x9EkBj8dcDAFrQ1y/crtzAD9qrZHUd/zcZNv4MAwAANEb1SLFoRooBaCT+egBAC9n01RLl7XhRkrR1yEylpmdanAgAACByMVIMQFPx1wMAWoAZ8Csw/xbZDVMr2v1Eg0ZdZXUkAACAiHZooX0+1gJoHP56AEALWPX2k+rh26BSM1a5VzxqdRwAAICI5/Wz0D6ApmGh/WMQCARUUFCghIQEGQaX/QUayzRNlZSUKDs7W7Y2sKZWucenO1dnabzvTHU8foCGdexidSQAwI/QzwOaR0v283wBU5Jkt/GaBdA4FMWOQUFBgXJycqyOAbQa+fn56tSpk9UxQu6pjzbpu5IYPZ56sxZdMdzqOACAOtDPA5pXS/TzAtVFMQrZABqJotgxSEhIkFT1Bz4xMdHiNEDkcrlcysnJCb6mWrP9JRX6x9ItkqTfnXeCYqLtFicCANSFfh7QPFqyn+c/WBSzMVIMQCNRFDsG1UPpExMT6SwBzaAtTE/Z+OIU/cn8XvMyrtO5fbnaJACEK/p5QPNqiX6e32T6JICmoSgGACGyd+c29S+cJ6fdq/QBk9pEERAAAKClMH0SQFO1/hWuAcAi37/1oJyGV99FnaD+w39mdRwAAIBWxV9VE2OkGIBGoygGACFQcmCf+hbMkyS5T50iow1cZRMAAKAlBbj6JIAmYvrkEbjdbrnd7uC2y+WyMA2ASPLd23/SKUaFtthydeKIS6yOAwAA0Oqw0D6ApqIodgSzZs3SPffcY3UMABHG66lU103/lCTt7vdrdbVzxUkAQP32l3m0ZW+Z9pd5VFzhldcfkM8fkC9gyuc3FTi4mLh52GPMwzbMw+45fP/hTLPuNvUdMxRMhfgJ1BI/QwsI8Q8R6p+hsqw0xM9wiI81xQA0EUWxI5g2bZqmTp0a3K6+vDAAHMnXi/6lQSrSXiWr/3kTrI4DAKiD1TMCSt0+/f3jzXpj1Q5t3Vfeos8NhFLA3XL/PweCV59ssacE0MpQFDsCp9Mpp9NpdQwAEeaJ/M7K8Y7T6b2ydU5MrNVxAAB1sHJGwLpCl66Zs0I7iyuD+zomxyqtnUNJcQ457DZF2w3ZbYai7TbZDhsFc/iAmMPHxtTcX0/7GoNpjn7MUGiJAT1GiH+KlvkZQnz8EP4Q7vJS3R+yo9cUnD7JSDEAjURRDACa0cbdpfpwq1s2Y5SuH/MTq+MAAOph1YyA3SWVuvrvy7W31KPO7eP0f2cfr5+c0EGJMdEhf26gJbhcrhYrih0aKUZRDEDjUBQDgGb08ufbJUk/6dVB2cmMEgOAcGXVjIC731yjvaUe9cpM0NxfDVFSHMUwoLH8XH0SQBNRFAOAZlJZUaazvrheFfZBGjlo6tEfAABoU9YVuvTO6kIZhvToZQMoiAFNxPRJAE1FUQwAmsnaj/6t0/S1ukXvUHqvh6yOAwAIM698ni9JOq9vpk7ISrQ4DRD5qqdPRjFSDEAjcZ0OAGgm9m9fkSRtyR4tu91ucRoAQDjxB0zN/2anJOmSPK5mDjSH4EgximIAGomiGAA0gwO7d6h32QpJUtYZ460NAwAIO2sLXNpb6laCM0rDeqRZHQdoFfxVNTHZmT4JoJEoigFAM/j+g+cVbfi13t5DXXqdZHUcAECYWb5lnyTp5K6pirbTBQeaQ4CF9gE0Ee/IANAMkja9IUna1/1n1gYBAISlz7fslySd0jXV4iRA68H0SQBNFRZFsb/+9a/q2rWrYmJilJeXp48//tjqSADQYLt+2KTjvesVMA31OPNqq+MAQFihn1dlTYFLknRSborFSYDWo3qhfaZPAmgsy4tic+fO1ZQpU3TnnXdq1apVOv3003Xeeedp+/btVkcDgAb5bO0WfejvrzWOE5We3dnqOAAQNujnVSl1+7TjQIUkqWdGgsVpgNbDFxwpZnEQABHL8j8fjzzyiCZMmKDrrrtOJ5xwgv70pz8pJydHTz75ZJ3t3W63XC5XjRsAWOnlrfG6xnu7lp/+rNVRACCs0M+r8v2uEklShwSnkuKiLU4DtB7BNcUYKQagkSwtink8Hq1cuVIjR46ssX/kyJFatmxZnY+ZNWuWkpKSgrecHC5pDcA6+0rdwXViRvXNtjgNAIQP+nmHfL+rVJJ0PKPEgGblPzh9MspOUQxA41haFNu7d6/8fr8yMjJq7M/IyFBhYWGdj5k2bZqKi4uDt/z8/JaICgB1WrF8iTLNveqTnaic1Dir4wBA2KCfd8jmvWWSpO7p8RYnAVqX4EL7jBQD0EhRVgeQJONHf8RM06y1r5rT6ZTT6WyJWABwVFlfPKhlMZ/r/bTfSzrd6jgAEHbo50kFB9cT65TClydAcwpOn+TqkwAaydKRYmlpabLb7bW+Ldy9e3etbxUBINxUlpeqZ/kqSVLXAWdYnAYAwgv9vEOqi2LZybEWJwFal+rpk4wUA9BYlhbFHA6H8vLytGjRohr7Fy1apNNOO82iVADQMBuWv6sYw6tCpalrr0FWxwGAsEI/75CdxZWSpKzkGIuTAK2LP1D1X0aKAWgsy6dPTp06VWPHjtWgQYM0ZMgQPfPMM9q+fbuuv/56q6MBwBFVrH1HkrQ1dagyuRY4ANRCP0/y+QMqdFUVxToyUgxoVgGT6ZMAmsbyothll12mffv26Y9//KN27typvn376r///a86d+5sdTQAqJ9pqtPepZKk6F6jLA4DAOGJfp60u8Qtf8BUtN1QervWt14aYCUW2gfQVJYXxSTphhtu0A033GB1DABosB2bvlVHs1AeM0rHn3q+1XEAIGy19X5e9SixDgkxsjGaBWhWLLQPoKmY7wMAjbBjxduSpHXOfkpITLE4DQAgXO0v9UiS2rdzWJwEaH2qF9q3M1IMQCNRFAOARni58lTd4LlJ23pNsDoKACCM7S+rKoqlxlMUA5rbwZqYqIkBaKywmD4JAJHEHzD1/jafXIFT9auTh1odBwAQxvZRFANCpnqhfYpiABqLkWIAcIzWFrjkqvSpnTNKfbMTrY4DAAhj+8vckqT2FMWAZndwoBgL7QNoNEaKAcAxKvzsZU22f6HijqMUZee7BQBA/faXeSVJKRTFgGZnMlIMQBNRFAOAY5Sx8T+6NXqFPo3vbHUUAECYY6QYEDrVa4oxUgxAYzHEAQCOgdfj1nEV30iS0vqdY3EaAEC4O7TQvtPiJEDrE1xTzOIcACIXRTEAOAabv/5YcYZb+5Wg7n1OtjoOACDM7S9noX0gVKrXFKMqBqCxKIoBwDEoWrdEkrQ1rr9sdrvFaQAA4a64vGpNsaTYaIuTAK0P0ycBNBVFMQA4BjE7V0iS3NmMEgMAHJlpmip1+yRJiTEs5Qs0p+pF9iUGigFoPIpiANBAZiCg3PLVkqSUnsMsTgMACHflHr8CBz+3t6MoBjSrw2pijBQD0Gi8Ox+B2+2W2+0ObrtcLgvTALDajvwtSjXdcitaXfqeZnUcAEATtEQ/r3qUmN1mKDaaKfdAcwocPlKMmhiARmKk2BHMmjVLSUlJwVtOTo7VkQBY6PN9TvVz/123tn9cMbFxVscBADRBS/TzSiqr1hNr54ySwad2oFkdNlCM1xeARqModgTTpk1TcXFx8Jafn291JAAWWrmtSH7Zldn9RKujAACaqCX6eSWVVSPFEpg6CTQ7RooBaA68Qx+B0+mU0+m0OgaAMLFyW5EkKa9zisVJAABN1RL9vOqiWDsnXW6guR2+phg1MQCNxTs0ADRAyYG9emz/9VoZ1UMndfqX1XEAABGgek0xRooBocVC+wAai3doAGiAbd9+or62HYq3+9QhuZ3VcQAAEaB6TbGEmGiLkwCtD9MnATQH1hQDgAYo2fKFJGln/AkWJwEARAqmTwKhc/j0SUaKAWgsimIA0ADO3V9Lkjwd+lucBAAQKVhoHwidw0eKAUBjURQDgAbILFsnSUrodrLFSQAAkaJ6TbF2FMWAZnd4SYyRYgAai6IYABxF8b5CZZu7JEk5fU6zOA0AIFKUVRfFHBTFgOZmBg79m5oYgMaiKAYAR7F9zTJJUr6RraSU9hanAQBEigqvX5IU67BbnARofczDxopREwPQWHxtBQBHsX1XkWID2dqf1Fs5VocBAESMCg9FMSBUWGgfQHNgpBgAHMV89wCd7ZmtVXn3Wx0FABBBgiPFoimKAc3t8IX2qYkBaCyKYgBwFN/8UCxJ6peTYnESAEAkqaQoBoTM4QvtG1TFADQSRTEAOIIDpRUqOFAmSeqTnWRxGgBAJKkeKRbD9Emg2VWPFKMeBqApWFMMAI6g4Jv3tdo5Qcuj8pQUO9rqOACACBJcU4yRYkDzOzhUjPXEADQFI8UA4AhKtn2leMOtZCcdLgDAsan0BiRRFANCIXCwKEYPDUBTUBQDgCOw7V4rSXKnnmBxEgBApAkutM/0SaDZmWL6JICmoygGAEeQXLJBkuToeKLFSQAAkYbpk0DoVF98kkX2ATQFRTEAqIff51OOd6skKe24k6wNAwCIKKZpHlpon6IY0OyCC+1bnANAZKMoBgD12LlltWIMr8pNpzp16211HABABHH7AsF/M30SaH4mC+0DaAYUxQCgHns2filJyo/uIrudDzQAgIarnjopSTFRdLmB5nZo+qS1OQBEtiirAwBAuNpUGq29/pNkS++jnlaHAQBElOqpkw67TVF2imJAc6teaJ+RYgCagqIYANTjvYoTtMh7q/7Qn6mTAIBjc2g9MQpiQCgEqkeKWRsDQITjXRoA6rG+sESS1CszweIkAIBIE7zyJOuJASFhmlTFADQdRTEAqEOl262Kop2STPXIoCgGADg2lQdHisVy5UkgJA6WxJg+CaBJmD4JAHXYsWGVVjh/o+3KUFq79VbHAQBEmEPTJymKAaFQPVKMmhiApmCkGADUoWj7t5Kk8uhUGfS2AKCGrVu3asKECeratatiY2PVvXt33X333fJ4PDXabd++XWPGjFF8fLzS0tJ000031WrTWlV6A5IoigGhUj17kpFiAJqCkWIAUAdv4TpJkqtdN4uTAED4WbdunQKBgJ5++mkdd9xxWr16tSZOnKiysjLNnj1bkuT3+zV69Gilp6dr6dKl2rdvn8aNGyfTNPXYY49Z/BOEnsdXVRRzRPEdNBAKLLQPoDlQFAOAOjiLvpck+dsfb3ESAAg/5557rs4999zgdrdu3bR+/Xo9+eSTwaLYwoULtXbtWuXn5ys7O1uS9PDDD2v8+PGaMWOGEhMTLcneUjz+qumTTopiQEiYqp4+SVkMQOPxLg0AdUgt3yJJis3ubXESAIgMxcXFSk1NDW5/+umn6tu3b7AgJkmjRo2S2+3WypUr6zyG2+2Wy+WqcYtUwZFidrrbQCgEql5irCkGoEl4lwaAH/F5Pcr275AkpXftb3EaAAh/mzZt0mOPPabrr78+uK+wsFAZGRk12qWkpMjhcKiwsLDO48yaNUtJSUnBW05OTkhzhxLTJ4HQCo4UszgHgMjGuzQA/Ejh1u/kMPwqN53KzD3O6jgA0GKmT58uwzCOePviiy9qPKagoEDnnnuuLrnkEl133XU17qtrWpNpmvVOd5o2bZqKi4uDt/z8/Ob74X4k1KPS3BTFgJBioX0AzYE1xQDgR7Yc8Os933nqEG/TGDtXDQPQdkyePFmXX375Edt06dIl+O+CggKNGDFCQ4YM0TPPPFOjXWZmppYvX15jX1FRkbxeb60RZNWcTqecTmfjwh+jWbNm6Z577gnZ8T1+pk8CoVRdFKMmBqApKIodgdvtltvtDm5H8roWABpudVmSHvCN1Zgu2RpjdRgAaEFpaWlKS0trUNsdO3ZoxIgRysvL05w5c2Sz1Sz+DBkyRDNmzNDOnTuVlZUlqWrxfafTqby8vGbPfqymTZumqVOnBrddLlezTtdk+iQQWtXTJxkpBqApQlYU27p1q+6991598MEHKiwsVHZ2tq6++mrdeeedcjgcwXbbt2/XpEmT9MEHHyg2NlZXXnmlZs+eXaONVUL9DSIsYJry+33yuN3yeDzyej3y2x3y22PlD5gyvZWyFW9TwOeVGfArYAYUCPhlBqSAGZA7Jl3uuKyDbSsUt+cbmWZAUkBV78sBKVB1tanKuCyVJx0nmZLNV6mUwo8lmcFvtYKRJFXGdZQr+YSqHQGv0gs+/FGLQ9+GVcRmqjj1xKptM6CMgkWHN6uhMiZdRe0HBrczdyyUEWxY8wEeZ6r2pZ1yqG3B/2QEvHWeRo8jWXs7DAluZ+z8QHZ/ZZ1tvdEJ2pNxenC7Q+FHKi8tq7NtuNi0p1SSdFx6O4uTAEB4Kigo0Jlnnqnc3FzNnj1be/bsCd6XmZkpSRo5cqR69+6tsWPH6qGHHtL+/ft16623auLEiWFx5clQj0qjKAaEVqCOvi8AHKuQFcXWrVunQCCgp59+Wscdd5xWr16tiRMnqqysLHipbr/fr9GjRys9PV1Lly7Vvn37NG7cOJmmqcceeyxU0Ros1N8gomH8Pp8O7C1QkcemPd5YFZV75N6zWRk/vCej8oDsHpeiPCWy+8pl81fK7q/U644L9L59qDy+gHp51urP/nvlML2KNvyyS4o9eJOkB72X6q/+iyRJfYwtWuC8s94sT/h+qod8VdNKuho79aHzlnrbPus7V3/0/VKSlKH9Wh4zud62L/tGaJpvoiQpQeX6NubGetu+6T9NN3urjhUlnzbG3Fxv20X+PN3oPZRxg3OqHIa/zrYf+/vqRu/vgttfO29XklFeZ9uVgR66yXOoYPyZ8y5lGkV1tv0ukKsrPPcHtz9w3COPx11n23DhK1yrJNnULT3e6igAEJYWLlyojRs3auPGjerUqVON+8yD3+LY7XYtWLBAN9xwg4YOHVrjy8+2gKIYEFrVf2tsvMQANEHIimLnnnuuzj333OB2t27dtH79ej355JPBztDChQu1du1a5efnBy/X/fDDD2v8+PGaMWNGnd8ituSUxpZc16ItM01TO4srtW3zetm/f1e2/ZsUVVao2MpdSvbtVXuzSO2NgGZ7J+hl/1mSpKG2b/Uvx5/rPebrFQO02V81Gqm94Ve8s7LeS9M4DL8cdptsNslmxOiA2U4+w66AbArIJh0cW2UaNtlik9XFESebYShTScov71irjSnJlE1mbJb6xiXKkKHEgLS+pKdMGTLrCOKN76yB8cmSpNiAU98V965x/+GP8MR31SmJVZe8t5t+fbe/b73nodxxnIYktg9ub9jbT3bVXRRzRffQkKRDbTfu66cYs6LOtnujOmtI8qG2W/f31b5A3a/FXVFZNdr+UNRHbluFpG315rba7/fdrj/FFOt7c4GkbKvjAEDYGT9+vMaPH3/Udrm5uZo/f37oA4Wh6jXFnKwpBoRE9Ugxg+tPAmiCFl1TrLi4WKmpqcHtTz/9VH379g0WxCRp1KhRcrvdWrlypUaMGFHrGExpjGym36eCjd9ox9pP5P/hS/03MFhv7O+qErdPp9u+0T8d99d+kCH5TUPJjoC6tYtX+3iHukSfoJWuUfI5EuR3Jst0JsoW007RzjhFOeN1TtoJOjulmxxRNjk1SFvdP1G0I07RDoeiHU45oqPlcDoVFRWtKbYoTamxFsF1tTMc9JuDt0Muqbdtd0kTauwZXW/bEyT9ssaes+tt26vWs35yxONeWGPP4iO2rZlwYb1t+0gaVWNP/R94+kj6SY09r1UVs+9JqvcxViop3q/2KpYkZXQ5weI0AIBIxUgxINSqqmIsKQagKVqsKLZp0yY99thjevjhh4P7CgsLa119KCUlRQ6HQ4WFhXUehymNkWfP9vXa/Nnbcmz7SN3LvlRHlanjwfu+9PlU4stRlM2Qr31PrfIPVXm7LjKSOykmtZMSOuQqNbOLktKzdXu0Q7fXOPKFtZ+sXnVf5Qr4sV1b1ypB0n4lKvWwEW4AABwLimJAaFWvt8tC+wCa4piLYtOnTz/qSK0VK1Zo0KBBwe2CggKde+65uuSSS3TddTVH4Rh1/BEzTbPO/RJTGiPF7pJKvbmqQN+sXKrHim9U+mH3lZlObXX0UGn7furffZTe63eGuqbFH+w0XmxVZECSVLxjnSRpd3QnpR6lLQAA9XEfnD7pYPokEBKHpk8CQOMdc1Fs8uTJuvzyy4/YpkuXLsF/FxQUaMSIERoyZIieeeaZGu0yMzO1fPnyGvuKiork9XprjSBD+DP9Pn27eJ5Wrl2v+woGyR8wJaXqFmeGyhxpcmWfrqS+I9XtxNPVx2n91UWBunh2b5QkueJyLU4CAIhkh0aK2S1OArRO1QvtM1AMQFMcc1EsLS1NaWlpDWq7Y8cOjRgxQnl5eZozZ45sP7o0yJAhQzRjxgzt3LlTWVlZkqoW33c6ncrLyzvWaLCIz+vV1+/8XZlf/VknBnYq14zXA4HH1T83Qz/P66R2vVaqS1KC1TGBBok6sEWS5E/uYm0QAEBEY/okEFrBkWJUxQA0QcjWFCsoKNCZZ56p3NxczZ49W3v27Anel5mZKUkaOXKkevfurbFjx+qhhx7S/v37deutt2rixIl1XnkSYcY0teaDl9Tuk1nKC+RLkorNeK3L/Kn+O/oUdcvtdJQDAOEnoWy7JMnRoYfFSQAAkYyiGBBa5sGF9m3UxAA0QciKYgsXLtTGjRu1ceNGdepUszhSPdTVbrdrwYIFuuGGGzR06FDFxsbqyiuv1OzZs0MVC81kZ/4m7XrpBg2o+EySVKx4rel6rfpcdKtOTUq2NhzQBP/2n6lcXycN6cJoVQBA43lYUwwIKTO4phhVMQCNF7Ki2Pjx4zV+/PijtsvNzdX8+fNDFQMh8OZXO/TCG+/rFXOFPLJredbVOvGyu3VaClfqQ2QrqfTq2fJhkobpm+59rY4DAIhgh0aK8YEdCIVgUYyXGIAmCFlRDK1PWaVHv3tjjd78qkBSRz2dNlk/veCnOr3XoKM+FogE2/aVS5LaxzuUGBNtcRoAQCQLFsXsLLQPhEL19EnWFAPQFBTF0CCF29ap5IUrtb58ouy2zrrxJ8fp+hHnKYopAWhFduVvVD9js5JTe1kdBQAQ4YLTJ1lTDAiJ4EL71sYAEOEoiuGotn7zsRJeu0o9VKx7Yv4l+7i3NKhLqtWxgGYXv26e3nY+oRWeUZLOsToOACCCsdA+EFrV61TbeIkBaAKKYjii71d+oMy3r1SCKrTR3k2517ygrE4UxNA62VxVV570JeZanAQAEOncPhbaB0KJhfYBNAeKYqjXlq8WK+vtK9VOFVod3U85N7ylpBQKYmi94sp+kCRFte9scRIAQKTz+PySGCkGhEr1mmI2amIAmoCiGOq0Y9O3SnnjqoMFsRPV9ab5ik9IsjoWEFLJnkJJUlyH7hYnAQBEuuo1xZwUxYCQCAQO/oOF9gE0Ae/SqMVV6dXGl25Tskq0wX6ccia/RUEMrZ7f51OHwG5JUvtOx1mcBgAQ6VhTDAitg7MnmTwJoEl4l0YNgYCpKa98pRvKJuhN29lKve51JSWlWB0LCLm9hdvkMPzymnalZ3e1Og4AIIIFAmbwynjRrCkGhERwoX2qYgCagHdp1PDYBxv1wbrd8kbFq+u1/1BaFguOo23Y98P3kqQ9tjTZo6ItTgMAiGTe4LwuKcrOJ3YgFKoLzwbTJwE0AWuKIWjDp/NV8tG7ks7VzJ/104mdkq2OBLSYbb5UzfNepZy0JI23OgwAIKL5/Gbw39E2voMGQqPqdUZJDEBTUBSDJKnUVaTEhVN0V9QeHd8xTb/Iu8DqSECL2lCZon/4R+uynByrowAAItzhRTFGigGhYQZHilmbA0Bk46srSJK+e2GKMs09KjA6aNSVN1sdB2hxPxSVS5I6pcRanAQAEOlqTJ9kwSMgpAzGigFoAopi0KYV7+nkvW9Ikvaf9QgL66NNalf4mfoam9U5iT+LAICmqR4pFmUzWO8ICBHz6E0A4Kj49NfG+X0+Ge/eLkn6JHmM+g4bY3EiwBq/2v+Q5jvvUo/AZqujAAAinNdfNVKMqZNA6FRPn2SgGICmoCjWxn35xp/Vzb9FLsXr+CsesDoOYAmf16P0wD5JUlqnHhanAQBEOl+geqQYXW0gVEwW2gfQDHinbsMqSl06bvWfJElrjr9B6RkdrQ0EWGT3D5sVZQTkNqPVPoOF9gEATeNjpBjQYpihDKApKIq1Yc99sUcT3FP1lv1snfTzW62OA1hmf8H3kqRdtnTZ7HaL0wAAIp3Xz0gxINRMFhUD0Ax4p26jisu9+utHG/Wlebx8o/8spzPG6kiAZSr2bJMkFTsyLE4CAGgNfAevPhnNSDEgZA4tKcbrDEDjRVkdANb41yfrVVLpU8+MBF04gGmTaNt8RfmSpIrYLIuTAABaitvtltvtDm67XK5mO3ZwTTGKYkDIMX0SQFMwUqwNqnDt16WfjNbvo/6pG0/Plt3GOwnaNlvpTkmSPyHb4iQAgJYya9YsJSUlBW85Oc23pqTv4PTJaKZPAiFjMn8SQDPgnboNWjP/MaXpgEZEr9a5A7pYHQew3PtRZ+pe71Uq6XSm1VEAAC1k2rRpKi4uDt7y8/Ob7dgstA+0HEaKAWgKpk+2MT6vR502/FOStKvPBHWL4n8BYIn7OK3zZ+j0LidbHQUA0EKcTqecTmdIju0NsNA+0FJYUwxAU1AROYJQrjVhlW/ff0kDtUdFStTA8ydaHQcICwUHKiRJ2cmxFicBALQGjBQDQo/ZkwCaA19fHUEo15qwStyXz0iS1nb8hWLi2lmcBrBeWVmphng+VT9js7ISQzNiAADQtnj91SPFKIoBoWIevP4k0ycBNAVFsSMI5VoTVvhh3Rfq6Vkjj2lXl3NvsjoOEBb25m/Q045H9S/HTCXEOqyOAwBoBXyB6pFidLUBAAhnTJ88glCuNWGFHR/+TZ0kfRM/RINyulodBwgLrl1bJUn77WlKtDYKAKCVCF59kumTQMgwfRJAc6Ao1kZ4fAHdu3uYzvG5Nezki6yOA4SNir3bJUkuR4bFSQAArYW3ek0xFtoHQqa6KGYwfxJAE1AUayMWb9ij1RWp2pVwtSYPP8vqOEDY8B/4QZJUGZdpcRIAQGvhCzBSDGgpvMoANAVfX7URb3y1Q5L00/7ZsrPoKxBkL6l6bfgTOlqcBADQWlQXxRgpBoQOsycBNAdGirUBpfsLdf663ylgO0U/GzDU6jhAWImp3CVJsid3sjgJAKC18FVPn2SkGBAypsnVJwE0HUWxNmDdRy9rtO1THR+zS8d1vMfqOEBYSfJUFcXi0nItTgIAaC2qF9qPYnQ+EHK8ygA0BWO62wDn+rckSXtzz2MhSuAwpmnqId/lutd7tRJyT7Q6DgBEJLfbrQEDBsgwDH311Vc17tu+fbvGjBmj+Ph4paWl6aabbpLH47EmaAvyBqpHitHVBkKlevokn28ANAUjxVq54r07dULlV5Ih5Z5+pdVxgLDiqvRpvuckSdKtWYwUA4DGuO2225Sdna2vv/66xn6/36/Ro0crPT1dS5cu1b59+zRu3DiZpqnHHnvMorQto3qkGAvtAyHEomIAmgFFsVZu08dzdZIR0EZ7Nx3Xva/VcYCwsstVKUlKjotWrMNucRoAiDzvvPOOFi5cqHnz5umdd96pcd/ChQu1du1a5efnKzs7W5L08MMPa/z48ZoxY4YSExNrHc/tdsvtdge3XS5XaH+AEAmuKcZC+0DImAerYpSeATQF79StnHPDfEnSzo7nWpwECD8Hdm7WSNsKDY4rtDoKAEScXbt2aeLEifrnP/+puLi4Wvd/+umn6tu3b7AgJkmjRo2S2+3WypUr6zzmrFmzlJSUFLzl5OSELH8oeauvPslIMSDkmD0JoCkoirVilaUH1KP8S0lSxuBLLE4DhB/b1iV6xvGoJvmeszoKAEQU0zQ1fvx4XX/99Ro0aFCdbQoLC5WRkVFjX0pKihwOhwoL6/4yYtq0aSouLg7e8vPzmz17S6geKRbNmmJAyJhMnwTQDHinbsW+XL1WG8wcbTey1KP3QKvjAGHHV7xTkuR2plucBADCw/Tp02UYxhFvX3zxhR577DG5XC5NmzbtiMerawFs0zTrXRjb6XQqMTGxxi0Sebn6JBByh2pivM4ANB5rirVib/7QTnM9MzXx1EzdybhioBajdJckyRefcZSWANA2TJ48WZdffvkR23Tp0kX33XefPvvsMzmdzhr3DRo0SFdddZWef/55ZWZmavny5TXuLyoqktfrrTWCrLXxcfVJoMXwMQdAU1AUa6VM09SS7/dIkob15qp6QF0c5VVFMVtilsVJACA8pKWlKS0t7ajt/vKXv+i+++4LbhcUFGjUqFGaO3euBg8eLEkaMmSIZsyYoZ07dyorq+rv7MKFC+V0OpWXlxeaHyBM+A+uKRbNSDEgZJg+CaA5UBRrpbb8sEPFxQfkiIrT4K6pVscBwlKse68kyZGSfZSWAIDD5ebW/MKtXbt2kqTu3burU6dOkqSRI0eqd+/eGjt2rB566CHt379ft956qyZOnBix0yIbqnr6pJ2F9oGQ4eqTAJoDY7pbqf0fPaVVzl/pgdT5iom2Wx0HCEtJvqqiWFz7jhYnAYDWx263a8GCBYqJidHQoUN16aWX6qKLLtLs2bOtjhZywYX2bXS1gVBj+iSApmCkWCvV7oeP5DR8SsuMzEuZA6FmBgJqbxZJhpSYzusEAJqiS5cuMuuYy5Sbm6v58+dbkMhavoPTJ+1MnwRChumTAJoDRbFWyF1Rom6V30mGlHXSeVbHAcLSgTK37vLeoA5Gke7IZN09AEDzqV5TLIrpk0DIVNfEDCZQAmgCxnS3QhtXfiCH4dMupar78f2sjgOEpV1lXi0InKo3Yy6U0xlrdRwAQCviZ6QY0GKYPgmgKSiKtULF330oScpPzJPBWhZAnXa53JKkDglOi5MAAFqbYFGMT+tA6DB/EkAzaJGKidvt1oABA2QYhr766qsa923fvl1jxoxRfHy80tLSdNNNN8nj8bRErFYrZfdySZLZZZjFSYDwVV6wVqNsKzQgdrfVUQAArYzfZKQYEGrB6ZO8zAA0QYsUxW677TZlZ2fX2u/3+zV69GiVlZVp6dKleuWVVzRv3jzdcsstLRGrVaosc6m7Z70kKav/SIvTAOEreeu7etrxqH5eMc/qKACAVobpk0DLYU0xAE0R8oX233nnHS1cuFDz5s3TO++8U+O+hQsXau3atcrPzw8WzR5++GGNHz9eM2bMUGJiYqjjtTqr8/frv74rNcCxQ2O69rI6DhC2jNJCSZK/XYbFSQAArQ1FMSD0mD0JoDmEdKTYrl27NHHiRP3zn/9UXFxcrfs//fRT9e3bt8YoslGjRsntdmvlypV1HtPtdsvlctW44ZDPCrx61n+e3jvu96wnBhyBo3yPJMlIyLQ4CQCgtfFRFANCzqyuivEyA9AEIauamKap8ePH6/rrr9egQYPqbFNYWKiMjJqjNFJSUuRwOFRYWFjnY2bNmqWkpKTgLScnp9mzR7LlW/ZLkk7pmmpxEiC8xXmqimKOlI4WJwEAtDaBg0WxKIpiQMjxKgPQFMdcFJs+fboMwzji7YsvvtBjjz0ml8uladOmHfF4Rh0rI5qmWed+SZo2bZqKi4uDt/z8/GP9EVotn8et7G1vqbNRqJM7p1gdBwhryb69kqS4VIpiANAWhXL2QfVIMRsrgAMhc2ihfV5nABrvmNcUmzx5si6//PIjtunSpYvuu+8+ffbZZ3I6nTXuGzRokK666io9//zzyszM1PLly2vcX1RUJK/XW2sEWTWn01nrmKiyZe0KPWB7XC5nvOIzx1sdBwhbZiCgVPOAZEgJaRTFAKAtmjVrlu65556QHDtwcFpXlJ0P60CosKYYgOZwzEWxtLQ0paWlHbXdX/7yF913333B7YKCAo0aNUpz587V4MGDJUlDhgzRjBkztHPnTmVlZUmqWnzf6XQqLy/vWKO1eXvXLVUPSdtiTlA/u93qOEDYchXvV5LhkySlZnSyOA0AwArTpk3T1KlTg9sul6vZluXw+RkpBoRacKSYpSkARLqQXX0yNze3xna7du0kSd27d1enTlUfQkeOHKnevXtr7Nixeuihh7R//37deuutmjhxIleebITogi8kSWXpAy1OAoS3fZWmfue5SZnR5fp9bLzVcQAAFgjl7IPqkWIstA+EHrVnAE1h6eUJ7Xa7FixYoJiYGA0dOlSXXnqpLrroIs2ePdvKWBErs2S1JCm2+xCLkwDhbU+FTQsCp+r9dhdYHQUA0Ar5ufokEHIm8ycBNIOQjRT7sS5dutT5hys3N1fz589vqRitlmtfoTqZOyVJuf1OtzgNEN72lnokSWntWJ8QAND8gkUxhrAAIcerDEBTtFhRLBK53W653e7gdnNelai5/fDtEvWWtNXoqC7tO1gdBwhrvp2rNcr2uTIdA6yOAgBohfwstA+0GK4+CaApLJ0+Ge5mzZqlpKSk4K25Fl8NhfLNn0mSdib0szgJEP465P9XTzv+pPPL37A6CgCgFWKhfSD0mD0JoDlQFDuCadOmqbi4OHjLz8+3OlK95mqUJnqmatfxV1kdBQh7tvK9kqRAPKMqAQDNr3qh/SgbXW0gVMyD15+k9AygKZg+eQShvCpRc/u4MEqFgUG6rvepVkcBwp6jsqooZmtHUQwA0Px8B9cUoyYGtACqYgCagLfqVmCXq1KFrkrZDKlvxySr4wBhL9azX5IUnZRpcRIAQGsUCDBSDAg1pk8CaA6MFGsFtn37iW60v6aCpJMU7+RXChxNor9IkhSXQlEMAND8qkeK2amJASFTXRMzGCoGoAl4q24FAt//T7dE/0dXRr1vdRQg7JmmqeTAAUlSQlq2tWEAAK1SIFgUo6sNhBrXswDQFLxTtwLOvaslSZ50rjwJHE15abHiDLckKTm9o8VpAACtUXCkGJ/WgZBh+iSA5sBcu1Ygo2yDJCmu80kWJwHC395yv37ruUmZUaX6Q0Ky1XEAAK2Q/+CndbudohgQKlx9EkBzYKRYhKtwFSnbLJQkZfc8xeI0QPjbW2nov4FTtajdGKujAABaKT8jxYAWw8sMQFNQFItwP6xbLknaqTSldWDRcOBo9pR4JElp7ZwWJwEAtEamaR4qitn4tA6ESvX0SRbaB9AUFMUinGvLl5KkHTE9ZPA1CXBU3p1rdK7tc/Vz7LQ6CgCgFQocts4RRTEAAMIbRbEIZ+5eJ0mqTOlpcRIgMqTlv6unHH/SBeVvWB0FANAK+Q+rilEUA0KPcQEAmoKF9iPcw1HXaY97qG7pM9DqKEBEsJXtliQF4jpYnAQA0BpRFANahnlw/iRFMQBNQVEsgpmmqdW7KlRidlKX7r2sjgNEhOjKfZIkI4GiGACg+VVfeVKSoiiKASFz2EsNABqN6ZMRbGdxpUoqfYqyGeqe3s7qOEBEiPEUSZKiKIoBAELg8JFiNoawAC2A1xmAxmOkWATbtfYTzY5+SpvjB8gRdb7VcYCIEO8vliQ5E9MtTgIAaI0OL4oxUgwInepXGrVnAE1BUSyCubd+ql/Yl+hLO2OHgYZKCFQVxeKSGSkGAGh+NUaKURQDQobpkwCaA9MnI5h973pJUmXycRYnASKDGfAr0SyVJLVLybA4DQCgNaouijFKDAgt8+BYMV5pAJqCkWIRLKFkkyQpKrO3xUmAyOCq8Gqad7JSjRLd1Z6iGACg+VUvtM8oMaBlMH0SQFNQFItUpqmO3q2SpOTO/a3NAkSIogq//hs4VXEOu+6LibU6DgCgFfL7GSkGtASmTwJoDkyfjFAHdm1XgsrlM23qdFxfq+MAEaGo3CNJSolzWJwEANBaVY8UszN8BQip4EL7TKAE0ASMFItQuzatUrKkHbYsdY6LtzoOEBEq9mzTubbP5XR0sToKAKCV8gcCkiS7nQ/qQEug/gygKRgpFqEOFG6VJO2J6WJpDiCSROd/oqccf9J17hesjgIAaKX8VTUxRooBocb8SQDNgKJYhFroHKmelc/pox6/szoKEDH8ZfskSW5HisVJAKB1WLBggQYPHqzY2FilpaXp4osvrnH/9u3bNWbMGMXHxystLU033XSTPB6PRWlbhu/gSDEW2gdC69D0SQBoPKZPRqiNu0vllkPZHXOtjgJEDLO8qijmdyZbGwQAWoF58+Zp4sSJmjlzpn7yk5/INE19++23wfv9fr9Gjx6t9PR0LV26VPv27dO4ceNkmqYee+wxC5MfWYXHr2iPv0mPl1hoH2gpBqMyATQBRbEItWlPqSTpuA7tLE4CRA5bZZEkKRCbanESAIhsPp9PN998sx566CFNmDAhuL9nz57Bfy9cuFBr165Vfn6+srOzJUkPP/ywxo8frxkzZigxMbHFcx/O7XbL7XYHt10ulyTp5Bn/k80Z1+Tj2/igDoQUsycBNAemT0Ygt7tCD5XdqVlRf1PXJH6FQENFu6uKYkZce4uTAEBk+/LLL7Vjxw7ZbDYNHDhQWVlZOu+887RmzZpgm08//VR9+/YNFsQkadSoUXK73Vq5cmWdx3W73XK5XDVuoTJr1iwlJSUFbzk5Oc16/OE905v1eABqMkVVDEDTMVIsAu3atl5DbGt1orFZccnWfssKRBKn54AkKSqBohgANMXmzZslSdOnT9cjjzyiLl266OGHH9bw4cO1YcMGpaamqrCwUBkZGTUel5KSIofDocLCwjqPO2vWLN1zzz0hzy9J06ZN09SpU4PbLpdLOTk5+vzOs5o8is2QoViHvakRATQAgzIBNAXDjCJQUf53kqTCqGwZNn6FQEPF+apGHDgS+PYeAOoyffp0GYZxxNsXX3yhwMHF5O+88079/Oc/V15enubMmSPDMPTqq68Gj1fXWj+mada7BtC0adNUXFwcvOXn54fmB5XkdDqVmJhY4yZJcY6oJt8oiAGhVz190mCpfQBNwEixCFRZ+L0k6UBs8w7zB1q7x2xXKtZdqLHZfayOAgBhafLkybr88suP2KZLly4qKSmRJPXu3Tu43+l0qlu3btq+fbskKTMzU8uXL6/x2KKiInm93lojyA4/htPpbMqPAKCNYPIkgOZAUSwC2fZvlCS5k7pZnASIHKZpan7FifL4++o3aZ2sjgMAYSktLU1paWlHbZeXlyen06n169dr2LBhkiSv16utW7eqc+fOkqQhQ4ZoxowZ2rlzp7KysiRVLb7vdDqVl5cXuh8CQJvC9EkATUFRLALFlW6TJNnTulucBIgc5R6/PP6q6T4pcQ6L0wBAZEtMTNT111+vu+++Wzk5OercubMeeughSdIll1wiSRo5cqR69+6tsWPH6qGHHtL+/ft16623auLEiZZfeRJA5Ds0fRIAGo+iWARK8/wgSYrP6nmUlgCqFe3fp/Nsy1VsS1ac43yr4wBAxHvooYcUFRWlsWPHqqKiQoMHD9YHH3yglJQUSZLdbteCBQt0ww03aOjQoYqNjdWVV16p2bNnW5wcQGvA1ScBNAeKYkfgdrvldruD28XFxZIU0suDH43P41ZxhU+xhqmY1E6WZgEaq/r/W9Nsuc5Mxa4NetLxZ+1Wqgxj6tEfAAA4oujoaM2ePfuIRa7c3FzNnz+/BVM1XvV7En0roGlaup/H9EkATUFR7Ajquyx4Tk6YLHB/P4uFI7Lt27dPSUlJLfJclcV7JEllNqbsAABqq754QNj084AIF/J+XvX0SapiAJqAotgRTJs2TVOnHhpRcuDAAXXu3Fnbt29vsQ/yjeFyuZSTk6P8/PywXrODnM0vUrIWFxcrNzdXqampLfac7pK9kqTyqPB97QIArJOdna38/HwlJCQ0+UN2pLwf14f81or0/C3Vz2PyJIDmQFHsCOq7LHhSUlJEvEElJiaSsxlFSk4pcrLabLYWey7fwaKY20FRDABQm81mU6dOzXt14kh5P64P+a0V6flD3c+rnp7JODEATdFyn0gBwEJm+X5JktfZcqPTAAAAEGJUxQA0AUUxAG2CrWKfJCkQk2JxEgAAADRVC16vCUArRlHsGDidTt199911TqkMJ+RsXpGSU4qcrFbkjHIfkCQZcYwUAwCEVqS8H9eH/NYif8NU18QMhooBaALDbKlr5YaIy+VSUlKSiouLI3rOPYDQuveJv6myYK1GnH2Bzh5xltVxAIQB+hDhj98RgPrcO3+t/rF0i35zZnfdfm4vq+MACDMN7UOw0D6ANuEzfy+t8Wfr7Kx+VkcBAABAE0X20A4A4YLpkwDahAPlXklScly0xUkAAADQVKa4+iSApmOkGIA2Ia/sY+XaYpUac5rVUQAAANBMDKpiAJqAohiAVq+yokx/sT8i2aXi6GutjgMAAIAmqp4+yUL7AJoi5NMnFyxYoMGDBys2NlZpaWm6+OKLa9y/fft2jRkzRvHx8UpLS9NNN90kj8cT6lgA2hDX/t2SJJ9pU2ISV58EAAAAAIS4KDZv3jyNHTtW11xzjb7++mt98sknuvLKK4P3+/1+jR49WmVlZVq6dKleeeUVzZs3T7fccksoYx2T6dOnyzCMGrfMzEyrY9Vpx44duvrqq9W+fXvFxcVpwIABWrlypdWxaunSpUutc2oYhiZNmmR1tBp8Pp/uuusude3aVbGxserWrZv++Mc/KhAIWB2tlpKSEk2ZMkWdO3dWbGysTjvtNK1YscLqWFqyZInGjBmj7OxsGYahN954o8b9pmlq+vTpys7OVmxsrM4880ytWbOm2XOUHCyKFRsJMmwspQgAaJjG9AMXL16svLw8xcTEqFu3bnrqqadqtZk3b5569+4tp9Op3r176/XXXw+L/K+99prOOeccpaenKzExUUOGDNF7771Xo81zzz1XZz+usrLS8vwfffRRndnWrVtXo124nv/x48fXmb9Pnz7BNi15/qXGfb5o6dcA0ycBNEXIpk/6fD7dfPPNeuihhzRhwoTg/p49ewb/vXDhQq1du1b5+fnKzs6WJD388MMaP368ZsyYETaX3u7Tp4/+97//BbftdruFaepWVFSkoUOHasSIEXrnnXfUoUMHbdq0ScnJyVZHq2XFihXy+/3B7dWrV+ucc87RJZdcYmGq2h544AE99dRTev7559WnTx998cUXuuaaa5SUlKSbb77Z6ng1XHfddVq9erX++c9/Kjs7Wy+++KLOPvtsrV27Vh07drQsV1lZmfr3769rrrlGP//5z2vd/+CDD+qRRx7Rc889p+OPP1733XefzjnnHK1fv14JCQnNlqOieJckqdSWqPbNdlQAQFtwLP3ALVu26Pzzz9fEiRP14osv6pNPPtENN9yg9PT04Pvgp59+qssuu0z33nuvfvazn+n111/XpZdeqqVLl2rw4MGW5l+yZInOOecczZw5U8nJyZozZ47GjBmj5cuXa+DAgcF2iYmJWr9+fY3HxsTENHt2qXH98PXr19f4HJGenh78dzif/z//+c+6//77g9s+n0/9+/ev1UduqfPfmM8XLfkaME0W2gfQDMwQWb58uSnJfPbZZ80BAwaYmZmZ5rnnnmuuXr062Ob3v/+9eeKJJ9Z43P79+01J5gcffFDncSsrK83i4uLgLT8/35RkFhcXh+TnuPvuu83+/fuH5NjN6fbbbzeHDRtmdYxGufnmm83u3bubgUDA6ig1jB492rz22mtr7Lv44ovNq6++2qJEdSsvLzftdrs5f/78Gvv79+9v3nnnnRalqk2S+frrrwe3A4GAmZmZad5///3BfZWVlWZSUpL51FNPNetzf7HgH6Z5d6K59r4hzXpcAJGtuLg4pH0INJ3Vv6Nj7QfedtttZq9evWrs+/Wvf22eeuqpwe1LL73UPPfcc2u0GTVqlHn55Zc3KWtdmqMf27t3b/Oee+4Jbs+ZM8dMSkpqWrAGOtb8H374oSnJLCoqqrdNJJ3/119/3TQMw9y6dWtwX0ue/8Z8vmjJ18Dv3/jW7Hz7fPPh99Yd0+MAtA0N7UOEbB7R5s2bJVUNG77rrrs0f/58paSkaPjw4dq/f78kqbCwUBkZGTUel5KSIofDocLCwjqPO2vWLCUlJQVvOTk5ofoRgr7//ntlZ2era9euuvzyy4M/Wzh56623NGjQIF1yySXq0KGDBg4cqL/97W9Wxzoqj8ejF198Uddee62MMBv7PGzYML3//vvasGGDJOnrr7/W0qVLdf7551ucrCafzye/31/rG8LY2FgtXbrUolRHt2XLFhUWFmrkyJHBfU6nU8OHD9eyZcua9bm8pfskSZXRyc16XABA63cs/cBPP/20xvuaJI0aNUpffPGFvF7vEds093tftab0YwOBgEpKSpSaWnM9ztLSUnXu3FmdOnXSBRdcoFWrVjV37KDG5B84cKCysrJ01lln6cMPP6xxXySd/3/84x86++yz1blz5xr7W+r8N+bzhSWvgTD7DAEgshxzUayuufE/vn3xxRfBdZfuvPNO/fznP1deXp7mzJkjwzD06quvBo9XVyHENM16CyTTpk1TcXFx8Jafn3+sP8IxGTx4sF544QW99957+tvf/qbCwkKddtpp2rdvX0if91ht3rxZTz75pHr06KH33ntP119/vW666Sa98MILVkc7ojfeeEMHDhzQ+PHjrY5Sy+23364rrrhCvXr1UnR0tAYOHKgpU6boiiuusDpaDQkJCRoyZIjuvfdeFRQUyO/368UXX9Ty5cu1c+dOq+PVq7rw/ePCeEZGRr1F8cYyy/ZKkrzO5GY9LgCgdTvWfmBdX/hmZGTI5/Np7969R2zT3O99jcn/Yw8//LDKysp06aWXBvf16tVLzz33nN566y29/PLLiomJ0dChQ/X9999bnj8rK0vPPPOM5s2bp9dee009e/bUWWedpSVLlgTbRMr537lzp9555x1dd911Nfa35PlvzOeLlnwNHLr6JAA03jGvKTZ58mRdfvnlR2zTpUsXlZSUSJJ69+4d3O90OtWtWzdt375dkpSZmanly5fXeGxRUZG8Xm+tP5SHH8PpdB5r7EY777zzgv/u16+fhgwZou7du+v555/X1KlTWyzH0QQCAQ0aNEgzZ86UVPUN2Zo1a/Tkk0/ql7/8pcXp6vePf/xD5513XnBNuXAyd+5cvfjii3rppZfUp08fffXVV5oyZYqys7M1btw4q+PV8M9//lPXXnutOnbsKLvdrpNOOklXXnmlvvzyS6ujHdWPC+BHKoo31tdxQ/S216t+GSfplGY9MgCgNWtMP7Cu97Uf72+J9z6paf3Yl19+WdOnT9ebb76pDh06BPefeuqpOvXUU4PbQ4cO1UknnaTHHntMf/nLXyzN37NnzxrrFw8ZMkT5+fmaPXu2zjjjjOD+SDj/zz33nJKTk3XRRRfV2N+S57+xny9a6jVgyjym9gBQl2MuiqWlpSktLe2o7fLy8uR0OrV+/XoNGzZMkuT1erV169bgEOAhQ4ZoxowZ2rlzp7KysiRVLb7vdDqVl5d3rNFaRHx8vPr16xeSb2OaIisrq0YBUpJOOOEEzZs3z6JER7dt2zb973//02uvvWZ1lDr99re/1R133BEsAvfr10/btm3TrFmzwq4o1r17dy1evFhlZWVyuVzKysrSZZddpq5du1odrV7VV18qLCwMvv4laffu3fUWxRtrvbrodX+0pmX1atbjAgDalqP1AzMzM2uNdtm9e7eioqLUvn37I7Zp7ve+ujS0Hzt37lxNmDBBr776qs4+++wjtrXZbDr55JNbpG/cmH74qaeeqhdffDG4HQnn3zRNPfvssxo7dqwcDscR24by/Dfm84UVrwFmTwJoipCtKZaYmKjrr79ed999txYuXKj169frN7/5jSQFr6AycuRI9e7dW2PHjtWqVav0/vvv69Zbb9XEiRPD5sqTP+Z2u/Xdd9/V+BAfDoYOHVrrKjQbNmyotQZBOJkzZ446dOig0aNHWx2lTuXl5bLZar5E7HZ7cGpwOIqPj1dWVpaKior03nvv6cILL7Q6Ur26du2qzMxMLVq0KLjP4/Fo8eLFOu2005r1uYrKPZKklPgjdywBADiSo/UDhwwZUuN9Tar6wnfQoEGKjo4+Ypvmfu+rS0P6sS+//LLGjx+vl156qUF9NNM09dVXX7VI37gx/fBVq1bVaB/u51+SFi9erI0bN2rChAlHPWYoz39jPl+05Gvg0PRJqmIAmiCUq/17PB7zlltuMTt06GAmJCSYZ599do2rT5qmaW7bts0cPXq0GRsba6amppqTJ082KysrG/wcob4q0S233GJ+9NFH5ubNm83PPvvMvOCCC8yEhIQaV4EJB59//rkZFRVlzpgxw/z+++/Nf/3rX2ZcXJz54osvWh2tTn6/38zNzTVvv/12q6PUa9y4cWbHjh3N+fPnm1u2bDFfe+01My0tzbztttusjlbLu+++a77zzjvm5s2bzYULF5r9+/c3TznlFNPj8Viaq6SkxFy1apW5atUqU5L5yCOPmKtWrTK3bdtmmqZp3n///WZSUpL52muvmd9++615xRVXmFlZWabL5WrWHHc9/Jh5+bQHzA9Wfd+sxwUQ2ay+siGOzurf0dH6gXfccYc5duzYYPvNmzebcXFx5v/93/+Za9euNf/xj3+Y0dHR5n/+859gm08++cS02+3m/fffb3733Xfm/fffb0ZFRZmfffaZ5flfeuklMyoqynziiSfMnTt3Bm8HDhwItpk+fbr57rvvmps2bTJXrVplXnPNNWZUVJS5fPlyy/M/+uij5uuvv25u2LDBXL16tXnHHXeYksx58+YF24Tz+a929dVXm4MHD67zmC15/hvy+cLK18C0174xO98+3/zTog1N/2EBtDoN7UOEtCjWEkLdWbrsssvMrKwsMzo62szOzjYvvvhic82aNSF5rqZ6++23zb59+5pOp9Ps1auX+cwzz1gdqV7vvfeeKclcv3691VHq5XK5zJtvvtnMzc01Y2JizG7dupl33nmn6Xa7rY5Wy9y5c81u3bqZDofDzMzMNCdNmlSjA2uV6kuj//g2btw40zRNMxAImHfffbeZmZlpOp1O84wzzjC//fbbZs+RP/1407w70Vy7fGGzHxtA5LK64IKjs/p3dLR+4Lhx48zhw4fXeMxHH31kDhw40HQ4HGaXLl3MJ598stZxX331VbNnz55mdHS02atXrxpFGyvzDx8+/Ijv26ZpmlOmTDFzc3NNh8NhpqenmyNHjjSXLVsWFvkfeOABs3v37mZMTIyZkpJiDhs2zFywYEGt44br+TdN0zxw4IAZGxtbbz++Jc+/aR7984WVr4E75lUVxf78P4piAGpraB/CME0zolcodLlcSkpKUnFxcdhOuQRgLdfdWUo0yrX9ysXKPX6A1XEAhAn6EOGP3xGA+kx77Vu9/Pl23XLO8brxrB5WxwEQZhrahwjZmmIAEA68Xo8SjXJJUkJK6BfRBQAAQEuI6LEdAMIERTEArVrx/t2SpIBpKDEl3eI0AAAAaA7BhfZZZx9AE1AUA9Cqle7fJUlyGfGyR0VZnAYAAADNyaAqBqAJKIoBaNXKD1SNFCsxWIsGAACgtYjslbEBhAuKYgBatUrXXklSuZ2iGAAAQGthsqYYgGbAXCIArdoPzu76j3eCctKz1NPqMAAAAGhWzJ4E0BSMFAPQqv2gDnrJf5Y2po+0OgoAAACaSXChfVEVA9B4FMUAtGpFZR5JUmp8tMVJAAAA0FyYPAmgOTB9EkCr1m7fNxpi266sqDSrowAAAKCZMX0SQFNQFAPQqg3fOUc3Oz7T5yVRkgZbHQcAAADN4ND0SQBoPKZPAmjVYr1FkqToxA4WJwEAAEBz4eqTAJoDRTEArVo7/wFJUmwSRTEAAIDWhumTAJqCohiAVi0p4JIkxadmWJwEAAAAzYarTwJoBhTFALRa7spytTMqJElJqVkWpwEAAEBzYfIkgOZAUQxAq3Vg705Jks+0KSGlvcVpAAAA0NyYPgmgKSiKAWi1SvbvkiQVG4kybHaL0wBA67JhwwZdeOGFSktLU2JiooYOHaoPP/ywRpvt27drzJgxio+PV1pamm666SZ5PB6LEgNoTUyTsWIAmo6iGIBWqyCQotu8EzU39nKrowBAqzN69Gj5fD598MEHWrlypQYMGKALLrhAhYWFkiS/36/Ro0errKxMS5cu1SuvvKJ58+bplltusTg5gNaAkhiA5hBldQAAkakh384drcnRjtCg5zjCfRtLY/Rv/widk8Ui+wDQnPbu3auNGzfq2Wef1YknnihJuv/++/XXv/5Va9asUWZmphYuXKi1a9cqPz9f2dnZkqSHH35Y48eP14wZM5SYmGjljwCgHpHQx5OkQPVC+8yfBNAEFMWO4icPf6S/lkxRuvbXef9WddSv7H8Mbj/r/51yVFhn251K11j7A8Htp/zT1UPbVdef/P1K0i9sj0oH7/1z4H6dqA11HrdCTp1nPBncfsh8WIP1bZ1tTRk6Q88Gt2focQ3XyjrbStIIPS2PHJIp/V7P6Fwtq7ftuXpcLrWTJN2m5/QzfVhv24vM2SpUmiTpZuNlXan36m17iTlT25Qt05SuN+bpOuOtetteHbhb36mrJOkaY74mG6/W23aif5pWqpck6QpjoW6z/avetjcEbtEys6rT/zMt1nT7P+ptO9U/Wf8zT5YknWt8pofsf6237e/8v9JbgWGSpDONL/XXqEO/8x/7o3+8XgmcJUkarNV6Lvr+eo/7gP8KPes/X5I0wNiof0ffU2/bP/su1uP+n0mSehrbtcDxu3rbPu2/QA/5qkZd5Ri79JFjar1tX/CP1D2+cZKkdB3QcuekWm2quzCv+ofrdt+vJEnxqtCamAn1Hvdt/6m60XvTwccHtCXm6nrb5voHSvqtclLi6m0DADh27du31wknnKAXXnhBJ510kpxOp55++mllZGQoLy9PkvTpp5+qb9++wYKYJI0aNUput1srV67UiBEjah3X7XbL7XYHt10uV0h/jkueWqYte8vqvb+pH/yrjnHkVkcvHjTt+A15jqM1aIkCx9HPdegLNUc/D5FRLGprswopiQFoCopiR7G/zKME06X2RnGd9+8JJGpf5aG1Mdo5SpRqq7sDVxpwav9hbWMdpUqpp63PtKmo3BvcjnGUKcVWUmfbaNOr4spDbZ3R5Uqy193BC5iGXG5fcDsqukKJ9bSVpNJKn9wHZ9naoyuVaC+vt215pVelqjq2PcqthKiKettWuP0qk1+SZER51O4IbT0en8pN/8HAXsVHVdbb1uvzq9IMVG3YfYqPdtfb1uf3y32wrWn3K85ef1vT75cnUNU2YPcr1qh/PRQzEJC3+qsrm18xUd562yrgl+9gW9MWkMPw1d/WDMgfbCs5DH+9TQ3TPKxDZCr6CG1tP+paRRmBBre1G/X3uowftbUdQ9vm5Iiy6dy+mSE7PgC0RYZhaNGiRbrwwguVkJAgm82mjIwMvfvuu0pOTpYkFRYWKiOj5kjdlJQUORyO4BTLH5s1a5buuaf+L3KaW1G5V3tLWeMMiFQx0Tb1z0m2OgaACGaYEb5CocvlUlJSkoqLi0MyDP/7XSWK2r9RMusuVpg2h3wp3YLb0Qc2ywjULoIYkkxbtLzJh7Ut3irD7znUoMYD7PKmdA9uRrnyZfjqKdoYhrwpx1X/U1ElO2T46i4yGVKwrSTZS3fK5q1ZFDt8CLI3uZtkHCyKle2S4SmtM64k+ZK6SAcXM7dX7JXNU3cRT5J8CZ1k2B2SJFvFviO29SdkS9VtK4tkuOv/1tgfnylFOasyuotlqyyu94o0/vgOUlSMDMOQ4S6RrbKo3uMG4tOlqNiq43pKa7U9/DkCsakyo+Or9nvLZFRUtTXqOGtmTIpMR1Vb+Spkr6x7RKIkmc5kKdi2UraKetoakulMlOlod/AH9chWvu9HTQ5lMR3tZDoTDrb1Vh23vq/couOCbY2AT0bFvnoaSoqOl6qPG/DX2bb6acyoGMl58PVrBmQclvfHvz8zynlYW7P+8yDJtDvkbJesmGgW2QdQW6j7EJFo+vTpRy1KrVixQnl5ebrooovk9Xp15513KjY2Vn//+9/11ltvacWKFcrKytKvfvUrbdu2Te+9V3M0uMPh0AsvvKDLL6+93mNdI8VycnJC9jvavKdUXv+Ru8INmZnVkJEqRz/O0Y9ytGM0LEcDnqeJOaqOceRGzTXj7ajnpBl+3gY9Twv9/hrSqDnO/dH/HwiP8+qMttHPA1CnhvbzKIoBAIA2iT5EbXv37tXevXuP2KZLly765JNPNHLkSBUVFdU4dz169NCECRN0xx136A9/+IPefPNNff3118H7i4qKlJqaqg8++KDO6ZM/xu8IAAA0RkP7EEyfBAAAgCQpLS1NaWlpR21XXl61nILNVvNC5jabTYGDyw0MGTJEM2bM0M6dO5WVlSVJWrhwoZxOZ3DdMQAAACvZjt4EAAAAOGTIkCFKSUnRuHHj9PXXX2vDhg367W9/qy1btmj06NGSpJEjR6p3794aO3asVq1apffff1+33nqrJk6cyKgvAAAQFiiKAQAA4JikpaXp3XffVWlpqX7yk59o0KBBWrp0qd588031799fkmS327VgwQLFxMRo6NChuvTSS3XRRRdp9uzZFqcHAACowvRJAAAAHLNBgwbVWkT/x3JzczV//vwWSgQAAHBsGCkGAAAAAACANoeiGAAAAAAAANocimIAAAAAAABocyiKAQAAAAAAoM2J+IX2TdOUJLlcLouTAACASFLdd6juSyD80M8DAACN0dB+XsQXxUpKSiRJOTk5FicBAACRqKSkRElJSVbHQB3o5wEAgKY4Wj/PMCP869FAIKCCggIlJCTIMIxmP77L5VJOTo7y8/OVmJjY7MfHkXH+rcX5txbn31qcf2u1xPk3TVMlJSXKzs6WzcaKEuGIfl7rxvm3FuffWpx/6/E7sFaoz39D+3kRP1LMZrOpU6dOIX+exMREXigW4vxbi/NvLc6/tTj/1gr1+WeEWHijn9c2cP6txfm3FuffevwOrBXK89+Qfh5fiwIAAAAAAKDNoSgGAAAAAACANoei2FE4nU7dfffdcjqdVkdpkzj/1uL8W4vzby3Ov7U4/2gJ/H9mLc6/tTj/1uL8W4/fgbXC5fxH/EL7AAAAAAAAwLFipBgAAAAAAADaHIpiAAAAAAAAaHMoigEAAAAAAKDNoSgGAAAAAACANoeiGAAAAAAAANocimJH8de//lVdu3ZVTEyM8vLy9PHHH1sdqU2YNWuWTj75ZCUkJKhDhw666KKLtH79eqtjtVmzZs2SYRiaMmWK1VHajB07dujqq69W+/btFRcXpwEDBmjlypVWx2oTfD6f7rrrLnXt2lWxsbHq1q2b/vjHPyoQCFgdrVVasmSJxowZo+zsbBmGoTfeeKPG/aZpavr06crOzlZsbKzOPPNMrVmzxpqwaHXo51mDfl54oZ/X8ujnWYd+XsuKhH4eRbEjmDt3rqZMmaI777xTq1at0umnn67zzjtP27dvtzpaq7d48WJNmjRJn332mRYtWiSfz6eRI0eqrKzM6mhtzooVK/TMM8/oxBNPtDpKm1FUVKShQ4cqOjpa77zzjtauXauHH35YycnJVkdrEx544AE99dRTevzxx/Xdd9/pwQcf1EMPPaTHHnvM6mitUllZmfr376/HH3+8zvsffPBBPfLII3r88ce1YsUKZWZm6pxzzlFJSUkLJ0VrQz/POvTzwgf9vJZHP89a9PNaVkT080zU65RTTjGvv/76Gvt69epl3nHHHRYlart2795tSjIXL15sdZQ2paSkxOzRo4e5aNEic/jw4ebNN99sdaQ24fbbbzeHDRtmdYw2a/To0ea1115bY9/FF19sXn311RYlajskma+//npwOxAImJmZmeb9998f3FdZWWkmJSWZTz31lAUJ0ZrQzwsf9POsQT/PGvTzrEU/zzrh2s9jpFg9PB6PVq5cqZEjR9bYP3LkSC1btsyiVG1XcXGxJCk1NdXiJG3LpEmTNHr0aJ199tlWR2lT3nrrLQ0aNEiXXHKJOnTooIEDB+pvf/ub1bHajGHDhun999/Xhg0bJElff/21li5dqvPPP9/iZG3Pli1bVFhYWOO92Ol0avjw4bwXo0no54UX+nnWoJ9nDfp51qKfFz7CpZ8X1WLPFGH27t0rv9+vjIyMGvszMjJUWFhoUaq2yTRNTZ06VcOGDVPfvn2tjtNmvPLKK/ryyy+1YsUKq6O0OZs3b9aTTz6pqVOn6ne/+50+//xz3XTTTXI6nfrlL39pdbxW7/bbb1dxcbF69eolu90uv9+vGTNm6IorrrA6WptT/X5b13vxtm3brIiEVoJ+Xvign2cN+nnWoZ9nLfp54SNc+nkUxY7CMIwa26Zp1tqH0Jo8ebK++eYbLV261OoobUZ+fr5uvvlmLVy4UDExMVbHaXMCgYAGDRqkmTNnSpIGDhyoNWvW6Mknn6Sz1ALmzp2rF198US+99JL69Omjr776SlOmTFF2drbGjRtndbw2ifdihAr/b1mPfl7Lo59nLfp51qKfF36sfi+mKFaPtLQ02e32Wt8W7t69u1YlE6Fz44036q233tKSJUvUqVMnq+O0GStXrtTu3buVl5cX3Of3+7VkyRI9/vjjcrvdstvtFiZs3bKystS7d+8a+0444QTNmzfPokRty29/+1vdcccduvzyyyVJ/fr107Zt2zRr1iw6Sy0sMzNTUtU3iVlZWcH9vBejqejnhQf6edagn2ct+nnWop8XPsKln8eaYvVwOBzKy8vTokWLauxftGiRTjvtNItStR2maWry5Ml67bXX9MEHH6hr165WR2pTzjrrLH377bf66quvgrdBgwbpqquu0ldffUVHKcSGDh1a69L0GzZsUOfOnS1K1LaUl5fLZqv59mi327lUtwW6du2qzMzMGu/FHo9Hixcv5r0YTUI/z1r086xFP89a9POsRT8vfIRLP4+RYkcwdepUjR07VoMGDdKQIUP0zDPPaPv27br++uutjtbqTZo0SS+99JLefPNNJSQkBL/JTUpKUmxsrMXpWr+EhIRa63rEx8erffv2rPfRAv7v//5Pp512mmbOnKlLL71Un3/+uZ555hk988wzVkdrE8aMGaMZM2YoNzdXffr00apVq/TII4/o2muvtTpaq1RaWqqNGzcGt7ds2aKvvvpKqampys3N1ZQpUzRz5kz16NFDPXr00MyZMxUXF6crr7zSwtRoDejnWYd+nrXo51mLfp616Oe1rIjo57XYdS4j1BNPPGF27tzZdDgc5kknncSloluIpDpvc+bMsTpam8WlulvW22+/bfbt29d0Op1mr169zGeeecbqSG2Gy+Uyb775ZjM3N9eMiYkxu3XrZt55552m2+22Olqr9OGHH9b5937cuHGmaVZdrvvuu+82MzMzTafTaZ5xxhnmt99+a21otBr086xBPy/80M9rWfTzrEM/r2VFQj/PME3TbLkSHAAAAAAAAGA91hQDAAAAAABAm0NRDAAAAAAAAG0ORTEAAAAAAAC0ORTFAAAAAAAA0OZQFAMAAAAAAECbQ1EMAAAAAAAAbQ5FMQAAAAAAALQ5FMUAAAAAAADQ5lAUA9CqjR07VjNnzmyR5zr55JP12muvtchzAQAAtEUffPCBevXqpUAgEPLnevzxx/XTn/405M8DwDoUxQC0iDFjxujss8+u875PP/1UhmHoyy+/bNbn/Oabb7RgwQLdeOONjT7GvHnzZLfbtX379jrv79Wrl2666SZJ0u9//3vdcccdLdJJAwAACDct0d+77bbbdOedd8pma9xHWY/Ho7S0NN1333113j9r1iylpaXJ4/Fo4sSJWrFihZYuXdqUyADCGEUxAC1iwoQJ+uCDD7Rt27Za9z377LMaMGCATjrppGZ9zscff1yXXHKJEhISGn2Mn/70p2rfvr2ef/75Wvd98sknWr9+vSZMmCBJGj16tIqLi/Xee+81+vkAAAAiVaj7e8uWLdP333+vSy65pNHHcDgcuvrqq/Xcc8/JNM1a98+ZM0djx46Vw+GQ0+nUlVdeqccee6zRzwcgvFEUA9AiLrjgAnXo0EHPPfdcjf3l5eWaO3dusLD00UcfyTAMvffeexo4cKBiY2P1k5/8RLt379Y777yjE044QYmJibriiitUXl5e7/MFAgG9+uqrtYa8d+nSRffdd59++ctfql27durcubPefPNN7dmzRxdeeKHatWunfv366YsvvpAkRUdHa+zYsXV2nJ599lnl5eWpf//+kiS73a7zzz9fL7/8clNPFwAAQMQ51v7eggUL1L9/f8XExGjw4MH69ttvj3j8V155RSNHjlRMTExw3/Tp0zVgwAA9++yzys3NVbt27fSb3/xGfr9fDz74oDIzM9WhQwfNmDEj+JgJEyZo06ZNWrJkSY3jf/zxx/r++++DOaWqL0jfeOMNVVRUNPa0AAhjFMUAtIioqCj98pe/rFVcevXVV+XxeHTVVVfVaD99+nQ9/vjjWrZsmfLz83XppZfqT3/6k1566SUtWLBAixYtOuK3dt98840OHDigQYMG1brv0Ucf1dChQ7Vq1SqNHj1aY8eO1S9/+UtdffXV+vLLL3Xcccfpl7/8ZTDnhAkTtHnzZi1evDh4jLKyMv373/+u0WmSpFNOOUUff/xxo84RAABAJDvW/t5vf/tbzZ49WytWrFCHDh3005/+VF6vt97jL1mypM6+3aZNm/TOO+/o3Xff1csvv6xnn31Wo0eP1g8//KDFixfrgQce0F133aXPPvtMktSvXz+dfPLJmjNnTo3jPPvsszrllFPUt2/f4L5BgwbJ6/Xq888/b9Q5ARDeKIoBaDHXXnuttm7dqo8++ii479lnn9XFF1+slJSUGm3vu+8+DR06VAMHDtSECRO0ePFiPfnkkxo4cKBOP/10/eIXv9CHH35Y73Nt3bpVdrtdHTp0qHXf+eefr1//+tfq0aOH/vCHP6ikpEQnn3yyLrnkEh1//PG6/fbb9d1332nXrl2SpN69e2vw4ME1Ok7//ve/5ff7dcUVV9Q4dseOHbV9+3bWFQMAAG3SsfT37r77bp1zzjnq16+fnn/+ee3atUuvv/56vcfeunWrsrOza+0PBAJ69tln1bt3b40ZM0YjRozQ+vXr9ac//Uk9e/bUNddco549e9bIdO211+o///mPSktLJUmlpaV69dVXa33hGR8fr+TkZG3duvXYTwaAsEdRDECL6dWrl0477TQ9++yzkqq+1fv444917bXX1mp74oknBv+dkZGhuLg4devWrca+3bt31/tcFRUVcjqdMgzjqMeWqr4x/PG+w48/YcIE/ec//1FJSYmkQ5275OTkGseOjY1VIBCQ2+2uNxsAAEBrdSz9vSFDhgT/nZqaqp49e+q7776r99gVFRU1pk5W69KlS401ZDMyMtS7d+8ai/H/uO94xRVXKBAIaO7cuZKkuXPnyjRNXX755bWOHxsbe8RlOwBELopiAFrUhAkTNG/ePLlcLs2ZM0edO3fWWWedVatddHR08N+GYdTYrt53pNFYaWlpKi8vl8fjOeqx69t3+PEvv/xyGYahuXPnauPGjVq6dGmtbxIlaf/+/YqLi1NsbGy92QAAAFqzhvb36lLXF5rV0tLSVFRUVGt/Xf3Eo/Udk5KS9Itf/CI4E2DOnDn6xS9+ocTExFrH379/v9LT0xuUH0BkoSgGoEVdeumlstvteumll/T888/rmmuuOWLnp7EGDBggSVq7dm2zHC8hIUGXXHKJ5syZo2effVbdunXTmWeeWavd6tWrm/0qmgAAAJGkof296jW+JKmoqEgbNmxQr1696j3uwIEDm61vJ1UV7z755BPNnz9fn3zySZ1feG7atEmVlZUaOHBgsz0vgPARZXUAAG1Lu3btdNlll+l3v/udiouLNX78+JA8T3p6uk466SQtXbo0WCBrqgkTJuj000/X2rVrdeutt9bZufv44481cuTIZnk+AACASNTQ/t4f//hHtW/fXhkZGbrzzjuVlpamiy66qN7jjho1Ss8//3yz5Rw+fHjwAkvHHXeczjjjjFptPv74Y3Xr1k3du3dvtucFED4YKQagxU2YMEFFRUU6++yzlZubG7Ln+dWvfqV//etfzXa8YcOGqWfPnnK5XBo3blyt+3fs2KFly5bpmmuuabbnBAAAiEQN6e/df//9uvnmm5WXl6edO3fqrbfeksPhqPeYV199tdauXav169c3W85rr71WRUVFda55Jkkvv/yyJk6c2GzPByC8GObh18oFgFaksrJSPXv21CuvvFJjIddQ+e1vf6vi4mI988wzIX8uAACASPXRRx9pxIgRKioqqnXRoqO57bbbVFxcrKeffjo04Q6zevVqnXXWWdqwYYOSkpJC/nwAWh4jxQC0WjExMXrhhRe0d+/eFnm+Dh066N57722R5wIAAGiL7rzzTnXu3Fl+vz/kz1VQUKAXXniBghjQijFSDAAAAADQYpoyUgwAmhNFMQAAAAAAALQ5TJ8EAAAAAABAm0NRDAAAAAAAAG0ORTEAAAAAAAC0ORTFAAAAAAAA0OZQFAMAAAAAAECbQ1EMAAAAAAAAbQ5FMQAAAAAAALQ5FMUAAAAAAADQ5kRZHaCpAoGACgoKlJCQIMMwrI4DAAAihGmaKikpUXZ2tmw2vicMR/TzAABAYzS0nxfxRbGCggLl5ORYHQMAAESo/Px8derUyeoYqAP9PAAA0BRH6+dFfFEsISFBUtUPmpiYaHEaAAAQKVwul3JycoJ9CYQf+nkAAKAxGtrPi/iiWPVQ+sTERDpLAADgmDEtL3zRzwMAAE1xtH4eC2gAAAAAAACgzaEoBgAAAAAAgDaHohgAAAAAAADaHIpiAAAAAAAAaHMoigEAAAAAAKDNoSgGAAAAAACANiekRbFZs2bp5JNPVkJCgjp06KCLLrpI69evr9HGNE1Nnz5d2dnZio2N1Zlnnqk1a9aEMhYAAAAAAADauJAWxRYvXqxJkybps88+06JFi+Tz+TRy5EiVlZUF2zz44IN65JFH9Pjjj2vFihXKzMzUOeeco5KSklBGAwAAAAAAQBtmmKZpttST7dmzRx06dNDixYt1xhlnyDRNZWdna8qUKbr99tslSW63WxkZGXrggQf061//utYx3G633G53cNvlciknJ0fFxcVKTExsqR8FAFAHn9erstJiVZYVq7LMJXdZibwVJfJ7KuT3VqoosZeKnZny+AJyuLYrs/BDye+WfG6Zfq8Mv1u2gE8yA/o26UxtihugQMBU+8otGrHnRRlmQIbpl2H6JdM8+O+APo4fqeUxwxQwTWV5tmtC8V8kSYZMmZIM0wz++8PYkXo/dpRM01S6v1BTix+QoUNvhYZMVW2a+tA5Qm/GXCjTlFIC+/THkukyZMpQVZNDjzP1UfQw/ct5uSSpnVmqP5fdXu95WhZ1iv4RM06SFG169FTZlHrbrrQP0BMxE4Pbz5ZNqrftt/beejTm0P1Plf2fnHLX2Xa9rYdmxf5fcPsvZbcrUXV/IbXVlqvpsXcEt2eX/15p5r6ajQ6eip22TE2L/UNw94yKe9UpUFDncffaUnVL7Izg9t0VD6hbYGtwe55jjOKHXq+JZ3Sr8/FN5XK5lJSURB+iDkuWLNFDDz2klStXaufOnXr99dd10UUXBe83TVP33HOPnnnmGRUVFWnw4MF64okn1KdPn2Abt9utW2+9VS+//LIqKip01lln6a9//as6derU4Bz8jgAgsgT8AZVXlMldUSZPRak8lWXyVpapxJmtUlu8Kr0B2Yq2KGH3FzL9Xpl+r+T3ygxU/Vd+r75PGa6dsd3l8wfUvmS9TtrzhoyAVzIDMgMH+4IKSKappYmj9V3sQJmm1LFyo35a9NzBvlrV/YYCVf1HmVoYd4E+ixkm0zSV69miX7n+UtWumnmob/ffmPO0KGakJCnLt0O3lz5Y78+80HG23o4ZI0lK9+/S9NJ7g/cZP2r7gWO4/u38hSQpKVCkB8vuOvTkP3rMkqjT9HzMVZKkmECZ/lp+a90BTOmzqEF6ynmtJMlm+jWnfHK9eVfZT9SfYn4T3P5H+Y2KMn11tl1j76UHY24Obv+1/BbFm+V1tv3e3k33xfw2uP2n8mlKMQ/U2Tbf1lF3xd6lUX0zdfu5verN2hQN7UNEheTZ61FcXCxJSk1NlSRt2bJFhYWFGjlyZLCN0+nU8OHDtWzZsjqLYrNmzdI999zTMoEBoA3z+gPaXeLWvoIt8m5bLl/xTpll+2RUHpC9skgOb7FivC49EXWVlvr6qNTt08jAUj3meFxJ9Rzzt95f6VX/mZKkM22r9Jxjdr3Pv6AgXi/7q94vBhvf6zbnwnrbvuvqoqX+qjfUE41C9XZ+W2/bhRW9tNJXJEk6ztirns719bb90NVTa/a7JEkddUDdY7bU23Zp2W5tLC6VJKXIpc4x+fW2/aKiiza6qto65VHnmB/qbbvGm61NJYdGWB+p7RZv+xpts507FG/UXRTb6UvQ5tJDbTOcBUo3XHW2PeBz1Gib7tipHNueOtt6vKY2HzYiPNVRqBxb3UUxw+fR5r2H2iY5dtVo6y/dp/3lnjofi9AqKytT//79dc011+jnP/95rfurR/o/99xzOv7443XffffpnHPO0fr165WQkCBJmjJlit5++2298sorat++vW655RZdcMEFWrlypex2e0v/SACAY2CapkrKSnWgcLvK9heoYv8OeYsL5S/dJ1UeUJS7WG+3u0TrAh1VUunT6aULdZP374pXpdoZptr96HgTPVO1KDBIknSRban+5Phrvc89d6NdbxysVZ1j+0bXO96ot+28om56z58tSRpq26qBjk/rbft6aV997u8tSfIbu9XTua7etp4DA7Xa7zrYdr+Oc26qt+17JX30XVFV2wrjgLo5t9bb1lbaU+sPVH0J2UEl6hKzvd62UeW7g/3FBJUrN2ZHvW1XVXbW5oN9QLv8yompu+8lSevcWTX6dR2dO+Qw/HW23eZOqdE2y1mgJKPuothuT3zN/qKzUFnG/jrblnqjtLmsTHtK6u6ntqQWGylmmqYuvPBCFRUV6eOPP5YkLVu2TEOHDtWOHTuUnZ0dbPurX/1K27Zt03vvvVfrOIwUA4DmU1ZyQDs3r1bxD9/Js2ujog5sVmL5Nj1qXqH3yo+XaUo/s32sRx1P1nuMW72/1n/8wyVJP7F9qWcds+Ux7aowYlShWLltMfIaTvmMaL2ZcJlWtxsqh92mrv4tOq/4FQVsDpl2h0xbtEy7U6YtSjKitLX9UO1JOlF2m6EE924dv/c9ybBLNrsMm10y7DJsNhmGXQdS+6ksuZcMQ3J4i5W++1MZhiTZJEMyDEOSIcOQShOOU1lidxmGFOUtVfs9n0uqamMaRo3HueM7qTzpOBmGIbuvUkl7V8ioOmDV8aSDX+fZ5I3PVGVS1YgmI+BVu91f1nvOvLFpqkzqXrUR8Cth9xf1tvXHpKgi+fjgdkLh8oPPX5vPkaiKlEPftrXbtaLGKLgax3UkqCK1d3A7fs+qqm9h6xCIild5+z6Htf1KRqB2scowpIA9RuVpJwb3xe39VjZ/ZZ3HNe0OlaX1D27H7lsru+9QZ8oTn63U7O7KSY2r8/FNxSikhjEMo8ZIsYaM9C8uLlZ6err++c9/6rLLLpMkFRQUKCcnR//97381atSoOp+Lfh4AtJzKinL9sOlbHdjxvTx7Nsk4sF2vO8ZoVWmKfiiq0BWB+fpD9D/rffx1nlv0v0CepLoLXR7TrkrDKbecetT5G30ZO0Qx0XYNCKzVxWVzFbBFyTSigv81bdEK2KP1dfvzVZhwoqLthtI9+eq9b5EMW5Rkj5LNZpcMW1XfzmbTrtRTVJx4vGyGoTj3LnXcs1QybJLNJskmw2ar2jZsciX3VkViN9kMyeE9oJR9X8owbAf7dgfXljrYxypP6KqKxC6SJLu3RMl7Du/XGTqsE6jKdjmqTOwqSbL5KpS0d2XNtsH2kjsuW+6kqraG363EOo9blcMTky53sL/oU+Lemn3Lw3uDvsP7lqaphD0r6v29+RzJqkw51Ldst2uFVG9/sWbfMn73l1UzNupqGx3/o77lV0foW8apvH0ftY93qFv6j0uozSPsRopNnjxZ33zzjZYuXVrrPuNHnXvTNGvtq+Z0OuV0OkOSEQBaszK3T9/8UKxvdxyQb8MiXbDjz8o1C3RcHW2TvDtkmscr2m6oPD5X680TVOZIlzcmVYGYFBlxKbLHt5cjob1+mTVAE1M7Kd5pV3zUCHkcv5XDGSOHVGvEWM3B0SdLurTevENqbB0n6bQG/qQdJfU+aquax26onGM47PmhadvtWNqe2/C2Xc86hrY/aXjbLsOPoe2whreFZRoy0n/lypXyer012mRnZ6tv375atmxZvUUxZgQAQGjsdlXqy+0HVLL+Q3Xb8rLSyjepo79AxxmBGu2e8+To+8DJVY+xJavCdGi/LUUlUe1V7mgvnzNFik2WnEn6Wacz9bO0HkqMjVKy0VfbzSsUl5Cs+PgEOWPi5Yh2yHHwuDNrPMtQSRNVn8E1tk6QNLLuhrXkqqp/2RBZB4/dEB0kdW9g2+ocDdSzY8Pb9jiv4W2PpQ/Ype735Lrbnn0MbY+hv2ihFimK3XjjjXrrrbe0ZMmSGutIZGZmSpIKCwuVlZUV3L97925lZGS0RDQAaLU8lRXa+OWHKv7ufSUXfqrHy8/RAv8pkqQ8o1w3OKuGVe9TknZHd1RpfGf5U7rJmdFD13Q7Tb/N7qLUOIdsNkPSDRb+JADCSWFhoSTV6qtlZGRo27ZtwTYOh0MpKSm12lQ/vi7Tpk3T1KlTg9vVI8UAAA1nmqa2b16ngpXzZf/hc/2jcoTec3WWJJ1n+06XOBZXNTQkl+K1KypbJbGd5EnI0UXdhmps54HqmBKrzISRinXcq471DFgBWoOQFsVM09SNN96o119/XR999JG6du1a4/6uXbsqMzNTixYt0sCBAyVJHo9Hixcv1gMPPBDKaADQKlWWl2jtx28osOYN9Sz+RL2NiuB9Q40MfZU8XCd2StKAzFx9G9VZnfoMUfv0bLW3MDOAyHQsI/0b2oYZAQDQOJWVFfpu2XxVrH1PHfctU2dzhzofvG+xN1mLjM46PiNBWR3O0PKATXGd+imzx0lKy+qsRJvN0uyAlUJaFJs0aZJeeuklvfnmm0pISAh+M5iUlKTY2FgZhqEpU6Zo5syZ6tGjh3r06KGZM2cqLi5OV155ZSijAUCrsnpHseYv/UKT116lk6oLYUbVKLCtCXnydz5dZ+adryu7Hj6BsZ8lWQFEtoaM9M/MzJTH41FRUVGN0WK7d+/Waac1dCo0AOBIfP6Alm7cq+WfL9P1G2/QQOPQupw+06bvnb3lyhiic/qcr+sHnK6EmOiD9x7DFDiglQtpUezJJ6sWZj7zzDNr7J8zZ47Gjx8vSbrttttUUVGhG264IXhJ74ULFwavXAQAqJvf59PHn32iR76y65sfqq7ue4EjQ2lGmbZmnK3kvF/o+LwRas9V3gA0o4aM9M/Ly1N0dLQWLVqkSy+tWjtw586dWr16tR58sP7L2gMAjm534Q/6cNlnemRdsna53IqSoeucNu1Vsrakni5Hr5E6bvAFOiEp1eqoQNgL+fTJozEMQ9OnT9f06dNDGQUAWg2f16NV//27Onz9hPL8+7TV/Wc57Ika1TdTFf1eUUbv45VpoxAGoPFKS0u1cePG4PaWLVv01VdfKTU1Vbm5uUcd6Z+UlKQJEybolltuUfv27ZWamqpbb71V/fr109lnM0IBABpjy/qvtWvBTJ1U/D8NU5Kmuf+s1PgYXXBiZ+3s+qb69OmvNHuLXUsPaBV4xQBABPlu2XzF/O93OjlQtZh1sRGv358c0E9G/UTt27EOD4Dm8cUXX2jEiBHB7erF78eNG6fnnnuuQSP9H330UUVFRenSSy9VRUWFzjrrLD333HOyM3oVAI7JlvVfa/f8ezXI9T91NUzJkMqj2uup8zrqzEED5IhiTTCgsQyzIcO5wpjL5VJSUpKKi4uVmJhodRwACIk9BVv1w8s3a2DJR5KkA2qndV2vUZ+LpiqBofFAo9CHCH/8jgC0ZUX792rtS9M0eM9/FGUEJEnfxp2quLPvUPeTRhzl0UDb1tA+BCPFACDMLfpirQbNH6mBKpHfNLQi/SL1uvwBnZqWYXU0AAAANLNAwNTLK7bro3f/o7+Z/5YM6Zu4U5V47l3qd+LpVscDWhWKYgAQpsrcPk1/a41eXfmD/hA1VGc4v5cufEKnnjjE6mgAAAAIgYKiMt3y6rf6dPM+Sb3076Sf68TTf6oTh11kdTSgVaIoBgBhqGDrek19bZ0+2x0lw5AODP2dOp/VU9GOGKujAQAAIAQ+f+9fSvt0hjZXTlNsdLpuO7enLj71PEXZWTMMCBWKYgAQZtZ9vkgZ/71WtwYydWP8H/XoVafo1G7trY4FAACAEPB4vPr8b5M0bM9cSdLdyf/VCdf9XV3T4i1OBrR+FMUAIIx889E89fjwesUaHiVHp+v1a/sosyMFMQAAgNZo/7692vLMFRrm/lyS9EXWFTpn/KOKdsZanAxoGyiKAUCY+PK9f6rvspvlMPz6OuZk9bjxNcXFc7U1AACA1qhg2wZVPvdz5ZnbVWE6tHHobA0aOc7qWECbQlEMAMLAN4tfCxbEVrY7U/1unCuHk/XDAAAAWqMt369W7L8uVDft1V6lqOKSF9Wv7zCrYwFtDiv2AYDF1n2+SMd9cH2wIDZgyjwKYgAAAK3UukKXxr+0XvsD8dpu66jAde8rh4IYYAmKYgBgoe37ynXfOxtUolh9E3Oy+t04V/YoBvECAAC0Rpv3lOrqv3+ubRUxmpU2S4nXL1SHTt2tjgW0WRTFAMAipW6frnthhZZWdNHtqX9RjxtfZ4QYAABAK7WrYLueffoR7S11q3dWoh6/bqSSO3SyOhbQpjEcAQAsYAYCmvXiAm3YFaX0BKfuH3+WYuMpiAEAALRGZaUuuf5xke7zb1JK0iSNn3C3kuKirY4FtHmMFAMAC3z+7wd01/br9NOoz/XM2DxlJlEQAwAAaI38/oDW/HWsevg3qUiJuuqyK9W+ndPqWABEUQwAWtyWNcs14LuHFWt4dEVvpwbmplgdCQAAACGy7Pnf6ZTyj+Q17dp9/t+V2a2v1ZEAHERRDABakNtdIc2bKKfh1dexp+jUy++wOhIAAABC5Oslb2notqckSasH/EE9TxllcSIAh6MoBgAt6MuXpqtrYJv2K1Gdxs+RYePPMAAAQGu0a+cPyv7gJtkMUytSx2jgz6ZYHQnAj/BpDABayPbvv9ZJW/8hSdoy6Pdqn8HVhgAAAFoj0zT1338/rXQVabstR/2ue9LqSADqwNUnAaAFmIGASl6drFzDq29iBumk86+zOhIAAABC5F/Lt+uenadqVbRNv73sfMXEJVgdCUAdKIoBQAuYv2qrCss7qZN9k9Iue4Jpk8D/s3fvcVHV+R/HXzMDDBcBEeSmIGre0UQtI0uzUiu12q6uZVFmtWVm5m5pu2Xtql3MX5tttbWltdt97bZ2083ysmqpaXnLO4ICooIM1wFmzu+P0SkSEBM4wLyfj8cUc+YzZ94zinz5nO/5HhERkRYq40gJsz7dBsCZI9JI6NHR5EQiUhM1xUREGpiz0sUT/01nf+WNuAY/yJ0du5sdSUREfsHtdpOVlUVoaCgWi8XsOCLNlmEYFBYWEh8fj9UHDwIabjer/vkwIeV9Se7YmVvOTTI7kojUQk0xEZEG9s/V+9ifX0pMmJ2bL9AluEVEmqKsrCwSEhLMjiHSYmRmZtK+ve+tn7pxyb8Yc/RlLraHUzhqLVarmuwiTZmaYiIiDchxJJfOX04g2XIl44ZdQVCAzexIIiJSjdBQz3o/mZmZhIWFmZxGpPlyOBwkJCR4v6d8SUlJETFr/gzAzvZXkdouxuREInIyaoqJiDSgze/PZijrSAw6QoeUu8yOIyLS6J5//nmeeuopsrOz6dWrF8888wznn3++2bFOcPyUybCwMDXFROqBL56GvO7tvzDYyCWXSPr+9jGz44hIHfjeSd4iIo2kIO8Qvfe/BUDhwPvw89MsMRHxLe+88w6TJ0/moYceYsOGDZx//vlceumlZGRkmB1NRKReHTyQTv998wHIPusBglqpuS7SHKgpJiLSQLZ9+CShllL2WjvQ5+IbzY4jItLo5s6dy/jx47ntttvo0aMHzzzzDAkJCbzwwgtmRxMRqVf7Fj5EiKWMHf7d6XPpbWbHEZE6UlNMRKQBFBcepWfGGwAc6X8vVptmiYmIbykvL2f9+vUMHz68yvbhw4ezatWqap/jdDpxOBxVbiIiTd2+nZvod+RTANzDZ2Kxatwn0lyoKSYi0gC+X/QCYRSTaYknZcTNZscREWl0hw8fxuVyERNTdaHpmJgYcnJyqn3O7NmzCQ8P9950NUgRaQ7+tvowr7ouZV3wYLqfdbHZcUTkFKgpJiJSz1wuF4k7XgMgu0caNj9d00REfNcvF9s2DKPGBbinTZtGQUGB95aZmdkYEUVEfrVt2Q7e3VrCbNcNhI57w+w4InKK9JuaiEg9W7Yti6/Lh3Ot/0qSL7vD7DgiIqaIiorCZrOdMCssNzf3hNljx9ntdux2e2PEExGpFy8u2w3AZb3j6BanxfVFmhvNFBMRqWevrsniddcI/nP2GwS3am12HBERUwQEBNC/f3+WLFlSZfuSJUs499xzTUolIlJ/svZs5eqt99DPsoPfDelsdhwR+RU0U0xEpB7tyi1i5a7DWC0w7pwOZscRETHVlClTGDduHAMGDCA1NZWXXnqJjIwM7rzzTrOjiYictn2fPs1g6ybCwwNJbnef2XFE5FdQU0xEpB7t+HQe19ryKD5jNAltgs2OIyJiquuvv54jR47w2GOPkZ2dTXJyMp9++ikdOuiggYg0b4cPHaTPof+ABfzPu8fsOCLyK6kpJiJSTyrKnZyd/iKX+R9lY7s+ZscREWkS7rrrLu666y6zY4iI1Ktti57lfIuTvbaO9Dh3tNlxRORX0ppiIiL1ZPPX7xLFUQ7Tml4XXGd2HBERERFpABXlZXTb9yYAR8+8HYtVv1aLNFf67hURqSfWDf8EYGfcaPwDdPU0ERERkZZo85J/Ek2e50Do8FvMjiMip0FNMRGRenDkUDY9S9YBEH/BeJPTiIiIiEhDCfj+dQB2JFxLQGCQyWlE5HSoKSYiUg92fvUG/hYXu22d6dAtxew4IiIiItIAduQ4eKc4hS3uDnQeoSvpijR3TaIp9vzzz9OxY0cCAwPp378/K1asMDuSiMgpCdv1IQCHk7TQqoiIiPgmt9sgO/uA2TEa1BvfZPC6awTzuswnpv0ZZscRkdNk+tUn33nnHSZPnszzzz/PoEGD+Pvf/86ll17K1q1bSUxMNDueiMhJZec5yCszqLRY6XDBOLPjiIiIiDQowzDIydzNwT3fU3JgK5bDOwgt3ENcRQZx5QVmx2swzkoXH32fBcDYgfpdVaQlML0pNnfuXMaPH89tt90GwDPPPMMXX3zBCy+8wOzZs01OJyJycp9sOcxfyqczNNHG/AQdMRQREZGWIy8/j4xta8nN3s8S9wB25Bax62Ahi5hEX+vBqsUWcJgTs1F8v3wRw50r+DZ0CIPOiDI7jojUA1ObYuXl5axfv54HH3ywyvbhw4ezatWqap/jdDpxOp3e+w5HS/5nV0Sag0U/ZAMwNKW7yUlEREREfh3DMMg+sI+cbasoy9iA/chWYkp20Z4c2gAFRjC3O18GLABsD+iA1RpAXnASFRFdsMf2oE1Sb0KiEuHx9qa+l4YStPZvPOn/Df9rW47NqiUzRFoCU5tihw8fxuVyERMTU2V7TEwMOTk51T5n9uzZPProo40RT0TkpHIPHyYjMwMI45JesWbHEREREamTw4cOsnfrWpaVdWFj5lE2ZxXwXMUMzrNtObHWEsHB4C78PrUdHdvF0iW6FUmRl+DvZ6PDL2pb6qSF/EPZdC9ZBxaIG3yr2XFEpJ6YfvokgMViqXLfMIwTth03bdo0pkyZ4r3vcDhISEho0HwiIjXZ+/XrrLU/xuKgS4kOG2l2HBEREZETlJY52bt5DQXbV+Cfs57Yoq20N3KIAiaU/Z2jhALwvX8X2lsLORzaA3d0L8KSUmjf4yyi2sQRBfQy9V2Y68el/yTV4mKXrTNndO9rdhwRqSemNsWioqKw2WwnzArLzc09YfbYcXa7Hbvd3hjxREROKnD3Z9gsBhGxHc2OIiIiIgJAQUkFa9PzWJueR9yWl7i26A16Wpwn1B2wxHJTrwBiuibTp11rusaMwO7vR1LjR27yQnd+BEB+J502KdKSmNoUCwgIoH///ixZsoTf/OY33u1LlizhiiuuMDGZiMjJFTvy6V6yASwQO/Aqs+OIiIiIj8o9mM2+9V/g2ruCqLzvuLf0Nra4kwC4zmYjxN9JIcGkByVTHNOf0E4DSeg9iHYR0UypfdcCZO3bRc+KLWCBzkNvMjuOiNQj00+fnDJlCuPGjWPAgAGkpqby0ksvkZGRwZ133ml2NBGRWu1Y9SEplgr2W+Lo0K2f2XFERETERzgcR9n17ReU7PiK6MPfcoZrD9EWw/v4WZYfKY3qxVlJbTivXRo5kb8l5oy+9LbaTEzdfO1b8S/iLQY/BvSie3xns+OISD0yvSl2/fXXc+TIER577DGys7NJTk7m008/pUOHXy7ZKCLStFT++AUAmdEX0N5qNTmNiIiItFTl5RV8vzeb5ftKWbnrMK32r+CfAbN/KrBAhjWBnMiz8et0HhNThjEjVusu15f8AztxGxYKOutsJpGWxvSmGMBdd93FXXfdZXYMEZE6M9xuOhz9BoDQ5EtMTiMiIiItzaGDB9i9+mMsu5bQtfBbvnMNZl7lDQAE0pVMSzy5Ef3w73IBSQMuIbFtAokmZ26JsgtKuSt/LDGWS1l04Qiz44hIPWsSTTERkeYmfdtaOpJHqRFAl7OGmR1HREREmjm3y83OTas5sv5DIrOX0aViB22PnxJpgVS/nVzeK57zzohiUJco2rW+Cs0Fa3ifb/ZcFC6xQyfatm1rchoRqW9qiomI/AorcgJ4oWICZ7et5JrAELPjiIjIKXI6nTidP12Nz+FwmJhGfFWFy803e/L4bHM2/92SxaKK2+hmOfZ30QJ7bR05HDeYiL6jSD7zAp71DzA3sA9a+v1eAC5JjjM5iYg0BDXFRER+hSXp5axwDaXbgJ5mRxERkV9h9uzZPProo2bHEB/kLCvhx1WfULrpI8LzNzOu7M8YeNYm/dw+iN7BDio6D6Nj6hV0jO9ER5Pz+rLDhw7y8sHr2ODfhQ7d/mN2HBFpAGqKiYicorIKF9/szQNgSNcok9OIiMivMW3aNKZMmeK973A4SEjQyWjSMCoqKtj0v09wbniHXke/5kxLifexIcH7iO01mEuSY0ntfAl2P10hsqnY9b/3OcdSQXxAMfFtNeYTaYnUFBMROUVb1y3jRuMTNocOpHPbVmbHERGRX8Fut2O3282OIS2YYRh8l3GUHV/9i4vTn6Yf+Z4HLHCY1uyJGkrImVfyj4GX4hegv4tNkf+uzwHIibuQDiZnEZGGoaaYiMgpcv6wkIf9/8W3IUewWMaZHUdERESakIxdm1myLZfXtlnIyCuhv6WC39rzKaAVu6IuotVZY+gyYARRNs0Ia8oqnKV0K/wGLNA65Qqz44hIA7GaHUBEpLlpc2gtANaO55mcRESkYcycOZNzzz2X4OBgWrduXW1NRkYGo0ePJiQkhKioKCZNmkR5eXmVmk2bNjFkyBCCgoJo164djz32GIZhNMI7EGlcxUWFrPnweTbNPJ/Efw3C/s1zZOSVEBxgI+nMC9h0wSuETN9N/4mv023gZVjVEGvydq/9glaWUg4RwRl9B5sdR0QaiGaKiYicguLCo3Su2AkWaJ8yzOw4IiINory8nGuvvZbU1FReeeWVEx53uVyMHDmStm3bsnLlSo4cOcLNN9+MYRjMmzcP8KzRNWzYMIYOHcratWvZsWMHaWlphISEcP/99zf2WxJpEDs3/o/DK/5Bz8NfcI6lGAC3YaFzmMFfh/dlWM8YggP8gH7mBpVT5tjsOXVyd/i5tFUTU6TFUlNMROQU7PluKb0tbrJpS1xiV7PjiIg0iONXZVywYEG1jy9evJitW7eSmZlJfHw8AE8//TRpaWnMnDmTsLAw3njjDcrKyliwYAF2u53k5GR27NjB3LlzmTJlChaLpbHejki9cpRV8NHGLLp+OZ6BFWvpAmCBHEtbMjtcRadhd5DarrPZMeU0tc1dBYCt64UmJxGRhqSmmIjIKSjasQyA/eH9iDM5i4iIWVavXk1ycrK3IQYwYsQInE4n69evZ+jQoaxevZohQ4ZUWcx+xIgRTJs2jfT0dDp27HjCfp1OJ06n03vf4XA07BsROQX7dm/jlR8qeO+7LEorXEz1iyfF5semsPMJOjuNHoNGE2vVjKKWICu/hFecF3K+dRMDB44yO46INCA1xURETkHr3G89X3QYZG4QERET5eTkEBMTU2VbREQEAQEB5OTkeGuSkpKq1Bx/Tk5OTrVNsdmzZ3tnqYk0BYbbzaaVH+Na/QJnlnxDRsVUSt0pdIluReu+kynp8yT9o2LNjin1bNnOw/zLNYyt7a5lhP58RVo0LbQvIlJHZWWldCjfDUDcmRebnEZE5NTMmDEDi8VS623dunV13l91pz8ahlFl+y9rji+yX9Opk9OmTaOgoMB7y8zMrHMekfpUUuzgm3efJOMvfeiz9GZSStdgtRhcHZ3Nm7cNZPF9g7nxwn60VsOkRfrfrsMAnN+lrclJRKShaaaYiEgdbThQwq3OFxjaKoO/dexhdhwRkVMyceJExowZU2vNL2d21SQ2NpZvvvmmyrb8/HwqKiq8s8FiY2O9s8aOy83NBThhltlxdru9yumWIo0tz1HEtvceo0fmmwykEIBiI5At0aOIHz6J0V3ONDmhNDTD7SZm17skWrpybudzzI4jIg1MTTERkTr6LiOfUgKxdByCxaqJtiLSvERFRREVFVUv+0pNTWXmzJlkZ2cTF+dZYXHx4sXY7Xb69+/vrZk+fTrl5eUEBAR4a+Lj4+vcfBNpLFlHS3l5xR7e/jaD9y2f0cZaSJYlhowuN9Nr5O84O7yN2RGlkez7cS1/cr/A/QF2bPG1H0gQkeZPTTERkTrakHEUgJTE1qbmEBFpaBkZGeTl5ZGRkYHL5WLjxo0AnHHGGbRq1Yrhw4fTs2dPxo0bx1NPPUVeXh5Tp05lwoQJhIWFATB27FgeffRR0tLSmD59Ojt37mTWrFk8/PDDuvKkNBmZu7eS8cmT3HXwcgpcnlmKb7Udz296hNBneBrxfv4mJ5TGdmjj5yQBO4L60Dcw2Ow4ItLA1BQTEakDw+0mbe9UzvGLZUDMbLPjiIg0qIcffpjXXnvNez8lJQWAr776igsuuACbzcYnn3zCXXfdxaBBgwgKCmLs2LHMmTPH+5zw8HCWLFnC3XffzYABA4iIiGDKlClMmTKl0d+PyC8d2Lud/R89Sr/8z0mwuLiaVmztdAN3XXAG53e5TI1bHxaUuQKAonbnm5xERBqDmmIiInWQlb6D89jA2TYb7oRXzI4jItKgFixYwIIFC2qtSUxMZNGiRbXW9O7dm+XLl9djMpHTk7NvOxkf/ZmUI5/SzuICC2wKHMC1o66hx4BUs+OJydyVFSSVbgYgMvkik9OISGNQU0xEpA6ytyyjHZDu35muQSFmxxEREZFTkFtQzJ5/3kO/Qx8S622G9cN+8UP0HqArSovH3i1r6EwpDiOYM5IHmh1HRBqBmmIiInXgyvgWgLw2fc0NIiIiInVW7Kzk5RV7eGn5HuYaGQTYXGy298Xvwun0HjjC7HjSxBza/BWdgT3Bvenrr/XkRHyBmmIiInUQkf8DAH4dzjY5iYiIiJxMZbmTDR/N49Ht7dlcFArAR3G3077/JJLPu9zkdNJUBRxYA0BZnGaJifgKNcVERE6irLSYpIrdYIH4XoPNjiMiIiK1+P6rfxOx4hHOcu/npsohPNfmPv5wSTdG9o7TAvpSI8MwmFw6ni7l5zCl/5VmxxGRRqKmmIjISWRsW0tXi4s8wohL7GJ2HBEREanGgd2bOfTvqfQtXQ1AHqEk9Erlv9cOIcDPanI6aer2HC4mozSQg35n8UK3ZLPjiEgjUVNMROQk9h/YT7jRmoNBXWhj1aBaRESkKSkpOsqmNx8m5cAbtLNUUmHYWB97HT1+O5PU1pFmx5Nm4rt9+QD0aR+uJqqID1FTTETkJJZU9OFW5/PcO7AdfcwOIyIiIoDndLdPN+Vw8KM/cavrPbDAD4EDCP/N05zTra/Z8aSZabXuOe73yyEg+rdmRxGRRqSmmIjISWw6UABA94QYk5OIiIgIwP68Yv740Ra+3n6IUEYwIGgTFamT6Hfxb7FoVrf8Cn1yP+JSv2zWhY8yO4qINCI1xUREalFe4WJ7TiEAye3CTU4jIiLi21yVFax7ZyalO5bxtfN+Amw2brngTLpesIpAf5vZ8aSZKszLoZ07G4CkPrqokogvUVNMRKQWGVtX8z+/37HWvzftIy4zO46IiIjP2vP9CoyP72Wgy3NF6N/F7uTqsRM4I7qV2dGkmdv3/XKSgX2W9nRoqzMDRHyJmmIiIrXI37WWMyxH6WAv1GXcRURETFBeVsp3/3yQs/a/hs1iUEAI25If4Pe/uQerTadKyukr2u25YmlOWDIdTM4iIo1LTTERkVoYWRsBKGyjS3OLiIg0tr2bV8MHd3KOKx0ssLbVhSTd+CznxCaYHU1akJBDGwEw2g0wN4iINDo1xUREahFesA0A//YpJicRERHxHS63wcvLd3PBV7fT3ZJBHmGknzOTsy65yexo0sK4XS6SyraBBdp2P8/sOCLSyNQUExGpgcvlon1FOlgguouOHIqIiDSGfUeKuf/d71m3L59PLRP4U5slJN30PP1iNDtM6l9G+i4isFBi2OnQo7/ZcUSkkakpJiJSg+z0H2lvceI0/GnXqZfZcURERFo2w2D9h8/yyYZ01pVfTCu7HzeO+g0DBtyjdT2lwWx0tOI+50sMb+/i7/4BZscRkUamppiISA1yd22gPZDpl8gZfv5mxxEREWmxih15bP/HBPo7/ktvi4389ucwZexoEtoEmx1NWrgf9hdgYCUusZPZUUTEBGqKiYjUIKOgkgp3dyoienKG2WFERERaqL0/rCDgg9voZ+RQaVhZm/Q75tx0DTabzexo4gM2HygAoHe7cJOTiIgZ1BQTEanB4orefFr+MA+l9EDLroqIiNQvw+3mu3dn0XvbXAIsLrKJ4shlLzJo4DCzo4mPcFVW8Ofs29npH0/3tq+YHUdETKCmmIhIDX7MKQSgW2yoyUlERERallJnJT8+dxX9C5eBBdYFDaLT+PkkR8WYHU18yIFd39PNkkF7ay6B7eLMjiMiJrCaHUBEpCkqczo5ePgIAN3VFBMREak3mXklXPXiaj7Pi8dp+LGy64P0m7qINmqISSPL3bEWgIyATjpdV8RHaaaYiEg19m/7lk0B49li6Uzb0MvMjiMiItIi/O/HA9z97haOllSQG3wVl40ez3kpZ5kdS3xUxf4NADha9zQ5iYiYpcFmiqWnpzN+/Hg6duxIUFAQnTt35pFHHqG8vLxKXUZGBqNHjyYkJISoqCgmTZp0Qo2ISGM7mr4Rq8XAGhCsy8CLiIicJsPtYv1rDxD55gjKSwrp0z6c/0w6nzPVEBMTheVvBcDWrq+5QUTENA3WFPvxxx9xu938/e9/Z8uWLfzf//0fL774ItOnT/fWuFwuRo4cSXFxMStXruTtt99m4cKF3H///Q0VS0SkTipzPIOkwvCuJicREWlc9Xlgc9OmTQwZMoSgoCDatWvHY489hmEYjfl2pAkoKzrK5rmj6b/3RbpbM3m4007evSOV+NZBZkcTH2a4XSSW7wIg8gw1Z0V8VYOdPnnJJZdwySWXeO936tSJ7du388ILLzBnzhwAFi9ezNatW8nMzCQ+Ph6Ap59+mrS0NGbOnElYWFhDxRMRqVXQ0Z0AWKI1nV5EfMvPD2yeccYZbN68mQkTJlBcXOwdwx0/sNm2bVtWrlzJkSNHuPnmmzEMg3nz5gHgcDgYNmwYQ4cOZe3atezYsYO0tDRCQkJ0ANSH5GftoeDVq+hduRen4c+63n/i+qsnaRa2mC47fTvxllLKDT/ad00xO46ImKRR1xQrKCigTZs23vurV68mOTnZ2xADGDFiBE6nk/Xr1zN06NAT9uF0OnE6nd77DoejYUOLiE9q69wHQFhiL5OTiIg0rvo6sPnGG29QVlbGggULsNvtJCcns2PHDubOncuUKVOqbYponNey7N/yP4L+fQNJRj6HCSf70vkMOucis2OJAJCZlUWeOwmLfxC9AuxmxxERkzTa1Sd3797NvHnzuPPOO73bcnJyiImpepWZiIgIAgICyMnJqXY/s2fPJjw83HtLSEho0Nwi4ntKiwuJdR8CILZTH5PTiIiY71QPbB6vGTJkCHa7vUpNVlYW6enp1b6Oxnktx48r3ifyvSuJNPLZbelA0bgv6K2GmDQh3zo7MKp8Fq90ecHsKCJiolNuis2YMQOLxVLrbd26dVWek5WVxSWXXMK1117LbbfdVuWx6o4SGoZR45TqadOmUVBQ4L1lZmae6lsQEanVgT2bsVoMjtKKiKg4s+OIiJjq1x7YrK7m+P2aDn425jjP6XTicDiq3KR+fLTxAHd+XsRRoxXrAwbQeuJSkjr3MDuWSBU/HiwEoFucluwR8WWnfPrkxIkTGTNmTK01SUlJ3q+zsrIYOnQoqampvPTSS1XqYmNj+eabb6psy8/Pp6Ki4oRB1HF2u73KEUcRkfqWUeDiu8ohtA4NZrjWPBGRFmLGjBk8+uijtdasXbuWAQMGeO+f7oHNX9YcX2S/poOfjTnOmz179kk/Dzl1Ly7bzeOf/QhEMu+Mv/Hw2IsI1NhdmqAdWfkAdFdTTMSnnXJTLCoqiqioqDrVHjhwgKFDh9K/f3/mz5+P1Vp1YlpqaiozZ84kOzubuDjPbIzFixdjt9vp37//qUYTEakX35dG89fKO7i+cwLDzQ4jIlJPGvvAZmxs7AkzwnJzcwFqPPjZmKZNm8aUKVO89x0Oh07XPA2Gq5Lv/n47G/YnAGcz4fyOTLu0B1arDi5J01NWlM9/Cq9nd0A8baOWmx1HREzUYAvtZ2VlccEFF5CYmMicOXM4dOiQ97HY2FgAhg8fTs+ePRk3bhxPPfUUeXl5TJ06lQkTJujKkyJimt2HigDoHB1ichIRkfrT2Ac2U1NTmT59OuXl5QQEBHhr4uPjqzTfzKKzD+qPq7yUbX+7nv4Fy3jGP4B/n3cZ44bp6s3SdB3YvoHOlgqiLIW0jQg3O46ImKjBFtpfvHgxu3btYunSpbRv3564uDjv7TibzcYnn3xCYGAggwYN4rrrruPKK6/0XtlIRMQMJTm78KeSzm1bmR1FRKTRHT+wmZCQ4D2wmZOTU2XW188PbG7YsIEvv/zyhAObY8eOxW63k5aWxubNm/nggw+YNWtWjVeelOapvKSQXf93KckFy3AafqzvN5txwwaaHUukVvnp3wOQY++of49EfFyDzRRLS0sjLS3tpHWJiYksWrSooWKIiJwSd2UlzxfchZ/dRY59NWD+KT4iIo3p+IHNXbt20b59+yqPHV8T7PiBzbvuuotBgwYRFBTE2LFjqxzYDA8PZ8mSJdx9990MGDCAiIgIpkyZUuWURWneSguPkvHcKLo5N1FkBLF58Aucd9FvzI4lclKug1sBKG7d1eQkImK2BmuKiYg0RzmZu4i3VFBu+BGb0MnsOCIija4+D2z27t2b5cu1Xk9LVOrIJ/O5S+lWvg2HEcyuEa9xzrlaiVOah1YF2wHwi+llchIRMVuDnT4pItIcHU7fBMABWzv8/ANMTiMiItL0lFW4+Ogff6Zr+TYKjBAyR79FPzXEpBmJc+4FIKJTX3ODiIjpNFNMRORnSrK2AZAX1IGOJmcRERFpasoqXEx4fR0rc4fitGfT//K7Se5/ntmxROosP/cAbXDgNiy063Km2XFExGRqiomI/IwlbzcAFa3VEhMREfm5suIC7nh7Kyt25hEc4E+PtL+R3LGN2bFETknGwSOscp1NpH8F54SEmR1HREymppiIyM8EF2UAYIs6w+QkIiIiTYezxEHGs5dydUkY3/lP5B9pZ3G2GmLSDG0tbc20iskM7tiWc8wOIyKmU1NMRORnIssPANAqXlcjEhERAXCVl7J73pX0dG4hxhrCP38TQ99OkWbHEvlVducWAdC5bYjJSUSkKVBTTETkmPJKN29WDKGjJZvBHXQ1IhEREaOynB+fu4ZepespNuzsu2QBffueZXYskV8tJ+cAYHBGdCuzo4hIE6CmmIjIMfvzS3iu8kqC/G1sjWlvdhwRERFzud1se3EcvRwrcRr+bBn8Imen6iqT0rw9cuB2nrAXs8vvA6CD2XFExGRqiomIHLPvSAkAHSKDsVgsJqcRERExkWGw5dU76XX4cyoNK2sGzGXIRVeZnUrktJQV5dPWyAMLtO/Qxew4ItIEWM0OICLSVBzev4skSzad2tjNjiIiImKqxctWcEbmQtyGhaU9/8yQ0TeZHUnktGXt3gzAYcJpE9nW5DQi0hRoppiIyDEJ21/la/t7rCm9ARhodhwRERFTrNh5iN8tLqK/MY20LmVcet3dZkcSqRdHM7YAcNA/gSidFSAiqCkmIuIVVLQPAEubziYnERERMceOnALu+td3uNwG8X0v4pLr+mpJAWkxyg9uB6CoVUeTk4hIU6HTJ0VEjmlTth+AkDitMSEiIr4nL30Tlr8PJq58L2cnteGJa/pgtaohJi1HwNHdALgjNdYTEQ/NFBMRAVyVlcS4D4IFohJ7mB1HRESkUZUePYTz9WvpYmTzWPC7dBt3K3Y/m9mxROpVRKnnrICguG4mJxGRpkJNMRER4OD+3cRbXDgNf6LbdTI7joiISKMxKsvJ+Pu1dHNnc4C2xKUtICIkwOxYIvXKMAw+q+zPGe5IuiWdaXYcEWki1BQTEQGOZPxIPJBji6WDTUfGRUTEd2x+9W56l26gyAjkyOWv0Sch0exIIvXuUJGTJ51XY7XAtg5dzY4jIk2E1hQTEQFKDu4C4Kg93uQkIiIijWfHomfonfUubsPC+v5P0Kf/ILMjiTSIjCMlAMS3DtKpwSLipZliIiLAj5ZOrK68ioToXmhCvYiI+ILsTcvouO4xAJbE3caIy9PMDSTSgLKzMmjLUZLaRJodRUSaEDXFRESA9RVJfFx5DQ911iL7IiLS8hU7K7lnsYNJrp7YgltzwfjHzY4k0qDiNr3I2sC3WF4+FjjH7Dgi0kTo9EkREWB/vmdKffuIIJOTiIiINCzDMJj+wSbWHbLyB/uf6HL7P7H761i5tGwBhRkAWCM6mJxERJoS/fQTEQHaHllHB0sr2ofbzY4iIiLSoL7472I+2liJzWrhuRvPIjoywuxIIg0urHQ/AEExusq4iPxETTER8XllpcX83fUw2OFo0GVmxxEREWkwmWs+4JL/pTHbbygFFz/FgKQ2ZkcSaXCG2020KweANu27mZxGRJoSnT4pIj7v0H7PlSdLDDvhkTEmpxERMd/ll19OYmIigYGBxMXFMW7cOLKysqrUZGRkMHr0aEJCQoiKimLSpEmUl5dXqdm0aRNDhgwhKCiIdu3a8dhjj2EYRmO+FfmZ4sMZhH1+DwBtI0K5ffAZJicSaRwFh/YTjBOXYSGuQ1ez44hIE6KmmIj4vKNZuwHItcVgseqfRRGRoUOH8u6777J9+3YWLlzI7t27ueaaa7yPu1wuRo4cSXFxMStXruTtt99m4cKF3H///d4ah8PBsGHDiI+PZ+3atcybN485c+Ywd+5cM96SzzPcLg68ejPhFPKjpRP9bnseq9VidiyRRpGbsd3zf0sUgYFaP1ZEfqLTJ0XE55Xk7gWgwB5nchIRkabhvvvu837doUMHHnzwQa688koqKirw9/dn8eLFbN26lczMTOLj4wF4+umnSUtLY+bMmYSFhfHGG29QVlbGggULsNvtJCcns2PHDubOncuUKVOwWNSQaUxbF86kV8l3lBh2Kn7zEm3CQ82OJNJoCrN2AnAkoB0a7YnIz2lKhIj4PHf+PgDKQtqbnEREpOnJy8vjjTfe4Nxzz8Xf3x+A1atXk5yc7G2IAYwYMQKn08n69eu9NUOGDMFut1epycrKIj09vdrXcjqdOByOKjc5fbnbV9N1yzMA/K/LVHqfeZa5gUQa2Q53HP+ovJRdUUPNjiIiTYyaYiLi8/wLPVcjMlonmpxERKTpeOCBBwgJCSEyMpKMjAw++ugj72M5OTnExFRdgzEiIoKAgABycnJqrDl+/3jNL82ePZvw8HDvLSEhoT7fkk9yV5RT+e5t+ONiVcC5DB1z/8mfJNLCrK3oyF8qx3Ggy41mRxGRJkZNMRHxea1KPYtHB0QlmRtERKQBzZgxA4vFUutt3bp13vrf//73bNiwgcWLF2Oz2bjpppuqLJJf3emPhmFU2f7LmuPPr+nUyWnTplFQUOC9ZWZmntZ7Fnh1zX6ml/6WLUZH2t30Mn5+NrMjiTS6A/mlALSP0HpiIlKV1hQTEZ/3mnEZMZU9uCSxn9lRREQazMSJExkzZkytNUlJSd6vo6KiiIqKomvXrvTo0YOEhATWrFlDamoqsbGxfPPNN1Wem5+fT0VFhXc2WGxs7AkzwnJzcwFOmEF2nN1ur3K6pZye7TmFPPnFdsrdKQy79EZuaK9lAsQ3tTryA5GE0r61mmIiUpWaYiLi08oqXLxV3B/oz80dupsdR0SkwRxvcv0ax2d4OZ1OAFJTU5k5cybZ2dnExXmWrV68eDF2u53+/ft7a6ZPn055eTkBAQHemvj4+CrNN2kYlaUOZr3zFeWVflzYPZqxAzuYHUnEFJWlDl4p/wMEQm7wDrPjiEgTo9MnRcSnZR31TKcPDrDROtjf5DQiIub79ttvee6559i4cSP79u3jq6++YuzYsXTu3JnU1FQAhg8fTs+ePRk3bhwbNmzgyy+/ZOrUqUyYMIGwsDAAxo4di91uJy0tjc2bN/PBBx8wa9YsXXmykWz/1xSezbuTqwLX8fhVvfWZi886vN9z5cl8oxVRUdEmpxGRpkZNMRHxaYez9pFq3UJKmEO/MIiIAEFBQbz//vtcdNFFdOvWjVtvvZXk5GSWLVvmPbXRZrPxySefEBgYyKBBg7juuuu48sormTNnjnc/4eHhLFmyhP379zNgwADuuusupkyZwpQpU8x6az4jZ+Nieh14j3BLCZcP7El0WKDZkURMczR7NwC5tmisVo31RKQqnT4pIr5tz5e8FTCTHyr7A9eZnUZExHS9e/dm6dKlJ61LTExk0aJFJ93X8uXL6yua1IHhLMLyn3sA+DLkMi685BqTE4mYq/TQPgAKA2JNTiIiTZFmiomIT3MdPQCAM1ADJRERaf5+fOePxLhyyDIi6XrjM5oFLT7Ple+5im1ZSLzJSUSkKVJTTER8mq3Q0xSrDNVASUREmrcjezZwxp7XAdh05p9IiKv+Kp8ivuT4WI8wXX1VRE6kppiI+DR7SQ4AttYaKImISDNmGBx9bxL+uFgTcA4XXXGz2YlEmoTg0iwA/CMTTU4iIk2R1hQTEZ8WVp4LQGBkgslJRESkMTmdTpxOp/e+w+EwMc3p+3ZXNlsK44i27ST8qrn42XTsWwTgQy4ksrIDZ7c/0+woItIEqSkmIj4t0n0YgPCYJHODiIhIo5o9ezaPPvqo2THqRYXLzZ8W7WJ75c3sP3MSf+rey+xIIk2Cy23wj+JBVLjO5X9J+r4QkRPpEJKI+KziwqOEUQxAZLuOJqcREZHGNG3aNAoKCry3zMxMsyP9aq+tSmf7wUIigv2ZeNnZZscRaTJyC8uocBn4WS3EhNrNjiMiTVCjNMWcTid9+/bFYrGwcePGKo9lZGQwevRoQkJCiIqKYtKkSZSXlzdGLBHxcTmOCv5QMYFnjTG0CmtjdhwREWlEdrudsLCwKrfmKD/9e7r991a6WPbzwCXdiQgJMDuSSJNxMHs/Z1p20T3MqVOKRaRajXL65B/+8Afi4+P5/vvvq2x3uVyMHDmStm3bsnLlSo4cOcLNN9+MYRjMmzevMaKJiA/LKoF3XUPpGtWKSWaHEREROVWGwZGF93O+ZQMzQoNIHXC72YlEmpTKHV/ykf1hNrvPBH5jdhwRaYIavCn22WefsXjxYhYuXMhnn31W5bHFixezdetWMjMziY+PB+Dpp58mLS2NmTNnVnvErqUtiioi5skuKAMgNjzI5CQiItJUlBQdxc/qBpsdbP6eje5KqCyr+Um2AM8NwO3CUukkqFXDzzw7sPYjzihci9PwI3jkTKxWS4O/pkhzUpnvOS26JCjO5CQi0lQ1aFPs4MGDTJgwgQ8//JDg4OATHl+9ejXJycnehhjAiBEjcDqdrF+/nqFDh57wnJa0KKqImKti/w+ca91Mt+CzzI4iIiJNRPC8ZILtFqZW3MG/XUMAGGrdwPyAp2p8zp8q0vinazgA51i38nbAX1jTeiTnTH6z4YK6KrAu/iMAX7W+mkvO7NdwryXSTNkK9wNQ2Sr+JJUi4qsa7MRqwzBIS0vjzjvvZMCAAdXW5OTkEBMTU2VbREQEAQEB5OTkVPuclrQoqoiY64x9b/FmwCwuKvns5MUiIiJ10NXiGZsmHV3ToK+z87N5xFVmctgIo/v1jzXoa4k0V4HF2Z4vWieYG0REmqxTnik2Y8aMk87UWrt2LatWrcLhcDBt2rRaay2WE6d5G4ZR7XbwLIpqt+vKISJy+gJLPc13W3g7k5OIiEhTUTrpR/zDwvizzZ8/W48Nld3DKHVNrvE5023+TD9We3RvLLz1GgGGs9Yx7elwlxURuf6vAHzT4Q5GxsfW+2uItASh5Z6xnr1NoslJRKSpOuWm2MSJExkzZkytNUlJSfzlL39hzZo1JzSwBgwYwA033MBrr71GbGws33zzTZXH8/PzqaioOGEGmYhIfQsrzwUgsK0GSiIi4hEU0oqgkFa/2GoD6nZVR3d4OACBVOCsdBPob6vfgMDWT+aRbBwlw4hh0LX31fv+RVqKKNchAFrFdDQ5iYg0VafcFIuKiiIqKuqkdc8++yx/+ctfvPezsrIYMWIE77zzDgMHDgQgNTWVmTNnkp2dTVycZ/HDxYsXY7fb6d+//6lGExE5JZHuwwCERXcwOYmIiLQU9kDPOrqBlFPgrKz3pliFy819u/szqOImzurTh5GhIfW6f5GWoqI4n1aUABARn2RuGBFpshpsof3ExKozL1q18hxx69y5M+3btwdg+PDh9OzZk3HjxvHUU0+Rl5fH1KlTmTBhQrVXnhQRqS/FhQWEUQxAZLyOHoqISP3ws3uaYlaLQWlZKRGt6nfZj4Xr97Mzr4IjIZcz9coTL0olIh6Hiyv5R8WNRFqLuLN1G7PjiEgT1WAL7deFzWbjk08+ITAwkEGDBnHddddx5ZVXMmfOHDNjiYgPyDuYAUCxEUirMA2URESknvj/dMV1Z1lxve66rOgof/vvjwDcdUFnWtkb9ELyIs1adpkfr7gu442Qm7Fa639tPxFpGRrtJ2lSUhKGYZywPTExkUWLFjVWDBERAApzPVcHO2Jtg048ERGRemPz5zPLYI5W2OhbeeLY93TseedBFpR9zdxWd3LjOZfU675FWpqcgjIAYsMDTU4iIk2ZDi+JiE/ab41nQcUEEqPCmWh2GBERaTksFh4PnsK+IyUstASfvL6OnEez6JS5kEBrOb/pG9cgC/iLtCQl2ds507KLziF9zI4iIk2YqadPioiYJaMijHddQ9keO8rsKCIi0sIEHWtYlZa7622fuz+aTSDlbLJ05fwRV9fbfkVaqg67/8VH9oe5vOR9s6OISBOmppiI+KRDhU4AokPrdwFkERGRVn5uQimhzFlWL/urcOTSce87AOSceQ92f53sIXIy/sUHAbCExZmcRESaMjXFRMQnBed8yyDrJhLtJWZHERGRFuavR+9mU+BtBB5cXy/72/3xUwThZCudOO/SsfWyT5GWLtiZC0BA63iTk4hIU6ammIj4pItzXuGNgNn0Kl1ndhQRkSbL6XTSt29fLBYLGzdurPJYRkYGo0ePJiQkhKioKCZNmkR5eXmVmk2bNjFkyBCCgoJo164djz32WLUXXmppKq2eWcgu5+kfeHEV55Ow658A7Ot1N0G64qRInYRVHgEgpG2CyUlEpCnTT1UR8Umtjg2UAtu0MzmJiEjT9Yc//IH4+Hi+//77KttdLhcjR46kbdu2rFy5kiNHjnDzzTdjGAbz5s0DwOFwMGzYMIYOHcratWvZsWMHaWlphISEcP/995vxdhqNy+q52l19NMW2/Pc1+lDKThI4b9S4096fiC8w3C7auPPAAmFqiolILdQUExGf1MbtaYqFaqAkIlKtzz77jMWLF7Nw4UI+++yzKo8tXryYrVu3kpmZSXy859Skp59+mrS0NGbOnElYWBhvvPEGZWVlLFiwALvdTnJyMjt27GDu3LlMmTIFi8VixttqFC6bZ6ZY5Wk2xQzD4E+ZAwguf4irUuK5NkjrYIrURVH+QUItLgAiYxJNTiMiTZlOnxQRn1NaXEgopQBExGqgJCLySwcPHmTChAn885//JDg4+ITHV69eTXJysrchBjBixAicTifr16/31gwZMgS73V6lJisri/T09Gpf1+l04nA4qtyaI8PmmSnmLi89rf18l5HP9/sLWG/tzQWXXFsf0UR8wtGDGQAcMcIJCgo0OY2INGVqiomIz8k7NlAqMeyEhrY2N4yISBNjGAZpaWnceeedDBgwoNqanJwcYmJiqmyLiIggICCAnJycGmuO3z9e80uzZ88mPDzce0tIaJ6zed1+x5piFafRFDMM/rV8KwC/6duOtrpaskid5brDeaxiHO/YrzY7iog0cWqKiYjPcRzaD0CeNQKLVf8MiohvmDFjBhaLpdbbunXrmDdvHg6Hg2nTptW6v+pOfzQMo8r2X9YcX2S/plMnp02bRkFBgfeWmZl5qm+zaTjWFOM0mmK533/Bo7uu5V7bQm49r2M9BRPxDVmucF51XcrXkdeZHUVEmjitKSYiPqfkiKcp5vCLMjmJiEjjmThxImPGjKm1Jikpib/85S+sWbOmymmPAAMGDOCGG27gtddeIzY2lm+++abK4/n5+VRUVHhng8XGxp4wIyw3NxfghBlkx9nt9hNetznKa9OX/2TnkW399RdzKVj6DNGWEnpFVNItNrQe04m0fIcKnQCaYSkiJ6WmmIj4nN3+3Xi74na6xLWjp9lhREQaSVRUFFFRJz8Y8Oyzz/KXv/zFez8rK4sRI0bwzjvvMHDgQABSU1OZOXMm2dnZxMXFAZ7F9+12O/379/fWTJ8+nfLycgICArw18fHxJCUl1fO7a1oyOv2WBzcmc7E9mtt/xfOL9m+hi2M1bsNC2AX31Hs+kZbOyN1CX8tuEgPbmB1FRJo4nTckIj5nd2Uk77kuIDt+mNlRRESanMTERJKTk723rl27AtC5c2fat28PwPDhw+nZsyfjxo1jw4YNfPnll0ydOpUJEyYQFhYGwNixY7Hb7aSlpbF582Y++OADZs2a1eKvPAkQbPccdy52un7V8/d9/iwAq/wHMrB/9eu6iUjNBqS/zIf2hzm3+L9mRxGRJk5NMRHxOYccnin10aG6GpGIyK9hs9n45JNPCAwMZNCgQVx33XVceeWVzJkzx1sTHh7OkiVL2L9/PwMGDOCuu+5iypQpTJkyxcTkjSPY34YVN5XlJaf8XMNZSNL+jwEo63tLi28gijSEQOcRAPzCqz9VW0TkOJ0+KSI+J/rQKs6zOmgfmGR2FBGRJi8pKcm7QP7PJSYmsmjRolqf27t3b5YvX95Q0ZqspMz32RP4IKuOng1ceErP3b/8XyRQQroRy1kX/qZhAoq0cCEVeQAEto4zOYmINHVqiomIzxmT9zxJAZlsqugB9DI7joiImOTA0VKMgv1YXOUUFhYB4Mg/BK6yYxVW3PYwLHhma1kqisBd/SmRFgu47eEAuGyemch2VzGFRw9XW3+81rPfEizuCs/X618F4Luo0QwrL6SwvBDDHgbHM1SWgquCmiaQuQNCOf6gpbIMi6uixvdvBISAxXPiiMXlhFpq8Q8Gi83ztctZ/X6PZTL8gsBqO7bfcnCV/7Lkpwx+gWA99iuJq+Kn2uren83+U627ovb3ZgsAq5/no3BXVslw4n4DfrZflydzjbX+YPU/9iKuE/b789iG1f+n/Rrun/Zb3Xuz2Dz7Plbr2W8Nf8gWG9iO/xpn1P7eLDYsx2sNo/Y/Y6v1Z3kB94n7Pf69gOVntVTdb7nT8/1TXFiAzWJ4/i74/Wx2fkVJjX9/sVir1FoqnbW8Nyt+AXb8bSee/BTuzgegVaSaYiJSOzXFRMTnRLg9Rw/DotqbnERERMx086vf8vTRyZxp3YPD6ZkNF7b5dcJCPL+Ulxh2XnKN8tZfY1tGe0v1Ta4Kw4+/ua4AIPJAOd2AIHcxoRtfrrb+mcqrvV9fZl1DV+sBSl0Wbi+6ncv5mn7RUd7nPld5JZV4mkzDrevoad1X43v6e+UoSvFccW+odQNnWvfUWPtK5aUUEgzAedZNDLDuqLH29cph5OFZL+4c61bOsW6rsfatygs5SAQA/S07ON+2qcba9yqHcADPBSD6WHZzoW1jjbUfugaRbsQC0NOSznDb+hprP3ENZKfh+TnfxbKfkbZvaqz9wjWAbUYHAJIsOVxp+1+NtUtdKfxgdAKgveUQ19hqngm5wtWb9YZnTb4Y8vit31c11q529eQbowcAbXBwk9+SGmvXubuy0t0bgDCKudXv8xprv3d35it3XwCCcHKHX82zO7e4O7DE7VnDzo9KJvp9VGPtDnd7PnUP9N6f7LfQ+3VAsacpFvJcL0LsFpa6+nJrxR+8j2+zpxFkqb6Rt9rVk99W/NF7f739DiIthdXWbnR34kbL47w+/mz6JUZ4t7vKywjFc+py67bxNb4HERFQU0xEfIzTWUo4xQCEt21nchoRETFTkL+NcoudYiOQYsMNFFJu+FFueIbIlfhVmYXiwo8Ko/rhcwU2/KzHau2e5lEQZTXW+1l/mipjWGxUGjY+yQlna2U8hUHXcGvbnVQeO2vVZrVgHJuhY1isVBq2Gt+T1WrB9rPZPC6j5iWEbZaf1WKptdZqsWD9Wa3bqHmtM4vlpxlFFgsnrzWO7xWMWmpFfqnIWcl3+/KrNMWOHsoiEqgwbLSJ1JpiIlI7i1HdIhHNiMPhIDw8nIKCAu/VjkREanLwwB5iXk6hwrBhe/gQVlvNv1iISMumMUTT15h/RvX5Wo496wl7/UJyjda0eXgvftWc3lWd3760htV7jjBlWFcmXdTltDLIz9Tl153j5/MZBgYnqz9e6/1PzS9t+Xmtu5ZCvKeyguE5hbKGMrBUra3hlF5v1mOnsmIYnlM+vc/8Zfaf1+I57bQu+4Uqp086HA4i20ZzMCvT8730s9MnDQMoL6plt1YM/6Cf7pcX1fjn9/DH2/j3pnymX9ad2wd39m7f/f1yOn8wmlzaED1jby3vQURasrr+XNdMMRHxKY5DWcQARy1htFVDTEREGkBQmGfWSgilFDkraR0ccNLnHFn2EjdmvofLeglX9z+1xfnlJE7lCp4Wy0/rZp201vuf2ku8X9R13GEB6tZI9TSnTqG2zvvFs9ZanWvt3i/9AjxfB4aEERhSzS+iAeEnbqtJQOsaH4o2DnGu9UeCSsKAn5piB90RvFExjrZhgfyu7q8kIj7qFP5VFBFp/krycwBw2CJOUikiIvLr+Ad5GgEhFicFxWUnqfao+PZVRtq+ZXT0Idq1Djr5E0R83IWH3+TNgFl0zq26/lqOEcGrrktZFXWtSclEpDnRTDER8SnOo56mWIm/mmIiItJA7KGstqRwpNJOh+ISaFv76ZiunK3EFm+jwrARfe6NjRRSpJk7fgqpUfX00bxizyL+bUJOYaabiPgsNcVExKfsCkrmvYrb6da+I73NDiMiIi2Tn51Hwx/jx5xC/llx8lPmspa9SgKwwtKPISk9Gj6fSAvgvfiEu+qaY5ZDP5Ji2Ul8gA6AisjJ6fRJEfEpeyqjec91AbnxQ82OIiIiLVhYkD8ABaUVtRe6KgnbsRCAnI5XE+iv9S5F6uTYTLFfXjeu375X+MD+CIMKvzAjlYg0M2qKiYhPOXJsSn2kptSLiEgDCg/yx49KHMUltdYVbv2CcFceh40wzrxIayCJ1NmxppjlF6dPBjqPeLaHRjd6JBFpftQUExGfEn14DedbfyA+oNTsKCIi0oJNyZ3OrsCbiMxcUmvd4ZXzAVgZeAG92kc1RjSRlsG7pljVmWIhFXkABITHNHYiEWmGtKaYiPiU6468SOeAvfxQ1gVINjuOiIi0UBY/OwBGyZFa6z5zdGao+0esKTc0RiyRlsPiWVMMw11lc5grH4DA1nGNnUhEmiE1xUTEp4S5jwIQ3EYDJRERaTiVgW0AsJbm11iz+UABT+YP5hnbEL4dfGFjRRNpEbZHDOGLrCA6hA9k0PGNbhdhFALQqk2sadlEpPlQU0xEfIbb5SLCKAALhEXFmx1HRERM5HQ6cTqd3vsOh6Ne928JjvT8vzSvxpp312UCMLxXLK2DtdalyKnYF342/3C15Y5WnbzbKorz8cdzOmXrSJ0+KSInpzXFRMRnFOQfws/imWLfOkozxUREfNns2bMJDw/33hISEup1//6hnvXB/J3VN8WcB3fi3vAGwZRx/Vn1+9oivsBq9Zw+6XL/tKaYI+8gAIVGEOGtQkzJJSLNi5piIuIzCg4d8PyfEALsgSanERERM02bNo2CggLvLTMzs173H9jac+pWSHn1TbGM/77AX3ie54Jf5tzOWmBf5FSFlx8kxbKT0LJs77YjrhD+XHEjr1iv8TbNRERqo9MnRcRnFOV5Bk1HrRGEm5xFRETMZbfbsdvtDbb/VtEdAIh0H8LlNrD9/Bd0VyVRuz8AoKjrb6o+JiJ1clbOO9xlf5OVh24ALgLgkLsVr7guo0toKyabmk5Emgs1xUTEZ5QdzQGg2C/C5CQiItLShcWdwf/cvdjrjiW0uJy2oT814A7/8BlR7jyOGKGkXHS9iSlFmjGL56Qny8+uPnmkuByANiFao09E6kZNMRHxGXvt3Xm74g66xLWnp9lhRESkRfNr04HJ9sc4VOikr6OsSlMs738LiAK+bXURl7ZtbVpGkWbtWFMM46c1xcpzd5Ni2UnHQI30RKRutKaYiPiMdFcU/3YN4UCsLnsvIiINr31EEAD7jpR4t7mK80g6/DUAgWeNMyOWSMtwrClm/Kwplpj+Hh/YH+HywnfMSiUizYyaYiLiM44UeabUR7VquDVkREREjuvcthXBlJGZleXdtnvpAgKo5EeSSB001MR0Is2cxbMWn4WfTp+0lHkubGEERZoSSUSaHzXFRMRnRB1awxDr98T7F5kdRUREfMDYwvlsto8naed877b0nZtxGxb2JVxJoL/NxHQizdzx0yfdPzXF/MvyAbCGtDEjkYg0Q2qKiYjPGH34H7wW8ASdy7aYHUVEpElLSkrCYrFUuT344INVajIyMhg9ejQhISFERUUxadIkysvLq9Rs2rSJIUOGEBQURLt27XjssceqnOrU0gVHtsdqMWhdsB2A/fkl3HnoaoaWP80ZI+40OZ1IM3e8KfazmWL2igIA/EKjTAgkIs1RgzfFPvnkEwYOHEhQUBBRUVFcddVVVR6vy4BKRKQ+hLo8Rw+DWseYnEREpOl77LHHyM7O9t7++Mc/eh9zuVyMHDmS4uJiVq5cydtvv83ChQu5//77vTUOh4Nhw4YRHx/P2rVrmTdvHnPmzGHu3LlmvB1TxHQ9C4DOFdvJKyrjha934zYgoXMyndvHmZxOpHnLjjiLuRXXsDUk1bstuNLTFPNvpaaYiNRNg159cuHChUyYMIFZs2Zx4YUXYhgGmzZt8j5+fEDVtm1bVq5cyZEjR7j55psxDIN58+Y1ZDQR8UHhbgdYIKSNfhERETmZ0NBQYmNjq31s8eLFbN26lczMTOLj4wF4+umnSUtLY+bMmYSFhfHGG29QVlbGggULsNvtJCcns2PHDubOncuUKVOwHFsP6OecTidOp9N73+FwNMybayQRXVIpJpi2lgKWvjuTb3cnAbFMuqiL2dFEmr2cNgN41tWKq1q1825r5fb8m2EPU1NMROqmwWaKVVZWcu+99/LUU09x55130rVrV7p168Y111zjrTk+oPrXv/5FSkoKF198MU8//TQvv/xysx8EiUjT4iwrJsRSBkBYm+p/yRMRkZ888cQTREZG0rdvX2bOnFllJv/q1atJTk72NsQARowYgdPpZP369d6aIUOGYLfbq9RkZWWRnp5e7WvOnj2b8PBw7y0hIaFh3lxj8QsgI9pzxeMLM57lM7+p/D5hO2d31HpHIqfLeqyx7jp+SrZhEEYhAEGto82KJSLNTIM1xb777jsOHDiA1WolJSWFuLg4Lr30UrZs+Wktn7oMqH7J6XTicDiq3ERETsZxJBeASsNKaLh+GRERqc29997L22+/zVdffcXEiRN55plnuOuuu7yP5+TkEBNT9VT0iIgIAgICyMnJqbHm+P3jNb80bdo0CgoKvLfMzMz6fFumaH/1n8m3hAOw1dada8fcYnIikZYhuCKfbpYMwso9Yzy3q5JZlWN5tvJKQrRUhojUUYM1xfbs2QPAjBkz+OMf/8iiRYuIiIhgyJAh5OV5LpVblwHVL7W4I4gi0igK8w8CcNQShtWma4yIiO+ZMWPGCYvn//K2bt06AO677z6GDBlCnz59uO2223jxxRd55ZVXOHLkiHd/1Z3+aBhGle2/rDm+yH51zwWw2+2EhYVVuTV3oTGdCLr/e7ZfsYiuDywjOjLC7EgiLUK3rA/4wv4glx72XN21sBzmV17K3MrrCAttZXI6EWkuTvk3w7oOqNzHLo370EMPcfXVV9O/f3/mz5+PxWLhvffe8+6vLgOqn2uJRxBFpOGVHPUcRSy0Nv9fsEREfo2JEyeybdu2Wm/JycnVPvecc84BYNeuXQDExsaecAAzPz+fiooK7wHP6mpycz3/Fv/yoGhLF9gqgm4p5xNoDzA7ikjLcezqkxbD83uno6wCgEB/K3Y/m2mxRKR5OeWF9idOnMiYMWNqrUlKSqKw0HM+d8+ePb3b7XY7nTp1IiMjA/AMlr755psqz/3lgOqX7HZ7lbUpRETqIts/kQUVd5DQtjX3mh1GRMQEUVFRREX9usWnN2zYAEBcnOdCJampqcycOZPs7GzvtsWLF2O32+nfv7+3Zvr06ZSXlxMQEOCtiY+PJykp6TTfjYj4PGvV+R1Fedn0s+zAFehbTXcROT2n3BSr64Cqf//+2O12tm/fznnnnQdARUUF6enpdOjQAajbgEpEpD7kuFvzb9cQLonUIvsiIrVZvXo1a9asYejQoYSHh7N27Vruu+8+Lr/8chITEwEYPnw4PXv2ZNy4cTz11FPk5eUxdepUJkyY4D3lcezYsTz66KOkpaUxffp0du7cyaxZs3j44YdrPCNARKSuLJZjs8GOzRSz7VnK+/YZfGecCYw1L5iINCun3BSrq7CwMO68804eeeQREhIS6NChA0899RQA1157LVC3AZWISH3IK/ZMqY8I0akrIiK1sdvtvPPOOzz66KM4nU46dOjAhAkT+MMf/uCtsdlsfPLJJ9x1110MGjSIoKAgxo4dy5w5c7w14eHhLFmyhLvvvpsBAwYQERHBlClTmDJlihlvS0RamOOt9eOnT1YWedatLrWFm5RIRJqjBmuKATz11FP4+fkxbtw4SktLGThwIEuXLiUiwrPAaF0GVCIi9SEwdyNDrDtJ9NPCqyIitenXrx9r1qw5aV1iYiKLFi2qtaZ3794sX768vqKJiPzEenzdME9TzFV6FIAKf02uEJG6a9CmmL+/P3PmzKm1yVWXAZWIyOkakP0mdwQsZXXhVGCI2XFERKSJOX5lTIfDYXISkebt+PfQ8e+pBnPsNGzL8dcpOwqAy66mmIjUXYM2xUREmoqA8nwA/Fr9ukWmRUSkZTt+kaiEhASTk4i0DEeOHCE8vOFOZSxo3YsXK0djBCUzEKCsAABDTTEROQVqiomITwiu9AyUAsLampxERESaovj4eDIzMwkNDT3tCwE4HA4SEhLIzMxsluvkKr+5mnv+goICEhMTadOmTYO+ztGo/jxeaeP84Ch+B/g5j81QC2zdoK8rIi2LmmIi4hNCXJ6BUlB4tMlJRESkKbJarbRv375e9xkWFtYsmxrHKb+5mnt+q9XaoPs/3rt2Hzt90q/CM9azBbdu0NcVkZZFTTERafEMwyDccIAFWrWJMTuOiIiIiJwm/8oSEiwHCa3wNMWWBl/Cp4Wd6RrZw+RkItKcqCkmIi1eaUkhwZZyAMIi1RQTERERae7aH/iUFfaHWXv0HGAUn9uGsKHyTP4e3d3saCLSjDTsnFYRkSag4HAOAE7Dn5CQ5nsagoiINA92u51HHnkEu91udpRfRfnNpfx1c3ztPwtuAApKKwAID/Jv0NcVkZZFM8VEpMXLcwUxp/xOooNcPNDA61uIiIjY7XZmzJhhdoxfTfnNpfx1ZDk2pjMAt5vEkq1YLH6E2W0N/9oi0mKoKSYiLd7hykAWugfTPTSUB8wOIyIiIiKnzWL1NL8suDHKCljgmgZ2OBB4vcnJRKQ50ZQJEWnx8os964m1CQkwOYmIiIiI1Afv6ZOGQXlxPgAlhp2wkGAzY4lIM6OmmIi0eK7c7Vxg3UhX/0NmRxERERGRevDzmWJFBUcAcBBMK7tOhhKRulNTTERavPjM/7Ag4EkuLXrf7CgiIiIiUh8sx/5nuCl1eJpixZYQ7wwyEZG6UBtdRFo8a2keAEZQG5OTiIiIiEh9KAvrzILK4ZQEdWR44bHTJ62tTE4lIs2NZoqJSIvn7/Q0xSwhkSYnERGR5mTGjBlYLJYqt9jY2Fqfs2zZMvr3709gYCCdOnXixRdfPKFm4cKF9OzZE7vdTs+ePfnggw+aRP7333+fYcOG0bZtW8LCwkhNTeWLL76oUrNgwYIT9mmxWCgrKzM9/9dff11tth9//LFKXVP9/NPS0qrN36tXL29NY37+AAcOHODGG28kMjKS4OBg+vbty/r162t9TmN9D5S27cOMyjQ+to+i4tiaYmU2NcVE5NSoKSYiLZ69/CgAttC25gYREZFmp1evXmRnZ3tvmzZtqrF27969XHbZZZx//vls2LCB6dOnM2nSJBYuXOitWb16Nddffz3jxo3j+++/Z9y4cVx33XV88803pudfvnw5w4YN49NPP2X9+vUMHTqU0aNHs2HDhip1YWFhVfaZnZ1NYGCg6fmP2759e5XndOnSxftYU/78//rXv1apzczMpE2bNlx77bVV6hrr88/Pz2fQoEH4+/vz2WefsXXrVp5++mlat25d43Ma83vg+FmSbsOgosTTFCv3Cz3l9ykivk2nT4pIixdc6QDAHhplchIREWlu/Pz8Tjo77LgXX3yRxMREnnnmGQB69OjBunXrmDNnDldffTUAzzzzDMOGDWPatGkATJs2jWXLlvHMM8/w1ltvmZr/eO7jZs2axUcffcR//vMfUlJSvNvrMmOuvpxK/uOio6NrbNw05c8/PDyc8PBw7/0PP/yQ/Px8brnllip1jfX5P/HEEyQkJDB//nzvtqSkpFqf05jfAzZ3OW05SiuXm8ygnnxVeRUh4b0ZdGpvU0R8nGaKiUiLF+L2NMUCw9QUExGRU7Nz507i4+Pp2LEjY8aMYc+ePTXWrl69muHDh1fZNmLECNatW0dFRUWtNatWrar/8Jxa/l9yu90UFhbSpk3VNTmLioro0KED7du3Z9SoUSfMJKtPvyZ/SkoKcXFxXHTRRXz11VdVHmtOn/8rr7zCxRdfTIcOHapsb6zP/+OPP2bAgAFce+21REdHk5KSwssvv1zrcxrze6DNga9ZG3gXj5X8hd2Byfxf5TXsjr74lPYhIqKmmIi0eKFGEQAh4Tp9UkRE6m7gwIG8/vrrfPHFF7z88svk5ORw7rnncuTIkWrrc3JyiImJqbItJiaGyspKDh8+XGtNTk6O6fl/6emnn6a4uJjrrrvOu6179+4sWLCAjz/+mLfeeovAwEAGDRrEzp07Tc8fFxfHSy+9xMKFC3n//ffp1q0bF110EcuXL/fWNJfPPzs7m88++4zbbrutyvbG/Pz37NnDCy+8QJcuXfjiiy+48847mTRpEq+//nqNz2nM7wGL1fOrrBU3BaWehltYkP8p7UNERKdPikiLVlZeyZ8qbyGcIu6NijM7joiINCOXXnqp9+vevXuTmppK586dee2115gyZUq1z7EcX+joGMMwTtheXc0vt9WHX5P/uLfeeosZM2bw0UcfER0d7d1+zjnncM4553jvDxo0iH79+jFv3jyeffZZU/N369aNbt26ee+npqaSmZnJnDlzGDx4sHd7c/j8FyxYQOvWrbnyyiurbG/Mz9/tdjNgwABmzZoFeGbgbdmyhRdeeIGbbrqpxuc12veAzdMAs+LCfnQ3nS3ZtPFPPLV9iIjP00wxEWnRHGWV/Ns1hPnukbQKCTM7joiINGMhISH07t27xlk5sbGxJ8x2yc3Nxc/Pj8jIyFprfjlzpiGcLP9x77zzDuPHj+fdd9/l4otrPx3NarVy1llnNchMpV+qa/6fO+ecc6rUN4fP3zAMXn31VcaNG0dAQECttQ35+cfFxdGzZ88q23r06EFGRkaNz2nM7wGL1dMUsxkurtz/BF/af0+PojWntA8RETXFRKRFO3psOn14kD9Wa/0fBRYREd/hdDrZtm0bcXHVzzxOTU1lyZIlVbYtXryYAQMG4O/vX2vNueee2zChf+Zk+cEzQywtLY0333yTkSNHnnSfhmGwcePGWvdZX+qS/5c2bNhQpb6pf/4Ay5YtY9euXYwfP/6k+2zIz3/QoEFs3769yrYdO3acsMbZzzXq94DN5vkfLgIrCwHwC4k4tX2IiBjNXEFBgQEYBQUFZkcRkSZo/Zbtxs3T/mKMf/xVs6OISBOjMUTtFi1aZJx99tlGYGCgERkZafzmN7+p8vi+ffuMUaNGGcHBwUZkZKRxzz33GE6ns0rNDz/8YAwePNgIDAw04uPjjUcffdRwu911zmD2n9H9999vfP3118aePXuMNWvWGKNGjTJCQ0ON9PR0wzAM48EHHzTGjRvnrd+zZ48RHBxs3HfffcbWrVuNV155xfD39zf+/e9/e2v+97//GTabzXj88ceNbdu2GY8//rjh5+dnrFmzxvT8b775puHn52f87W9/M7Kzs723o0ePemtmzJhhfP7558bu3buNDRs2GLfccovh5+dnfPPNN6bn/7//+z/jgw8+MHbs2GFs3rzZePDBBw3AWLhwobemKX/+x914443GwIEDq91nY37+3377reHn52fMnDnT2Llzp/HGG28YwcHBxr/+9S9vjZnfA7vXfmEYj4QZ6Y90N3JndDKMR8KMdauXnv4bF5EWoa5jCDXFRKRF+27xvwzjkTDjxz+fZXYUEWliNIao2b///W8jIiLCeOGFF4zt27cbP/74o/Hee+95H6+srDSSk5ONoUOHGt99952xZMkSIz4+3pg4caK3pqCgwIiJiTHGjBljbNq0yVi4cKERGhpqzJkzp845zP4zuv766424uDjD39/fiI+PN6666ipjy5Yt3sdvvvlmY8iQIVWe8/XXXxspKSlGQECAkZSUZLzwwgsn7Pe9994zunXrZvj7+xvdu3ev0rQxM/+QIUMM4ITbzTff7K2ZPHmykZiYaAQEBBht27Y1hg8fbqxatapJ5H/iiSeMzp07G4GBgUZERIRx3nnnGZ988skJ+22qn79hGMbRo0eNoKAg46WXXqp2n435+RuGYfznP/8xkpOTDbvdbnTv3v2EXGZ+D+z+7kvDeCTMyHyki1H8SLRhPBJmbN604ZT3IyItU13HEBbDOLbyYTPlcDgIDw+noKCAsDCtFyQiVX37wTzO/v6P/BB4Fn0e/K/ZcUSkCdEYonqVlZUkJSXx6KOP1nj61meffcaoUaPIzMwkPj4egLfffpu0tDRyc3MJCwvjhRdeYNq0aRw8eBC73Q7A448/zrx589i/f3+dFtXWn5GI1GT3jk189/p0yvxbM874GID08ZtIStBi+yJS9zGE1hQTkRbNXZIHQHlAuMlJRESah++++44DBw5gtVpJSUkhLi6OSy+9lC1btnhrVq9eTXJysrchBjBixAicTifr16/31gwZMsTbEDtek5WVRXp6erWv7XQ6cTgcVW4iItVxhSfx+8o7eYUrvNtCwyNNTCQizZGaYiLSohkl+QC41BQTEamTPXv2ADBjxgz++Mc/smjRIiIiIhgyZAh5eZ4DDTk5OSdcKS4iIoKAgADvVeWqqzl+/5dXnjtu9uzZhIeHe28JCQn1+t5EpOXwXj+prACAIiOQsJAg8wKJSLOkppiItGjWMk9TzAhsbW4QERGTzZgxA4vFUutt3bp1uN1uAB566CGuvvpq+vfvz/z587FYLLz33nve/VV3+qNhGFW2/7Lm+KodNZ06OW3aNAoKCry3zMzM037fItIyWTAIpgwLBn+t/A3/5DL8bfr1VkROjZ/ZAUREGpJfuefoIcG6RLeI+LaJEycyZsyYWmuSkpIoLCwEoGfPnt7tdrudTp06kZGRAUBsbCzffPNNlefm5+dTUVHhnQ0WGxt7woyw3NxcgBNmkP38dX5+uqWISE0CizLZGngrhUYQvZ2vEBscyO/MDiUizY6aYiLSovlXeNajsQW3MTmJiIi5oqKiiIqKOmld//79sdvtbN++nfPOOw+AiooK0tPT6dChAwCpqanMnDmT7Oxs4uLiAFi8eDF2u53+/ft7a6ZPn055eTkBAQHemvj4eJKSkhrgHYqIL/Hz8/f8HxcA4UH+ZsYRkWZK80tFpEX7MGAUf664kcq4FLOjiIg0C2FhYdx555088sgjLF68mO3bt/O733nmX1x77bUADB8+nJ49ezJu3Dg2bNjAl19+ydSpU5kwYYL3Ck9jx47FbreTlpbG5s2b+eCDD5g1axZTpkyp05UnRURq4+fnabYHWcrpZMki3l5qciIRaY40U0xEWrT/VqaQ4erGZbHdzY4iItJsPPXUU/j5+TFu3DhKS0sZOHAgS5cuJSLCcyq6zWbjk08+4a677mLQoEEEBQUxduxY5syZ491HeHg4S5Ys4e6772bAgAFEREQwZcoUpkyZYtbbEpEWxN//p5lhS+1TWV18MTDCvEAi0iypKSYiLVpBaQWgKfUiIqfC39+fOXPmVGly/VJiYiKLFi2qdT+9e/dm+fLl9R1PRAT/gKrrD1YGhJqURESaM50+KSItlsvlol/5t6RYdhJut5kdR0RERETqib9/1fkdbnu4SUlEpDnTTDERabGKjh5mvv9TAJQH3mlyGhERERGpLza/X5wFEKimmIicOs0UE5EWqzD/EAAlhp0Ae6DJaURERESkvlhsdj51n+O9bwtubV4YEWm21BQTkRarxHEYAIdFa0yIiIiItCg2P/5gmcK37m4A+Ie0NjePiDRLaoqJSItV5jgCQLFNTTERERGRlsbfZiGMEgDsIW1MTiMizZHWFBORFqu8yNMUK7OFmZxEREREROpbgM3Cx85UvnV3JyWqo9lxRKQZUlNMRFosV3EeAE5/LbwqIiIi0tJ8VPk7Yv0PMdI5k0Exnc2OIyLNkE6fFJEWy12SD0BlgGaKiYiIiLQ05XiuQBlCGa2D/E9SLSJyogZtiu3YsYMrrriCqKgowsLCGDRoEF999VWVmoyMDEaPHk1ISAhRUVFMmjSJ8vLyhowlIj5iS/BZ/LniRvZEX2x2FBERERGpZy5sALSzHCZMTTER+RUatCk2cuRIKisrWbp0KevXr6dv376MGjWKnJwcAFwuFyNHjqS4uJiVK1fy9ttvs3DhQu6///6GjCUiPmKbpQuvuC4jP26w2VFEREREpJ7FG57fK/8v4AX8bToJSkROXYOtKXb48GF27drFq6++Sp8+fQB4/PHHef7559myZQuxsbEsXryYrVu3kpmZSXx8PABPP/00aWlpzJw5k7CwE095cjqdOJ1O732Hw9FQbwGAG/6xhnsP/okII7/ax7OtcTwV+oD3/oOFs4h2Hay29og1kr+E/cl7//7COSS4MqutdVjC+FPYn733JxU/S+fK3dXWOrHz+7AnvffvKnmBHpXbqq01sHBP2F89XxsGE0pfoW/F99XWAkwOfZoKi+eoy82lrzOwYm2NtVNbzabY0gqAsWVvc37F/0549eOmhzxKntVzhZhrne8zrHzpLyp+8nDwH8mxxgJwRfkiRpV/VmOGPwc9wD5bIoZhcFn5Yq6p+KjG2scDJ7Pd1gWAiyu+5oby96ovNGBu4F1ssvUC4PzKVYx3/qvG/T5nv421fv0AOKdyHb9zvlJj7UsBN7HS7xwAUlw/MMX5Yo218wPG8KWfp7nTs/JHHir/a421b/hfxSI/z+yoLu49POZ8qsba9/xGsdBvJAAJ7gPMKf9zjbUf2kbwpt9vAIgxDjGv/E811n5uvYBX/ccA0Noo4OXyP1T/BwwstZ3L8343ARBolPJG+eQa97vSOoC5/hMwDLAYbj6ouLPG2nPdvVjIHbQO1pFDERERkZbG0GpAInKaGqwpFhkZSY8ePXj99dfp168fdrudv//978TExNC/f38AVq9eTXJysrchBjBixAicTifr169n6NChJ+x39uzZPProow0V+wRbshy0c+2mneVItY+73GVschR478cG7KGzNbvaWntFAZsP/NTEiwpI5wxrerW1h4xwtmT9VNs6IIMzrNU3xYqMQLZm/1Qb6p9JZ9ueamvdhoVtP6sN9j9AZ9veamsBdhx04CQAgAD/bDrZqs8LsCfXwdFjXQ8/vxw6+dVcm3HIQdax/eKXS5JfRo21WUcK2G14mm0uv0Mk+VXfSAQ4mHeUXYan2VZpO0IH//011h7JL2CPUQyA05ZXa+3RggL2uD21/W35JPofqLHW4Shg77HaZGs+iQFZNdaWFB4l3e25jHRnawEJtdQ6i46yz+WpjbcW0j6g+r9nABXFR8l0lQIQZSmivT2nxlqj5Cj7j9WGWIpoZ6++qQtgLcvnQKWn1moprrXW5sznQLGntpwS4gNza6wNcOaTVVzmyUAZcYGHaqwNrMgnu8RTa8FNbODhGmvDDQcWC/SM05piIiIiIi3ND90nc/aPT7Ay/hbOMzuMiDRLFsMwapi7cfoOHDjAFVdcwXfffYfVaiUmJoZPPvmEvn37AnD77beTnp7O4sWLqzzPbrezYMECfvvb356wz+pmiiUkJFBQUFDtzLLTtWLnIVplrcLqclb7uMsvhILos8Diud869xuslWXV1hq2QI7GDPTeDzu0Hr+K4qpFx/ZjWAM4Gpvq3Rx6eCN+5Y5flh2rtXE0dpD3fqu8zfg786sW/czRuPN/qs3/Ef+y6ht+AEdjUsFqw2KB4KPb8S+tuQFRGH0Whs3T6Aoq2EVASTUNk2OZHNEDMGx2AAIdewkozv5ZSdXgRVF9cfsHA2AvzMBe7GlIWap5f0WRvXH7expoAUUHCCyquYFW0qYnroAwLBYL/sU5BBam11hb2qY7LntrAPxKDhFYWH0j0QKUhZ9BZaCnMedXdoTAgj0nFh3jDEuiMqgtADbnUQKP7jqx6HhtaCKVwdHHagsIPLqr2s8AoLxVOypCPLPrbBVFBOZvr/G9VQTHURnazvOqlaUE5VU/y9ACVATHUNHqeG1Z9bXHMlUGRVERmuDZ5Con8MjWn5VUDV4ZGEFFWAfPHbeLoCOba3xvLns45WFJnjuGQeDhH2p8b+6AMELbdSMuPKjGGhHxXQ6Hg/Dw8AYbQ8jp05+RiNTGcFWSvnUN7bqfQ4B/g833EJFmqK5jiFP+l2PGjBknnam1du1a+vfvz1133UV0dDQrVqwgKCiIf/zjH4waNYq1a9cSFxcHgKWa33wNw6h2O3gaZna7/VRj/2rnd2kLXa6o+xO6jT6F2kvrXtt1WN1rOXGGXc3ankJtVBOojQRSTqG2Tx1r2wA9T6G22ynUdjmF2k51rI0Akk6hNqGOta2hY1wda4GOsXWv7RBd99rEU1gDrL3WCxMRERHxRRabHx17a46YiPx6p9wUmzhxImPGjKm1JikpiaVLl7Jo0SLy8/O9Xbnnn3+eJUuW8Nprr/Hggw8SGxvLN998U+W5+fn5VFRUEBMTc6rRRERERERERERE6uSUm2JRUVFERZ18Zk9JiWftI6u16uKHVqsVt9sNQGpqKjNnziQ7O9s7c2zx4sXY7XbvumMiIiIiIiIiIiL1rcEu15GamkpERAQ333wz33//PTt27OD3v/89e/fuZeRIz5Xuhg8fTs+ePRk3bhwbNmzgyy+/ZOrUqUyYMEHrRoiIiIiIiIiISINpsKZYVFQUn3/+OUVFRVx44YUMGDCAlStX8tFHH3HmmWcCYLPZ+OSTTwgMDGTQoEFcd911XHnllcyZM6ehYomIiIiIiIiIiJz66ZOnYsCAAXzxxRe11iQmJrJo0aKGjCEiIiIiIiIiIlJFg80UExERERERERERaarUFBMREREREREREZ+jppiIiIiIiIiIiPgcNcVERERERERERMTnNOhC+43BMAwAHA6HyUlERESkOTk+djg+lpCmR+M8ERER+TXqOs5r9k2xwsJCABISEkxOIiIiIs1RYWEh4eHhZseQamicJyIiIqfjZOM8i9HMD4+63W6ysrIIDQ3FYrHU+/4dDgcJCQlkZmYSFhZW7/uX2unzN5c+f3Pp8zeXPn9zNcbnbxgGhYWFxMfHY7VqRYmmSOO8lk2fv7n0+ZtLn7/59Gdgrob+/Os6zmv2M8WsVivt27dv8NcJCwvTN4qJ9PmbS5+/ufT5m0ufv7ka+vPXDLGmTeM836DP31z6/M2lz998+jMwV0N+/nUZ5+mwqIiIiIiIiIiI+Bw1xURERERERERExOeoKXYSdrudRx55BLvdbnYUn6TP31z6/M2lz99c+vzNpc9fGoP+nplLn7+59PmbS5+/+fRnYK6m8vk3+4X2RURERERERERETpVmiomIiIiIiIiIiM9RU0xERERERERERHyOmmIiIiIiIiIiIuJz1BQTERERERERERGfo6bYSTz//PN07NiRwMBA+vfvz4oVK8yO5BNmz57NWWedRWhoKNHR0Vx55ZVs377d7Fg+a/bs2VgsFiZPnmx2FJ9x4MABbrzxRiIjIwkODqZv376sX7/e7Fg+obKykj/+8Y907NiRoKAgOnXqxGOPPYbb7TY7Wou0fPlyRo8eTXx8PBaLhQ8//LDK44ZhMGPGDOLj4wkKCuKCCy5gy5Yt5oSVFkfjPHNonNe0aJzX+DTOM4/GeY2rOYzz1BSrxTvvvMPkyZN56KGH2LBhA+effz6XXnopGRkZZkdr8ZYtW8bdd9/NmjVrWLJkCZWVlQwfPpzi4mKzo/mctWvX8tJLL9GnTx+zo/iM/Px8Bg0ahL+/P5999hlbt27l6aefpnXr1mZH8wlPPPEEL774Is899xzbtm3jySef5KmnnmLevHlmR2uRiouLOfPMM3nuueeqffzJJ59k7ty5PPfcc6xdu5bY2FiGDRtGYWFhIyeVlkbjPPNonNd0aJzX+DTOM5fGeY2rWYzzDKnR2Wefbdx5551VtnXv3t148MEHTUrku3Jzcw3AWLZsmdlRfEphYaHRpUsXY8mSJcaQIUOMe++91+xIPuGBBx4wzjvvPLNj+KyRI0cat956a5VtV111lXHjjTealMh3AMYHH3zgve92u43Y2Fjj8ccf924rKyszwsPDjRdffNGEhNKSaJzXdGicZw6N88yhcZ65NM4zT1Md52mmWA3Ky8tZv349w4cPr7J9+PDhrFq1yqRUvqugoACANm3amJzEt9x9992MHDmSiy++2OwoPuXjjz9mwIABXHvttURHR5OSksLLL79sdiyfcd555/Hll1+yY8cOAL7//ntWrlzJZZddZnIy37N3715ycnKq/Cy22+0MGTJEP4vltGic17RonGcOjfPMoXGeuTTOazqayjjPr9FeqZk5fPgwLpeLmJiYKttjYmLIyckxKZVvMgyDKVOmcN5555GcnGx2HJ/x9ttv891337F27Vqzo/icPXv28MILLzBlyhSmT5/Ot99+y6RJk7Db7dx0001mx2vxHnjgAQoKCujevTs2mw2Xy8XMmTP57W9/a3Y0n3P85211P4v37dtnRiRpITTOazo0zjOHxnnm0TjPXBrnNR1NZZynpthJWCyWKvcNwzhhmzSsiRMn8sMPP7By5Uqzo/iMzMxM7r33XhYvXkxgYKDZcXyO2+1mwIABzJo1C4CUlBS2bNnCCy+8oMFSI3jnnXf417/+xZtvvkmvXr3YuHEjkydPJj4+nptvvtnseD5JP4uloejvlvk0zmt8GueZS+M8c2mc1/SY/bNYTbEaREVFYbPZTjhamJube0InUxrOPffcw8cff8zy5ctp37692XF8xvr168nNzaV///7ebS6Xi+XLl/Pcc8/hdDqx2WwmJmzZ4uLi6NmzZ5VtPXr0YOHChSYl8i2///3vefDBBxkzZgwAvXv3Zt++fcyePVuDpUYWGxsLeI4kxsXFebfrZ7GcLo3zmgaN88yhcZ65NM4zl8Z5TUdTGedpTbEaBAQE0L9/f5YsWVJl+5IlSzj33HNNSuU7DMNg4sSJvP/++yxdupSOHTuaHcmnXHTRRWzatImNGzd6bwMGDOCGG25g48aNGig1sEGDBp1wafodO3bQoUMHkxL5lpKSEqzWqj8ebTabLtVtgo4dOxIbG1vlZ3F5eTnLli3Tz2I5LRrnmUvjPHNpnGcujfPMpXFe09FUxnmaKVaLKVOmMG7cOAYMGEBqaiovvfQSGRkZ3HnnnWZHa/Huvvtu3nzzTT766CNCQ0O9R3LDw8MJCgoyOV3LFxoaesK6HiEhIURGRmq9j0Zw3333ce655zJr1iyuu+46vv32W1566SVeeukls6P5hNGjRzNz5kwSExPp1asXGzZsYO7cudx6661mR2uRioqK2LVrl/f+3r172bhxI23atCExMZHJkycza9YsunTpQpcuXZg1axbBwcGMHTvWxNTSEmicZx6N88ylcZ65NM4zl8Z5jatZjPMa7TqXzdTf/vY3o0OHDkZAQIDRr18/XSq6kQDV3ubPn292NJ+lS3U3rv/85z9GcnKyYbfbje7duxsvvfSS2ZF8hsPhMO69914jMTHRCAwMNDp16mQ89NBDhtPpNDtai/TVV19V++/9zTffbBiG53LdjzzyiBEbG2vY7XZj8ODBxqZNm8wNLS2Gxnnm0Div6dE4r3FpnGcejfMaV3MY51kMwzAarwUnIiIiIiIiIiJiPq0pJiIiIiIiIiIiPkdNMRERERERERER8TlqiomIiIiIiIiIiM9RU0xERERERERERHyOmmIiIiIiIiIiIuJz1BQTERERERERERGfo6aYiIiIiIiIiIj4HDXFRERERERERETE56gpJiI+Yfv27cTGxlJYWNjgr7Vo0SJSUlJwu90N/loiIiIivmTw4MG8+eabDf46TqeTxMRE1q9f3+CvJSLmUVNMRBrE6NGjufjii6t9bPXq1VgsFr777rvTeo0LLriAyZMn16n2oYce4u677yY0NPRXv17v3r257bbbqn3srbfewt/fn4MHDzJq1CgsFkujDNhEREREmpKGHAMuWrSInJwcxowZ86vzPf3004SHh1NSUnLCY2VlZbRu3Zq5c+dit9uZOnUqDzzwwK9+LRFp+tQUE5EGMX78eJYuXcq+fftOeOzVV1+lb9++9OvXr1Gy7N+/n48//phbbrnltPYzfvx43n333WoHUa+++iqjRo0iJiYGgFtuuYV58+ad1uuJiIiINDcNOQZ89tlnueWWW7Baf/2vsTfddBOlpaUsXLjwhMcWLlxISUkJ48aNA+CGG25gxYoVbNu27Ve/nog0bWqKiUiDGDVqFNHR0SxYsKDK9pKSEt555x3Gjx8PwKpVqxg8eDBBQUEkJCQwadIkiouLvfXPP/88Xbp0ITAwkJiYGK655hoA0tLSWLZsGX/961+xWCxYLBbS09OrzfLuu+9y5pln0r59e++2BQsW0Lp1axYtWkS3bt0IDg7mmmuuobi4mNdee42kpCQiIiK45557cLlcAIwbNw6n08l7771XZf8ZGRksXbrU+54ALr/8cr799lv27Nnzqz9DERERkeamrmPAhQsX0qtXL+x2O0lJSTz99NO17vfw4cP897//5fLLL6+y3WKx8Pe//51Ro0YRHBxMjx49WL16Nbt27eKCCy4gJCSE1NRUdu/eDUDbtm0ZPXo0r7766gmv8eqrr3L55ZfTtm1bACIjIzn33HN56623fu3HISJNnJpiItIg/Pz8uOmmm1iwYAGGYXi3v/fee5SXl3PDDTewadMmRowYwVVXXcUPP/zAO++8w8qVK5k4cSIA69atY9KkSTz22GNs376dzz//nMGDBwPw17/+ldTUVCZMmEB2djbZ2dkkJCRUm2X58uUMGDDghO0lJSU8++yzvP3223z++ed8/fXXXHXVVXz66ad8+umn/POf/+Sll17i3//+N+AZGF1xxRXMnz+/yn7mz59PTEwMl156qXdbhw4diI6OZsWKFaf3QYqIiIg0I3UZA65fv57rrruOMWPGsGnTJmbMmMGf/vSnExppP7dy5Upv0+uX/vznP3PTTTexceNGunfvztixY7njjjuYNm0a69atA/COL8Ezm23ZsmXs3bvXuy09PZ2vvvqqykFOgLPPPlvjOZEWTE0xEWkwt956K+np6Xz99dfeba+++ipXXXUVERERPPXUU4wdO5bJkyfTpUsXzj33XJ599llef/11ysrKyMjIICQkhFGjRtGhQwdSUlKYNGkSAOHh4QQEBBAcHExsbCyxsbHYbLZqc6SnpxMfH3/C9oqKCl544QVSUlIYPHgw11xzDStXruSVV16hZ8+ejBo1iqFDh/LVV19VeU/Lly/3zgAzDIMFCxaQlpZ2wuu3a9euxtlrIiIiIi3VycaAc+fO5aKLLuJPf/oTXbt2JS0tjYkTJ/LUU0/VuM/09HRiYmKqPXXylltu4brrrqNr16488MADpKenc8MNNzBixAh69OjBvffeWyXLiBEjiI+Pr9KEmz9/PvHx8QwfPrzKvjWeE2nZ1BQTkQbTvXt3zj33XO/09N27d7NixQpuvfVWANavX8+CBQto1aqV9zZixAjcbjd79+5l2LBhdOjQgU6dOjFu3DjeeOONatfzOpnS0lICAwNP2B4cHEznzp2992NiYkhKSqJVq1ZVtuXm5nrvDx8+nPbt23tniy1dupT09PRq1ysLCgr6VXlFREREmrOTjQG3bdvGoEGDqjxn0KBB7Ny507tsxS/VNJ4D6NOnj/fr4+u79u7du8q2srIyHA4HADabjZtvvpkFCxbgdrsxDIPXXnut2oOcGs+JtGxqiolIgxo/fjwLFy7E4XAwf/58OnTowEUXXQSA2+3mjjvuYOPGjd7b999/z86dO+ncuTOhoaF89913vPXWW8TFxfHwww9z5plncvTo0VPKEBUVRX5+/gnb/f39q9y3WCzVbnO73d77VquVtLQ0XnvtNdxuN/Pnz2fw4MF06dLlhP3n5eV516QQERER8SW1jQENw8BisVSp//mpltWpaTwHVcd0x/db3bafj+luvfVWMjMzWbp0KV9++SUZGRnVHuTUeE6kZVNTTEQa1HXXXYfNZuPNN9/ktdde45ZbbvEOTPr168eWLVs444wzTrgFBAQAnnUpLr74Yp588kl++OEH0tPTWbp0KQABAQE1Hk38uZSUFLZu3Vpv7+mWW25h//79vP/++7z//vsnrD0Bnkt67969m5SUlHp7XREREZHmorYxYM+ePVm5cmWV+lWrVtG1a9cal8NISUkhJyenxsbYqercuTNDhgxh/vz5vPrqq1xwwQVVziA4bvPmzRrPibRgaoqJSINq1aoV119/PdOnTycrK4u0tDTvYw888ACrV6/m7rvvZuPGjezcuZOPP/6Ye+65B4BFixbx7LPPsnHjRvbt28frr7+O2+2mW7duACQlJfHNN9+Qnp7O4cOHqxz9+7kRI0awevXqOjXQ6qJjx45ceOGF3H777fj7+3uviPlza9aswW63k5qaWi+vKSIiItKc1DYGvP/++/nyyy/585//zI4dO3jttdd47rnnmDp1ao37S0lJoW3btvzvf/+rt4zjx4/n/fff54MPPqj2ICfAihUrTlhnTERaDjXFRKTBjR8/nvz8fC6++GISExO92/v06cOyZcvYuXMn559/PikpKfzpT38iLi4OgNatW/P+++9z4YUX0qNHD1588UXeeustevXqBcDUqVOx2Wz07NmTtm3bkpGRUe3rX3bZZfj7+/Pf//633t/TmDFjCA4OPuHxt956ixtuuKHax0RERER8QU1jwH79+vHuu+/y9ttvk5yczMMPP8xjjz1WpXH2SzabjVtvvZU33nij3vJdffXV2O127HY7V1111QmPr169moKCgmoPgIpIy2AxTnbytohIC/D888/z0Ucf8cUXXzT4ax06dIju3buzbt06Onbs2OCvJyIiIuILDh48SK9evVi/fj0dOnRo8Ne79tprSUlJYfr06Q3+WiJiDj+zA4iINIbbb7+d/Px8CgsLCQ0NbdDX2rt3L88//7waYiIiIiL1KCYmhldeeYWMjIwGb4o5nU7OPPNM7rvvvgZ9HRExl2aKiYiIiIiIiIiIz9GaYiIiIiIiIiIi4nPUFBMREREREREREZ+jppiIiIiIiIiIiPgcNcVERERERERERMTnqCkmIiIiIiIiIiI+R00xERERERERERHxOWqKiYiIiIiIiIiIz1FTTEREREREREREfI6aYiIiIiIiIiIi4nP8zA5wutxuN1lZWYSGhmKxWMyOIyIiIs2EYRgUFhYSHx+P1arjhE2RxnkiIiLya9R1nNfsm2JZWVkkJCSYHUNERESaqczMTNq3b292DKmGxnkiIiJyOk42zmv2TbHQ0FDA80bDwsJMTiMiIiLNhcPhICEhwTuWkKZH4zwRERH5Neo6zmv2TbHjU+nDwsI0WBIREZFTptPymi6N80REROR0nGycpwU0RERERERERETE56gpJiIiIiJVzJ49m7POOovQ0FCio6O58sor2b59e5UawzCYMWMG8fHxBAUFccEFF7Bly5YqNU6nk3vuuYeoqChCQkK4/PLL2b9/f2O+FREREZEaqSkmIiIiIlUsW7aMu+++mzVr1rBkyRIqKysZPnw4xcXF3ponn3ySuXPn8txzz7F27VpiY2MZNmwYhYWF3prJkyfzwQcf8Pbbb7Ny5UqKiooYNWoULpfLjLclIiIiUoXFMAzD7BCnw+FwEB4eTkFBgdaaEBERkTrTGKLuDh06RHR0NMuWLWPw4MEYhkF8fDyTJ0/mgQceADyzwmJiYnjiiSe44447KCgooG3btvzzn//k+uuvB366muSnn37KiBEjTvq6+jMSERGRX6OuYwjNFBMRERGRWhUUFADQpk0bAPbu3UtOTg7Dhw/31tjtdoYMGcKqVasAWL9+PRUVFVVq4uPjSU5O9tb8ktPpxOFwVLmJiIiINBQ1xURERESkRoZhMGXKFM477zySk5MByMnJASAmJqZKbUxMjPexnJwcAgICiIiIqLHml2bPnk14eLj3lpCQUN9vR0RERMSrQZti9bVIq4iIiIiYY+LEifzwww+89dZbJzz2y8ucG4Zx0kuf11Yzbdo0CgoKvLfMzMxfH1xERETkJBq0KVZfi7SKiIiISOO75557+Pjjj/nqq69o3769d3tsbCzACTO+cnNzvbPHYmNjKS8vJz8/v8aaX7Lb7YSFhVW5iYiIiDQUv4bc+eeff17l/vz584mOjmb9+vXeRVqfeeYZHnroIa666ioAXnvtNWJiYnjzzTe54447Ttin0+nE6XR672utCRGpiyJHPpsWPk7g4c3YXGVYjJ+ufJZvi+KlNr/33r8l/69EV2ZVu59iaxjPRT7kvX/j0RdoV5FebW25JZD/i3rUe//6gldIKt9Rba2BjSfbzvLev6rgdbqU1zxrdk7UTFwWzz/hox1v0dO5scbav0Y+Qpk1GIBLChdyZtm3Ndb+rc10imzhAFxU9B8GlK6ssfbliKnk+bUFYHDx56SWfFVj7YKISRz0awdAaslSBhd/UWPtG63vZL9/RwAGlK7koqL/1Fj7bvh49gZ0BeDM0m+5pGhhjbUfhI1jh91z6lfPsg2MLny7xtpFoWPYEpgCQBfnFq5yvF5j7RetrmJj0EAAksp3cH3BKzXWLg0Zxdrg8wFoV5HOjUdfqLF2ecgIVgdfCEB0ZRa35P+1xtrVwUNZHnIJAG0qDzEhf06NteuDBvHfVpcD0Mrl4O68mTXW/hB4Fp+FXgOA3V3K5CMzaqzdZu/Lx2G/BcBquPj94ek11u4K6MnC8Ju9939/aDpWTrwa4crgYUSkjmPM2Yk17ksahmEY3HPPPXzwwQd8/fXXdOzYscrjHTt2JDY2liVLlpCS4vleKS8vZ9myZTzxxBMA9O/fH39/f5YsWcJ1110HQHZ2Nps3b+bJJ59s3DckIiKNznC7cZYWeW5lpTjLSil3luII6UiZy0KFy409byv+jkxwlUNFGUZlOYa7ErfLheGuYFvslZRZg6h0uYk/vIoYxyZwV2LB7RnPu11YDBdWw82y2DQK/SJwGwY9ji6ja8EqLBieGcrH/u8JZvBp1C0c9o/DbRj0KVxB/8Kv4FgdHL8WoYEF+DDiVnICPGOR3sWrOL/wUywcq61y2UKDjyLS2Gf3jE17FX/LRYUfVvPBeJ70n/Ab2BXYCwPoXvY9lxW8U7XsZ19/GnYtW+19ATjDuZXfOP5FTcWLW13xs7HpTq4reLXGP6OlrUayLug8AOIr9jGulrHpipBhrAq+CDg+Nn22xto1wUNYFnIpABGuw9ye99QJNd8FpVKWcht3DOlc434aQ4M2xX7pVBdpra4pNnv2bB599NETtouI1MRwu0n/25Wk1tA42uuOYWXeYe/9aQGb6WXdV23tQaM1K/N/qp0csIXe1uobXQ4jiJW7fqq93X8rvW2bqq2tMGxVasf5/0hv24Ya39Oq3YepOPZP+DX+22ut/XZPLg5aAXCZ3w56+9Vcu2HvQQ5SAcBQv1211m7al8New3MK1Dl+u2ut/XFfNlsMOwB9bHvo7V9z7c6MLNYboQB0se2ttfbJ/ftZ6fb8TGlvS6+19rkDF7DS7Znd0saaQe+Ammv/UZjKSrdnLaMga2attW8W9WOl69gPc+uBWms/LOrFSlcPAPpZsuhtr7n286IurHT1AaCHJbvW2uXFCazM9vz9SbLk1Fq7rjialTme2mjy6R1Yc+3m4tasPOipDaWk1to9JUGszPXU+lFZa21OiZWVh376+/6qfQMBlhObYouLOlNwpKTG/UjDufvuu3nzzTf56KOPCA0N9c4ICw8PJygoCIvFwuTJk5k1axZdunShS5cuzJo1i+DgYMaOHeutHT9+PPfffz+RkZG0adOGqVOn0rt3by6++GIz356IiNRRhctNXnE5BZlbKT20l9KCQ7iKjmAtzcNalod/eQG2iiJmhT+Cw+nGUVrBfWV/4xLjfwRTRqDFIPAX++xT9jIOQgCY7fcyv/Wr+cDqvd8nkkMkAA/7fcpVfp/XWPvg/nPYY8QDMNXvW87y+6TG2hmHhrDlWHOqg20zA/xrzjArbyjrjo1j29l20Nd/dY21cwqGstzdGoBI2176+NdyMNpxHl+5owEIs6bTJ2BtjbXzHWexwu2ZsR1ozaBPwLoaa98uOtM7NjWs++kTsL7G2o+KerDS1R2AfpYD9LZ/V2PtF0WdWek6Ezg+Nq25dkVxe+/YtEMNY9PviqPYmVtU4z4ai8XwtksblmEYXHHFFeTn57NixQoAVq1axaBBgzhw4ADx8fHe2ttvv519+/bxxRcnziSobqZYQkKCLtUtIjX6YWc6O16/h7Os28nuegO2kCisNpv38Uq/EHLiLvTej85Zhn9F9adwu22BZMf/9Mtc29z/EeDMr7bWsPqR1e4S7/2oQ2uwlx2uthaLhQPtR3rvRh5eR2Bp9QtRAxxofylYPO+hzZHvKnZ5DwAAiKxJREFUCCqpfmYbQFa74RjWAAAi8r4nuLjmNXpy4i7C5RcEQPjRLbQq3Ftj7cHYC6j09zTbwgp+JNSxq8ba3JjzqAhoDUCoYydhBdtrrD0UfS7ldk+jq1XhHsKPbq2x9nDbgTgDPbPVQor20Tq/+qYjwJHI/pQFxwEQVHyANnk1N23y2qRQGuKZ2RZYmkPk4ZoHHkcjelPcqgMA9rJDRB36psbagtY9KQrtBECAM4+2udVfgQ/AEd6NwrAuAPiXFxB9cEWNtYWhnXG09jTb/CqKiMn5usbaotCOFLTuBYCtspTY7C9rrC0JSSC/jWfwY3GXE39gcY21pcHx5EX289wx3LTb/2mNtWVBMRyJOst7v93+T8Fwn1DnCO9GzBkp9IoPr3Ffp6Oul+r2RTWt+TV//nzS0tLg/9m78/CoyrOP498zaxaSEAhkgUAAkS1sJoqIFVEBFVCrFamVSqu0vLg2LnVpFWwBW5ZareJSFdfWKloVXKACIgrILvsOCYSwkz2TZOa8fwwZiVkIkuQkk9/nukYy59xzzj0TJ/PMfZ4Ff9tu4sSJvPDCCxw/fpx+/frx7LPPBibjBygqKuKBBx7g7bffprCwkMsvv5znnnuuxhPo63ckIlK38gsKyNyzmdz9Wyg5uB2yM3DkZ+HyHOeXvsc5XlgKwPPOv3GlveqiTY+il8nH34b8i+NFbnIsKre/2LRTjIsSw8nokKcpcrXAabdxk+c9+hcvo9RwUmpz4bU5MQ0HGDZMm533Y+/B42qB027QO3ch5+St8e8z7IEYn2EHw86ahFEUuVpgAIk5q0nI/Q7D8PfpMgz/DcOGAeyIH05xSEtshkFMzgZan1gHGHAy3v8zgEFG7GV4QvzFq6jc7cSc+A4Mf4wJYBiUfWpmxfSnKNQ/RUCzvD3ElB23Eodbnk9hmL8OElawj1bHVlWILbt3tMV5FDQ7ecG28AAxR1Zw6ke1ccrjjkX3oiAiCfC3TVsdqrqIl928O7mR5wD+tmnrQ1WPEsmO7EpulL8XnLM4m9iDi6uMzYk455S2aT6xWRWLjvnN2hPRqR/ntYuusK821LQNUW9FsTvuuIO5c+eyZMmSwJwUZUWxzMxM4uPjA7Fjx44lIyOjwvDLyqixJCKn8+zCHUz9fCtXJccx85YUq9MRkQZCbYiGT78jEZHa4fP62LtnO+vzItialcPWrFyuS5/ClaULcRgVL0wB9Cp6kRyaYbcZPBbyDj8x1lLkiKLY1ZwSV3O8IdH4QlpgC23OsU7XEB7ejMgQB1ElhwkxSnGFReAOiyAkrBlOR70OUhOpcRuiXv7PLJukdfHixVVO0npqUay6CVhFRM7UjpPdcnu2rZveJiIiIiIiDUmRx8O2NV+Ru2UhEVnLaV+0iQ7kc+0pQxcvcjhxOHzkE8IBextOhLajOCIRR/M2hLVsy3tdB9GyeRTRYS5stqvP4Ox10/NHpC7UaVGsNiZpFRE5W9lHD2DDR2J0mNWpiIiIiIjUiYxjBWz7+n1iNr3OOQXr6GUUldtfbNq5Mj4Pe2I3usQ2IznycY63nkp060TOqWLYvEiwq9OiWG1M0ioicrYmH7qTFu7j7PR9CCScNl5EREREpDE4eGAfn2w+wXsbjrMxM4db7Cv5s3M5GJBNM/Y064OnzUW06HYJSd3P56+uH057L9K01WlRbOZM/3Kel156abntp07S+uCDD1JYWMj48eMDk7TOmzePiIiIukxNRJqQSDMXl+ElMirG6lRERERERM6K1+vlu4Xv4ls1i14F37K9dAwbvZdjtxkcTriCpc3Cad13OB2TL6C3zX76A4o0YXU+fPJ0DMNgwoQJTJgwoS5TEZEmqqTYQ5jhX7E2PFLzG4iIiIhI41SQn8Oqj56n3bZZ9DX3+zcacFnkfroNTGZYz3hahLuA4ZbmKdKYaAkIEQlq+TnHaX7yZxXFRERERKSxKfSUsHr2VLpue56fkA1ALqFsiruOxMt/yxWd+1qcoUjjpaKYiAS1sqJYgekmzOW2Oh0RERERkRoxTZP3V+/nyc+28LeiObS0Z3PAaM2Brr+i29Xj6RfR3OoURRo9FcVEJKgV5h4DIN8IQ2tPioiIiEhjsGPzOiYsOMKSDP8Kkq82/xWhnbPpNeIu4nWhV6TWqCgmIkHNk3cCgAJbuLWJiIiIiIicRmmxh6VvTuSCvS9ymfdyVrt+xd2Xd+bXA67C5bBZnZ5I0FFRTESCWr4tjE+8F1ASEk97q5MREREREanC/t1byXvrl/ykdAsY0C/yOF+MvZj46GZWpyYStFQUE5GgdiyiK+NL7iUlKpprrU5GRERERKQSKz5/i3O/eYA2Rj45hLEz5TH6Dh8HhmF1aiJBTUUxEQlqnlIfAC67upuLiIiISMPi8/r4+vU/MmDPs9gMk23OLkTc8gZ923exOjWRJkHfEkUkqBWXlAKm5mAQERERkQalxOtjwlvz6b3nZWyGycpW19PxgcXEqyAmUm/UU0xEglr7Pf9hT8ifWXl0IPCR1emIiIiIiFBU4mX8W6tZsKWUfY67ubOPg9SfPWh1WiJNjopiIhLUzFKP/webeoqJiIiIiPUK8nN5eNbnLMgIJcRp45e33M55XVpbnZZIk6RviSIS1MwSf1HMtLkszkREREREmrqioiK2PnMDjx+6hwvc6bxxWz8uVUFMxDLqKSYiwc1bDIBPRTERERERsVBpSQnfPXMTFxQtpwgnk65OonNSC6vTEmnS1FNMRIKb92RPMbuKYiIiIiJineUv3cUF+YsoNu3suvx5Ove7yuqURJo8FcVEJLid7CmmopiIiIiIWGXpuzMYcOhfAGzu9yTdL/mZxRmJCKgoJiJBziibaN/utjYREREREWmS1i/9jNQNfwZgRfux9L76NxZnJCJlNKeYiAS1LFd7Fnl7U9Ssg9WpiIiIiEgTcyi3iOx5f8FpeFkTMYjUW/9qdUoicgr1FBORoLak+bWMKfk9uxKGW52KiIiIiDQhXp/J795Zy22Fd/OWeyRdfzMLw6av4CINid6RIhLUir0+AFx2/bkTEbHCc889R4cOHQgJCSElJYWvvvrK6pREROrFC4t38vWOo9icofS7bQahEc2tTklEfkDfEkUkqJX6TAAcNsPiTEREmp533nmHe++9l0cffZQ1a9bwk5/8hKuuuor09HSrUxMRqVO7tqwl54u/YcPHxGt7cE7rCKtTEpFKqCgmIkHt1sw/s8n9K7run211KiIiTc6MGTO47bbbuP322+nWrRtPPfUUiYmJzJw50+rURETqTElJCUXvjeMh+5vMbP0hN6a0tTolEamCJtqvhsfjwePxBO77fD6OHTtGy5YtMQz1OhH5sUzTJDc3l4SEBGx1PK+C01dEmOHBZph1eh4RESmvuLiYVatW8dBDD5XbPmTIEL755ptKH/PDtldOTk6d5ngqn89HZmYmERERaueJnIX6bOc1VN/+ezIDSjeTRyjnjXxIf1NEGjAVxaoxZcoUJk6caHUaIkErIyODtm3r9sqZge/kD/Y6PY+IiJR35MgRvF4vsbGx5bbHxsaSlZVV6WOsbHtlZmaSmJhoyblFglF9tPMaoqx9u+mz4zkwYGuvB0lpe47VKYlINVQUq8bDDz9MWlpa4H52djbt2rUjIyODyMhICzMTadxycnJITEwkIqLu51YwTK//X7v+3ImIWOGHPSRM06yy18QP215lnxf1oewzSe08kbNTn+28hij9nfu5wChim7Mr5113j9XpiMhp6FtiNdxuN263u8L2yMhINZZEakF9dCU3TH9PMcOmnmIiIvUpJiYGu91eoVfYoUOHKvQeK1NV26s+lH0mqZ0nUjua4pDBDV9/wgW5/8NnGtiHT1P7U6QRaJqDvEWkybCZpSd/0DUAEZH65HK5SElJYf78+eW2z58/n4suusiirERE6obX68P9xR8AWBVzDZ16/8TijESkJvQtUUSCmnqKiYhYJy0tjdGjR5Oamkr//v158cUXSU9PZ9y4cVanJiJSqz76LpOXC3/Fve6POP/nf7E6HRGpIRXFRCSo7XF0IK+oGDOkpdWpiIg0OTfddBNHjx7liSee4MCBAyQnJ/PJJ5/Qvn17q1MTEak1xaU+/jZ/O+lmR7YOnMkVMfFWpyQiNaSimIgEtZeb/ZbVx07wQlyK1amIiDRJ48ePZ/z48VanISJSZ979dhfpxwqIaebmVwOSrE5HRM6AimIiEtS8pv9fexOc7FVERERE6lZRYT6XzBvG447euH/yR8Jc+oot0pjoHSsiQc3n81fF7DYVxURERESkdq2d8zwXksUwRwnN+3WyOh0ROUNafVJEgtqfsx9ihXsc0YeXW52KiIiIiASR0pIS2mz6JwB7zh2DKyTM4oxE5EypKCYiQS3Sl0MrIwc7PqtTEREREZEgsnb+WySamWQTTvI1d1udjoj8CCqKiUhQs50shtlsGi0uIiIiIrXD9PmIWPUPALYkjiKsWXNrExKRH0VFMREJajbTC4Bht1uciYiIiIgEiw3ffEIX73aKTCddRtxndToi8iOpKCYiQc2Gvyhms6koJiIiIiK1o+Sb5wH4rtVwmrduY3E2IvJjaTyRiAQ1m+kfPmnY9edORERERM5e5olC7jo+kpH2Vlx3xZ1WpyMiZ0E9xUQkqAXmFFNRTERERERqwb++TWe/2ZKl7X5D+64pVqcjImdB3xJFJKjtMNpxyBtBiCvc6lREREREpJErLvXx7xUZAIy+MMnaZETkrDWInmLPPfccHTp0ICQkhJSUFL766iurUxKRIHGP/VFGFE/G2+Icq1MRERERkUZu3f/eYmrREwwL38KQHrFWpyMiZ8nynmLvvPMO9957L8899xwDBgzghRde4KqrrmLTpk20a9fO6vREpJEr9ZkA2A3D4kxEREREgkuep5QdmUcoXvMuJYe2EpK9A9eJ3VanVafca2dxvn0doXF9cNobRB8TETkLlhfFZsyYwW233cbtt98OwFNPPcXnn3/OzJkzmTJlisXZiUhj5z1ZFLPZVBQTERER+TGKPB7Sd2zg2K41eA9sYE9hKM8VDmb/iULseNnsfhyX4V/xOwfT4mzrzqH9e+hRuAoMSLx8nNXpiEgtsLQoVlxczKpVq3jooYfKbR8yZAjffPNNpY/xeDx4PJ7A/ZycnDrNUUQat/+a9+JylWDkz4VWXaxOR0RERKRByy0qYXNmDqXLX8JxcB3RuVtJLE3nXKMkEBPpS2J/8cUAtIgI4xvnFYSFN8PW6lxs0e3hyZutSr9O7Vwwi9aGyWZnd7p17G51OiJSCywtih05cgSv10tsbPmx2LGxsWRlZVX6mClTpjBx4sT6SE9EgkAbDhNiKyHT6kREREREGpiC/Fx2bfiW4zu/5eixY/y9aBi7j+QDsND1Ch1sB/2BBhTiZp8ziezIcymN7cM7qRdybmwE0eEu4IrAMYO104JpmrTe/V8Acs+9wdpkRKTWWD58EsD4wVw/pmlW2Fbm4YcfJi0tLXA/JyeHxMTEOs1PRBovOz4AbPYG8edORERExBI+n0n67i1kbfgSM305rU6sI6l0N8mGv62UY4byO88lgI2EqBBWhV3DwWY+QhL7En9uCq3bdaGzzW7tk7DQzo3fco5vN8Wmg66X/dLqdESkllj6LTEmJga73V6hV9ihQ4cq9B4r43a7cbvd9ZGeiASBsqKYXUUxERERaUKKCvPZvv5bFua2ZcWeY6zNOMGz3j9xiX3990EGHCOKzLAuFLXqxZsX9qFbu1hahLuAyy3LvSE6tOR1zgE2NbuQPi1bW52OiNQSS78lulwuUlJSmD9/Pj/96U8D2+fPn8+1115rYWYiEgxMnw+b4Z/s1bA33SubIiIiEvzy8vPYuXoh+VsX0vzgcs4p3kJPo5QxRTM5ShQAq1zdiXOWcKJFH5wdLqRN8iW0btORFlqlu1o+n8mnh2MI8Z2Drfcoq9MRkVpkedeJtLQ0Ro8eTWpqKv379+fFF18kPT2dceO0moeInB2fz0tZKczRhLv7i4hIRVq8SRq7ohIvK/cc58CK9+my+w3OLd5M71Mmw/f3AotkVGcfsd17kNI+mi6xV+Gw26xLupFalX6cN/Iv4L8hF7Fq0BWnf4CINBqWF8Vuuukmjh49yhNPPMGBAwdITk7mk08+oX379lanJiKNnNfrCxTFDA2fFBGRU2jxJmlsfF4fO7es5vDqucwu6MWcjBA8pT5usO3kRtd3YMBRokmPPA9v+4uJ63UFbTol84BNRbCz9dkG/3Q/g7vF4nLqQqtIMGkQ3xLHjx/P+PHjrU5DRIKMzzTZ5muDAcRq+KSIiJxCizdJY5B9/Bhbln5M6bb5dDixjM4cpjOwsORmPN7hxEa6iWg/hLWhrUjoM4TWHXrSUkMha5Xp8+Fd9x+i6cLQ5BSr0xGRWtYgimIiInXC7mRI8VQANoREWpyMiIg0JFq8SRoi0zTZeTifFWtW0WvVHzjXs5F+hjew32M62R7aiwt7pTBywCWc07oZhmGgSfHrzo7vvmFCyd+4zx2Ks9Nuq9MRkVqmvrQiErR8phn4WddMRURqZs+ePdx222106NCB0NBQOnXqxOOPP05xcXG5uPT0dEaMGEF4eDgxMTHcfffdFWLWr1/PwIEDCQ0NpU2bNjzxxBOYp/xtFhH/sMiNK7/kjbdmMWjaIq6Y8SUTFx6lo2cLTsPLfls8K2NHsuWylzEe2kPyQwu4/Prb6RwbcbIgJnXp8Ir3ANgecT4hIaEWZyMitU09xUQkaJ36vcumRqOISI1s2bIFn8/HCy+8wDnnnMOGDRsYO3Ys+fn5TJs2DQCv18uwYcNo1aoVS5Ys4ejRo9x6662YpskzzzwD+IcjDh48mEGDBrFixQq2bdvGmDFjCA8P57777rPyKYpYzltaypZln5K79gOSjnxJD45g9yXyx+K/4LLbOL9jG76JmUb3nqm06diDNlYn3ESZpknCgf/5f+463OJsRKQuqCgmIkHL58ljvusBTMDwXgqEW5yRiEjDd+WVV3LllVcG7nfs2JGtW7cyc+bMQFFs3rx5bNq0iYyMDBISEgCYPn06Y8aMYdKkSURGRvLWW29RVFTErFmzcLvdJCcns23bNmbMmEFaWlqlPVy0IqQEs1Kvjw3fLqRg1duce+R/9OBEYF8BbjxRHXnximQu6tqGZm4H0M+yXMVv79a1JPkyKDbtnHvxDVanIyJ1QMMnRSRomaaPzrb9nGvbjzqKiYj8eNnZ2bRo0SJwf+nSpSQnJwcKYgBDhw7F4/GwatWqQMzAgQPLzds1dOhQMjMz2bNnT6XnmTJlClFRUYGbJr6Xxs70+diw7wRPfLyJC6csYNcnM7joyHvEcIJswvm2+dV8d8kLOH6/m973fcSQ3u1PFsSkITi44n0AtoT2JaJ5jMXZiEhd0F9cEQlapu+UOcUMrT4pIvJj7Ny5k2eeeYbp06cHtmVlZREbG1suLjo6GpfLRVZWViAmKSmpXEzZY7KysujQoUOFc2lFSAkWmRk72bvgZRL2fsgDhXew2WwPwPywQXSIDMfZ52d0uegaLnCFWJypVKdZxiIA8pOusDQPEak7KoqJSPAyv1+tSXOKiUhTN2HCBCZOnFhtzIoVK0hNTQ3cz8zM5Morr+TGG2/k9ttvLxdb2fBH0zTLbf9hTNkk+1VNDq4VIaUxKyjIZ+3//k3oxn/Rq2glCYb///frnMvo1PVCftq3DZecexVOuwbrNAZ5eTl09mwCA9qef43V6YhIHVFRTESClu/UnmI2NUBFpGm78847GTVqVLUxp/bsyszMZNCgQfTv358XX3yxXFxcXBzLly8vt+348eOUlJQEeoPFxcUFeo2VOXToEECFXmYijdnWPRkcmzuRroc+4yIj17/RgM2uZHK73sTPL7+F30a1qP4g0uB8s7eARz1PMyxqFxM69bA6HRGpIyqKiUjQMn2n9BRTUUxEmriYmBhiYmo2J87+/fsZNGgQKSkpvPrqqxX+hvbv359JkyZx4MAB4uPjAf/k+263m5SUlEDMI488QnFxMS6XKxCTkJBQYVilSGNT4ClhzndZvP1tOhszjrDM/RnRRi6HjRbsTbyOxEG3062DCimN2aJthzlMc8zuP7U6FRGpQyqKiUjQ8pm+wM+GoaKYiEhNZGZmcumll9KuXTumTZvG4cOHA/vi4uIAGDJkCN27d2f06NFMnTqVY8eOcf/99zN27FgiIyMBuPnmm5k4cSJjxozhkUceYfv27UyePJnHHnusyuGTIg3d3p1bSP/8GSIPLuf3nscxseGwOZkTdwep3TrT7eLraOXQV6zGzjRNvtzq/9t3aZfWFmcjInVJf7GroWXBRRo3E4N9ZgwG0EZfwEREamTevHns2LGDHTt20LZt23L7yuYEs9vtzJ07l/HjxzNgwABCQ0O5+eabmTZtWiA2KiqK+fPnc8cdd5Camkp0dDRpaWnlJtIXaQxMn48NSz6iZOkL9C5YSnvDBAOub76Dcy68hp+ltKVVxNVWpym1aM/Wdfwl/w8sdJ7PhR2vtDodEalDKopVY8qUKaedkFZEGi5faEsu9jyNzYBdVicjItJIjBkzhjFjxpw2rl27dsyZM6famJ49e7J48eJaykykfhUV5LHhk+dpvelVevr2+TcasDEkBaPfb5j6k59hU6+woJS16mMutm8kKsRNqEsrmIsEM/0Vr4aWBRdp3E52aNAwHREREamxI3keXv9mD9uWzeF5358AyDdD2NB6OG2G3EWPzn2sTVDqXLOMRQDkt73UyjREpB6oKFYNLQsu0rj5TlbFbKqJiYiIyGkczNjOF4sW8sS2RIpKfEAXvgzrh6vTT+g+7A76NdcKkk1BabGHToXrwYCWvYZanY6I1DEVxUQkaBn5h/jI9SglOAHN9SEiIiIV7du1mcyP/0zfY58yHDeTS56mV9t4fntJJwb0+AyHXYv1NCW7139NZ8PDCZrRscf5VqcjInVMRTERCVpmSRG9bLvxmE6rUxEREZEGJmPnJvZ/9CdSTnxOW8MLBmxxncur13Ykte95mn6hiTq6cQGdgV1hfTjPrvnERIKdimIiEvR8qFErIiIifgfSt7P//T/S5/jnJBo+MGBDSAr2yx6m5wWDrU5PLBaWuQyA4rb9Lc5EROqDimIiErRMn8//r4piIiIiTd7BnCKeXbiDZd8u4xPH5zgMH+tDzyfsikdITrnM6vSkASjx+jhYaFCAm5iel1udjojUAxXFRCRomaaKYiIiIk1dbvYx/jf3HR7alISn1AfE82arcfS7eDA9U1UMk++t35/NWM+9xITCt90vsDodEakHKoqJSNAyT64+qeGTIiIiTU9JcRFr35/OOVue5xozl+e8fyGiXTL3D+3CRZ2GWZ2eNEDLdh0FIKVjLDbNJybSJKgoJiJByzS9/n81Ua6IiEiTYfp8rFvwH1p+PZHzzUwAMmwJTLqyDedfcpEm0JcqrduRAcCFHVtanImI1BcVxUQkaJnYOGpGkG+EEWl1MiIiIlLn9mxZTfYH99PHswqAIzRne/e7SP3p3SQ6XRZnJw2Zr7SE6ft+zgOuaEpb/dfqdESknqgoJiJBqzgyiRTPCzQPc7LW6mRERESkzuR5SvnHvA3cvvJakowcik07qxNupvuoJ+gf1cLq9KQRyNiygvYU0towCOvQ0ep0RKSeqCgmIkHLPPmvTcMkREREgpLp8/HRdweYNHczh3I9FNmv49qoHcT9bBoXduxhdXrSiBzevIT2wK6QbvRxOq1OR0TqiYpiIhK0fCcn2ldJTEREJPjs3baOnPfu5oO8wRzy9SWpZRgDR/yBvl1jrU5NGiFj3woA8mP6WpyJiNQnFcVEJGg5svfyjusJ8n1RwGCr0xEREZFaUFxUyJq3H6Pv3ldob5TyB+dhUi+5kdsvOYcQp1YMlB8nNnc9AKGd+luciYjUJxXFRCR4FefRz7aFI2ZzqzMRERGRWrBjxTxCPr2Xfr79YMB3IefTatQz3JnUxerUpBHLOXKAtr4DALTvdYnF2YhIfVJRTESC18nhkz5sFiciIiIiZ6MgP4fvXrufCw7+B5thcpjm7E79I+df/WsMmz7n5ezs/W4RPYE9RluSYlpbnY6I1CMVxUQkaJk+n9UpiIiIyFlanX6c9956kcmed8CAZVFXc+7ov3OBihdSS747EcqG0kFExyWRZHUyIlKvVBQTkSCmnmIiIiKNVYnXx9NfbOfZhTvwmcn0Dh9G14t/yoWDbrQ6NQkynx2P56vSsfwpRSuWijQ1KoqJSNDyBXqKaf1JERGRxiR903Ky30/j7bw78BHFT/u24cprXiMq1Gl1ahJkfD6TteknAOjbLtraZESk3qkoJiLBy/QXxXyGimIiIiKNgenz8e07k+m75W+0M0p5OOQ9Qq7/B8N7JVidmgSpvfszaF+8jb3OJLrGRVidjojUMxXFRCRo+UyDAtONx3BbnYqIiIicxonDmex9ZQz9CpeDAatD+3PJmGdoHauCmNSdY2vnMsf9BzY6k3HYR1idjojUM020IyJBq6BVL7p7XmVM2DNWpyIi0ih5PB769OmDYRisXbu23L709HRGjBhBeHg4MTEx3H333RQXF5eLWb9+PQMHDiQ0NJQ2bdrwxBNPYJ5cGVjkVNu++ZDSZ/vTu3A5HtPJsq6P0PeBT2gd28bq1CTIlWasASCneTeLMxERK6inmIgELd/J710aPCki8uM8+OCDJCQksG7dunLbvV4vw4YNo1WrVixZsoSjR49y6623YpomzzzjvxCRk5PD4MGDGTRoECtWrGDbtm2MGTOG8PBw7rvvPiuejjRAPp/Jgvee44pNjwCwx5ZI6fUvc2FyP4szk6Yi8sRGAGwJfaxNREQsoaKYiAStss4INs0pJiJyxj799FPmzZvH7Nmz+fTTT8vtmzdvHps2bSIjI4OEBP/QtunTpzNmzBgmTZpEZGQkb731FkVFRcyaNQu3201ycjLbtm1jxowZpKWlYehvc5N3LL+Y+/6zlpVbW/OhK47M6PPpffuzREREWZ2aNBE+r5d2nh1gQKtzL7A6HRGxgIZPikjQch/bzKvOv3Cv5zmrUxERaVQOHjzI2LFjeeONNwgLC6uwf+nSpSQnJwcKYgBDhw7F4/GwatWqQMzAgQNxu93lYjIzM9mzZ0+l5/V4POTk5JS7SXDatmUdI57+ioVbD+NxNGPlkA8YcO8bKohJvcrctZ5wo4hC00W7c/tYnY6IWEBFMREJWo6iEwyyr6O3d5PVqYiINBqmaTJmzBjGjRtHampqpTFZWVnExsaW2xYdHY3L5SIrK6vKmLL7ZTE/NGXKFKKiogK3xMTEs3060gCt/fBp2v/rcq7I+5D2LcP47/gBjLy4u3oPSr07uHU5AHudnXA4XRZnIyJWqLOi2J49e7jtttvo0KEDoaGhdOrUiccff7zCBKw1maRVROTHME2f/1+L8xARaQgmTJiAYRjV3lauXMkzzzxDTk4ODz/8cLXHq6yAYZpmue0/jCmbZL+q4sfDDz9MdnZ24JaRkXGmT1MaMG9xEWuf+yV91vwRt1HCsMidfDR+AN0TIq1OTZqo0ozVAJzQJPsiTVadzSm2ZcsWfD4fL7zwAueccw4bNmxg7Nix5OfnM23aNKBmk7SKiPxogaKYOsWKiNx5552MGjWq2pikpCT+/Oc/s2zZsnLDHgFSU1P5xS9+wWuvvUZcXBzLly8vt//48eOUlJQEeoPFxcVV6BF26NAhgAo9yMq43e4K55XgkHM0iwMv3kAfzwZ8psHixN/yk19Nxm63W52aNGEfeQewqAQu6DTY6lRExCJ1VhS78sorufLKKwP3O3bsyNatW5k5c2agKFaTSVpFRH6ssh4JWn9SRARiYmKIiYk5bdzTTz/Nn//858D9zMxMhg4dyjvvvEO/fv4VAfv378+kSZM4cOAA8fHxgL9d53a7SUlJCcQ88sgjFBcX43K5AjEJCQkkJSXV8rOThixz+xp4+ya6mAfJNUPZcNFTXDq0+gKtSF0zTZO5x+I44b2GYb0utjodEbFIvXafyM7OpkWLFoH7NZmk9Yc0AauI1NjJnmI+zVEiIlJj7dq1Izk5OXA799xzAejUqRNt27YFYMiQIXTv3p3Ro0ezZs0avvjiC+6//37Gjh0buKh5880343a7GTNmDBs2bOCDDz5g8uTJWnmyiVm3fQ/hbw0nwTzIfiOWrBvn0F8FMWkADuZ4OFFQgt1mcE7rZlanIyIWqbei2M6dO3nmmWcYN25cYFtNJmn9IU3AKiI15VNPMRGROmG325k7dy4hISEMGDCAkSNHct111wVGAwBERUUxf/589u3bR2pqKuPHjyctLY20tDQLM5f69NmGLEa+tpm/l/yUDY4euMYtpHNy5Ys3iNS39K2rudq2jIta5BLi1DBekabqjIdPTpgwgYkTJ1Ybs2LFinKrFWVmZnLllVdy4403cvvtt5eLrckkrad6+OGHyzWmcnJyVBgTkcoF5hRTUUxE5MdKSko6ZTj699q1a8ecOXOqfWzPnj1ZvHhxXaUmDZVp8uai7/jjvH2YJuzt8ks6jJpGeGiI1ZmJfG/zxzzneo7lxmDgJquzERGLnHFRrKaTtJbJzMxk0KBB9O/fnxdffLFcXE0maf0hTcAqIjV1NP4SkorepmdCJB9bnYyIiEgT4C0tYd3MX5F6eC0R5h+55sLuTBjRA4ddi95Iw+I8shmA0hitPCnSlJ1xUaymk7QC7N+/n0GDBpGSksKrr76KzVb+w7Amk7SKiPxYZR0bbDb1FBMREalrxYV5bP3HjZyX/w1ew+CvqbkMvTZZc8hJg9QyfzsAoW17WZyJiFipzlafzMzM5NJLL6Vdu3ZMmzaNw4cPB/bFxcUB5SdpnTp1KseOHaswSauIyI/lC0wppsa4iIhIXSrKOcK+Z0fQ07OJItPJdxfO4Mqrfml1WiKVKvEUkODNBANiO6szhkhTVmdFsXnz5rFjxw527NgRWKmoTNm8FGWTtI4fP54BAwYQGhrKzTffXG6SVhGRHyvi6Dqecz5FQX4HYIDV6YiIiASlvKOZHJ15FeeU7iHbDGfX4Je54OKrrE5LpEoHtq+jneHjhNmM+DZJVqcjIhaqs6LYmDFjGDNmzGnjajJJq4jIj+EqOMTV9m/ZUpxrdSoiIiJB6cTBdHJfvJr23gwOm83JuvYd+p53odVpiVTr6K41tAP2uTqQrPnuRJq0OiuKBQOPx4PH4wncz8nJsSSP/bs2k75+MQscP+FQrofswhIuP/EeqQVLsJslGCdX2DP4fmWoP0f8gSP2VgAML/yIKzxfBPadGgfwZPjv2W9vA8CVns8Y5vmkylxmhN3LbkdHAC7zLOCnnv9WGfuPsDvY6ugCwIDiJYwq+k+VsS+G3s56p388/wXF3zK66M0qY2eF3soqp7+bc++Stdxe+EqVsW+HjGKp6yIAupVuZnzBzCpj3wu5gS9dAwHoVLqDewuerjL2I/dw5ruHAJDozeDB/KlVxn7mGsrckGEAtPYe5I/5k6qMXeC6lA9Crgcg2necJ/IerzL2a+dF/DvUv+hFmJnPX3IfrjJ2hfN8Xg8dDYDDLOFvufdVGbvO0Yt/hn2/Suzfc+7BRsVVxwA2O7ryXNj4wP2pOQ8SQlGlsTvtHXkq/F4ASoryqzx/bTLwAmBq+KSIiEitO5RbRNqby3mytJAsoyU5N71Pz+59rE5L5LRKD6wHICeyi8WZiIjVVBSrxpQpU5g4caJl59+3/TuOzf4dvYpW0ga4u+hZDhMNwEDHHro5Nlb52F0HT5Bu+lfpHOY4wDmOnVXGZhw+zhYzAoDL7Vl0cu6qMvbAkWNsMv0LLfS3H6w29uDRo2zy+QuJfeyHq409euz72C62w3RyVR2bfexwIDbRdqTa2NwTh9nk9cfGnCa28MTBQGy4cYxO7qpjS7K/jzVOE+vNPcCm4/7YIuN4tbELc7sEYuM5QaeQqmOXe5LYdMIfG0VetbHrihMCsS5Kqo3dXtySTdnfF4A7undhNyoviu0vDi8X2869mwijsNLYoyVONp0sLPs8BVWevzaVDdUGFcVERKS8hnLxs7E6kufh5peWs+NwGHdHTGT6yL6c27mH1WmJ1Mh/HMP5Z3FrhnfuZ3UqImIxw/z+W2OjlJOTQ1RUFNnZ2bU+OX9ljaXExMQ6OdcPbV21kDYf/ZxmRiFe02CbqzuLOj+MPa47zcNctM7bQmTRfmwON4a9Ym0zu/X5+BxhAITm7CY0f1+5/aeuApQT0xevsxkAIbl7Cc1LrzKvnJa98br8z92dt4+w3N1Vxua2SKbU7S/iufMzCcupujCXF92dkpCWALgKDhKeva3K2PzmXSgObQ2As/AIzU5srjo26hyKw/wrmzqKjhFxvOpCYkFkRzzh/h5zjuJsIo5+V2VsYUR7ipq1A8BekkvkkbVVxzZLpCgiCQBbaQFRh1dVGVsU3obCSH9PPMProfmhb6uM9YTFURDV2R/rK6H5wWVVx4a2pqD5ySthpo/orK+rjC0OaUl+dPfA/egDX1UZW+KOJq9FcuB+86xvMExvpbGlzkhyY3oDkJ+Xy9Upner8vbTqk1dJ+fZeNrl60v2RJXV2HhFpnOqyDSG1oy5/RxMmTKj04qf+fzi94xmb+du/5vL6sW7ER4Xw799cSPuW4VanJQ1EY/jbOuDJBew/Ucg7v7mQfh1bWp2OiNSBmv4tUk+xarjdbtxud72fN/v4EVp+PIZmRiGbnd2J/PnLdOvYnW7lohLP4IitgZpeBWkFpJ5BbN8ziO19BrHJp436PrbbaaO+j61pF+lWwDlnENuxhrEA7Wse2q3t6WPKdL2+5rFdziD23DOJvbZGYTk59fO+Mk8OLW7UlX8REakTDz/8MGlpaYH7ZRc/pXrZmTspeWU4f/Ad53izR0kbO04FMWlU8j2l7D/hH9lwbmyExdmIiNVUFGuANr/9EBdygnRbG9rd/SnhEc2tTkmkkfKd/FcTqIqISHlWXfxszLIPpZP3z2G0MY+wx0jgvtHXkxSjgpg0Lvt3beT/7B+x192Z6PBhVqcjIhbTN8UGJvvYYXod+sj/86WTVBATORsnR4dron0REZGzk3ssi+wXhtHGd4B9tMb85Yckte9gdVoiZyxv+9f83vlv/s/xsdWpiEgDoJ5iDcycLTl8VfJ/XNdsI0MvrtlQNBGp3P74odxSFEP/NjG8anUyIiIijVRR7jGOPHc1HbzpHKQFxb/4Lx07nmt1WiI/SunBLQDkRaioKyIqijU487ceZZHvAnpf9EsMmzryiZwNr2GnCDelNg2PERER+TG8RXns/8cwOpXu5KgZSfbI97TKpDRq7hM7ADBbqrArIho+2aAUlXj5ZudRAK7o1tribEQav7K1dQ0NnxQRETljpmny2NztrM6P4YQZTsaIf3FujxSr0xI5Ky0K9wAQllDTxbpEJJipp1gDsmPjakabc9ge3pNzWjezOh2RRi/66CqmO1/Ck9MduMDqdERERBqVv83fxlsrMnnb+C2vDI9jUGpNVygXaZi8JR7ivQfAgNYdelqdjog0ACqKNSB5Gz/lj843WeO+CMMYZ3U6Io1es/y9XGb/inUej9WpiIiINCpffPga/1jaArDx5+t6Mqhfe6tTEjlrWXs208bwkW+GENu2o9XpiEgDoOGTDYgzaw0AntZ9Lc5EJEiUjZ9EwydFRERqatNHT3H5mruZ6XyKtMs78QsVxCRIHNvzHQD7HW2x2/VVWERUFGtQovN3ARDarpfFmYgEiZNFMVN/6kRERGpkz7IP6bJqAgD2+J7cdUUXaxMSqUUrHOdzpedJPkz4ndWpiEgDoW+KDYTP6yXemwlAy3bJFmcjEizKZtq3NgsREZHG4PCudcR8Ng67YfJl+FAG/ma6FquRoLLtWAlbzHbY22muWRHxU1GsgTiUuZtQo5gS005cey0PLFIrAj3FREREpDoFJw5S+uZImlHAOnsP+vzfqzgddqvTEqlVOw/nAdCpVbjFmYhIQ6GJ9huIw3s2EgccsMfRzumyOh2RoGBqTjEREZHTMks97Hv+Rs71ZbGP1rT81TtENVPRQILPTw89Sx97JJ0iNV2NiPipKNZAFBzYBsBxdyLtLM5FRERERJqO9+Z+xvDCzeQRyonr3iC5baLVKYnUuvwTR7jZNweckN1istXpiEgDoeGTDcSKsIH81DORJYm/tToVkaCxu81wzit6nldb/97qVEREGp25c+fSr18/QkNDiYmJ4frrry+3Pz09nREjRhAeHk5MTAx33303xcXF5WLWr1/PwIEDCQ0NpU2bNjzxxBOn9OKVhuDLbYd5cJmDG4sf49vznyK574VWpyRSJw6lbwbgMM2Jah5tcTYi0lCop1gDsafQzRqzM1fEa4UfkdpSagvhGJEU2ZpZnYqISKMye/Zsxo4dy+TJk7nsssswTZP169cH9nu9XoYNG0arVq1YsmQJR48e5dZbb8U0TZ555hkAcnJyGDx4MIMGDWLFihVs27aNMWPGEB4ezn333WfVU5NTpB/J5+5/rcE0Ifn8gVw2XEPKJHhl7/ePzDnkaEMri3MRkYZDRbEG4mBOEQCtI9wWZyISPAIzimlKMRGRGistLeWee+5h6tSp3HbbbYHtXbp8f+Fu3rx5bNq0iYyMDBISEgCYPn06Y8aMYdKkSURGRvLWW29RVFTErFmzcLvdJCcns23bNmbMmEFaWppWNbRY4YlDZD9/HUlFN2EkpjLx2h5WpyRSp4oP7QAgL1zDg0Xkexo+2UBcfPjfjLF/RqIrz+pURIJG62Mr+ZPjFX6SM9fqVEREGo3Vq1ezf/9+bDYbffv2JT4+nquuuoqNGzcGYpYuXUpycnKgIAYwdOhQPB4Pq1atCsQMHDgQt9tdLiYzM5M9e/ZUem6Px0NOTk65m9QBn5f0f95Mz9KNzHC/xMybe+PWSpMS5Gwn9gBQEpVkaR4i0rCoKNZA3FT0HyY4XyfOmW91KiJBo3nuDkY7/kf3gpVWpyIi0mjs2rULgAkTJvCHP/yBOXPmEB0dzcCBAzl27BgAWVlZxMbGlntcdHQ0LpeLrKysKmPK7pfF/NCUKVOIiooK3BIT1aOjLmx+5w90yVtBoekid/gLxEdrmgEJfs3y9wLgjDnH4kxEpCHR8MkGoLAgn+b4e4hFx7W3OBuRYGKe8l8RkaZtwoQJTJw4sdqYFStW4PP5AHj00Ue54YYbAHj11Vdp27Yt7777Lr/9rX9RoMqGP5qmWW77D2PKJtmvaujkww8/TFpaWuB+Tk5OvRfGvJMT8boNHvL+lg98AwG41FjNC45pVT7mCe8Y3vINAaCfsYnXHJNYGXcTF/7f8/WS85nIXDufc7fMBAO+7PIoV6YOsDolkXoRU5wJQETCuRZnIiINiYpiDcDxgxmEAkWmk8iollanIxJ0NG2NiAjceeedjBo1qtqYpKQkcnNzAejevXtgu9vtpmPHjqSnpwMQFxfH8uXLyz32+PHjlJSUBHqDxcXFVegRdujQIYAKPchOPc+pwy2tYDdM7Ia/gFfq8xfxvDb/9qr4TontaM/AYfhof3B+veR7JoqyD+P8cBx2w2Rh6BAGj7rH6pRE6oWn1Msgz1TacYhZnfpYnY6INCAqijUA+Sf8DcQTRhRxNo1oFak1ZllPMVXFRERiYmKIiYk5bVxKSgput5utW7dy8cUXA1BSUsKePXto397fo71///5MmjSJAwcOEB8fD/gn33e73aSkpARiHnnkEYqLi3G5XIGYhIQEkpKS6uAZ1o4jv1qKJyKCB1wRPOAM9W8sHcBhzy+rfMydrnDudIYDcHhLGHwyCycl9ZFuzZkmu175Nd3NI+whgR63PY/dps9HaRr2HS8k1wxjj6sTMc0jrU5HRBoQFcUagKKcIwDk2yIszkQkuASG6Vich4hIYxIZGcm4ceN4/PHHSUxMpH379kydOhWAG2+8EYAhQ4bQvXt3Ro8ezdSpUzl27Bj3338/Y8eOJTLS/4Xz5ptvZuLEiYwZM4ZHHnmE7du3M3nyZB577LEGvfJkTFxi4Dl8LwRoXsMD+AuPTrO0NtM6a4s27qXkWB6dbA6OXjWTpBiNTpCmY+9R/7zN7VqGN+i/PyJS/1QUawA8uf6iWKFDVy1EapOBeoqJiPwYU6dOxeFwMHr0aAoLC+nXrx8LFiwgOjoaALvdzty5cxk/fjwDBgwgNDSUm2++mWnTvp93Kyoqivnz53PHHXeQmppKdHQ0aWlp5eYMC0Yh7hAAnJRS6vXhsFs/CuBYfjH3/3cHR0ru45HzvPzmwkutTkmkXhmb/ssTjvnkhFwG/MTqdESkAVFRrAEozfOv5FTsjLI4E5HgEpj9RVcERUTOiNPpZNq0aeWKXD/Url075syZU+1xevbsyeLFi2s7vQbNFeIfcumiBE+p9UUx0+fj0Q/WcyTPQ+fWEfzypxdbmo+IFSIyv+GXjvkssWlFWxEpT0WxBmBd1OU86Qnl0nPbc57VyYgEke3x13D/d224uGMiKVYnIyIiTYLb7S+KOQwf2Z5iwt3WNrc3/ecxLt+6jmW2X/K3my4mxGm3NB8RK4Tk7wfAHt3e4kxEpKFRUawBOFASxlrzHPq36GR1KiJBpdjRjH1mK/KdLaxORUREmgibO4wvfCl4TDt9SqydbP/Q9pWcu/k5eti9NOtxJcltNCpBmqZIzwEAQlslWZuIiDQ4Koo1ANmF/gZT81CnxZmIBCcNnhQRkXrjDOVe2+/JLSplgc+6XllmqYfC/4ylteFlmfsirvjZOMtyEbGUadLKdwiA5vHqhCAi5ako1gB0OzSXFvZMEs0oQH+oRWpL7LGVPOz4AHfOeUBfq9MREZEmwu2wk0spRSU+y3LY8u7jdCvZxXEzgribn8Ph0LBJaZpyjx0ggmJ8pkHrRH3XEpHyVBRrAC7J/piuzs2sKb4Q0OSnIrUlJncTwx1zWZnvsToVERFpQkKcNsCkqKTUkvOf2L2Wc7a+CMCy7o9yVfsOluQh0hAc2beDCOCIEU3r0DCr0xGRBsb6NaKFMF8OAK5mLS3ORERERETO1sdFY9gT8guMY7vr/+Q+H8f/cwdOvHzt7M/lN/y2/nMQaUByD+4B4Igj1tpERKRBUlGsAQjxFQLgCtPkpyK1yjT9/2hWMRERqUc2/J8/xSVF9X7u5WtW06wgnTwzhKjrZ+ByqLkvTdvqsIvpVfQibyb80epURKQB0vDJBiDULAQD3OERVqciElTMsh8MFcVERKSi0S8v5zeZj9LJl05ukReA3K+exwgPBaDIcPGxY0jg0srA0qXEmEcrPZYXO/91XgXAMNO/eFL+pv+RU7C60vj3ncMDP/fzrqKNL6vKPD90XonX8DfbU0vX0s63r9K4glKDcV+fg9czjZFtjjD88E6yDy6o8rjznJdRYPiHk/Xwbqazd2eVsV84B5Jr+Nuq3Xzb6OLdXmXsl44BnLA1B6CzdyfdvVuqjP3acSFHbf7REknevfT0bqwydrnjfA7ZWgOQ6MugT+l3VcaucpzHAXs8APHeTFJK11QZu9bRm332tgC09h3i/JKVVcZudPRgj709AC19R7mwZHmVsVscXdll7whAc/MEFxV/U2Xsdkdntjs6YwDNzDx+4vmqythdjo5sdXQFINQsYKBnUaVxBpDuaM8mZw8AXKaHQUVV//+QaW/DelcvAOxmKVcUzS9/sFNk2eJZ5+oTuD+06NPAzzn5/gv+O/96CREhdjY7e/Bys+97LE4+fj9OKl+ZdZfjHJ6PvCtwyseOP0q4mV9pbIajHW/HP8SfrksmPiq0yue1P7uIHJoR2lrDiEWkIhXFLGb6fIThv4oY2qy5tcmIBBvTPH2MiIg0WQeyi4gsOUqC7SA5Jy+lRJBPBP4Cmd3nJqfw+y/vLns+kUZepccqMR2BFcVLDAeY4PAWEknl8SdOOa7dVkCkLbfKPLMLSyjFP2m/rZrYF3fFcrywlIiQ5rg698YoXUdUNcfNKSwmt+zrgK2g2ti8Qg/HcQPgsxUQZcupMja/0MMxigEoNQqJslcfe/RkbBujgOb27CpjC4sKOWr65wmNPU1sUVEBR07GRhuF1cZ6ToltZhQSbT9RZWzxKbEhp4ktLcrn8MlYG4VEO6qO9Z4SW0oh0Y7jVcaanlwOFfq/P0RSSItqYtM9LTh4MjYUDy0cx6qMPVAcwcEif6yD0vKxP2hSHSkNC8QC5WIdPv/2Tt5dRJYapHuasfb4icD+Du4dhBrFleZwxONkzYnvYxPdO2hpVP7/ZX5xKf/bfIiLz8lizICqC177T/iLdG2aV104E5GmS0UxixUW5BJm+D9lQptp+KRIbTICLTj1FBMRkYqe+XlfODSTrSUF5OXlw5PXcPScGyiOONl737BxU1jrQLyj8CqO+Cr/Mm9iMDIszv/zMjeUQm7kuRzpfGml0SPDE74/riecI6VFp+wt7/qwODD8wyDtRc04UlpYIe7Y9mXsT98EtOaRq7txybmtcHiiOFySUuXzvzIsFk72QLN7Ijhc0qvK2MtDW2Pa/T3g7MWRHCruUWXsT0JbYdpc/tiSKA4Vd6ki0qR/SCtMu/tkbHMOeapeHfD8kJakOPyFDVtJNIc87auM7RPSkp6OsJPHbcEhTxv/GSu5XtYjpAXdHOH+45a25GBR64pBJ53rjqaTs9kpsVXPCdzBFUWiK9If621FVmHzKmMTnZHEu/zfBQxfK7IKwquMjXNGcK07+mRsCVkF15YPOOU5tnQ249qQlidjS8nK/0HsKaKc4YFYTB9ZeddU+H+xTJgjjGtCWgXuH8i9JvBzbm4e8ATr+j9Ns/Awwt0t+GeLnoHUNh94DoPKV2a1u5rzYovegfu7D/6dvb7Ke5V9sDkX9kOpr/qLoMMyZtDP4aGj6/eAeouJSHkqilmsIC+HMMBnGoSFafikSG0yA/+qKCYiIhV1i4+E+AsByMnx92ZqGZ9EZGRk5Q+Ialuj42bYXVAKLrebmDYda/CINjU6rl/F3i5maTEls67lb44M+rSCUecPwzCMSmPP5LhVCwPiziC2phOchwFVF6QqxrY6bdT3sTFnEFvTxa/CzzA2ug5iAZqfQewZXIRvVXXhs7rY8JPvpd6XXl/5e6n7jTU/bo8bqtz15pHV2PfvA5+36sebJgOLvqCZo5Dt4Q/V/Lwi0mTUy8ybHo+HPn36YBgGa9euLbcvPT2dESNGEB4eTkxMDHfffTfFxZVfgatvHo+HnJyccrfalmc043rPBMaaj2CzayJUkdq0JfYaBnv+ymetb7c6FRERaUJ8hr9Hla/EUy/n2zbnKRJKMzhqRjLwhvEnC2Iiwe3nB6awM2Q0yRlvVhlTmHOMZvh7VrZuc059pSYijUi9VGEefPBBEhISKmz3er0MGzaM/Px8lixZwr///W9mz57NfffdVx9pndaUKVOIiooK3BITE2v9HHmlNlab57LefV6tH1ukqStyRbPdbEuOq6ZXnUVERM7evmbJLPL2Jseo+1EAnpxDJKx9CoAVHceT1PZMep2JNGYni7/VzCF7eL9/QYijZiSRUZqqRkQqqvOi2Keffsq8efOYNm1ahX3z5s1j06ZNvPnmm/Tt25crrriC6dOn89JLL9VJr6wz9fDDD5OdnR24ZWRk1Po58j2lADRzaySrSG0zq5wJQ0REpO7Mb/c7xpT8nt1hPev8XDvfeZQI8tlGEj8ZmVbn5xNpME72iDSrKYrlHNgFwBFHa/WgFJFK1Wkl5uDBg4wdO5b//ve/hIWFVdi/dOlSkpOTy/UiGzp0KB6Ph1WrVjFo0KAKj/F4PHg833dFr8vimdvtxu1219nxAXxHd3Kb/RMMoz1waZ2eS6SpiTu+hnsdn9A8JwXofdp4ERGR2hDitAPgKa18MvHakrN3HV32vwvA/gsf49zQum23ijQkZqB/R9VFsaIjewHIdcfXQ0Yi0hjVWU8x0zQZM2YM48aNIzU1tdKYrKwsYmPLT7wZHR2Ny+UiKyur0sfUx5DG+uQ8tJ4/Ot/kes9HVqciEnTic9Zwr+N9uud+bXUqIiLShIQ4/U3sopJqJgCvBYdmP4Adk6+cF3HJkOvr9FwiDY0RGD5ZdfHZzNkPgCespgtDiEhTc8ZFsQkTJmAYRrW3lStX8swzz5CTk8PDDz9c7fEq68ZqmmaV3VvrY0hjffIV5QJQYq/Yk05Ezo5RduFQ3eVFRKQeDdk5mY3uX5Fy8N06O8eeI/lMOjaItb5OhFz1J+w2fdZJE1P2v3w1wyeN/MP+kAj1FBORyp3x8Mk777yTUaNGVRuTlJTEn//8Z5YtW1Zh+GFqaiq/+MUveO2114iLi2P58uXl9h8/fpySkpIKPcjK1MeQxvrkLcoDoNShophIbTOp22ErIiIilXFSSrjhwVZaWGfnmPr5VhaW9sJ37uW8dl7lozJEgplpnOzfUU1R7OmINNZm3cCEc+t+fj8RaZzOuCgWExNDTEzMaeOefvpp/vznPwfuZ2ZmMnToUN555x369esHQP/+/Zk0aRIHDhwgPt5fvZ83bx5ut5uUlJQzTa1RMj3+nmJeZzOLMxEJQoE2kq6ei4hI/TEcJy/glhbXyfE37DvB3PUHMAx4+OqudXIOkYYuK/Qc5ntTKA1tV2XMwRwPOYQT0/L0319FpGmqs4n227Ur/8epWTN/0adTp060bdsWgCFDhtC9e3dGjx7N1KlTOXbsGPfffz9jx44lMjKyrlJrUIySAgB86ikmUgdOVsU0fFJEROrTyaKY4fOcJvBH8JYS9vpQ7rD34lCPX9M1rmm0mUV+aHnMDby183zujenMVVXEHMj299aMiwqpv8REpFGp09UnT8dutzN37lzGjx/PgAEDCA0N5eabb2batGlWplWvbKX+opjpVFFMpK6Y6ikmIiL1yDjZrrOV1n5RbM+Cf9KxeAu3OfaRc+mfav34Io1F2TXPqkZPFuYcY7r3SbIcLYiNuKL+EhORRqXeimJJSUmYlfzFateuHXPmzKmvNBocw1sEgOnQ1QuRWqfhkyIiYgHDFQqAw1dUuwf2lhC27G8AfBN/K8MTtKKeNF22k1WxqmYUO5q5i8H21Rw3I4gMddVfYiLSqJzx6pNSu75oPpJfFv+e9PihVqciEnQ2xl7LNZ4/8WXsLVanIiLSqGzbto1rr72WmJgYIiMjGTBgAAsXLiwXk56ezogRIwgPDycmJoa7776b4uLyc2itX7+egQMHEhoaSps2bXjiiScqvUgabAyXv6eYw1u7E+1v/9/LtPZmccSM4rwb7qvVY4s0Nlfte4pt7tFcuPeFSvfnHkoH4Ji9JYam0hCRKqgoZrF0W1sW+3pTHNXB6lREgk6eO4bvzE5ku7QMt4jImRg2bBilpaUsWLCAVatW0adPH4YPH05WVhYAXq+XYcOGkZ+fz5IlS/j3v//N7Nmzue++7ws1OTk5DB48mISEBFasWMEzzzzDtGnTmDFjhlVPq974Itvyra8Le6nFnlzeUpp9+3cAVrW5hYRWLWvv2CKNkA0Tl+HFML2V7i88lgFAjrN1faYlIo2MpXOKCRSV+P+IhzjsFmciEnyaQGcEEZFad+TIEXbs2MErr7xCr169AHjyySd57rnn2LhxI3FxccybN49NmzaRkZFBQkICANOnT2fMmDFMmjSJyMhI3nrrLYqKipg1axZut5vk5GS2bdvGjBkzSEtLq7TnhsfjweP5fh6unJyc+nnStayw8zWM/KwFsSFubq+lY+5a9BodvZkcMyPo/dO0WjqqSBCoosHnPbEfgKJQFcVEpGrqKWax5JyvuMm+kObFB6xORSToxOes47f2jzknb4XVqYiINBotW7akW7duvP766+Tn51NaWsoLL7xAbGwsKSkpACxdupTk5ORAQQxg6NCheDweVq1aFYgZOHAgbre7XExmZiZ79uyp9NxTpkwhKioqcEtMTKy7J1qHQpz+JnZRia92DmiauJf5e4ktj7uZuFYxtXNckcbMKPsqW3lRzJbr/37lbaYRAyJSNRXFLDY89z/8xfkSMfnbrE5FJOi0P7GMh53/onv2V1anIiLSaBiGwfz581mzZg0RERGEhITwt7/9jc8++4zmzZsDkJWVRWxsbLnHRUdH43K5AkMsK4spu18W80MPP/ww2dnZgVtGRkYtP7vveTwecnJyyt1qi/vkCICyEQFna+OBHEbn3cXb3svpfq3mEhPxK1t+svLis6vwoD8qMqHS/SIioKKY5Rymf4iA4+QqRSJSi8xy/4iINGkTJkzAMIxqbytXrsQ0TcaPH0/r1q356quv+Pbbb7n22msZPnw4Bw5837O9suGPpmmW2/7DmLJJ9qua9NrtdhMZGVnuVlfqsldas0Or+NY9nrdtf6yVhQWeW7STXWYCy7r/kfYJsad/gEhTEPg7Uvl7zFV8AgB3i7b1k4+INEqaU8xiLt/Jopg7zOJMRILRyS9fFmchItIQ3HnnnYwaNaramKSkJBYsWMCcOXM4fvx4oCj13HPPMX/+fF577TUeeugh4uLiWL58ebnHHj9+nJKSkkBvsLi4uAo9wg4dOgRQoQeZFR5++GHS0r6fmysnJ6fWCmMup51o4wSFpgtPqY8Q54+fO3bnwWw+We8vRv7fpZ1qJT+RoFA2fLKKwvOtxmQKio7xRqdL6jEpEWlsVBSzmNP0L12uophIXShrJKksJiISExNDTMzp56IqKCgAwGYrP6DAZrPh8/mHKfXv359JkyZx4MAB4uP98/XMmzcPt9sdmHesf//+PPLIIxQXF+NyuQIxCQkJJCUl1dbT+tHcbne5+c5qkyskHIBQoxhPydkVxUrfHMnfHDaWtf8/usXXXc85kcbmeEgiS7w9OOGu2BPM5zM5kl9MKeG0bhFlQXYi0lho+KTFXPiLYs4QFcVEat3JK4dmFcN0RESkov79+xMdHc2tt97KunXr2LZtGw888AC7d+9m2LBhAAwZMoTu3bszevRo1qxZwxdffMH999/P2LFjA73Lbr75ZtxuN2PGjGHDhg188MEHTJ48ucqVJ4OJw+0vioXgwVP64+cVy969mi65yxhhW8qofkm1lJ1IcPgu7npuKXmU1THXVdiXXVhCqc/fDmwZXjfFbxEJDiqKWcx9sqeYS0UxkTqgnmIiImcqJiaGzz77jLy8PC677DJSU1NZsmQJH374Ib179wbAbrczd+5cQkJCGDBgACNHjuS6665j2rRpgeNERUUxf/589u3bR2pqKuPHjyctLa3ckMVgZbj87boQis9qBcoDn/lfz6/dF9M7uWet5CYSLMpq675Khk9mZ2zgJed0/hjyLi6HvvKKSNU0fNJCpmniLuspdvKKoojUokAbSUUxEZEzkZqayueff15tTLt27ZgzZ061MT179mTx4sW1mVrj4AgBwGV4KfIUAWd+8bP42D7OOfgZAN4L7wj63nUiZ8pWzXuiIGsHg+2r2GbLrseMRKQxUtncQiWlPsaW3Mf/Fd+DK6KF1emIBJ3vWl/DqOI/sKL1DVanIiIiTYnz+yJYSVHBjzrEnk/+hgMva4xuDLhkaG1lJhI0+u17hXXu27l833MV9nlO+BenyHPqO5aIVE89xSxU5PWx0NcXgL+FqqeYSG07EdKGZb4iuoXUzmpiIiIiNeJws93WgdxSO5QUn/HDzZJC4nb+B4DMbrfRV8O/RCpw+oqJMgpw+Ioq7PPmHATAE3L6xUVEpGlTUcxCnlPmmHCrsSNS68qmmDA0fFJEROqTYXBX5NNsycrlDVvEGT989+K36GjmkGm2pP9Vv6iDBEWCQNnwyUrmFCPPXxTzhraqx4REpDFSUcxCnvxsfmb/kmJbKIYxzOp0RIJOQu56RtuXkJh3IdDd6nRERKQJcTvtQPmLoDX1z6xzCC+5ma5JbbghQosxiVTKKOtUULEo5ig87P8hIrb+8hGRRklFMQt5s/czzfkCOYQDE61ORyTodD6+iF8632TpCQ/wc6vTERGRJiTk5CiAolLvGT0uu7CE2ZsL8XiH8+GVA+oiNZGgYAT+rVh4Dik+CoAjUkUxEamexuxZqMRTCIAHl8WZiAQ3DZ4UEZH6dl/2FJa7xxORsfCMHvfRukw8pT66xkXQq21UHWUnEgRO9hQzKxk+6S7JAyA0Or5eUxKRxkdFMQuVevyrERUbKoqJ1AWjsjkmRERE6kEUecQaJzDzj9X8QYUn6PXFaK61LeHGlDYYhi7riFSp7O1RSXvvRmMqyUX/xNm+X/3mJCKNjoZPWshb7AGg1HBanIlIkDNU/xcRkfpV6mwGgLcou8aPyfrqVXqXrOP/nMdo1efxukpNJCjkueNY5evMMWf53mClXh9HC0owCaNV8zNf6EJEmhZ9U7SQr9RfFCtRTzGRumGe+eTGIiIitcHr8n8ZN4tya/YA08RY8wYAa1pfT8uIkLpKTSQobE+4lhuKJ/JFzM3lth/LL8Y0wWZAi3B9zxKR6qmnmIV8Jf6imM/Qr0GkLmjwpIiIWMV0RwJg8+TUKL5432piC3fiMZ20vWR0XaYmEhRsZcOLf9Dgy0lfx0vOaWQ4k7DbhtV/YiLSqKgaY6GynmJeDZ8UqROBqSY0J4uIiNQzw+3vKWYrrllPscyFL5MEfGnvx+U9zqm7xESCRFnzzveDOcWKDu5gsH01m418C7ISkcZGRTELHY7qyZ3Fd5HQIp5uVicjEoTWxFzDCxlt6d/qPPpbnYyIiDQpttDmADhK804fXOohZs9HAGR3uRG7TRdzRE6n2/73WOqeyZaDlwEvB7Z7ThwAoMDV0qLMRKQxUVHMQjmuWOb4+nNJs1ZWpyISlA6HJLHQZ9IltKPVqYiISFMTlcAWXyIHzejThh5b/V9a+HLJNFtw/qDr6yE5kcbPWZpPvHGMdG/53pi+3EMAFLtVFBOR01NRzEIlXv8k4C67rgaK1CWNnhQRkfrmOfdahn/RisRmodxwmtgvM7y09vYgK6oXN7SOrJf8RBo7IzCnWPmFlWwFRwEoDVVRTEROT6tPWigkZzdX2r6lU8l2q1MRCUrx+Rv5mf1L4vK3WJ2KiIg0MZEh/mvPuUWl1cb5fCZ/2xnPL0oehUsfrY/URIKDzf9V9ofXPu1Fx/w/hKkoJiKnp6KYheIPfsnzrqcYnDPb6lREglLPo/OY5nyBc48utDoVERFpYqLC/AspZReW4PVVvR7y8t3HSD9WQDO3g6t6xddXeiKNnkHlPcVcxccBcDSLqe+URKQR0vBJC5neEv+/Nq0+KVI3Tn4J0fBJERGpZy2MAj5z/Z4WRi7HcjfTKiqsYpBpsm/+s7SiE1f07kWYS01zkRoLzI9RvujsLPWvOumM1LzNInJ6+uS1krcYAJ/NZXEiIsHJCDSSVBUTEZH65QiNpKstA4BtR7NoFVVx0Zf8XUu5MWs6Q91h7Oq7pr5TFGncAnOKlS+KjXX9hYN5x3kjaYAFSYlIY6Phk9XweDzk5OSUu9Wqk0Ux066eYiJ14fsmkopiIiJSz+wOso0IAHKOHKg0JHPRPwFY5upH76TYektNJBgUu1qw2deOo47W5bYfLSihkBCaRzSzKDMRaUxUFKvGlClTiIqKCtwSExNr9wRlRTENnxSpG6aGT4qIiHVy7dEAFB6vpChWXEDCvk/9Pyb//PuV9ESkRtLbDOOq4ieZ3WJsYFuJ1xdY3KJluEbjiMjpqShWjYcffpjs7OzALSMjo1aPb5ycUwy7/mCL1IWy4ZOGqmIiImKBQlcLAIqzD1bYl7nsXcLNAjLMVlx42TX1nZpIo2c7WUg+dR2L7IN7eck5nT85XyUyVB0PROT0VBSrhtvtJjIystytVvn8PcVUFBOpG2bgXxXFRETKTJo0iYsuuoiwsDCaN29eaUx6ejojRowgPDycmJgY7r77boqLi8vFrF+/noEDBxIaGkqbNm144oknMH8wt8+XX35JSkoKISEhdOzYkeeff76unlaD5AnxT/Rt5mRW2Fe04nUA1ra4mpiI0HrNSyQYlHWu9J3ydyfvcDqD7au4wr4Gu03tPxE5PU20b6FVkUN5/0AMqa0G0t/qZESC0KoWw3g9sy39YvrrPSYiclJxcTE33ngj/fv35+WXX66w3+v1MmzYMFq1asWSJUs4evQot956K6Zp8swzzwCQk5PD4MGDGTRoECtWrGDbtm2MGTOG8PBw7rvvPgB2797N1VdfzdixY3nzzTf5+uuvGT9+PK1ateKGG26o1+dsldLIdnAMnDnp5bYXH9lDUu4qAFpc9EsrUhNp9BIzP2WBazq7j54PvAlA4YlDAOTaooi3MDcRaTxUFLPQTnc33vdGck7zrlanIhKUDoR14RNfKJ3Dz7E6FRGRBmPixIkAzJo1q9L98+bNY9OmTWRkZJCQkADA9OnTGTNmDJMmTSIyMpK33nqLoqIiZs2ahdvtJjk5mW3btjFjxgzS0tIwDIPnn3+edu3a8dRTTwHQrVs3Vq5cybRp05pMUcwV24Vtu9qwrzi83PbvViyip+lgva0L/c47z6LsRBo3d2keHW1ZHPceCWzz5BwGoMDR3KKsRKSx0fBJCxV7fQA47fo1iNSFwDz76j0vIlJjS5cuJTk5OVAQAxg6dCgej4dVq1YFYgYOHIjb7S4Xk5mZyZ49ewIxQ4YMKXfsoUOHsnLlSkpKSio9d52v/F3PQlJ/wZDiqUwquK7c0NIZ+7pyvudZvuvzOA61A0V+nLL23Smjtr25/qKYx9W83tMRkcZJn8IWis/bxEDbOiJLDludikhQii/YwjDbMloU7LI6FRGRRiMrK4vY2Nhy26Kjo3G5XGRlZVUZU3b/dDGlpaUcOXKEytT5yt/1rG10GDYDCoq9HM71ALD7SD7f7DxKrtGMIZdcbHGGIo2XYZR9lf2+KmYWHAWgNKSFBRmJSGOkopiFhh1/g9dcf6HdsaVWpyISlM4/+jHPup6m85EFVqciIlKnJkyYgGEY1d5WrlxZ4+MZlXSxNU2z3PYfxpT1hDrTmFPV9crf9c3lsJHUMhwbPjbv818E/WTREgAuPbcVbaPDrExPpHE7WRQzTF9gk63wGAC+0JaWpCQijU+dF8Xmzp1Lv379CA0NJSYmhuuvv77c/pqsbhSs7CdXnzQcWn1SpG5o/KSINA133nknmzdvrvaWnJxco2PFxcUFenuVOX78OCUlJYGeX5XFHDrkn+D6dDEOh4OWLSv/wlrnK39b4A/ud1jvvo3Sb1/lxK6V3LFhJO+4nuDWC9tYnZpII1dx/KThyfb/G6aimIjUTJ1OtD979mzGjh3L5MmTueyyyzBNk/Xr1wf212R1o2BmM/3zadgc7tNEisiPYZw6yYSISBCLiYkhJiamVo7Vv39/Jk2axIEDB4iP96/fNm/ePNxuNykpKYGYRx55hOLiYlwuVyAmISGBpKSkQMzHH39c7tjz5s0jNTUVp9NZK7k2Bi1bxRJ+1ENsxqccf38hzYGikFgGdk043UNFpBqV9Tid3vwPrDyyn7907mVBRiLSGNVZT7HS0lLuuecepk6dyrhx4zj33HPp0qULP/vZzwIxZasbvfnmm/Tt25crrriC6dOn89JLLzX6iVVrwu4rBdRTTKSumKqJiYhUkJ6eztq1a0lPT8fr9bJ27VrWrl1LXl4eAEOGDKF79+6MHj2aNWvW8MUXX3D//fczduzYQM+tm2++GbfbzZgxY9iwYQMffPABkydPDqw8CTBu3Dj27t1LWloamzdv5pVXXuHll1/m/vvvt+y5WyHp0lvxmgbJ3k10yFuNx3QSeuWEKoeQikjNlLoi2OOL5YQtOrDtWH4xRbiJimj8vUxFpH7UWVFs9erV7N+/H5vNRt++fYmPj+eqq65i48aNgZiarG70Q8G0KpG9rKeYU0UxkbpgaPikiEgFjz32GH379uXxxx8nLy+Pvn370rdv38CcY3a7nblz5xISEsKAAQMYOXIk1113HdOmTQscIyoqivnz57Nv3z5SU1MZP348aWlppKWlBWI6dOjAJ598wqJFi+jTpw9/+tOfePrpp7nhhhvq/TlbKSquAyvb/QqAEtPOp50e5YLzzrM4K5HG73DbIVxa/Deei/xdYNvxAv/0NNFh+n4lIjVTZ8Mnd+3yr/Y2YcIEZsyYQVJSEtOnT2fgwIFs27aNFi1a1Gh1ox+aMmUKEydOrKu061VZUcyu4ZMideTkhM5aU0REJGDWrFnMmjWr2ph27doxZ86camN69uzJ4sWLq40ZOHAgq1evPtMUg84Fv5rO2tU3YrqacW3PnlanIxIk/Bc9fWVDA0yTJ4qmkO0MpbntPKC5ZZmJSONxxt8Ua7q6kc/nXwXk0Ucf5YYbbiAlJYVXX30VwzB49913A8eryepGpwqmVYkcpn/4pM2popiIiIhIsDJsNvqkXkTfXr00bFKklthOvpXKZssoKcplsLGCn9kXExkWYlleItK4nHFPsTvvvJNRo0ZVG5OUlERubi4A3bt3D2x3u9107NiR9PR0wL8q0fLly8s99oerG/2Q2+3G7Q6OItIrjpFQcJQbmidZnYpIUFrR/Gr+c6gtqS1/woVWJyMiIiIitaZV1lfMdf2JjJzuwADyso8RDRSbdiI0p5iI1NAZF8VqurpRSkoKbrebrVu3cvHFFwNQUlLCnj17aN++PVCz1Y2C2SdczCGvhxsj461ORSQo7QnryXveaDpGdLY6FRERERGpRc7SHHrY9uL1RgFQcOII0UAu4bR02K1NTkQajTqbUywyMpJx48bx+OOPk5iYSPv27Zk6dSoAN954I1B+daOpU6dy7NixCqsbBbMSr3+IqdOu+Y5E6oIWnxQREREJToZx8jvUyTnFCnOPAZBna0ZLq5ISkUanzopiAFOnTsXhcDB69GgKCwvp168fCxYsIDrav2xu2epG48ePZ8CAAYSGhnLzzTeXW90omPXxbiTP8OEyNbBLpC7EFe5gkG0zUYXhwDlWpyMiIiIitcQMzM/nL4p58o4CUGhrZlFGItIY1WlRzOl0Mm3atGqLXDVZ3aihME9ehcjJyamV4z3p+TOhRgkHDl9KTpizVo4p0hiUvYfK3lN1ZeDR/3C/6zOWHfYAl9bpuUREpHGr7XaeSFNVX+28sp5itpNFseK84wAUOSLq9LwiElzqtCgWbMoWD0hMTKzdAz+ZWrvHE2kkjh49SlRUVB2e4WRjTCt9iYjIadRZO0+kiarrdp5B2fKT/vaetyAbgGIVxUTkDKgodgYSEhLIyMggIiLirJfTzsnJITExkYyMjEY5f5ryt1Zjzz87O5t27drRokWLOj6TZhUTEZGaUTvve8rfWo09//pq55X1FDNOtvdWtL6RX6zpyg1dYrmgTs8sIsFERbEzYLPZaNu2ba0eMzIyslF+2JVR/tZq7PnbbPW0yIR6iomIyGmonVeR8rdWY8+/rtt5psPNYTOKHMPfMyzHU4oHF+5mdTkKQUSCjYpiIhK0jMBcFiqKiYiIiASTE20v5WrPTDpHNWM+kFNYAkBUqOZqFpGaU1FMRIKYimIiIiIiwch2ciSA7+RF0H77Z9HHsY2QotuAcy3MTEQak3oauyQ/5Ha7efzxx3G73Van8qMof2sp/zOkmpiIiNQjfU5bS/lbq77yL2velQ0MODd3OTc6FtPKPFqn5xWR4GKYdb1Wbh3LyckhKiqK7OzsRj3mXkRq37Mvv0TWrg30HXAl1199ldXpiEgDozZEw6ffkYhUZePKLyn46H5OuOIZ/IeP2POn3iR597DqkldJuex6q9MTEYvVtA2h4ZMiErS2hqXykTeBpMiuVqciIiIiIrXIUZrH+bZt7PEWABDqywPA3ay5hVmJSGOj4ZMiErQadTdYEREREamaYQfAhg+AcDMfgNColpalJCKNj4piIhK04jx7uNC2ifCig1anIiIiIiK1yLB9XxQzvSU0oxCA8EgVxUSk5lQUE5GgNfTo6/zb9WeSDn9hdSoiIiIiUptOKYoV5h4PbI5oHmNVRiLSCKkoJiJBTAMoRURERIJRoKeY6SM/27/iZJ4ZQlhI41y1U0SsoaLYWZowYQKGYZS7xcXFVfuYL7/8kpSUFEJCQujYsSPPP/98hZjZs2fTvXt33G433bt354MPPmgQ+b///vsMHjyYVq1aERkZSf/+/fn888/LxcyaNavCMQ3DoKioyPL8Fy1aVGluW7ZsKRfXUF//MWPGVJp/jx49AjH1+foD7N+/n1tuuYWWLVsSFhZGnz59WLVqVbWPqa/3gBFYXNeoNk5ERKQyauepnVef+audd4YM/5pxNnwcd7eha9Gr/NT+DIahdp+I1JyKYrWgR48eHDhwIHBbv359lbG7d+/m6quv5ic/+Qlr1qzhkUce4e6772b27NmBmKVLl3LTTTcxevRo1q1bx+jRoxk5ciTLly+3PP/FixczePBgPvnkE1atWsWgQYMYMWIEa9asKRcXGRlZ7pgHDhwgJCTE8vzLbN26tdxjOnfuHNjXkF//v//97+ViMzIyaNGiBTfeeGO5uPp6/Y8fP86AAQNwOp18+umnbNq0ienTp9O8efMqH1Of7wHjZE8xNY5EROTHUjtP7bz6yl/tvDNs5zmc5JqhFBJCdlEpRbgpCW31Y5+uiDRVZiOXnZ1tAmZ2drYl53/88cfN3r171zj+wQcfNLt27Vpu229/+1vzwgsvDNwfOXKkeeWVV5aLGTp0qDlq1KizyrUyZ5p/Zbp3725OnDgxcP/VV181o6Kizi6xGjrT/BcuXGgC5vHjx6uMaUyv/wcffGAahmHu2bMnsK0+X//f//735sUXX3xGj6nP98CqqcNN8/FIc+m/ppzR40SkabC6DSGnZ/XvSO08tfPOhtp5fnX1HthyIMds//s55nlPzDP/tynLbP/7OeaIZ746o2OISPCqaRtCPcVqwfbt20lISKBDhw6MGjWKXbt2VRm7dOlShgwZUm7b0KFDWblyJSUlJdXGfPPNN7WfPGeW/w/5fD5yc3Np0aJFue15eXm0b9+etm3bMnz48ApXGGvTj8m/b9++xMfHc/nll7Nw4cJy+xrT6//yyy9zxRVX0L59+3Lb6+v1/+ijj0hNTeXGG2+kdevW9O3bl5deeqnax9Tne6Bs9KR6iomIyI+ldp7aeWdD7by6ew/Ybf72XanPxL13EdOcz3ON939ndAwRERXFzlK/fv14/fXX+fzzz3nppZfIysrioosu4ujRo5XGZ2VlERsbW25bbGwspaWlHDlypNqYrKwsy/P/oenTp5Ofn8/IkSMD27p27cqsWbP46KOP+Ne//kVISAgDBgxg+/btlucfHx/Piy++yOzZs3n//ffp0qULl19+OYsXLw7ENJbX/8CBA3z66afcfvvt5bbX5+u/a9cuZs6cSefOnfn8888ZN24cd999N6+//nqVj6nP94BKYSIiFU2aNImLLrqIsLCwSodBrVu3jp///OckJiYSGhpKt27d+Pvf/14hbv369QwcOJDQ0FDatGnDE088gWmWX+CkJnMLNWRq56mdV5/5n0rtvNNznCyKeX0mIUc38jP7YpJLN57RMURENHyyluXl5ZmxsbHm9OnTK93fuXNnc/LkyeW2LVmyxATMAwcOmKZpmk6n03z77bfLxbz55pum2+2um6RPcbr8T/X222+bYWFh5vz586uN83q9Zu/evc277rqrttKs0pnkX2b48OHmiBEjAvcby+s/efJks2XLlqbH46k2ri5ff6fTafbv37/ctrvuuqtcF/kfqs/3wHMz/25OeuS35seff3pGjxORpqGhtSHqy2OPPWbOmDHDTEtLq3QY1ssvv2zedddd5qJFi8ydO3eab7zxhhkaGmo+88wzgZjs7GwzNjbWHDVqlLl+/Xpz9uzZZkREhDlt2rRAzK5du8ywsDDznnvuMTdt2mS+9NJLptPpNN97770a59rQfkdq51Wkdl7NqZ1Xu++Bfem7zS//MMBc8Nil5rcv3W2aj0eaS5657YyOISLBq6ZtCId15bjgFB4eTs+ePau8WhMXF1fhKsihQ4dwOBy0bNmy2pgfXlGpC6fLv8w777zDbbfdxrvvvssVV1xRbazNZuP888+vkytYP1TT/E914YUX8uabbwbuN4bX3zRNXnnlFUaPHo3L5ao2ti5f//j4eLp3715uW7du3cpNpvpD9fkeWBt+EZ97O/GnyG5n9DgRkWA2ceJEwL+KXWV+/etfl7vfsWNHli5dyvvvv8+dd94JwFtvvUVRURGzZs3C7XaTnJzMtm3bmDFjBmlpaRiGwfPPP0+7du146qmnAP/nw8qVK5k2bRo33HBDpef2eDx4PJ7A/ZycnLN8trVL7byK1M6rObXzavc94KSYS+zrKTKdbPD4h5j63JFndAwREQ2frGUej4fNmzcTHx9f6f7+/fszf/78ctvmzZtHamoqTqez2piLLrqobpI+xenyB/jXv/7FmDFjePvttxk2bNhpj2maJmvXrq32mLWlJvn/0Jo1a8rFN/TXH/zDUXbs2MFtt9122mPW5es/YMAAtm7dWm7btm3bKsx9cSor3gMaRikicnays7PLzSu1dOlSBg4ciNvtDmwbOnQomZmZ7NmzJxBzurmFfmjKlClERUUFbomJibX/ZM6C2nkVqZ1Xc2rn1e57wGb39++w4cPhyQbACG1+RscQEdHwybN03333mYsWLTJ37dplLlu2zBw+fLgZERERWCXmoYceMkePHh2ILxtK8Lvf/c7ctGmT+fLLL1cYSvD111+bdrvdfPLJJ83NmzebTz75pOlwOMxly5ZZnv/bb79tOhwO89lnnzUPHDgQuJ04cSIQM2HCBPOzzz4zd+7caa5Zs8b81a9+ZTocDnP58uWW5/+3v/3N/OCDD8xt27aZGzZsMB966CETMGfPnh2Iacivf5lbbrnF7NevX6XHrM/X/9tvvzUdDoc5adIkc/v27eZbb71lhoWFmW+++WYgxsr3wKP//MC85qGnzHe/XHX2T1ZEgo7VbQir1XQVu2+++cZ0Op3mvHnzAtsGDx5sjh07tlzc/v37TcD85ptvTNP0D6OaNGlSuZivv/7aBMzMzMxKz1VUVGRmZ2cHbhkZGWrn1WP+audZm38ZtfNq5ljWXtN8PNIsfSzKXP/k5f7hk+89ffZPXESCQk3beSqKnaWbbrrJjI+PN51Op5mQkGBef/315saNGwP7b731VnPgwIHlHrNo0SKzb9++psvlMpOSksyZM2dWOO67775rdunSxXQ6nWbXrl3LfZhbmf/AgQNNoMLt1ltvDcTce++9Zrt27UyXy2W2atXKHDJkSKCBbHX+f/nLX8xOnTqZISEhZnR0tHnxxRebc+fOrXDchvr6m6ZpnjhxwgwNDTVffPHFSo9Zn6+/aZrmxx9/bCYnJ5tut9vs2rVrhbysfA+s/stVpvl4pLnsP9NOHywiTY7VbYja9Pjjj1f6+XzqbcWKFeUeU5Oi2IYNG8xWrVqZf/rTn8ptHzx4sPmb3/ym3LZ9+/aZgLl06VLTNGs2t9DpWP07UjtP7bz6zN801c47E9mH95vm45Gm+XikufVP55vm45Hm8k/fOOPjiEhwqmkbwjDNHywT1Mjk5OQQFRVFdnY2kZEaQy4i31vz16voW/ANy3s8Rr8b77M6HRFpYIKpDXHkyJHAym5VSUpKIiQkJHB/1qxZ3HvvvZw4caLS+E2bNjFo0CBuv/12Jk2aVG7fL3/5S7Kzs/nwww8D29asWcN5553Hrl276NChA5dccgl9+/Ytt3LlBx98wMiRIykoKAgMpapOMP2ORKR25R8/RPjfOwOw34ijjZnF2iv+RZ+Lr7Y4MxFpCGrahtBE+yIS9AxDs4qJSHCLiYkhJiam1o63ceNGLrvsMm699dYKBTHwzwn0yCOPUFxcHJgIfN68eSQkJJCUlBSI+fjjj8s97odzC4mI/Fh2x/dfZW8yn6S4KJ8XE/pamJGINEaaaF9EgpbByY6wKoqJiASkp6ezdu1a0tPT8Xq9rF27lrVr15KXlwf4C2KDBg1i8ODBpKWlkZWVRVZWFocPHw4c4+abb8btdjNmzBg2bNjABx98wOTJkwMrTwKMGzeOvXv3kpaWxubNm3nllVd4+eWXuf/++y153iISXBx2O17ToNi0c7gIDhFNZESE1WmJSCOjnmIiErwa9+hwEZE68dhjj/Haa68F7vft6+9ZsXDhQi699FLeffddDh8+zFtvvcVbb70ViGvfvn1gZcmoqCjmz5/PHXfcQWpqKtHR0aSlpZGWlhaI79ChA5988gm/+93vePbZZ0lISODpp5/mhhtuqJ8nKiJBzR4aSQfPW+W2RYaqF6qInBnNKSYiQWvtX4bSp3AZ3/acyAU33Gt1OiLSwKgN0fDpdyQi1en0yCdE+rJ51Pk2R80IfjXhTVwODYYSEc0pJiISGD6pOcVEREREgo/dZtDKzOZn9sUcNyNUEBORM6aimIgErWXhl7Ewtw3dI7tanYqIiIiI1CbT5Fn7dFLtmwHIM5oRbXFKItL4qCgmIkFrafhlLCrtyV+bd7c6FRERERGpTYbB5cZKbCdHBhTYwy1OSEQaI/UvFZGgp8GTIiIiIsGn5JQ+HkV2rTwpImdORTERCVotSg7S2diHqzTX6lREREREpJYV8/1qk8UOFcVE5MypKCYiQevWY39nvvtB4rMWWp2KiIiIiNSyklOKYiWu5tYlIiKNlopiIhK0AqtPWpyHiIiIiNS+YlyBn30hURZmIiKNlYpiIhLE/EUxDJXFRERERIJNseHvKXZn8V1sbH+rxdmISGOkopiINAEqiomIiIgEm1LD31PsBM1wR8ZYnI2INEaO04eIiDROhnly+KRqYiIiIiJB5+GWf2NlRh5e7PwszHX6B4iI/ICKYiISxE4On1RPMREREZGg43CHcb/jFVyU0tqXALSxOiURaWRUFBMREREREZFGJ9Rp52f2L2ll5LDZfrfV6YhII1Snc4pt27aNa6+9lpiYGCIjIxkwYAALFy4sF5Oens6IESMIDw8nJiaGu+++m+Li4rpMS0SaiGWhl/BC6TDyIjtZnYqIiIiI1LKr8z6glZEDQHjzWIuzEZHGqE6LYsOGDaO0tJQFCxawatUq+vTpw/Dhw8nKygLA6/UybNgw8vPzWbJkCf/+97+ZPXs29913X12mJSJNxMLwq5lS+guym3e3OhURERERqWU98r4J/NwqLtHCTESksaqz4ZNHjhxhx44dvPLKK/Tq1QuAJ598kueee46NGzcSFxfHvHnz2LRpExkZGSQkJAAwffp0xowZw6RJk4iMjKxwXI/Hg8fjCdzPycmpq6cAwH3/Wce1+/5KM292pfuPO1rzn5jxgfujDj9Dc++RCnGmCTn2aN5udW9g28+OPk+rksxKj1tga8as1g8G7v/02D+JL95baWyJ4eLF1n8M3L/m+GskFm+v4hkZPBv7RCCnq0+8TSfPpipi4dnWj+M9udTxkOz36Fa0puJzO/nvCzEPU2QLB+DynP/Ss2hFlcf9Z8v7ybVHA3BJ7iekFCypMnZWi3s55mgNwEX587kwf2GVsW9Gj+egsy2madKvYBGX5M+rMvZfUWPZ5+oAwHmF33B53seVB5rwXtQYdrm6ANCraAVX5c6u8rgfRN7MVndPALoXreXa3H9VGftxs5GsD0kBoLNnEzfmvvb9C/oDnzb7KatDLgSgfcl2bsl+qcrj/i98GEtDLgGgTclebst5tqqnxpehg/kybDAArUsP8H/ZMyoPBJaEDuKLsKsBiPYe5Z4TT1YMOml5yAA+Cb8OgGa+HB44/qcq813tPp//ho8EwGkW8djxR6uMXe/qwzvNRmOaJobpY9KJB6qMvaK4M98wCkMz7YuIiIgEnWx7i8DPoSGaaF9EzlydFcVatmxJt27deP311znvvPNwu9288MILxMbGkpLiLwIsXbqU5OTkQEEMYOjQoXg8HlatWsWgQYMqHHfKlClMnDixrtKu4IstB0nzLqWNcbTS/Vt8iXx+8IbA/Qddy+lkO1BpbLqvFfMOHwzcv8u1gp62PZXGHjajmH/k+9jbXWvoa9tSaWyeGcL/jn4fO9q5lr727yqN9ZkG/9t8KHD/Z8719LFXXbxavPUgHvwfMMOcG+htX15l7NLtBzlBBABXOLbQ2/FtlbGrdmSRSSkAAxxb6e2oOofvdmey0/QXNc5zbK829tE9+9lgugFItu+il3NllbF/zr6Kb01/vh3tu+nlXFVl7PR9V7DE1xKAePteejpXVxn77P5L+Nrn/3+6hS2DZNfaKmNfyb2Qb3xJAITa9lUb+6+D57HU29l/x3aAZNe6KmP/m5/Mcu8xAPoaWfRwV/7/A8C8/HP59mRsF+MgPdzrq4xdXJjEt4f8sYnGIbpXE/ttYQIrDh8HoBUn6B6yocrYDYUtWXnEHxtOId1Cqi7U7iiKZNVRf6yBj64hm6uM3ecLASA+KqTKGBERERFpnIzkn8KyL1gUegWXWp2MiDRKhmmaVfRLOXv79+/n2muvZfXq1dhsNmJjY5k7dy59+vQB4De/+Q179uxh3rzyvXncbjezZs3i5z//eYVjVtZTLDExkezs7Ep7lp2t/6zMoM3eD3F4Cyvd73FGkR4/lLKOKO0zP8VZmldpbKkjnL0JwwL3E7Pm4yo+US6m7Dheewh72owIbE84uIhQT8UeaACmzcHuttcF7scf+opQz6Eq19vb1e77Il7skWWEF1TeWw1gT9trMW0OMKDV0RU0y8+oMja9zTB8dn9BquWxNTTLr9izrez57Ysfgtfh71UWfWI9kbk7qzxuZtzllDr9xauo7M1E5Wwrd6xTZcUOpNjVHIDInO00z6m6YHKo1UV4QmIwMGiWu4vmJ6ou2hxtdSFFof7eauF5e4k+XnWR6WjLFArD/EWx0IL9tDhavnfdqb2WjrfoTUG4v6t3SOFBWh6puoiXHd2D/GZJALiKjhBz5NsqF1XMiepKfkRHf6znBDGHl1V53NzIc8iP8hfbHMU5xBz6ptI4A8iP6EBulL/HnL00n1ZZX1UeCBSEtyM32j9s0eb10OrAoopBJxWGJZDTwt+7zvCV0PpA1b0BPWFxZLfw9z7FNInN/F/VsSExuDv0p3fbKPUWE5EKcnJyiIqKqrM2hJw9/Y5EpDpen8nXK1fTrWt3WkWGWp2OiDQgNW1DnHFRbMKECaftqbVixQpSUlK47rrrKCkp4dFHHyU0NJR//vOffPTRR6xYsYL4+Hh+85vfsHfvXj7//PNyj3e5XLz++uuMGjXqtPmosSQiIiI/htoQDZ9+RyIiIvJj1LQNccbDJ++8887TFquSkpJYsGABc+bM4fjx44EEnnvuOebPn89rr73GQw89RFxcHMuXlx+Od/z4cUpKSoiN1eohIiIiIiIiIiJSN864KBYTE0NMTMxp4woKCgCw2covcGmz2fD5fAD079+fSZMmceDAAeLj4wGYN28ebrc7MO+YiIiIiIiIiIhIbbOdPuTH6d+/P9HR0dx6662sW7eObdu28cADD7B7926GDfPPqzVkyBC6d+/O6NGjWbNmDV988QX3338/Y8eOVRd5ERERERERERGpM3VWFIuJieGzzz4jLy+Pyy67jNTUVJYsWcKHH35I7969AbDb7cydO5eQkBAGDBjAyJEjue6665g2bVpdpSUiIiLSpE2aNImLLrqIsLAwmjdvXm3s0aNHadu2LYZhcOLEiXL71q9fz8CBAwkNDaVNmzY88cQT/HCq2i+//JKUlBRCQkLo2LEjzz//fC0/GxEREZEf74yHT56J1NTUCpPo/1C7du2YM2dOXaYhIiIiIicVFxdz44030r9/f15++eVqY2+77TZ69erF/v37y23Pyclh8ODBDBo0iBUrVrBt2zbGjBlDeHg49913HwC7d+/m6quvZuzYsbz55pt8/fXXjB8/nlatWnHDDTdUdjoRERGRelWnRTERERERaVjKVhGfNWtWtXEzZ87kxIkTPPbYY3z66afl9r311lsUFRUxa9Ys3G43ycnJbNu2jRkzZpCWloZhGDz//PO0a9eOp556CoBu3bqxcuVKpk2bpqKYiIiINAh1NnxSRERERBqnTZs28cQTT/D6669XWDQJYOnSpQwcOBC32x3YNnToUDIzM9mzZ08gZsiQIeUeN3ToUFauXElJSUml5/V4POTk5JS7iYiIiNQVFcVEREREJMDj8fDzn/+cqVOn0q5du0pjsrKyiI2NLbet7H5WVla1MaWlpRw5cqTS406ZMoWoqKjALTEx8WyfjoiIiEiVVBQTERERaeQmTJiAYRjV3lauXFmjYz388MN069aNW265pdo4wzDK3S+bZP/U7TWJ+eG5s7OzA7eMjIwa5SwiIiLyYzT6OcXKGlfqXi8iIiJnoqzt8MMVExujO++8k1GjRlUbk5SUVKNjLViwgPXr1/Pee+8B378+MTExPProo0ycOJG4uLhAj7Ayhw4dAr7vMVZVjMPhoGXLlpWe2+12lxuSqXaeiIiI/Bg1bec1+qJYbm4ugLrXi4iIyI+Sm5tLVFSU1WmclZiYGGJiYmrlWLNnz6awsDBwf8WKFfz617/mq6++olOnTgD079+fRx55hOLiYlwuFwDz5s0jISEhUHzr378/H3/8cbljz5s3j9TUVJxOZ41yUTtPREREzsbp2nmNviiWkJBARkYGERERVXbFPxs5OTkkJiaSkZFBZGRkrR9fqqfX31p6/a2l199aev2tVR+vv2ma5ObmkpCQUCfHb6jS09M5duwY6enpeL1e1q5dC8A555xDs2bNAoWvMmXzf3Xr1o3mzZsDcPPNNzNx4kTGjBnDI488wvbt25k8eTKPPfZYoD02btw4/vGPf5CWlsbYsWNZunQpL7/8Mv/6179qnKvaecFNr7+19PpbS6+/9fQ7sFZdv/41bec1+qKYzWajbdu2dX6eyMhIvVEspNffWnr9raXX31p6/a1V169/Y+8h9mM89thjvPbaa4H7ffv2BWDhwoVceumlNTpGVFQU8+fP54477iA1NZXo6GjS0tJIS0sLxHTo0IFPPvmE3/3udzz77LMkJCTw9NNPc8MNN9Q4V7Xzmga9/tbS628tvf7W0+/AWnX5+tekndfoi2IiIiIiUnOzZs1i1qxZNY6/9NJLK52Po2fPnixevLjaxw4cOJDVq1efaYoiIiIi9UKrT4qIiIiIiIiISJOjothpuN1uHn/88XIrIUn90etvLb3+1tLrby29/tbS6y/1Qf+fWUuvv7X0+ltLr7/19DuwVkN5/Q0zGNYhFxEREREREREROQPqKSYiIiIiIiIiIk2OimIiIiIiIiIiItLkqCgmIiIiIiIiIiJNjopiIiIiIiIiIiLS5KgodhrPPfccHTp0ICQkhJSUFL766iurU2oSpkyZwvnnn09ERAStW7fmuuuuY+vWrVan1WRNmTIFwzC49957rU6lydi/fz+33HILLVu2JCwsjD59+rBq1Sqr02oSSktL+cMf/kCHDh0IDQ2lY8eOPPHEE/h8PqtTC0qLFy9mxIgRJCQkYBgG//3vf8vtN02TCRMmkJCQQGhoKJdeeikbN260JlkJOmrnWUPtvIZF7bz6p3aeddTOq1+NoZ2nolg13nnnHe69914effRR1qxZw09+8hOuuuoq0tPTrU4t6H355ZfccccdLFu2jPnz51NaWsqQIUPIz8+3OrUmZ8WKFbz44ov06tXL6lSajOPHjzNgwACcTieffvopmzZtYvr06TRv3tzq1JqEv/zlLzz//PP84x//YPPmzfz1r39l6tSpPPPMM1anFpTy8/Pp3bs3//jHPyrd/9e//pUZM2bwj3/8gxUrVhAXF8fgwYPJzc2t50wl2KidZx218xoOtfPqn9p51lI7r341inaeKVW64IILzHHjxpXb1rVrV/Ohhx6yKKOm69ChQyZgfvnll1an0qTk5uaanTt3NufPn28OHDjQvOeee6xOqUn4/e9/b1588cVWp9FkDRs2zPz1r39dbtv1119v3nLLLRZl1HQA5gcffBC47/P5zLi4OPPJJ58MbCsqKjKjoqLM559/3oIMJZionddwqJ1nDbXzrKF2nrXUzrNOQ23nqadYFYqLi1m1ahVDhgwpt33IkCF88803FmXVdGVnZwPQokULizNpWu644w6GDRvGFVdcYXUqTcpHH31EamoqN954I61bt6Zv37689NJLVqfVZFx88cV88cUXbNu2DYB169axZMkSrr76aosza3p2795NVlZWuc9it9vNwIED9VksZ0XtvIZF7TxrqJ1nDbXzrKV2XsPRUNp5jno7UyNz5MgRvF4vsbGx5bbHxsaSlZVlUVZNk2mapKWlcfHFF5OcnGx1Ok3Gv//9b1avXs2KFSusTqXJ2bVrFzNnziQtLY1HHnmEb7/9lrvvvhu3280vf/lLq9MLer///e/Jzs6ma9eu2O12vF4vkyZN4uc//7nVqTU5ZZ+3lX0W792714qUJEionddwqJ1nDbXzrKN2nrXUzms4Gko7T0Wx0zAMo9x90zQrbJO6deedd/Ldd9+xZMkSq1NpMjIyMrjnnnuYN28eISEhVqfT5Ph8PlJTU5k8eTIAffv2ZePGjcycOVONpXrwzjvv8Oabb/L222/To0cP1q5dy7333ktCQgK33nqr1ek1Sfoslrqi/7esp3Ze/VM7z1pq51lL7byGx+rPYhXFqhATE4Pdbq9wtfDQoUMVKplSd+666y4++ugjFi9eTNu2ba1Op8lYtWoVhw4dIiUlJbDN6/WyePFi/vGPf+DxeLDb7RZmGNzi4+Pp3r17uW3dunVj9uzZFmXUtDzwwAM89NBDjBo1CoCePXuyd+9epkyZosZSPYuLiwP8VxLj4+MD2/VZLGdL7byGQe08a6idZy2186yldl7D0VDaeZpTrAoul4uUlBTmz59fbvv8+fO56KKLLMqq6TBNkzvvvJP333+fBQsW0KFDB6tTalIuv/xy1q9fz9q1awO31NRUfvGLX7B27Vo1lOrYgAEDKixNv23bNtq3b29RRk1LQUEBNlv5j0e73a6lui3QoUMH4uLiyn0WFxcX8+WXX+qzWM6K2nnWUjvPWmrnWUvtPGupnddwNJR2nnqKVSMtLY3Ro0eTmppK//79efHFF0lPT2fcuHFWpxb07rjjDt5++20+/PBDIiIiAldyo6KiCA0NtTi74BcREVFhXo/w8HBatmyp+T7qwe9+9zsuuugiJk+ezMiRI/n222958cUXefHFF61OrUkYMWIEkyZNol27dvTo0YM1a9YwY8YMfv3rX1udWlDKy8tjx44dgfu7d+9m7dq1tGjRgnbt2nHvvfcyefJkOnfuTOfOnZk8eTJhYWHcfPPNFmYtwUDtPOuonWcttfOspXaetdTOq1+Nop1Xb+tcNlLPPvus2b59e9PlcpnnnXeeloquJ0Clt1dffdXq1JosLdVdvz7++GMzOTnZdLvdZteuXc0XX3zR6pSajJycHPOee+4x27VrZ4aEhJgdO3Y0H330UdPj8VidWlBauHBhpX/vb731VtM0/ct1P/7442ZcXJzpdrvNSy65xFy/fr21SUvQUDvPGmrnNTxq59UvtfOso3Ze/WoM7TzDNE2z/kpwIiIiIiIiIiIi1tOcYiIiIiIiIiIi0uSoKCYiIiIiIiIiIk2OimIiIiIiIiIiItLkqCgmIiIiIiIiIiJNjopiIiIiIiIiIiLS5KgoJiIiIiIiIiIiTY6KYiIiIiIiIiIi0uSoKCYiIiIiIiIiIk2OimIi0qh9/fXX9OzZE6fTyXXXXVdl3NatW4mLiyM3N7fOc5ozZw59+/bF5/PV+blEREREGotFixZhGAYnTpyo1eOqnSciP5aKYiJSZ0aMGMEVV1xR6b6lS5diGAarV68+q3OkpaXRp08fdu/ezaxZs6qMe/TRR7njjjuIiIj40efq2bMnt99+e6X7/vWvf+F0Ojl48CDDhw/HMAzefvvtH30uERERkYZuzJgx1V6UrC9q54nIj6WimIjUmdtuu40FCxawd+/eCvteeeUV+vTpw3nnnVdhn2malJaW1ugcO3fu5LLLLqNt27Y0b9680ph9+/bx0Ucf8atf/eqM8v+h2267jf/85z8UFBRU2PfKK68wfPhwYmNjAfjVr37FM888c1bnExEREZHqqZ0nImdDRTERqTPDhw+ndevWFXpwFRQU8M4773DbbbcB33el//zzz0lNTcXtdvPVV19hmiZ//etf6dixI6GhofTu3Zv33nsPgD179mAYBkePHuXXv/41hmFU2VPsP//5D71796Zt27aBbbNmzaJ58+bMmTOHLl26EBYWxs9+9jPy8/N57bXXSEpKIjo6mrvuuguv1wvA6NGj8Xg8vPvuu+WOn56ezoIFCwLPB+Caa67h22+/ZdeuXWf7MoqIiIg0Ch6Ph7vvvpvWrVsTEhLCxRdfzIoVKyrEff311/Tu3ZuQkBD69evH+vXrA/v27t3LiBEjiI6OJjw8nB49evDJJ59UeU6180TkbKgoJiJ1xuFw8Mtf/pJZs2ZhmmZg+7vvvktxcTG/+MUvysU/+OCDTJkyhc2bN9OrVy/+8Ic/8OqrrzJz5kw2btzI7373O2655Ra+/PJLEhMTOXDgAJGRkTz11FMcOHCAm266qdI8Fi9eTGpqaoXtBQUFPP300/z73//ms88+Y9GiRVx//fV88sknfPLJJ7zxxhu8+OKLgUJcy5Ytufbaa3n11VfLHefVV18lNjaWq666KrCtffv2tG7dmq+++upHv34iIiIijcmDDz7I7Nmzee2111i9ejXnnHMOQ4cO5dixY+XiHnjgAaZNm8aKFSto3bo111xzDSUlJQDccccdeDweFi9ezPr16/nLX/5Cs2bNqjyn2nkicjYcVicgIsHt17/+NVOnTmXRokUMGjQI8HdBv/7664mOji4X+8QTTzB48GAA8vPzmTFjBgsWLKB///4AdOzYkSVLlvDCCy8wcOBA4uLiMAyDqKgo4uLiqsxhz549pKSkVNheUlLCzJkz6dSpEwA/+9nPeOONNzh48CDNmjWje/fuDBo0iIULFwYKbr/+9a+5+uqr2bVrFx07dsQ0TWbNmsWYMWOw2+3ljt+mTRv27Nnz4144ERERkUYkPz+fmTNnMmvWrEAB6aWXXmL+/Pm8/PLLPPDAA4HYxx9/PNDme+2112jbti0ffPABI0eOJD09nRtuuIGe/9/e/bxE8cdxHH9tMv7YXS+bspe0BM3doMNqeNDokJbVKShSEHFXRZJAjfKSYFBB0h+wF8HFDnVqoaKDEHspwoOHKNhAXBURBAUHDWxhWb/fQ+zifsfMdb/G9+s+HzCw85nP7Hvm9uY9n3nP2bOSfuZ/eyHPA5ALVooBOFQej0eNjY2amJiQ9LMH2IcPH9Td3W2Zu/MpXzQaVTwe16VLl+R0OtPb8+fPFYvFsrqGHz9+qLi42DJut9vTiZIkud1unTp1KuNppNvt1urqanr/8uXLOnHiRPopYiQS0eLi4q59LEpKSnbtSwEAAHDUxGIxJRIJNTU1pccMw1BDQ4O+ffuWMTf1wFOSXC6Xamtr03MGBgb05MkTNTU16eHDh/ry5cueccnzAOSCohiAQ9fT06NXr15pc3NToVBIJ0+eVHNzs2Wew+FI/0595vrdu3f6/PlzeotGo+ll7vtVVlYm0zQt44ZhZOzbbLZdx3Z+cvvYsWPy+/2anJzU9va2QqGQLly4oJqaGsv/r6+vq7y8PKtrBQAA+D9Ktcqw2WyW8X+O7SY1p7e3V/Pz8+rs7NTXr1917ty5PZvak+cByAVFMQCH7tatWyooKNCLFy80OTmpQCDw2+TozJkzKioq0tLSkqqrqzO2ioqKrOL7fD5Fo9FcbiFDIBDQ8vKywuGwwuFwRuPVlHg8rlgsJp/P96/FBQAA+K+qrq5WYWGhPn78mB5LJBKamZmR1+vNmDs9PZ3+bZqmZmdn5fF40mMVFRW6ffu2wuGw7t27p/Hx8V/GJc8DkAt6igE4dE6nU21tbXrw4IE2Njbk9/t/e05paanu37+vu3fvant7W+fPn9fm5qY+ffokp9Oprq6ufcdvbW1Vb2+vksmkpR/EQVRVVenixYvq6+uTYRi6efOmZc709LSKiooyXg8AAAA4qhwOh/r7+zU8PCyXy6XKyko9e/ZMW1tblsLSo0ePdPz4cbndbo2MjKisrEzXr1+XJA0NDenq1as6ffq0TNNUJBKxFNV2Is8DkAtWigH4I3p6emSaplpaWlRZWbmvcx4/fqzR0VE9ffpUXq9Xra2tevv2raqqqrKKfe3aNRmGoffv3x/k0neVup/29nbZ7XbL8ZcvX6qjo2PXYwAAAEfR2NiYbty4oc7OTtXV1Wlubk5TU1OWjyuNjY1pcHBQ9fX1WllZ0Zs3b1RYWChJSiaTunPnjrxer65cuaLa2loFg8FfxiTPA5AL21+pl78B4AgLBoN6/fq1pqamDj3W2tqaPB6PZmZmsi7gAQAAIDvkeQAOitcnAeSFvr4+maap79+/q7S09FBjLSwsKBgMkigBAAD8AeR5AA6KlWIAAAAAAADIO/QUAwAAAAAAQN6hKAYAAAAAAIC8Q1EMAAAAAAAAeYeiGAAAAAAAAPIORTEAAAAAAADkHYpiAAAAAAAAyDsUxQAAAAAAAJB3KIoBAAAAAAAg71AUAwAAAAAAQN75G/t3Aa/YqFrtAAAAAElFTkSuQmCC", + "image/png": "iVBORw0KGgoAAAANSUhEUgAABMUAAAPbCAYAAABc1TwEAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOzdd3xUVf7/8dedSWZSSCWkQehIEQQERQQFLKAiq+uuXQRFXNfKYkEWXXEVUcG2Yln8KVhWZV1sC6vCV6SJICIoRUF6JAk1pGcy5f7+CBmISSAkmdxM8n4+HvOAe+9n7v3MTSZz5nPPOdcwTdNERERERERERESkCbFZnYCIiIiIiIiIiEh9U1FMRERERERERESaHBXFRERERERERESkyVFRTEREREREREREmhwVxUREREREREREpMlRUUxERERERERERJocFcVERERERERERKTJUVFMRERERERERESanBCrE6gtn89HRkYGUVFRGIZhdToiIiISJEzTJC8vj9TUVGw2XSdsiNTOExERkZqobjsv6ItiGRkZpKWlWZ2GiIiIBKn09HRatWpldRpSCbXzREREpDZO1M4LaFFs6tSpfPjhh/z888+Eh4dz9tln89RTT9G5c2d/jGmaPProo8ycOZPs7Gz69evHSy+9xKmnnlqtY0RFRQGlLzQ6Ojogr0NEREQan9zcXNLS0vxtCWl41M4TERGRmqhuOy+gRbElS5Zwxx13cMYZZ+DxeJg0aRJDhw5l06ZNREZGAvD000/z7LPPMnv2bE455RQef/xxLrzwQjZv3lytRmpZV/ro6Gg1lkREROSkaVhew6V2noiIiNTGidp5hmmaZj3lwv79+0lMTGTJkiWce+65mKZJamoq48aNY8KECQC4XC6SkpJ46qmn+NOf/nTCfebm5hITE0NOTo4aSyIiIlJtakM0fPoZiYiISE1Utw1Rr7PK5uTkABAfHw/Ajh07yMrKYujQof4Yp9PJoEGDWLFiRaX7cLlc5ObmlnuIiIiIiIiIiIicjHoripmmyfjx4xk4cCDdu3cHICsrC4CkpKRysUlJSf5tvzV16lRiYmL8D02+KiIiIiIiIiIiJ6veimJ33nknP/74I++9916Fbb8d42maZpXjPidOnEhOTo7/kZ6eHpB8RURERERERESk8QroRPtl7rrrLj799FOWLl1a7laYycnJQGmPsZSUFP/6ffv2Veg9VsbpdOJ0OgObsIiIiIiIiIiINGoB7SlmmiZ33nknH374IYsWLaJdu3bltrdr147k5GQWLlzoX1dSUsKSJUs4++yzA5maiIiIiNTC1KlTOeOMM4iKiiIxMZHLL7+czZs3l4sxTZPJkyeTmppKeHg4gwcPZuPGjRZlLCIiIlJeQItid9xxB++88w7vvvsuUVFRZGVlkZWVRVFREVA6bHLcuHE88cQTfPTRR2zYsIHRo0cTERHBddddF8jURERERKQWlixZwh133MHKlStZuHAhHo+HoUOHUlBQ4I95+umnefbZZ5kxYwarV68mOTmZCy+8kLy8PAszFxERESllmKZpBmznVcwLNmvWLEaPHg2UXkF89NFH+ec//0l2djb9+vXjpZde8k/GfyK6VbeIiIjUhNoQdWv//v0kJiayZMkSzj33XEzTJDU1lXHjxjFhwgSg9C7iSUlJPPXUU/zpT3864T71MxIREZGaqG4bIqBzilWn3mYYBpMnT2by5MmBTEVEROqaaVLscpFbArnFbgqKPTjSl+Nx5eMpKcZXUoy3pBBfSTE+TzE59uasixtGideHx2tyUfpzOL354PNimB6Msn9NL1n2VGZF/QmPz8TrM5mY8yjx3oPY8WIzvRiUfr4YmGTYkvlr+MOYJvhMk2lFk2llZmKYPsDEOBIHJvtozij7VP9LmOF9jC7swMA85lEaf5goLjVm+GNfNJ+gNz9XeipcOBjCaxxJi2d4hrP5scpT18+cXXoKganGDC5gdZWxA32vUkQYpgmP2l5jhLG8ytjzvS+STemH/kTbm1xlLKoydrh3Ohm0AGCc7X1GG/+rMvYP3ifYRumcoH82PuQ220dVxt7gncx6OgAwypjPeNv7VcaO8f6V7+gKwNXG//FX25vltr/k+wPmwPHcN6xzlfuQhiMnJweA+Ph4AHbs2EFWVhZDhw71xzidTgYNGsSKFSsqLYq5XC5cLpd/OTc3N8BZi0hjkFPk5rmFW/hu1yHyij14vCamaWJS2jYobSPgX/fb76m//db626+xJ4qvbGXFfVZ81gmP+5uIwHVnEbHGFae3ZOoVp1maQ71MtC8iIsHB6/WSdeAgWcUh7M9zsT+ngE6bXsQoPEho8UEi3NlEebOJNAuINAtZ5uvJWPd9/udvdt6E03BXuu9Vvi78s6STf/ke5wISjMq/8P7ga8+qA4f8y6mO7aTZ9lca6/KYbM8/OlwrzrGfVNveSmPdpo1DBSX+5UhHHvG2ynMoMe3kFB99LQ5HMVG2okpjQ0wveS7P0eVQF83slccCFLi8/v/bQ0uItBdXGVvs9lGM78h+3UTaXFXGuj1eXEdibSEeIkKOE+v14TJLY40QD+EhJdWKJcRDWBU/49JY79FYu4cwe9WxXp8Xl6801mf3Voz1efD49A0gGJimyfjx4xk4cKC/t39WVhZAhZsnJSUlsWvXrkr3M3XqVB599NHAJisijYppmtz57vcs++WA1amIyElye61v5wV0+GR9ULd6EZGTd3h/Br9uXkN++npCDm4mLH83sa5MEn37WOjrwx3ucUciTTY7R+E0PJXuZ6WvK9e6HybKGUIzZwiveh7CiRuPzYnX5sRrd+KzOzHtTg5FtGN1yxsJtdsIsdvokzmHUNwYthAMe8iRf+0YthA84fEcSD2fULuBzTBI2LcCu+nGtB2JMwwMDAybDV9oBEUJp2GzGRhAxKFN2H0ubIYNDAObzQYG2AwbZogDd3xXykb3h+bswOYtAQxMo7SPmGEYYBiYhh1PTFv/a7XnZ2Dzli8y+ScJMAy8se2Oic3C5i1f6Dp2RgFvzNFYW+F+bJ7CKn9Wvpg0MGxHYg8cN9Yb1Qps9tLYokMYJfnHiU0FW+m1MaP4MLaSqud48kYmgd1xJDbn+LERLSCk9C7RhisPmyvnOLEJEBJWGluSj634cLntPmc0kdHxxISHVrmP2lAbou7ccccdzJ8/n+XLl/vvNL5ixQoGDBhARkZGubuMjx07lvT0dD7//PMK+6msp1haWpp+RiJSpa378rjg2aWE2g2m/bEnafHhhNhsGAbYjnz42gyD0uaAcWR9ZXuquPK3swFV9rTKpgz67ZrKZhUyqnG8ylS6r+o8UaQBigi1ExfpCMi+G8TwSRERsZ6rKI+ft25n2f4I1qUfZvOeQyxyXUt3w1sx2IBWxkFaxYWTGOWkRZSTlfnX4QiLICSqBaHRSYRGtSAsJoGIqDhOjY5jW1QcNn/r8vzj5jK03NLD1X8Rp15R/di2A6sfm3QS3bUTT6l+bEKH6sc2b1P92LjW1Y+NbVn9WMKBlBNGHY1NPonYxJOIbVHNWGlI7rrrLj799FOWLl3qL4gBJCeX/p5kZWWVK4rt27evQu+xMk6nE6fTGdiERaRRWbm9tGf5GW3jubz3yXz2iYioKCYi0uiYPh87N60ka/V/ictcQgfXz5hmW6aXPOaP2eZIJcpWwv7wDhTEdsKe0JFmSR1ISDuF7qltWR5ybM+cvvX/IkSkwTNNk7vuuouPPvqIxYsX065du3Lb27VrR3JyMgsXLqR3794AlJSUsGTJEp566ikrUhaRRmjb/tJe0T1axliciYgEIxXFREQaie0bVrJ3+Vu03/s57cyD+L+eGtDCyGN49xb0aduC7i1jSE38lujICHQ9VURq6o477uDdd9/lk08+ISoqyj+HWExMDOHh4RiGwbhx43jiiSfo1KkTnTp14oknniAiIoLrrrvO4uxFpLHIOFw6h2fLuHCLMxGRYKSimIhIEMt3efjw+1/593fpjNv3MBfY1wJQYDrZEnk6JW0vIOn04bRp35mXbDaLsxWRxuSVV14BYPDgweXWz5o1i9GjRwPwwAMPUFRUxO233052djb9+vVjwYIFREVF1XO2ItJYZRwuncMzNUZFMRE5eSqKiYgEoUNZu9j2yVNMyDyX7cWlXy4/DhlEfLMo6HEVXc75Pb0jmlmcpYg0ZtW5V5NhGEyePJnJkycHPiERaZLK7irdIkrzEYrIyVNRTEQkiOQd3s+GOY/SK2MOZxglXOYp4pMWoxh5Vhsu63Uh8QG6e4uIiIhIQ5Rb7AYgKkxfbUXk5Okvh4hIEPB6PKyb+zQdf3qJ/uSDAT+FdKX/Ob/jriGDjrn7o4iIiEjTYJom+S4PAM1UFBORGtBfDhGRBm7XT2somns7fTw/A7DD1prcAX/ltCFXY2ieMBEREWmiCku8lI3kjnKGHj9YRKQSKoqJiDRQpmny+vIdNF84md/bfiafcH7sMp4z/vAXQkPV8BMREZGmLa+4tJeY3WYQFqoLhSJy8lQUExFpgHKL3dz37x9YsGkv8VxHUvNQOl03nbNbtrc6NREREZEGId91dD4xw9BUEiJy8lQUExFpYDK2beTzOS+xIPcSHHY7fxlxNv37XaPGnoiIiMgx8l1eACId+lorIjWjvx4iIg3IL2sWkfDfUdxMLgebRTJs9CROaxVrdVoiIiIiDU6JxweAI0RDJ0WkZlQUExFpIH765n+0+Xw0EYaLX+wduWnMXSSkxFqdloiIiEiD5C+K2VUUE5GaUVFMRKQBOLYg9qOzL+3v/JBmUTFWpyUiIiLSYLm96ikmIrWjopiIiMW2rlvqL4j9ENaXzvd8Slh4pNVpiYiIiDRoLg2fFJFa0l8PERELZezdS9zHI4kwXKx39FZBTERERKSaSo70FAu162ZEIlIzKoqJiFgk3+Vh9Ls/86T7KrbYO9Lmjg9VEBMRERGppqMT7dstzkREgpWKYiIiFjBNkwlzf2TL3nyWRAyj2R1LiI6JtzotERERkaDhn1NME+2LSA1pTjEREQss+ng2X/8YTogtmldH9iE1vpnVKYmIiIgElaM9xTR8UkRqRkUxEZF6tm3tEs5ddy/znbEsOfd9Tm8dZ3VKIiIiIkHHXxRTTzERqSEVxURE6pGruIDQ/95OqOElK/JUrh3S1+qURERERIJS2UT7uvukiNSU/nqIiNSjH96aQGvfrxwglnajX8Ow6c+wiIiISE2U9RQLVU8xEakh/fUQEakn29Ytpc+edwDY2f8J4lskW5yRiIiISPBSTzERqS399RARqQemz4tv3r3YDZPVzc6j77DrrU5JREREJKgdnWhfX2tFpGb010NEpB6s/e8rdPJsId8Mp/W1z1mdjoiIiEjQc3s10b6I1I4m2j8JPp+PjIwMoqKiMAzd9lekpkzTJC8vj9TUVGxNYE6twhIPkzakMNozmJan9GJgy7ZWpyQiIr+hdp5I3ajPdp7HZwJgt+k9KyI1o6LYScjIyCAtLc3qNEQajfT0dFq1amV1GgH36uJt/JQXxoz4e1h47SCr0xERkUqonSdSt+qjnecrK4qpkC0iNaSi2EmIiooCSv/AR0dHW5yNSPDKzc0lLS3N/55qzA7lFfH68h0A/PXiroSF2i3OSEREKqN2nkjdqM92nvdIUcymnmIiUkMqip2Esq700dHRaiyJ1IGmMDxl6zvjeN78hblJt3BRd91tUkSkoVI7T6Ru1Uc7z2tq+KSI1I6KYiIiAXIgcxc9s+bitLtp0euOJlEEFBEREakvGj4pIrXV+Ge4FhGxyC+fPo3TcPNTSFd6Dvq91emIiIiINCre0pqYeoqJSI2pKCYiEgB5hw/SPWMuAK6zxmE0gbtsioiIiNQnn+4+KSK1pOGTx+FyuXC5XP7l3NxcC7MRkWDy03+f50yjiB221pw25Eqr0xERERFpdDTRvojUlopixzF16lQeffRRq9MQkSDjLimm3ba3AdjX40+0s+uOkyIiUrVDBSXsOFDAoYIScorcuL0+PF4fHp+Jx2viOzKZuHnMc8xjFsxjthy7/limWXlMVfsMBJMAH4D6eA31IMAvItCvobggP8BHOMqjOcVEpJZUFDuOiRMnMn78eP9y2e2FRUSO54eF/6Iv2Rwglp4Xj7E6HRERqYTVIwLyXR7+37LtfLx2DzsPFtbrsUUCyeeqv99nn//uk/V2SBFpZFQUOw6n04nT6bQ6DREJMi+ltyHNPYpzuqRyYVi41emIiEglrBwR8HNWLjfNWk1mTrF/XcvYcBKaOYiJcOCw2wi1G9htBqF2G7ZjesEc2yHm2L4x5ddXEV+uM82J9xkI9dGhxwjwq6if1xDg/QfwRbgK83kyYHsvzz98Uj3FRKSGVBQTEalDW/fl89VOFzZjGLeNOM/qdEREpApWjQjYl1fMDf9vFQfyS2jTPIK/XHAK53VNJDosNODHFqkPubm59VYUO9pTTEUxEakZFcVEROrQe9/uBuC8LomkxqqXmIhIQ2XViIBHPtnIgfwSuiRHMefW/sREqBgmUlNe3X1SRGpJRTERkTpSXFTA+d/dRpG9L0P7jj/xE0REpEn5OSuXzzZkYRjw3NW9VBATqSUNnxSR2lJRTESkjmxa/G/O5gfah+6hRZdpVqcjIiINzPvfpgNwcfdkuqZEW5yNSPArGz4Zop5iIlJDuk+HiEgdsa9/H4AdqcOx2+0WZyMiIg2J12cy78dMAK7so7uZi9QFf08xFcVEpIZUFBMRqQOH9+2hW8FqAFLOHW1tMiIi0uBsysjlQL6LKGcIAzslWJ2OSKPgLa2JYdfwSRGpIRXFRETqwC+L3iTU8LLZ3om2XU63Oh0REWlgVu04CMAZ7eIJtasJLlIXfJpoX0RqSZ/IIiJ1IGbbxwAc7PB7axMREZEG6dsdhwA4s128xZmINB4aPikitdUgimIvv/wy7dq1IywsjD59+rBs2TKrUxIRqba9v27jFPdmfKZBp8E3WJ2OiEiDonZeqY0ZuQCc3jrO4kxEGo+yifY1fFJEasryoticOXMYN24ckyZNYu3atZxzzjlcfPHF7N692+rURESqZeWmHXzl7clGx2m0SG1jdToiIg2G2nml8l0e9hwuAqBzUpTF2Yg0Hh5/TzGLExGRoGX5n49nn32WMWPGcMstt9C1a1eef/550tLSeOWVVyqNd7lc5ObmlnuIiFjpvZ2R3OSewKpz3rA6FRGRBkXtvFK/7M0DIDHKSUxEqMXZiDQe/jnF1FNMRGrI0qJYSUkJa9asYejQoeXWDx06lBUrVlT6nKlTpxITE+N/pKXpltYiYp2D+S7/PDHDuqdanI2ISMOhdt5Rv+zNB+AU9RITqVPeI8MnQ+wqiolIzVhaFDtw4ABer5ekpKRy65OSksjKyqr0ORMnTiQnJ8f/SE9Pr49URUQqtXrVUpLNA5yaGk1afITV6YiINBhq5x21/UABAB1aRFqciUjj4p9oXz3FRKSGQqxOAMD4zR8x0zQrrCvjdDpxOp31kZaIyAmlfPc0K8K+5cuEh4FzrE5HRKTBUTsPMo7MJ9YqThdPROqSf/ik7j4pIjVkaU+xhIQE7HZ7hauF+/btq3BVUUSkoSkuzKdz4VoA2vU61+JsREQaFrXzjioriqXGhluciUjjUjZ8Uj3FRKSmLC2KORwO+vTpw8KFC8utX7hwIWeffbZFWYmIVM+WVZ8TZrjJIoF2XfpanY6ISIOidt5RmTnFAKTEhlmciUjj4vWV/queYiJSU5YPnxw/fjwjR46kb9++9O/fn5kzZ7J7925uu+02q1MTETmuok2fAbAzfgDJuhe4iEgFaueBx+sjK7e0KNZSPcVE6pTP1PBJEakdy4tiV199NQcPHuTvf/87mZmZdO/enf/973+0adPG6tRERKpmmrQ6sByA0C7DLE5GRKRhUjsP9uW58PpMQu0GLZo1vvnSRKykifZFpLYsL4oB3H777dx+++1WpyEiUm17tq2npZlFiRnCKWddYnU6IiINVlNv55X1EkuMCsOm3iwidUoT7YtIbWm8j4hIDexZ/V8Afnb2ICo6zuJsRESkoTqUXwJA82YOizMRaXzKJtq3q6eYiNSQimIiIjXwXvFZ3F5yN7u6jLE6FRERacAOFZQWxeIjVRQTqWtHamKoJiYiNdUghk+KiAQTr8/ky10ecn1ncesZA6xOR0REGrCDKoqJBEzZRPsqiolITamnmIjISdqUkUtusYdmzhC6p0ZbnY6IiDRghwpcADRXUUykzh3pKKaJ9kWkxtRTTETkJGWtfI877d+R03IYIXZdWxARkaodKnADEKeimEidM9VTTERqSUUxEZGTlLT1P9wXuppvIttYnYqIiDRw6ikmEjhlc4qpp5iI1JS6OIiInAR3iYuORT8CkNDjQouzERGRhu7oRPtOizMRaXz8c4pZnIeIBC8VxURETsL2H5YRYbg4RBQdTj3D6nRERKSBO1SoifZFAqVsTjFVxUSkplQUExE5Cdk/LwVgZ0RPbHa7xdmIiEhDl1NYOqdYTHioxZmIND4aPikitaWimIjISQjLXA2AK1W9xERE5PhM0yTf5QEgOkxT+YrUpbJJ9kEdxUSk5lQUExGpJtPno3XhBgDiOg+0OBsREWnoCku8+I58b2+mophInTqmJqaeYiJSY/p0Pg6Xy4XL5fIv5+bmWpiNiFhtT/oO4k0XLkJp2/1sq9MREZFaqI92XlkvMbvNIDxUQ+5F6pLv2J5iqomJSA2pp9hxTJ06lZiYGP8jLS3N6pRExELfHnTSw/X/uK/5DMLCI6xOR0REaqE+2nl5xaXziTVzhmDoW7tInTqmo5jeXyJSYyqKHcfEiRPJycnxP9LT061OSUQstGZXNl7sJHc4zepURESkluqjnZdXXNpTLEpDJ0XqnHqKiUhd0Cf0cTidTpxOp9VpiEgDsWZXNgB92sRZnImIiNRWfbTzyopizZxqcovUtWPnFFNNTERqSp/QIiLVkHf4AC8euo01IZ04vdW/rE5HRESCQNmcYuopJhJYmmhfRGpKn9AiItWwa/3XdLftIdLuITG2mdXpiIhIECibUywqLNTiTEQaHw2fFJG6oDnFRESqIW/HdwBkRna1OBMREQkWGj4pEjjHDp9UTzERqSkVxUREqsG57wcAShJ7WpyJiIgEC020LxI4x/YUExGpKRXFRESqIbngZwCi2p9hcSYiIhIsyuYUa6aimEidO7Ykpp5iIlJTKoqJiJxAzsEsUs29AKSderbF2YiISLAoKCuKOVQUE6lrpu/o/1UTE5GaUlFMROQEdm9cAUC6kUpMXHOLsxERkWBR5PYCEO6wW5yJSONjHtNXTDUxEakpXbYSETmB3XuzCfelciimG2lWJyMiIkGjqERFMZFA0UT7IlIX1FNMROQE5rl6cUHJdNb2edLqVEREJIj4e4qFqigmUteOnWhfNTERqSkVxURETuDHX3MA6JEWZ3EmIiISTIpVFBMJmGMn2jdUFRORGlJRTETkOA7nF5FxuACAU1NjLM5GRESCSVlPsTANnxSpc2U9xVQPE5Ha0JxiIiLHkfHjl2xwjmFVSB9iwodbnY6IiAQR/5xi6ikmUveOdBXTfGIiUhvqKSYichx5u9YRabiIdarBJSIiJ6fY7QNUFBMJBN+RophaaCJSGyqKiYgch23fJgBc8V0tzkRERIKNf6J9DZ8UqXMmGj4pIrWnopiIyHHE5m0BwNHyNIszERGRYKPhkyKBU3bzSU2yLyK1oaKYiEgVvB4Pae6dACR0PN3aZEREJKiYpnl0on0VxUTqnH+ifYvzEJHgpqKYiEgVMndsIMxwU2g6adW+m9XpiIhIEHF5fP7/a/ikSN0zNdG+iNQBFcVERKqwf+v3AKSHtsVu1xcaERGpvrKhkwBhIWpyi9S1o8Mnrc1DRIJbiNUJiIg0VNvyQzngPR1bi1PpbHUyIiISVMqGTjrsNkLsKoqJ1LWyifbVU0xEakNFMRGRKnxR1JWF7vv4W08NnRQRkZNzdD4xFcREAsFX1lPM2jREJMjpU1pEpAqbs/IA6JIcZXEmIiISbPx3ntR8YiIBYZqqiolI7akoJiJSiWKXi6LsTMCkU5KKYiIicnKKj/QUC9edJ0UC4khJTMMnRaRWNHxSRKQSe7asZbXzz+wmiYRmm61OR0REgszR4ZMqiokEQllPMdXERKQ21FNMRKQS2bvXA1AYGo+h1paISDk7d+5kzJgxtGvXjvDwcDp06MAjjzxCSUlJubjdu3czYsQIIiMjSUhI4O67764Q01gVu32AimIigVI2elI9xUSkNtRTTESkEu6snwHIbdbe4kxERBqen3/+GZ/Pxz//+U86duzIhg0bGDt2LAUFBUyfPh0Ar9fL8OHDadGiBcuXL+fgwYOMGjUK0zR58cUXLX4FgVfiKS2KOUJ0DVokEDTRvojUBRXFREQq4cz+BQBv81MszkREpOG56KKLuOiii/zL7du3Z/Pmzbzyyiv+otiCBQvYtGkT6enppKamAvDMM88wevRopkyZQnR0tCW515cSb+nwSaeKYiIBYVI2fFJlMRGpOX1Ki4hUIr5wBwDhqd0szkREJDjk5OQQHx/vX/7mm2/o3r27vyAGMGzYMFwuF2vWrKl0Hy6Xi9zc3HKPYOXvKWZXc1skEHylbzHNKSYitaJPaRGR3/C4S0j17gGgRbueFmcjItLwbdu2jRdffJHbbrvNvy4rK4ukpKRycXFxcTgcDrKysirdz9SpU4mJifE/0tLSApp3IGn4pEhg+XuKWZyHiAQ3fUqLiPxG1s6fcBheCk0nya07Wp2OiEi9mTx5MoZhHPfx3XfflXtORkYGF110EVdeeSW33HJLuW2VDWsyTbPK4U4TJ04kJyfH/0hPT6+7F/cbge6V5lJRTCSgNNG+iNQFzSkmIvIbOw57+cJzMYmRNkbYddcwEWk67rzzTq655prjxrRt29b//4yMDIYMGUL//v2ZOXNmubjk5GRWrVpVbl12djZut7tCD7IyTqcTp9NZs+RP0tSpU3n00UcDtv8Sr4ZPigRSWVFMNTERqQ0VxY7D5XLhcrn8y8E8r4WIVN+Gghie8oxkRNtURlidjIhIPUpISCAhIaFasXv27GHIkCH06dOHWbNmYbOVL/7079+fKVOmkJmZSUpKClA6+b7T6aRPnz51nvvJmjhxIuPHj/cv5+bm1ulwTQ2fFAmssuGT6ikmIrURsKLYzp07eeyxx1i0aBFZWVmkpqZyww03MGnSJBwOhz9u9+7d3HHHHSxatIjw8HCuu+46pk+fXi7GKoG+gigWME28Xg8lLhclJSW43SV47Q689nC8PhPTXYwtZxc+jxvT58Vn+vD5vJg+8Jk+XGEtcEWkHIktImL/j5imD/BR+rnsA1/p3aaKI1IojOkIJtg8xcRlLQNM/1Utf0pAcURLcmO7lq7wuWmR8dVvIo5eDSsKTyYn/rTSZdNHUsbCY8PKKQ5rQXbz3v7l5D0LMPyB5Z9Q4oznYMKZR2Mz/g/D5670NJY4YjmQ2N+/nJS5CLu3uNJYd2gU+5PO8S8nZi2mML+g0tiGYtv+fAA6tmhmcSYiIg1TRkYGgwcPpnXr1kyfPp39+/f7tyUnJwMwdOhQunXrxsiRI5k2bRqHDh3ivvvuY+zYsQ3izpOB7pWmophIYPkqafuKiJysgBXFfv75Z3w+H//85z/p2LEjGzZsYOzYsRQUFPhv1e31ehk+fDgtWrRg+fLlHDx4kFGjRmGaJi+++GKgUqu2QF9BlOrxejwcPpBBdomN/e5wsgtLcO3fTtKvX2AUH8ZekktISR52TyE2bzF2bzEfOS7lS/sASjw+upRs4gXvYzhMN6GGFzsQfuQB8LT7Kl72Xg7AqcYO5jsnVZnLS57fMc1TOqyknZHJV857q4x9w3MRf/fcCEASh1gVdmeVse95hjDRMxaAKApZH3ZXlbGfeM/mHnfpvkLwsDXsnipjF3r7cJf7aI5bnONxGN5KY5d5u3OX+6/+5R+cE4gxCiuNXePrxN0lRwvGK50PkWxkVxr7k68115Y86V9e5HiUkhJXpbENhSdrEzHYaN8i0upUREQapAULFrB161a2bt1Kq1atym0zj1zFsdvtzJ8/n9tvv50BAwaUu/jZFKgoJhJYZX9rbHqLiUgtBKwodtFFF3HRRRf5l9u3b8/mzZt55ZVX/I2hBQsWsGnTJtLT0/23637mmWcYPXo0U6ZMqfQqYn0OaazPeS2aMtM0ycwpZtf2zdh/+RzboW2EFGQRXryXWM8BmpvZNDd8THeP4T3v+QAMsK3nX44XqtznR0W92O4t7Y3U3PAS6Syu8tY0DsOLw27DZgObEcZhsxkew44PGz5scKRvlWnYsIXH0tYRgc0wSCaG9MKWFWJMwMSGGZ5C94hoDAyifbA5rzMmBmYlibgj29A7MhaAcJ+Tn3K6ldt+7DNKIttxZnTpLe/tppefDnWv8jwUOjrSP7q5f3nLgR7Yqbwolhvaif4xR2O3HuxBmFlUaeyBkDb0jz0au/NQdw76Kn8v7g1JKRf7a/apuGxFwK4q87bawwcn8HxYDr+Y84FUq9MREWlwRo8ezejRo08Y17p1a+bNmxf4hBqgsjnFnJpTTCQgynqKGbr/pIjUQr3OKZaTk0N8fLx/+ZtvvqF79+7+ghjAsGHDcLlcrFmzhiFDhlTYh4Y0BjfT6yFj64/s2fQ13l+/53++fnx8qB15Lg/n2H7kbceTFZ9kgNc0iHX4aN8skuaRDtqGdmVN7jA8jii8zlhMZzS2sGaEOiMIcUZyYUJXLohrjyPEhpO+7HSdR6gjglCHg1CHE0doKA6nk5CQUMbZQhhXbi6CWyrmcMSfjzyOurLK2A7AmHJrhlcZ2xW4sdyaC6qM7VLhqF8fd7+XlVuz5Lix5TNcUGXsqcCwcmuq/sJzKnBeuTUflhazH42p8jlWyss5RHNyAEhq29XibEREJFipp5hIoJVWxTSlmIjURr0VxbZt28aLL77IM88841+XlZVV4e5DcXFxOBwOsrKyKt2PhjQGn/27N7N95X9x7FpMh4LvaUkBLY9s+97jIc+TRojNwNO8M2u9Ayhs1hYjthVh8a2ISmxNfHJbYlqkMiHUwYRye76s4sGqVPldrkR+a+/OTUQBh4gm/pgebiIiIidDRTGRwCqbb1cT7YtIbZx0UWzy5Mkn7Km1evVq+vbt61/OyMjgoosu4sorr+SWW8r3wjEq+SNmmmal60FDGoPFvrxiPlmbwY9rlvNizl20OGZbgelkp6MT+c170LPDML7ocS7tEiKPNBqvsCplEQBy9vwMwL7QVsSfIFZERKQqriPDJx0aPikSEEeHT4qI1NxJF8XuvPNOrrnmmuPGtG3b1v//jIwMhgwZQv/+/Zk5c2a5uOTkZFatWlVuXXZ2Nm63u0IPMmn4TK+H9UvmsmbTZh7P6IvXZwLx3OtMosCRQG7qOcR0H0r7087hVKf1dxcVqUzJvq0A5Ea0tjgTEREJZkd7itktzkSkcSqbaF8dxUSkNk66KJaQkEBCQkK1Yvfs2cOQIUPo06cPs2bNwvabW4P079+fKVOmkJmZSUpKClA6+b7T6aRPnz4nm5pYxON288Nn/4/kdS9wmi+T1mYkT/lm0LN1En/o04pmXdbQNibK6jRFqiXk8A4AvLFtrU1ERESCmoZPigSWv6eYqmIiUgsBm1MsIyODwYMH07p1a6ZPn87+/fv925KTkwEYOnQo3bp1Y+TIkUybNo1Dhw5x3333MXbs2ErvPCkNjGmycdG7NPt6Kn186QDkmJH8nPw7/jf8TNq3bnWCHYg0PFEFuwFwJHayOBMREQlmKoqJBJZ5ZKJ9m2piIlILASuKLViwgK1bt7J161ZatSpfHCnr6mq325k/fz633347AwYMIDw8nOuuu47p06cHKi2pI5np29j77u30KloJQA6RbGx3M6defh9nxcRam5xILfzbO5jWnlb0b6veqiIiUnMlmlNMJKBM/5xiqoqJSM0FrCg2evRoRo8efcK41q1bM2/evEClIQHwybo9vPXxl7xvrqYEO6tSbuC0qx/h7DjdqU+CW16xmzcKBwID+bFDd6vTERGRIHa0p5i+sIsEgr8opreYiNRCwIpi0vgUFJfw14838sm6DKAl/0y4k99d+jvO6dL3hM8VCQa7DhYC0DzSQXRYqMXZiIhIMPMXxeyaaF8kEMqGT2pOMRGpDRXFpFqydv1M3lvXsblwLHZbG+46ryO3DbmYEA0JkEZkb/pWehjbiY3vYnUqIiIS5PzDJzWnmEhA+CfatzYNEQlyKorJCe38cRlRH15PJ3J4NOxf2Ed9St+28VanJVLnIn+ey3+dL7G6ZBhwodXpiIhIENNE+yKBVTZPtU1vMRGpBRXF5Lh+WbOI5P9eRxRFbLW3p/VNb5HSSgUxaZxsuaV3nvREt7Y4ExERCXYujybaFwkkTbQvInVBRTGp0o51S0j573U0o4gNoT1Iu/1TYuJUEJPGK6LgVwBCmrexOBMREQl2JR4voJ5iIoFSNqeYTTUxEakFFcWkUnu2rSfu4+uPFMROo93d84iMirE6LZGAii3JAiAisYPFmYiISLArm1PMqaKYSED4fEf+o4n2RaQW9CktFeQWu9n67gPEkscWe0fS7vxUBTFp9LweD4m+fQA0b9XR4mxERCTYaU4xkcA6MnpSgydFpFb0KS3l+Hwm495fx+0FY/jEdgHxt3xETEyc1WmJBNyBrF04DC9u006L1HZWpyMiIkHM5zP9d8YL1ZxiIgHhn2hfVTERqQV9Sks5Ly7ayqKf9+EOiaTdza+TkKIJx6VpOPjrLwDstyVgDwm1OBsREQlmbv+4Lgix6xu7SCCUFZ4NDZ8UkVrQnGLit+WbeeQt/hy4iCd+34PTWsVanZJIvdnliWeu+3rSEmIYbXUyIiIS1Dxe0///UJuuQYsERun7TCUxEakNFcUEgPzcbKIXjOOhkP2c0jKBP/a51OqUROrVluI4XvcO5+q0NKtTERGRIHdsUUw9xUQCw/T3FLM2DxEJbrp0JQD89NY4ks39ZBiJDLvuHqvTEal3v2YXAtAqLtziTEREJNiVGz6pCY9EAspQXzERqQUVxYRtq7/gjAMfA3Do/Gc1sb40Sc2yVtLd2E6bGP1ZFBGR2inrKRZiMzTfkUiAmCcOERE5IX37a+K8Hg/G5xMA+Dp2BN0HjrA4IxFr3HpoGvOcD9HJt93qVEREJMi5vaU9xTR0UiRwyoZPqqOYiNSGimJN3Pcfv0B77w5yieSUa5+yOh0RS3jcJbTwHQQgoVUni7MREZFg5/GV9RRTU1skUExNtC8idUCf1E1YUX4uHTc8D8DGU26nRVJLaxMSsci+X7cTYvhwmaE0T9JE+yIiUjse9RQTqTcaoSwitaGiWBM2+7v9jHGN51P7BZz+h/usTkfEMocyfgFgr60FNrvd4mxERCTYub3qKSYSaKYmFROROqBP6iYqp9DNy4u38r15Cp7hL+B0hlmdkohlivbvAiDHkWRxJiIi0hh4jtx9MlQ9xUQC5uiUYnqfiUjNhVidgFjjX19vJq/YQ+ekKC7rpWGT0rR5stMBKApPsTgTERGpLy6XC5fL5V/Ozc2ts3375xRTUUwk4DR8UkRqQz3FmqCi3ENc9fVwHg55m7vOScVu0yeJNG22/EwAvFGpFmciIiL1ZerUqcTExPgfaWl1N6ek58jwyVANnxQJGFPjJ0WkDuiTugnaOO9FEjjMkNANXNSrrdXpiFjuy5DBPOa+nrxWg61ORURE6snEiRPJycnxP9LT0+ts35poX6T+qKeYiNSGhk82MR53Ca22vA3A3lPH0D5EvwIiS10d+dmbxDltz7A6FRERqSdOpxOn0xmQfbt9mmhfpL5oTjERqQ1VRI4jkHNNWGX9l+/Sm/1kE03vS8ZanY5Ig5BxuAiA1NhwizMREZHGQD3FRAJPoydFpC7o8tVxBHKuCatEfD8TgE0t/0hYRDOLsxGxXkFBPv1LvqGHsZ2U6MD0GBARkabF7S3rKaaimEigmEfuP6nhkyJSGyqKHUcg55qwwq8/f0fnko2UmHbaXnS31emINAgH0rfwT8dz/MvxBFHhDqvTERGRRsDjK+sppqa2iIhIQ6bhk8cRyLkmrLDnq9doBfwY2Z++ae2sTkekQcjduxOAQ/YEoq1NRUREGgn/3Sc1fFIkYDR8UkTqgopiTUSJx8dj+wZyocfFwDMutzodkQaj6MBuAHIdSRZnIiIijYW7bE4xTbQvEjBlRTFD4ydFpBZUFGsilmzZz4aiePZG3cCdg863Oh2RBsN7+FcAiiOSLc5EREQaC49PPcVE6oveZSJSG7p81UR8vG4PAL/rmYpdk76K+NnzSt8b3qiWFmciIiKNRVlRTD3FRAJHoydFpC6op1gTkH8oi0t+/is+25n8vtcAq9MRaVDCivcCYI9tZXEmIiLSWHjKhk+qp5hIwJim7j4pIrWnolgT8PPi9xhu+4ZTwvbSseWjVqcj0qDElJQWxSISWluciYiINBZlE+2HqHe+SMDpXSYitaE+3U2Ac/OnABxofbEmohQ5hmmaTPNcw2PuG4hqfZrV6YiIBCWXy0WvXr0wDIN169aV27Z7925GjBhBZGQkCQkJ3H333ZSUlFiTaD1y+8p6iqmpLRIoZcMn9f1GRGpDPcUauZwDmXQtXgcGtD7nOqvTEWlQcos9zCs5HYD7UtRTTESkJh544AFSU1P54Ycfyq33er0MHz6cFi1asHz5cg4ePMioUaMwTZMXX3zRomzrR1lPMU20LxJAmlRMROqAimKN3LZlczjd8LHV3p6OHbpbnY5Ig7I3txiA2IhQwh12i7MREQk+n332GQsWLGDu3Ll89tln5bYtWLCATZs2kZ6eTmpqKgDPPPMMo0ePZsqUKURHR1fYn8vlwuVy+Zdzc3MD+wICxD+nmCbaFwkY80hVTKVnEakNfVI3cs4t8wDIbHmRxZmINDyHM7cz1LaafhFZVqciIhJ09u7dy9ixY3n77beJiIiosP2bb76he/fu/oIYwLBhw3C5XKxZs6bSfU6dOpWYmBj/Iy0tLWD5B5K77O6T6ikmEnAaPSkitaGiWCNWnH+YToXfA5DU70qLsxFpeGw7lzLT8Rx3eGZbnYqISFAxTZPRo0dz22230bdv30pjsrKySEpKKrcuLi4Oh8NBVlblFyMmTpxITk6O/5Genl7nudeHsp5ioZpTTCRgTA2fFJE6oE/qRuz7DZvYYqax20ihU7feVqcj0uB4cjIBcDlbWJyJiEjDMHnyZAzDOO7ju+++48UXXyQ3N5eJEyced3+VTYBtmmaVE2M7nU6io6PLPYKRW3efFAm4ozUxvc9EpOY0p1gj9smvzZhT8gRjz0pmkvoVi1Rg5O8FwBOZdIJIEZGm4c477+Saa645bkzbtm15/PHHWblyJU6ns9y2vn37cv311/Pmm2+SnJzMqlWrym3Pzs7G7XZX6EHW2Hh090mReqOvOSJSGyqKNVKmabL0l/0ADOymu+qJVMZRWFoUs0WnWJyJiEjDkJCQQEJCwgnj/vGPf/D444/7lzMyMhg2bBhz5syhX79+APTv358pU6aQmZlJSkrp39kFCxbgdDrp06dPYF5AA+E9MqdYqHqKiQSMhk+KSF1QUayR2vHrHnJyDuMIiaBfu3ir0xFpkMJdBwBwxKWeIFJERI7VunX5C27NmjUDoEOHDrRq1QqAoUOH0q1bN0aOHMm0adM4dOgQ9913H2PHjg3aYZHVVTZ80q6J9kUCRnefFJG6oD7djdShxa+y1nkrT8XPIyzUbnU6Ig1SjKe0KBbRvKXFmYiIND52u5358+cTFhbGgAEDuOqqq7j88suZPn261akFnH+ifZua2iKBpuGTIlIb6inWSDX7dTFOw0NCcnDeylwk0Eyfj+ZmNhgQ3ULvExGR2mjbti1mJWOZWrduzbx58yzIyFqeI8Mn7Ro+KRIwGj4pInVBRbFGyFWUR/vin8CAlNMvtjodkQbpcIGLh9y3k2hk82Cy5t0TEZG6UzanWIiGT4oETFlNzNAAShGpBfXpboS2rlmEw/Cwl3g6nNLD6nREGqS9BW7m+87ik7DLcDrDrU5HREQaEa96ionUGw2fFJHaUFGsEcr56SsA0qP7YGguC5FK7c11AZAY5bQ4ExERaWz8RTF9WxcJHI2fFJE6UC8VE5fLRa9evTAMg3Xr1pXbtnv3bkaMGEFkZCQJCQncfffdlJSU1EdajVbcvlUAmG0HWpyJSMNVmLGJYbbV9ArfZ3UqIiLSyHhN9RQTCTT/8Em9zUSkFuqlKPbAAw+QmppaYb3X62X48OEUFBSwfPly3n//febOncu9995bH2k1SsUFuXQo2QxASs+hFmcj0nDF7vycfzqe4w9Fc61ORUREGhkNnxSpP5pTTERqI+AT7X/22WcsWLCAuXPn8tlnn5XbtmDBAjZt2kR6erq/aPbMM88wevRopkyZQnR0dKDTa3Q2pB/if57r6OXYw4h2XaxOR6TBMvKzAPA2S7I4ExERaWxUFBMJPI2eFJG6ENCeYnv37mXs2LG8/fbbREREVNj+zTff0L1793K9yIYNG4bL5WLNmjWV7tPlcpGbm1vuIUetzHDzhvdivuj4sOYTEzkOR+F+AIyoZIszERGRxsajophIwJllVTG9zUSkFgJWNTFNk9GjR3PbbbfRt2/fSmOysrJISirfSyMuLg6Hw0FWVlalz5k6dSoxMTH+R1paWp3nHsxW7TgEwJnt4i3ORKRhiygpLYo54lpanImIiDQ2viNFsRAVxUQCTu8yEamNky6KTZ48GcMwjvv47rvvePHFF8nNzWXixInH3Z9RycyIpmlWuh5g4sSJ5OTk+B/p6ekn+xIaLU+Ji9Rdn9LGyOKMNnFWpyPSoMV6DgAQEa+imIhIUxTI0QdlPcVsmgFcJGCOTrSv95mI1NxJzyl25513cs011xw3pm3btjz++OOsXLkSp9NZblvfvn25/vrrefPNN0lOTmbVqlXltmdnZ+N2uyv0ICvjdDor7FNK7di0mqdsM8h1RhKZPNrqdEQaLNPnI948DAZEJagoJiLSFE2dOpVHH300IPv2HRnWFWLXl3WRQNGcYiJSF066KJaQkEBCQsIJ4/7xj3/w+OOP+5czMjIYNmwYc+bMoV+/fgD079+fKVOmkJmZSUpKClA6+b7T6aRPnz4nm1qTd+Dn5XQCdoV1pYfdbnU6Ig1Wbs4hYgwPAPFJrSzORkRErDBx4kTGjx/vX87Nza2zaTk8XvUUEwk0f08xS7MQkWAXsLtPtm7dutxys2bNAOjQoQOtWpV+CR06dCjdunVj5MiRTJs2jUOHDnHfffcxduxY3XmyBkIzvgOgoEVvizMRadgOFpv8teRukkMLeTg80up0RETEAoEcfVDWU0wT7YsEnmrPIlIblt6e0G63M3/+fMLCwhgwYABXXXUVl19+OdOnT7cyraCVnLcBgPAO/S3ORKRh219kY77vLL5sdqnVqYiISCPk1d0nRQLO1PhJEakDAesp9ltt27at9A9X69atmTdvXn2l0WjlHsyilZkJQOse51icjUjDdiC/BICEZpqfUERE6p6/KKYuLCIBp3eZiNRGvRXFgpHL5cLlcvmX6/KuRHXt1/VL6QbsNFrStnmi1emINGiezA0Ms31LsqOX1amIiEgj5NVE+yL1RnefFJHasHT4ZEM3depUYmJi/I+6mnw1EAq3rwQgM6qHxZmINHyJ6f/jn47nuaTwY6tTERGRRkgT7YsEnkZPikhdUFHsOCZOnEhOTo7/kZ6ebnVKVZrDMMaWjGfvKddbnYpIg2crPACAL1K9KkVEpO6VTbQfYlNTWyRQzCP3n1TpWURqQ8MnjyOQdyWqa8uyQsjy9eWWbmdZnYpIg+coLi2K2ZqpKCYiInXPc2ROMdXEROqBqmIiUgv6qG4E9uYWk5VbjM2A7i1jrE5HpMELLzkEQGhMssWZiIhIY+TzqaeYSKBp+KSI1AX1FGsEdq3/mrvsH5IRczqRTv1IRU4k2psNQEScimIiIlL3ynqK2VUTEwmYspqYoa5iIlIL+qhuBHy//B/3hv6H60K+tDoVkQbPNE1ifYcBiEpItTYZERFplHz+opia2iKBpvtZiEht6JO6EXAe2ABASQvdeVLkRArzc4gwXADEtmhpcTYiItIY+XuK6du6SMBo+KSI1AWNtWsEkgq2ABDR5nSLMxFp+A4Uerm/5G6SQ/L5W1Ss1emIiEgj5D3ybd1uV1FMJFB090kRqQvqKRbkinKzSTWzAEjtfKbF2Yg0fAeKDf7nO4uFzUZYnYqIiDRSXvUUE6k3epuJSG2oKBbkfv15FQCZJJCQqEnDRU5kf14JAAnNnBZnIiIijZFpmkeLYjZ9WxcJlLLhk5poX0RqQ0WxIJe743sA9oR1wtBlEpETcmdu5CLbt/RwZFqdioiINEK+Y+Y5UlFMRESkYVNRLMiZ+34GoDius8WZiASHhPTPedXxPJcWfmx1KiIi0gh5j6mKqSgmEnjqFyAitaGJ9oPcMyG3sN81gHtP7W11KiJBwVawDwBfRKLFmYiISGOkophI/TCPjJ9UUUxEakNFsSBmmiYb9haRZ7aibYcuVqcjEhRCiw8CYESpKCYiInWv7M6TACEqiokEzDFvNRGRGtPwySCWmVNMXrGHEJtBhxbNrE5HJCiElWQDEKKimIiIBMCxPcVs6sIiUg/0PhORmlNPsSC2d9PXTA99le2RvXCEXGJ1OiJBIdKbA4AzuoXFmYiISGN0bFFMPcVEAqfsnabas4jUhopiQcy18xv+aF/K93b1HRaprihfaVEsIlY9xUREpO6V6ymmophIwGj4pIjUBQ2fDGL2A5sBKI7taHEmIsHB9HmJNvMBaBaXZHE2IiLSGJUVxdRLTCSwzCN9xfROE5HaUE+xIBaVtw2AkORuFmciEhxyi9xMdN9JvJHHQ81VFBMRkbpXNtG+eomJ1A8NnxSR2lBRLFiZJi3dOwGIbdPT2lxEgkR2kZf/+c4iwmHn8bBwq9MREZFGyOtVTzGR+qDhkyJSFzR8Mkgd3rubKArxmDZadexudToiQSG7sASAuAiHxZmIiEhjVdZTzK7uKyIB5Z9oXwMoRaQW1FMsSO3dtpZYYI8thTYRkVanIxIUivbv4iLbtzgdba1ORUREGimvzweA3a4v6iL1QfVnEakN9RQLUoezdgKwP6ytpXmIBJPQ9K951fE8t7jesjoVERFppLylNTH1FBMJNI2fFJE6oKJYkFrgHErn4tks7vRXq1MRCRregoMAuBxxFmciItI4zJ8/n379+hEeHk5CQgJXXHFFue27d+9mxIgRREZGkpCQwN13301JSYlF2dYPz5GeYppoXySwjg6fFBGpOQ2fDFJb9+XjwkFqy9ZWpyISNMzC0qKY1xlrbSIiIo3A3LlzGTt2LE888QTnnXcepmmyfv16/3av18vw4cNp0aIFy5cv5+DBg4waNQrTNHnxxRctzPz4ikq8hJZ4a/V80ET7IvXFUK9MEakFFcWC1Lb9+QB0TGxmcSYiwcNWnA2ALzze4kxERIKbx+PhnnvuYdq0aYwZM8a/vnPnzv7/L1iwgE2bNpGenk5qaioAzzzzDKNHj2bKlClER0fXe97HcrlcuFwu/3Jubi4AZ0z5P2zOiFrv36Yv6iIBpdGTIlIXNHwyCLlcRUwrmMTUkNdoF6MfoUh1hbpKi2JGRHOLMxERCW7ff/89e/bswWaz0bt3b1JSUrj44ovZuHGjP+abb76he/fu/oIYwLBhw3C5XKxZs6bS/bpcLnJzc8s9AmXq1KnExMT4H2lpaXW6/0GdW9Tp/kSkPBNVxUSk9tRTLAjt3bWZ/rZNnGZsJyLW2qusIsHEWXIYgJAoFcVERGpj+/btAEyePJlnn32Wtm3b8swzzzBo0CC2bNlCfHw8WVlZJCUllXteXFwcDoeDrKysSvc7depUHn300YDnDzBx4kTGjx/vX87NzSUtLY1vJ51f615sBgbhDnttUxSRalCnTBGpDXUzCkLZ6T8BkBWSimHTj1CkuiI8pT0OHFG6ei8iUpnJkydjGMZxH9999x2+I5PJT5o0iT/84Q/06dOHWbNmYRgGH3zwgX9/lc31Y5pmlXMATZw4kZycHP8jPT09MC8UcDqdREdHl3sARDhCav1QQUwk8MqGTxqaal9EakE9xYJQcdYvABwOr9tu/iKN3Yu26wh3ZTEy9VSrU5E64PV6cbvdVqchDVhoaCh2u4oTJ+POO+/kmmuuOW5M27ZtycvLA6Bbt27+9U6nk/bt27N7924AkpOTWbVqVbnnZmdn43a7K/QgO3YfTqezNi9BRJoIDZ4UkbqgolgQsh3aCoArpr3FmYgED9M0mVd0GiXe7vw5oZXV6UgtmKZJVlYWhw8ftjoVCQKxsbEkJyfr7mTVlJCQQEJCwgnj+vTpg9PpZPPmzQwcOBAAt9vNzp07adOmDQD9+/dnypQpZGZmkpKSApROvu90OunTp0/gXoSINCn68y4itaGiWBCKyN8FgD2hg8WZiASPwhIvJd7S4T5xEQ6Ls5HaKCuIJSYmEhERoWKHVMo0TQoLC9m3bx+AvygjdSM6OprbbruNRx55hLS0NNq0acO0adMAuPLKKwEYOnQo3bp1Y+TIkUybNo1Dhw5x3333MXbsWMvvPCkiwe/o8EkRkZpTUSwIJZT8CkBkSucTRIpImexDB7nYtoocWywRjkusTkdqyOv1+gtizZvrhglyfOHh4QDs27ePxMREDaWsY9OmTSMkJISRI0dSVFREv379WLRoEXFxcQDY7Xbmz5/P7bffzoABAwgPD+e6665j+vTpFmcuIo2B7j4pInVBRbHjcLlcuFwu/3JOTg5AQG8PfiKeEhc5RR7CDZOw+FaW5iJSU2W/t6ZZf42Zor1beMXxAvuIxzDGn/gJ0iCVzSEWERFhcSYSLMp+V9xut4pidSw0NJTp06cft8jVunVr5s2bV49Z1VzZZ5LaViK1U9/tPHUYF5HaUFHsOKq6LXhaWgOZ4P5JTRYuwe3gwYPExMTUy7GKc/YDUGDTkJ3GQEMmpbr0uyLVVXbzgAbTzhMJcgFv55UNn9TfeRGpBRXFjmPixImMH3+0R8nhw4dp06YNu3fvrrcv8jWRm5tLWloa6enpDXrODuVZ94Il15ycHFq3bk18fHy9HdOVdwCAwpCG+94VERHrpKamkp6eTlRUVK2/ZAfL53FVlL+1gj3/+mrnafCkiNQFFcWOo6rbgsfExATFB1R0dLTyrEPBkicET642m63ejuU5UhRzOVQUExGRimw2G61a1e3diYPl87gqyt9awZ5/oNt5ZcMz1U9MRGqj/r6RiohYyCw8BIDbWX+900Tqys6dOzEMg3Xr1lX7ObNnzyY2NtbyPERERAJKVTERqQUVxUSkSbAVHQTAFxZncSbSlKWnpzNmzBhSU1NxOBy0adOGe+65h4MHDx73eWlpaWRmZtK9e/dqH+vqq69my5YttU1ZRESkQarH+zWJSCOmothJcDqdPPLII5UOqWxIlGfdCpY8IXhytSLPENdhAIwI9RQTa2zfvp2+ffuyZcsW3nvvPbZu3cqrr77Kl19+Sf/+/Tl06FClzyspKcFut5OcnExISPVnPQgPDycxMbGu0heRkxAsn8dVUf7WUv7VU1YTM9RVTERqwTDr6165AZKbm0tMTAw5OTlBPeZeRALrsZdeozhjE0MuuJQLhpxvdTpSQ8XFxezYsYN27doRFhYGlM4pUuT21nsu4aH2k5qM++KLL2bDhg1s2bKF8PBw//qsrCw6dOjAjTfeyCuvvELbtm255ZZb2Lp1Kx999BGXX345jz76KO3atWPt2rX06tULgE8//ZR7772XX3/9lbPOOovRo0czevRosrOziY2NZfbs2YwbN47Dhw8DMHnyZD7++GPuvfdeHn74YbKzs7n44ot57bXXiIqKAuDzzz/n8ccfZ8OGDdjtdvr3788LL7xAhw4dgNLhk7/No6Gr7HemjNoQDZ9+RiJSlcfmbeL15Tv48+AOTLioi9XpiEgDU902hCbaF5EmYaW3Cxu9qVyQ0sPqVKSOFbm9dPvbF/V+3E1/H0aEo3ofo4cOHeKLL75gypQp5QpiAMnJyVx//fXMmTOHl19+GYBp06bx8MMP89BDD1W6v507d/LHP/6Re+65h1tuuYW1a9dy3333nTCPbdu28fHHHzNv3jyys7O56qqrePLJJ5kyZQoABQUFjB8/nh49elBQUMDf/vY3fv/737Nu3bp6vTGGiIjIiQR31w4RaShUFBORJuFwoRuA2IhQizORpuiXX37BNE26du1a6fauXbuSnZ3N/v37ATjvvPPKFbl27txZLv7VV1+lc+fOTJs2DYDOnTuzYcMGf3GrKj6fj9mzZ/t7ho0cOZIvv/zS/7w//OEP5eJff/11EhMT2bRp00nNZyYiIhJoJrr7pIjUnopiItIk9ClYRmtbOPFhZ1uditSx8FA7m/4+zJLj1hX/beWPDMfs27fvceM3b97MGWecUW7dmWeeecLjtG3b1l8QA0hJSWHfvn3+5W3btvHwww+zcuVKDhw4gM/nA2D37t0qiomISIN0EjMZiIhUoKKYiDR6xUUF/MP+LNghJ/Rmq9OROmYYRrWHMVqlY8eOGIbBpk2buPzyyyts//nnn4mLiyMhIQGAyMjI4+7PNM0K85lVZ4rQ0NDyPSUNw/AXvgBGjBhBWloar732Gqmpqfh8Prp3705JSckJ9y0iIlKfyj72NNG+iNRGwCcImT9/Pv369SM8PJyEhASuuOKKctt3797NiBEjiIyMJCEhgbvvvluNbxGpU7mHSnvCeEwb0TG6+6TUv+bNm3PhhRfy8ssvU1RUVG5bVlYW//rXv7j66qurPXF/ly5dWL16dbl13333Xa1yPHjwID/99BMPPfQQ559/vn9Ip4iIiIhIYxXQotjcuXMZOXIkN910Ez/88ANff/011113nX+71+tl+PDhFBQUsHz5ct5//33mzp3LvffeG8i0TsrkyZMxDKPcIzk52eq0KrVnzx5uuOEGmjdvTkREBL169WLNmjVWp1VB27ZtK5xTwzC44447rE6tHI/Hw0MPPUS7du0IDw+nffv2/P3vfy/Xq6KhyMvLY9y4cbRp04bw8HDOPvvsCl+YrbB06VJGjBhBamoqhmHw8ccfl9tumiaTJ08mNTWV8PBwBg8ezMaNG+s8j7wjRbEcIwpDk4WLRWbMmIHL5WLYsGEsXbqU9PR0Pv/8cy688EJatmx5wvnAjvWnP/2Jn3/+mQkTJrBlyxb+/e9/M3v2bICTuiPmseLi4mjevDkzZ85k69atLFq0iPHjx9doXyKNRU3agUuWLKFPnz6EhYXRvn17Xn311Qoxc+fOpVu3bjidTrp168ZHH33UIPL/8MMPufDCC2nRogXR0dH079+fL74ofyOT2bNnV9qOKy4utjz/xYsXV5rbzz//XC6uoZ7/0aNHV5r/qaee6o+pz/MPNft+Ud/vAQ2fFJHaCNi3Q4/Hwz333MO0adO47bbbOOWUU+jcuTN//OMf/TELFixg06ZNvPPOO/Tu3ZsLLriAZ555htdee43c3NxApXbSTj31VDIzM/2P9evXW51SBdnZ2QwYMIDQ0FA+++wzNm3axDPPPENsbKzVqVWwevXqcudz4cKFAFx55ZUWZ1beU089xauvvsqMGTP46aefePrpp5k2bRovvvii1alVcMstt7Bw4ULefvtt1q9fz9ChQ7ngggvYs2ePpXkVFBTQs2dPZsyYUen2p59+mmeffZYZM2awevVqkpOTufDCC8nLy6vTPIpy9gKQb6v6VrwigdapUye+++47OnTowNVXX02HDh249dZbGTJkCN988w3x8dXvxdiuXTv+85//8OGHH3LaaafxyiuvMGnSJACcTmeN8rPZbLz//vusWbOG7t2785e//MU/kb9IU3Yy7cAdO3ZwySWXcM4557B27Vr++te/cvfddzN37lx/zDfffMPVV1/NyJEj+eGHHxg5ciRXXXUVq1atsjz/pUuXcuGFF/K///2PNWvWMGTIEEaMGMHatWvLxUVHR5fbZ2ZmJmFhYZbnX2bz5s3lntOpUyf/toZ8/l944YVysenp6cTHx1doI9fX+a/J94v6fA/45+Os0asTETnCDJBVq1aZgPnGG2+YvXr1MpOTk82LLrrI3LBhgz/m4YcfNk877bRyzzt06JAJmIsWLap0v8XFxWZOTo7/kZ6ebgJmTk5OQF7HI488Yvbs2TMg+65LEyZMMAcOHGh1GjVyzz33mB06dDB9Pp/VqZQzfPhw8+abby637oorrjBvuOEGizKqXGFhoWm328158+aVW9+zZ09z0qRJFmVVEWB+9NFH/mWfz2cmJyebTz75pH9dcXGxGRMTY7766qt1euzv5r9umo9Em5se71+n+5X6V1RUZG7atMksKiqyOpUG5/HHHzdbtWpldRoNzvF+Z3JycgLahpDas/pndLLtwAceeMDs0qVLuXV/+tOfzLPOOsu/fNVVV5kXXXRRuZhhw4aZ11xzTa1yrUxdtGO7detmPvroo/7lWbNmmTExMbVLrJpONv+vvvrKBMzs7OwqY4Lp/H/00UemYRjmzp07/evq8/zX5PtFfb4HHv54vdlmwjzzmS9+PqnniUjTUN02RMB6im3fvh0o7Tb80EMPMW/ePOLi4hg0aBCHDh0CSudRSUpKKve8uLg4HA4HWVlZle536tSpxMTE+B9paWmBegl+v/zyC6mpqbRr145rrrnG/9oakk8//ZS+ffty5ZVXkpiYSO/evXnttdesTuuESkpKeOedd7j55ptrPOQnUAYOHMiXX37Jli1bAPjhhx9Yvnw5l1xyicWZlefxePB6vRWuEIaHh7N8+XKLsjqxHTt2kJWVxdChQ/3rnE4ngwYNYsWKFXV6LHf+QQCKQ2PrdL8iVnr55ZdZvXo127dv5+2332batGmMGjXK6rREGp2TaQd+88035T7XAIYNG8Z3332H2+0+bkxdf/aVqU071ufzkZeXV6Ena35+Pm3atKFVq1ZceumlFXqS1aWa5N+7d29SUlI4//zz+eqrr8ptC6bz//rrr3PBBRfQpk2bcuvr6/zX5PuFJe+BBvYdQkSCy0kXxSobG//bx3fffeefd2nSpEn84Q9/oE+fPsyaNQvDMPjggw/8+6usEGJWcletMhMnTiQnJ8f/SE9PP9mXcFL69evHW2+9xRdffMFrr71GVlYWZ599NgcPHgzocU/W9u3beeWVV+jUqRNffPEFt912G3fffTdvvfWW1akd18cff8zhw4cZPXq01alUMGHCBK699lq6dOlCaGgovXv3Zty4cVx77bVWp1ZOVFQU/fv357HHHiMjIwOv18s777zDqlWryMzMtDq9KpUVvn9bGE9KSqqyKF5TZsEBANzO2Drdr4iVfvnlFy677DK6devGY489xr333svkyZOtTkukUTnZdmBlF3yTkpLweDwcOHDguDF1/dlXk/x/65lnnqGgoICrrrrKv65Lly7Mnj2bTz/9lPfee4+wsDAGDBjAL7/8Ynn+KSkpzJw5k7lz5/Lhhx/SuXNnzj//fJYuXeqPCZbzn5mZyWeffcYtt9xSbn19nv+afL+oz/fA0btPiojU3Enfw/7OO+/kmmuuOW5M27Zt/XMCdevWzb/e6XTSvn17du/eDUBycnKFsePZ2dm43e4KfyiP3UdN50upiYsvvtj//x49etC/f386dOjAm2++2aAmIPb5fPTt25cnnngCKL1CtnHjRl555RVuvPFGi7Or2uuvv87FF19Mamqq1alUMGfOHN555x3effddTj31VNatW8e4ceNITU1tcL0x3n77bW6++WZatmyJ3W7n9NNP57rrruP777+3OrUT+m0B/HhF8Zr6IaI//3W76ZF0OmfW6Z5FrPPcc8/x3HPPWZ2GSKNWk3ZgZZ9rv11fH599ULt27HvvvcfkyZP55JNPSExM9K8/66yzOOuss/zLAwYM4PTTT+fFF1/kH//4h6X5d+7cmc6dO/uX+/fvT3p6OtOnT+fcc8/1rw+G8z979mxiY2O5/PLLy62vz/Nf0+8X9fUeMDFPKl5EpDInXRRLSEggISHhhHF9+vTB6XSyefNmBg4cCIDb7Wbnzp3+LsD9+/dnypQpZGZmkpKSApROvu90OunTp8/JplYvIiMj6dGjR0CuxtRGSkpKuQIkQNeuXctNatnQ7Nq1i//7v//jww8/tDqVSt1///08+OCD/iJwjx492LVrF1OnTm1wRbEOHTqwZMkSCgoKyM3NJSUlhauvvpp27dpZnVqVyu6+lJWV5X//A+zbt6/KonhNbaYtH3lDmZjSpU73KyIiTcuJ2oHJyckVervs27ePkJAQmjdvftyYuv7sq0x127Fz5sxhzJgxfPDBB1xwwQXHjbXZbJxxxhn10jauSTv8rLPO4p133vEvB8P5N02TN954g5EjR+JwOI4bG8jzX5PvF1a8BzR6UkRqI2BzikVHR3PbbbfxyCOPsGDBAjZv3syf//xn4OhdBocOHUq3bt0YOXIka9eu5csvv+S+++5j7NixREc3zLvEuVwufvrpp3Jf4huCAQMGsHnz5nLrtmzZUmEOgoZk1qxZJCYmMnz4cKtTqVRhYSE2W/m3iN1u9w8NbogiIyNJSUkhOzubL774gssuu8zqlKrUrl07kpOT/XcfhdI55pYsWcLZZ59dp8fKLiwBIC7y+A1LERGR4zlRO7B///7lPteg9IJv3759CQ0NPW5MXX/2VaY67dj33nuP0aNH8+6771arjWaaJuvWrauXtnFN2uFr164tF9/Qzz/AkiVL2Lp1K2PGjDnhPgN5/mvy/aI+3wNHh0+qKiYitRDI2f5LSkrMe++910xMTDSjoqLMCy64oNzdJ03TNHft2mUOHz7cDA8PN+Pj480777zTLC4urvYxAn1XonvvvddcvHixuX37dnPlypXmpZdeakZFRZW7C0xD8O2335ohISHmlClTzF9++cX817/+ZUZERJjvvPOO1alVyuv1mq1btzYnTJhgdSpVGjVqlNmyZUtz3rx55o4dO8wPP/zQTEhIMB944AGrU6vg888/Nz/77DNz+/bt5oIFC8yePXuaZ555pllSUmJpXnl5eebatWvNtWvXmoD57LPPmmvXrjV37dplmqZpPvnkk2ZMTIz54YcfmuvXrzevvfZaMyUlxczNza3TPB565kXzmolPmYvW/lKn+5X6p7tPysnS3SeDm9U/oxO1Ax988EFz5MiR/vjt27ebERER5l/+8hdz06ZN5uuvv26Ghoaa//nPf/wxX3/9tWm3280nn3zS/Omnn8wnn3zSDAkJMVeuXGl5/u+++64ZEhJivvTSS2ZmZqb/cfjwYX/M5MmTzc8//9zctm2buXbtWvOmm24yQ0JCzFWrVlme/3PPPWd+9NFH5pYtW8wNGzaYDz74oAmYc+fO9cc05PNf5oYbbjD79etX6T7r8/xX5/uFle+BiR/+aLaZMM98fuGW2r9YEWl0qtuGCGhRrD4EurF09dVXmykpKWZoaKiZmppqXnHFFebGjRsDcqza+u9//2t2797ddDqdZpcuXcyZM2danVKVvvjiCxMwN2/ebHUqVcrNzTXvueces3Xr1mZYWJjZvn17c9KkSabL5bI6tQrmzJljtm/f3nQ4HGZycrJ5xx13lGvAWqXs1ui/fYwaNco0TdP0+XzmI488YiYnJ5tOp9M899xzzfXr19d5HumTTzHNR6LNTasW1Pm+pX6pKCYnS0Wx4Gb1z+hE7cBRo0aZgwYNKvecxYsXm7179zYdDofZtm1b85VXXqmw3w8++MDs3LmzGRoaanbp0qVc0cbK/AcNGnTcz23TNM1x48aZrVu3Nh0Oh9miRQtz6NCh5ooVKxpE/k899ZTZoUMHMywszIyLizMHDhxozp8/v8J+G+r5N03TPHz4sBkeHl5lO74+z79pnvj7hZXvgQfnlhbFXvg/FcVEpKLqtiEM0zSDeobC3NxcYmJiyMnJabBDLkXEWrmPpBBtFLL7uiW0PqWX1elILRQXF7Njxw7atWtHWFiY1elIEDje74zaEA2ffkYiUpWJH67nvW93c++Fp3DX+Z2sTkdEGpjqtiECNqeYiEhD4HaXEG0UAhAVF/hJdEVERESkPgR13w4RaSBUFBORRi3n0D4AfKZBdFwLi7MRERERkbrgn2hf8+yLSC2oKCYijVr+ob0A5BqR2ENCLM5G5OQMHjyYcePG1VmciIhIY2OoKiYitaCimIg0aoWHS3uK5Rmai0as8+qrrxIVFYXH4/Gvy8/PJzQ0lHPOOadc7LJlyzAMgy1btvDhhx/y2GOP+bdZXfyy+vgiIiJlgntmbBFpKFQUE5FGrTj3AACFdhXFxDpDhgwhPz+f7777zr9u2bJlJCcns3r1agoLC/3rFy9eTGpqKqeccgrx8fFERUVZkbKIiEiDZmpOMRGpAyqKiUij9quzA391j2FR3B+tTkWasM6dO5OamsrixYv96xYvXsxll11Ghw4dWLFiRbn1Q4YMAcr3zBo9ejRLlizhhRdewDAMDMNg586d/uf5fD4eeOAB4uPjSU5OZvLkyf5tLpeLu+++m8TERMLCwhg4cCCrV68ul2Pbtm15/vnny63r1auXfz8nOn6Z3/3ud/7tv318+umnJ33uREREjkejJ0WkNlQUE5FG7VcSedd7PltbDLU6FQm0koKqH+7ik4gtOnFsDQwePJivvvrKv/zVV18xePBgBg0a5F9fUlLCN9984y+KHeuFF16gf//+jB07lszMTDIzM0lLS/Nvf/PNN4mMjGTVqlU8/fTT/P3vf2fhwoUAPPDAA8ydO5c333yT77//no4dOzJs2DAOHTpU7fxPdPwys2bNIjMzk19++QWA//3vf/74Sy65pNrHExEROR7/RPuoKiYiNadZp0WkUcsuKAEgPjLU4kwk4J5IrXpbp6Fw/QdHl6d1BHdh5bFtBsJN848uP98DCg+Wj5mcc9LpDR48mL/85S94PB6KiopYu3Yt5557Ll6vl3/84x8ArFy5kqKiokqLYjExMTgcDiIiIkhOTq6w/bTTTuORRx4BoFOnTsyYMYMvv/ySs88+m1deeYXZs2dz8cUXA/Daa6+xcOFCXn/9de6///5q5X+i45dp3rw5AN988w2GYTBw4EANARURkTqnwZMiUhdUFBORRq3ZwR/pb9tNSkiC1alIEzdkyBAKCgpYvXo12dnZnHLKKSQmJjJo0CBGjhxJQUEBixcvpnXr1rRv3/6k93/aaaeVW05JSWHfvn1s27YNt9vNgAED/NtCQ0M588wz+emnn2r9uqry448/0rZtWxXEREQkoDR8UkRqQ0UxEWnUBmXO4h7HSr7NCwH6WZ2OBNJfM6reZtjLL9+/9Tixv5lZYNz6mud0jI4dO9KqVSu++uorsrOzGTRoEADJycm0a9eOr7/+mq+++orzzjuvRvsPDS3fG9IwDHw+H+aR8SW/vWW9aZrl1tlsNn9sGbfbXaNcoLQo9ttCXZm3336bGTNmUFhYSLt27fjPf/6Dw+Hg4osvpmvXrqxYsYLDhw/z9ttv89hjj/HDDz8wadIkbr31Vi6//HKcTifbt2/n8OHD/Oc//6Fnz541zlNERILT0eGTIiI1pznFRKRRC3dnAxAanWhxJhJwjsiqH6FhJxEbfuLYGhoyZAiLFy9m8eLFDB482L9+0KBBfPHFF6xcubLSoZP+VBwOvF7vSR2zY8eOOBwOli9f7l/ndrv57rvv6Nq1q39dixYtyMzM9C/n5uayY8eOGh9/586ddO7cudJtl1xyCatWrWL9+vUkJCSwbNkyADZs2MBpp53GypUrOfPMM5kwYQLvvfcen3zyCbNmzQJKi219+/Zl9erVPPTQQxVuDiAiIk2D7j4pInVBRTERadSaeQ8DEB6jophYb8iQISxfvpx169b5e4pBaVHstddeo7i4+LhFsbZt27Jq1Sp27tzJgQMH8Pl8JzxmZGQkf/7zn7n//vv5/PPP2bRpE2PHjqWwsJAxY8b448477zzefvttli1bxoYNGxg1ahR2e/kedidzfJ/Px65du/j111/L9UAzTZOZM2dyxhln0LNnTz766CPCwsLIycnB4XAwevRoAMLCwrjnnnuIjIzE6XQSExNDfn4+LpeL8ePHA9C1a1eys7NPeA5ERKTx0vBJEakNFcVEpFGL8eUCEBmfZHEmIqVFsaKiIjp27EhS0tHfyUGDBpGXl0eHDh0qvaNjmfvuuw+73U63bt1o0aIFu3fvrtZxn3zySf7whz8wcuRITj/9dLZu3coXX3xBXFycP2bixImce+65XHrppVxyySVcfvnldOjQocbHv/vuu/n666/p0qVLuaLY7Nmz2bp1K0uXLuWHH34gOjqabt26sWHDBs444wx/3Pr16+nXr5///927d+fHH3/k1FNP9Rfrvv/+e3r06FGtcyAiIo2M7j4pInVAc4qJSKPlKi6kmVEEQEx8isXZiJT2tPrtvF0ArVq1qnT94sWLyy2fcsopfPPNNyeMA/j444/9/w8LC+Mf//iH/y6XlYmOjmbOnDnl1o0aNapax6/MxRdfTHp6eoX1Gzdu5OyzzyY8PJwXXngBn89HXFwcGzZs8Be4TNNk7969/rtclm378ccf2bVrF263m8OHD/PKK6/w6aefVisfERFpXDR4UkTqgnqKiUijdfhA6fxIHtNGVFxzi7MREYCRI0fy2GOPMWjQIA4ePOgvhG3cuNH//507d9K2bVv/c44tiv3+979nwIABnHfeeUybNo02bdpY8TJERKSB0PBJEakN9RQTkUYr79BekoAcI5rmNvsJ40Uk8Hr27MnOnTsrrD+2F1u7du1YtGiRf/nDDz8ESifZf++993jyyScDnqec2JYtW7j//vv5+uuvKSkpoUePHjz++OPl5sXbvXs3d9xxB4sWLSI8PJzrrruO6dOn43A4LMxcRBqDynpYi4icLPUUE5FGK8MXxwPuscwJv8bqVESkDuzZs+e4c65J/Ro+fDgej4dFixaxZs0aevXqxaWXXkpWVhYAXq+X4cOHU1BQwPLly3n//feZO3cu9957r8WZi0hjoJKYiNQF9RQTkRqpztW5E4WcaA/VOsZxtm3ND+Pf3iFcmKJJ9kUagx07dlidghxx4MABtm7dyhtvvMFpp50GlN7Q4eWXX2bjxo0kJyezYMECNm3aRHp6OqmpqQA888wzjB49milTphAdHW3lSxCRKgRDGw/AVzbRvsZPikgtqCh2Auc9s5iX88bRgkOVbt9JS261/92//Ib3r6SRVWlsJi0YaX/Kv/yqdzKd2E1lf/IPEcMfbc/Bka0v+J7kNLZUut8inFxsvOJfnmY+Qz/WVxprYnAub/iXpzCDQaypNBZgCP+kBAeY8DAzuYgVVcZexAxyaQbAA8zm93xVZezl5nSySADgHuM9ruOLKmOvNJ9gF6mYJtxmzOUWo+pJlW/wPcJPtAPgJmMedxofVBk71juRNXQB4FpjAQ/Y/lVl7O2+e1lhljb6f88SJttfrzJ2vPdO/s8svYPaRcZKptlfrjL2r95b+dQ3EIDBxve8HHL0Z/5bf/eO5n3f+QD0YwOzQ6sePvSU91re8F4CQC9jK/8OfbTK2Bc8VzDD+3sAOhu7me/4a5Wx//ReyjRPaa+rNGMvix3jq4x9yzuURz2lk3S34DCrnHdUiClrwnzgHcQEz60ARFLExrAxVe73v96zuMt995Hn+9gRdkOVsa29vYH7SYuLqDJGREROXvPmzenatStvvfUWp59+Ok6nk3/+858kJSXRp08fAL755hu6d+/uL4gBDBs2DJfLxZo1a8oNsyzjcrlwuVz+5dzc3IC+jitfXcGOAwVVbq/tF//SfRw/6sTFg9rtvzrHOFFAfRQ4TnyuA1+oOfF5CI5iUVMbVaiSmIjUhopiJ3CooIQoM5fmRk6l2/f7ojlYXOJfbubII95WeQMu3+fk0DGx4Y584qqI9Zg2sgvd/uUwRwFxtrxKY0NNNznFR2OdoYXE2Ctv4PlMg1yXx78cElpEdBWxAPnFHlxHRtnaQ4uJthdWGVtY7Caf0n3bQ1xEhRRVGVvk8lKAFwAjpIRmx4ktKfFQaHqPJOwmMqS4yli3x0ux6StdsHuIDHVVGevxenEdiTXtXiLsVceaXi8lvtJYn91LuFFSdazPh7vs0pXNS1iIu8pYfF48R2JNmw+H4ak61vTh9ceCw/BWGWqY5jENIpPQ48TaftO0CjF81Y61G1W3uozfxNpOIrYuOUJsXNQ9OWD7FxFpigzDYOHChVx22WVERUVhs9lISkri888/JzY2FoCsrCySksr31I2Li8PhcPiHWP7W1KlTefTRqi/k1LXsQjcH8qv+TBeRhi0s1EbPtFir0xCRIGaYQT5DYW5uLjExMeTk5ASkG/4ve/MIObQVzMqLFabNgSeuvX859PB2DF/FIogBmLZQ3LHHxObsxPCWHA0o9wQ77rgO/sWQ3HQMTxVFG8PAHdex7L+E5O3B8FReZDLAHwtgz8/E5i5fFDu2C7I7tj0YR4piBXsxSvIrTRfAE9MWjkxmbi86gK2k8iIegCeqFYa9dJJdW9HB48Z6o1KhLLY4G8NV9VVjb2QyhDhLc3TlYCvOqfKONN7IRAgJwzAMDFcetuLsKvfri2wBIeGl+y3JrxB77DF84fGYoZGl690FGEWlsUYlZ80Mi8N0lMbiKcJeXHmPRADTGQv+2GJsRVXEGmA6ozEdzY680BJshQd/E3I0F9PRDNMZdSTWXbrfqi65hUb4Yw2fB6PoYBWBQGgklO3X5600tuwwZkgYOI+8f00fxjH5/vbnZ4Y4j4k1qz4PgGl34GwWS1ioJtlvLIqLi9mxYwft2rUjLCzM6nQkCBzvdybQbYhgNHny5BMWpVavXk2fPn24/PLLcbvdTJo0ifDwcP7f//t/fPrpp6xevZqUlBRuvfVWdu3axRdflO8N7nA4eOutt7jmmorzPVbWUywtLS1gP6Pt+/Nxe4/fFK7OyKzq9FQ58X5OvJcT7aN6eVTjOLXMo3Qfxw+qqxFvJzwndfB6q3Wcevr5VSeoLs79iX8HGsZ5dYba1M4TkUpVt52nnmIn0CkpCpJ6V/8JST1PIrZH9WMTu1U/tkXnk4jtVP3YhPYnjvGLPInYkxnaFgG0PInYlGrGhgOJJxHb4iRiE6oZGwbEnURsbPVjY6v7RcIJsc2qHxt9Ej/nqFbVj21W3Z8xEFndn7GIiJzInXfeWWmx6lht27Zl0aJFzJs3j+zsbH9D8+WXX2bhwoW8+eabPPjggyQnJ7Nq1apyz83OzsbtdlfoQVbG6XTidDrr5sVUQ/sW1f3MExERkcZIRTEREQk6Qd7JWeqRfldOTkJCAgkJJ76YU1hYOp2CzVb+RuY2mw3fkekG+vfvz5QpU8jMzCQlpfQCxoIFC3A6nf55x0RERESsZDtxiIiISMMQGhoKHP1CLnIiZb8rZb87Ujf69+9PXFwco0aN4ocffmDLli3cf//97Nixg+HDhwMwdOhQunXrxsiRI1m7di1ffvkl9913H2PHjtVwVREREWkQ1FNMRESCht1uJzY2ln379gEQERGhW7FLpUzTpLCwkH379hEbG4vdrjln6lJCQgKff/45kyZN4rzzzsPtdnPqqafyySef0LNn6VQSdrud+fPnc/vttzNgwADCw8O57rrrmD59usXZi4iIiJRSUUxERIJKcnLp3UTLCmMixxMbG+v/nZG61bdv3wqT6P9W69atmTdvXj1lJCIiInJyVBQTEZGgYhgGKSkpJCYm4nZXvNuvSJnQ0FD1EBMRERGRKqkoJiIiQclut6vgISIiIiIiNaaJ9kVEREREREREpMlRUUxERERERERERJqcoB8+aZomALm5uRZnIiIiIsGkrO1Q1paQhkftPBEREamJ6rbzgr4olpeXB0BaWprFmYiIiEgwysvLIyYmxuo0pBJq54mIiEhtnKidZ5hBfnnU5/ORkZFBVFQUhmHU+f5zc3NJS0sjPT2d6OjoOt+/HJ/Ov7V0/q2l828tnX9r1cf5N02TvLw8UlNTsdk0o0RDpHZe46bzby2df2vp/FtPPwNrBfr8V7edF/Q9xWw2G61atQr4caKjo/VGsZDOv7V0/q2l828tnX9rBfr8q4dYw6Z2XtOg828tnX9r6fxbTz8DawXy/FennafLoiIiIiIiIiIi0uSoKCYiIiIiIiIiIk2OimIn4HQ6eeSRR3A6nVan0iTp/FtL599aOv/W0vm3ls6/1Af9nllL599aOv/W0vm3nn4G1moo5z/oJ9oXERERERERERE5WeopJiIiIiIiIiIiTY6KYiIiIiIiIiIi0uSoKCYiIiIiIiIiIk2OimIiIiIiIiIiItLkqCgmIiIiIiIiIiJNjopiJ/Dyyy/Trl07wsLC6NOnD8uWLbM6pSZh6tSpnHHGGURFRZGYmMjll1/O5s2brU6ryZo6dSqGYTBu3DirU2ky9uzZww033EDz5s2JiIigV69erFmzxuq0mgSPx8NDDz1Eu3btCA8Pp3379vz973/H5/NZnVqjtHTpUkaMGEFqaiqGYfDxxx+X226aJpMnTyY1NZXw8HAGDx7Mxo0brUlWGh2186yhdl7DonZe/VM7zzpq59WvYGjnqSh2HHPmzGHcuHFMmjSJtWvXcs4553DxxReze/duq1Nr9JYsWcIdd9zBypUrWbhwIR6Ph6FDh1JQUGB1ak3O6tWrmTlzJqeddprVqTQZ2dnZDBgwgNDQUD777DM2bdrEM888Q2xsrNWpNQlPPfUUr776KjNmzOCnn37i6aefZtq0abz44otWp9YoFRQU0LNnT2bMmFHp9qeffppnn32WGTNmsHr1apKTk7nwwgvJy8ur50ylsVE7zzpq5zUcaufVP7XzrKV2Xv0KinaeKVU688wzzdtuu63cui5dupgPPvigRRk1Xfv27TMBc8mSJVan0qTk5eWZnTp1MhcuXGgOGjTIvOeee6xOqUmYMGGCOXDgQKvTaLKGDx9u3nzzzeXWXXHFFeYNN9xgUUZNB2B+9NFH/mWfz2cmJyebTz75pH9dcXGxGRMTY7766qsWZCiNidp5DYfaedZQO88aaudZS+086zTUdp56ilWhpKSENWvWMHTo0HLrhw4dyooVKyzKqunKyckBID4+3uJMmpY77riD4cOHc8EFF1idSpPy6aef0rdvX6688koSExPp3bs3r732mtVpNRkDBw7kyy+/ZMuWLQD88MMPLF++nEsuucTizJqeHTt2kJWVVe6z2Ol0MmjQIH0WS62ondewqJ1nDbXzrKF2nrXUzms4Gko7L6TejhRkDhw4gNfrJSkpqdz6pKQksrKyLMqqaTJNk/HjxzNw4EC6d+9udTpNxvvvv8/333/P6tWrrU6lydm+fTuvvPIK48eP569//Svffvstd999N06nkxtvvNHq9Bq9CRMmkJOTQ5cuXbDb7Xi9XqZMmcK1115rdWpNTtnnbWWfxbt27bIiJWkk1M5rONTOs4baedZRO89aauc1HA2lnaei2AkYhlFu2TTNCusksO68805+/PFHli9fbnUqTUZ6ejr33HMPCxYsICwszOp0mhyfz0ffvn154oknAOjduzcbN27klVdeUWOpHsyZM4d33nmHd999l1NPPZV169Yxbtw4UlNTGTVqlNXpNUn6LJZA0e+W9dTOq39q51lL7TxrqZ3X8Fj9WayiWBUSEhKw2+0Vrhbu27evQiVTAueuu+7i008/ZenSpbRq1crqdJqMNWvWsG/fPvr06eNf5/V6Wbp0KTNmzMDlcmG32y3MsHFLSUmhW7du5dZ17dqVuXPnWpRR03L//ffz4IMPcs011wDQo0cPdu3axdSpU9VYqmfJyclA6ZXElJQU/3p9FkttqZ3XMKidZw2186yldp611M5rOBpKO09zilXB4XDQp08fFi5cWG79woULOfvssy3KqukwTZM777yTDz/8kEWLFtGuXTurU2pSzj//fNavX8+6dev8j759+3L99dezbt06NZQCbMCAARVuTb9lyxbatGljUUZNS2FhITZb+Y9Hu92uW3VboF27diQnJ5f7LC4pKWHJkiX6LJZaUTvPWmrnWUvtPGupnWcttfMajobSzlNPseMYP348I0eOpG/fvvTv35+ZM2eye/dubrvtNqtTa/TuuOMO3n33XT755BOioqL8V3JjYmIIDw+3OLvGLyoqqsK8HpGRkTRv3lzzfdSDv/zlL5x99tk88cQTXHXVVXz77bfMnDmTmTNnWp1akzBixAimTJlC69atOfXUU1m7di3PPvssN998s9WpNUr5+fls3brVv7xjxw7WrVtHfHw8rVu3Zty4cTzxxBN06tSJTp068cQTTxAREcF1111nYdbSGKidZx2186yldp611M6zltp59Sso2nn1dp/LIPXSSy+Zbdq0MR0Oh3n66afrVtH1BKj0MWvWLKtTa7J0q+769d///tfs3r276XQ6zS5dupgzZ860OqUmIzc317znnnvM1q1bm2FhYWb79u3NSZMmmS6Xy+rUGqWvvvqq0r/3o0aNMk2z9HbdjzzyiJmcnGw6nU7z3HPPNdevX29t0tJoqJ1nDbXzGh618+qX2nnWUTuvfgVDO88wTdOsvxKciIiIiIiIiIiI9TSnmIiIiIiIiIiINDkqiomIiIiIiIiISJOjopiIiIiIiIiIiDQ5KoqJiIiIiIiIiEiTo6KYiIiIiIiIiIg0OSqKiYiIiIiIiIhIk6OimIiIiIiIiIiINDkqiomIiIiIiIiISJOjopiINGojR47kiSeeqJdjnXHGGXz44Yf1ciwRERGRpmjRokV06dIFn88X8GPNmDGD3/3udwE/johYR0UxEakXI0aM4IILLqh02zfffINhGHz//fd1eswff/yR+fPnc9ddd9V4H3PnzsVut7N79+5Kt3fp0oW7774bgIcffpgHH3ywXhppIiIiIg1NfbT3HnjgASZNmoTNVrOvsiUlJSQkJPD4449Xun3q1KkkJCRQUlLC2LFjWb16NcuXL69NyiLSgKkoJiL1YsyYMSxatIhdu3ZV2PbGG2/Qq1cvTj/99Do95owZM7jyyiuJioqq8T5+97vf0bx5c958880K277++ms2b97MmDFjABg+fDg5OTl88cUXNT6eiIiISLAKdHtvxYoV/PLLL1x55ZU13ofD4eCGG25g9uzZmKZZYfusWbMYOXIkDocDp9PJddddx4svvljj44lIw6aimIjUi0svvZTExERmz55dbn1hYSFz5szxF5YWL16MYRh88cUX9O7dm/DwcM477zz27dvHZ599RteuXYmOjubaa6+lsLCwyuP5fD4++OCDCl3e27Zty+OPP86NN95Is2bNaNOmDZ988gn79+/nsssuo1mzZvTo0YPvvvsOgNDQUEaOHFlpw+mNN96gT58+9OzZEwC73c4ll1zCe++9V9vTJSIiIhJ0Tra9N3/+fHr27ElYWBj9+vVj/fr1x93/+++/z9ChQwkLC/Ovmzx5Mr169eKNN96gdevWNGvWjD//+c94vV6efvppkpOTSUxMZMqUKf7njBkzhm3btrF06dJy+1+2bBm//PKLP08ovUD68ccfU1RUVNPTIiINmIpiIlIvQkJCuPHGGysUlz744ANKSkq4/vrry8VPnjyZGTNmsGLFCtLT07nqqqt4/vnneffdd5k/fz4LFy487lW7H3/8kcOHD9O3b98K25577jkGDBjA2rVrGT58OCNHjuTGG2/khhtu4Pvvv6djx47ceOON/jzHjBnD9u3bWbJkiX8fBQUF/Pvf/y7XaAI488wzWbZsWY3OkYiIiEgwO9n23v3338/06dNZvXo1iYmJ/O53v8Ptdle5/6VLl1battu2bRufffYZn3/+Oe+99x5vvPEGw4cP59dff2XJkiU89dRTPPTQQ6xcuRKAHj16cMYZZzBr1qxy+3njjTc488wz6d69u39d3759cbvdfPvttzU6JyLSsKkoJiL15uabb2bnzp0sXrzYv+6NN97giiuuIC4urlzs448/zoABA+jduzdjxoxhyZIlvPLKK/Tu3ZtzzjmHP/7xj3z11VdVHmvnzp3Y7XYSExMrbLvkkkv405/+RKdOnfjb3/5GXl4eZ5xxBldeeSWnnHIKEyZM4KeffmLv3r0AdOvWjX79+pVrOP373//G6/Vy7bXXltt3y5Yt2b17t+YVExERkSbpZNp7jzzyCBdeeCE9evTgzTffZO/evXz00UdV7nvnzp2kpqZWWO/z+XjjjTfo1q0bI0aMYMiQIWzevJnnn3+ezp07c9NNN9G5c+dyOd1888385z//IT8/H4D8/Hw++OCDChc8IyMjiY2NZefOnSd/MkSkwVNRTETqTZcuXTj77LN54403gNKresuWLePmm2+uEHvaaaf5/5+UlERERATt27cvt27fvn1VHquoqAin04lhGCfcN5ReMfztumP3P2bMGP7zn/+Ql5cHHG3cxcbGltt3eHg4Pp8Pl8tVZW4iIiIijdXJtPf69+/v/398fDydO3fmp59+qnLfRUVF5YZOlmnbtm25OWSTkpLo1q1bucn4f9t2vPbaa/H5fMyZMweAOXPmYJom11xzTYX9h4eHH3faDhEJXiqKiUi9GjNmDHPnziU3N5dZs2bRpk0bzj///ApxoaGh/v8bhlFuuWzd8XpjJSQkUFhYSElJyQn3XdW6Y/d/zTXXYBgGc+bMYevWrSxfvrzClUSAQ4cOERERQXh4eJW5iYiIiDRm1W3vVaayC5plEhISyM7OrrC+snbiidqOMTEx/PGPf/SPBJg1axZ//OMfiY6OrrD/Q4cO0aJFi2rlLyLBRUUxEalXV111FXa7nXfffZc333yTm2666biNn5rq1asXAJs2baqT/UVFRXHllVcya9Ys3njjDdq3b8/gwYMrxG3YsKHO76IpIiIiEkyq294rm+MLIDs7my1bttClS5cq99u7d+86a9tBafHu66+/Zt68eXz99deVXvDctm0bxcXF9O7du86OKyINR4jVCYhI09KsWTOuvvpq/vrXv5KTk8Po0aMDcpwWLVpw+umns3z5cn+BrLbGjBnDOeecw6ZNm7jvvvsqbdwtW7aMoUOH1snxRERERIJRddt7f//732nevDlJSUlMmjSJhIQELr/88ir3O2zYMN588806y3PQoEH+Gyx17NiRc889t0LMsmXLaN++PR06dKiz44pIw6GeYiJS78aMGUN2djYXXHABrVu3Dthxbr31Vv71r3/V2f4GDhxI586dyc3NZdSoURW279mzhxUrVnDTTTfV2TFFREREglF12ntPPvkk99xzD3369CEzM5NPP/0Uh8NR5T5vuOEGNm3axObNm+ssz5tvvpns7OxK5zwDeO+99xg7dmydHU9EGhbDPPZeuSIijUhxcTGdO3fm/fffLzeRa6Dcf//95OTkMHPmzIAfS0RERCRYLV68mCFDhpCdnV3hpkUn8sADD5CTk8M///nPwCR3jA0bNnD++eezZcsWYmJiAn48Eal/6ikmIo1WWFgYb731FgcOHKiX4yUmJvLYY4/Vy7FEREREmqJJkybRpk0bvF5vwI+VkZHBW2+9pYKYSCOmnmIiIiIiIiJSb2rTU0xEpC6pKCYiIiIiIiIiIk2Ohk+KiIiIiIiIiEiTo6KYiIiIiIiIiIg0OSqKiYiIiIiIiIhIk6OimIiIiIiIiIiINDkqiomIiIiIiIiISJOjopiIiIiIiIiIiDQ5KoqJiIiIiIiIiEiTo6KYiIiIiIiIiIg0OSFWJ1BbPp+PjIwMoqKiMAzD6nREREQkSJimSV5eHqmpqdhsuk7YEKmdJyIiIjVR3XZe0BfFMjIySEtLszoNERERCVLp6em0atXK6jSkEmrniYiISG2cqJ0X9EWxqKgooPSFRkdHW5yNiIiIBIvc3FzS0tL8bQlpeNTOExERkZqobjsv6ItiZV3po6Oj1VgSERGRk6ZheQ2X2nkiIiJSGydq52kCDRERERERERERaXJUFBMRERERERERkSZHRTEREREREREREWlyVBQTEREREREREZEmR0UxERERERERERFpclQUExERERERERGRJiegRbGpU6dyxhlnEBUVRWJiIpdffjmbN28uF2OaJpMnTyY1NZXw8HAGDx7Mxo0bA5mWiIiIiIiIiIg0cQEtii1ZsoQ77riDlStXsnDhQjweD0OHDqWgoMAf8/TTT/Pss88yY8YMVq9eTXJyMhdeeCF5eXmBTE1ERERERERERJowwzRNs74Otn//fhITE1myZAnnnnsupmmSmprKuHHjmDBhAgAul4ukpCSeeuop/vSnP1XYh8vlwuVy+Zdzc3NJS0sjJyeH6Ojo+nopIiJSCY/bTUF+DsUFORQX5OIqyMNdlIe3pAivu5js6C7kOJMp8fhw5O4mOesr8LrA48L0ujG8Lmw+D5g+1scMZltEL3w+k+bFOxiy/x0M04dhejFML5jmkf/7WBY5lFVhA/GZJikluxmT8w8ADExMwDBN//+/Ch/Kl+HDME2TFt4sxuc8hcHRj0IDk9JFk6+cQ/gk7DJME+J8B/l73mQMTAxKQ44+z2Rx6ED+5bwGgGZmPi8UTKjyPK0IOZPXw0YBEGqW8GrBuCpj19h78VLYWP/yGwV3VBm73t6N58KObn+14C84cVUau9nWianhf/Ev/6NgAtFUfkFqp601k8Mf9C9PL3yYBPNg+aAjpyLTlszE8L/5V08peoxWvoxK93vAFs+94VP8y48UPUV7307/8lzHCCIH3MbYc9tX+vzays3NJSYmRm2ISixdupRp06axZs0aMjMz+eijj7j88sv9203T5NFHH2XmzJlkZ2fTr18/XnrpJU499VR/jMvl4r777uO9996jqKiI888/n5dffplWrVpVOw/9jEREgovP66OwqABXUQElRfmUFBfgLi4gz5lKvi2SYrcPW/YOovZ9h+l1Y3rd4HVj+kr/xevml7hBZIZ3wOP10TxvM6fv/xjD5wbTh+k70hbEB6bJ8ujh/BTeG9OElsVb+V327CNttdLtBr7S9iMmCyIuZWXYQEzTpHXJDm7N/UdpXBnzaNvuf2EXszBsKAApnj1MyH+6yte8wHEB/w0bAUAL714m5z/m32b8JnaRYxD/dv4RgBhfNk8XPHT04L95ztKQs3kz7HoAwnwFvFx4X+UJmLAypC+vOm8GwGZ6mVV4Z5X5rrWfxvNhf/Yvv154FyGmp9LYjfYuPB12j3/55cJ7iTQLK439xd6ex8Pu9y8/XziROPNwpbHptpY8FP4Qw7onM+GiLlXmWhvVbUOEBOToVcjJyQEgPj4egB07dpCVlcXQoUP9MU6nk0GDBrFixYpKi2JTp07l0UcfrZ+ERUSaMLfXx748FwczduDetQpPTiZmwUGM4sPYi7NxuHMIc+fyUsj1LPecSr7Lw1Dfcl50zCCmin3e776VD7yDARhsW8tsx/Qqjz8/I5L3vKWfF/2MX3jAuaDK2M9z27LcW/qBepqRRTfn+ipjFxR1YY0nG4COxgE6OzdXGftVbmc2HsoFoCWH6RC2o8rY5QX72JqTD0AcubQJS68y9ruitmzNLY11UkKbsF+rjN3oTmVb3tEe1seL3eFuXi421bmHSKPyolimJ4rt+Udjk5wZtDByK4097HGUi23hyCTNtr/S2BK3yfZjeoTHO7JIs1VeFDM8JWw/cDQ2xrG3XKw3/yCHCksqfa4EVkFBAT179uSmm27iD3/4Q4XtZT39Z8+ezSmnnMLjjz/OhRdeyObNm4mKigJg3Lhx/Pe//+X999+nefPm3HvvvVx66aWsWbMGu91e3y9JREROgmma5BXkczhrNwWHMig6tAd3Thbe/INQfJgQVw7/bXYlP/taklfs4Zz8Bdzt/n9EUkwzw6TZb/Y3tmQ8C319AbjctpznHS9Xeew5W+18fKRWdaHtR25zfFxl7Nzs9nzhTQVggG0nvR3fVBn7UX53vvV2A8Br7KOz8+cqY0sO92aDN/dI7CE6OrdVGftF3qn8lF0aW2Qcpr1zZ5WxtvzObD5cehEykTzahu2uMjakcJ+/vRhFIa3D9lQZu7a4DduPtAHteEkLq7ztBfCzK6Vcu66lcw8Ow1tp7C5XXLnYFGcGMUblRbF9JZHl24vOLFKMQ5XG5rtD2F5QwP68ytup9aneeoqZpslll11GdnY2y5YtA2DFihUMGDCAPXv2kJqa6o+99dZb2bVrF1988UWF/ainmIhI3SnIO0zm9g3k/PoTJXu3EnJ4O9GFu3jOvJYvCk/BNOH3tmU853ilyn3c5/4T//EOAuA82/e84ZhOiWmnyAijiHBctjDchhOPEconUVezodkAHHYb7bw7uDjnfXw2B6bdgWkLxbQ7MW0hYISws/kA9secht1mEOXaxykHvgDDDjY7hs0Ohh3DZsMw7ByO70FBbBcMAxzuHFrs+wbDALCBAYZhAAaGAflRHSmI7oBhQIg7n+b7vwVKY0zDKPc8V2QrCmM6YhgGdk8xMQdWY5TusHR/cORyng13ZDLFMaU9mgyfm2b7vq/ynLnDEyiO6VC64PMSte+7KmO9YXEUxZ7iX47KWnXk+BV5HNEUxR292tZs7+pyveDK7dcRRVF8N/9y5P61pVdhK+ELiaSw+anHxK7D8FUsVhkG+OxhFCac5l8XcWA9Nm9xpfs17Q4KEnr6l8MPbsLuOdqYKolMJT61A2nxEZU+v7bUC6l6DMMo11OsOj39c3JyaNGiBW+//TZXX301ABkZGaSlpfG///2PYcOGVXostfNEROpPcVEhv25bz+E9v1CyfxvG4d185BjB2vw4fs0u4lrfPP4W+naVz7+l5F7+z9cHqLzQVWLaKTacuHDynPPPfB/en7BQO718m7iiYA4+WwimEeL/17SF4rOH8kPzS8iKOo1Qu0GLknS6HVyIYQsBewg2mx0MW2nbzmZjb/yZ5ESfgs0wiHDtpeX+5WDYwGYDbBg2W+myYSM3thtF0e2xGeBwHybu4Pf8f/buPS6qOv/j+OvMwAwXARGUi3JR844mQplZmZXaRdu2i7mWSZmtPzMrc7d0t7Jatd3MbbOttra0druvtbV2083SXC+pqXnLO4IC4gUBuQwwc35/oJMkKBZwgHk/H48p58xnzrxnEPnyOd/zPYZhOz62O7621PExVnFIe0pCEwGwlxfS8uDJ4zqDkwaBlLaIozS0PQC2ihLCDq2tWuutB1dQLK6wylrD7SK02v1W5igLaI3LO16sIPRQ1bHlyaPBipPHlqZJyMHVNX7dKhwtKQ3/YWzZ4sBqqHG8WHVsGZz7beUZG9XV+gf/aGy5/jRjyyCKI3oQEeygQ+sft1DrRqObKTZhwgS+++47li1bdspjxo8G96ZpnrLtBKfTidPprJeMIiLNWZGrgu/25bNx/1Eqti9i6P6/EG9mcU41tWHl+zHNzvjbDYqD49lmdqPI0ZrygFZ4AsIxgsKxB0fgCIngtpjejG3VjmCnnWC/gZQ5foPDGYADTpkxVnVy9HnA8Brz9qty7xzgwlq+07ZA9zNWVd13bcWdxW6vrp/aDmdTe2Xta9tffha1l9W+NnHAWdReVPtasUxtZvqvXbuW8vLyKjWxsbEkJSWxfPnyGptiOiNARKR+5BaU8m3GUQq3fUmHPW8RWbyLtu4szjE8VermlcWxw3Ne5XNsLSkxHRyxhVPoF0GxI4IKZzgEtgRnGL9sdym/jOxEaKAfLY0kMsxfERTSkuDgEJwBwTj8HTiO73dGlVfpD4ylJn2r3OsGDK6+8BTxVI4vayPm+L5row3QsZa1J3LUUpe2ta/tdFXta89mDJhY/c/k6muvOIvasxgvWqhBmmL33HMPH330EUuXLq2yjkR0dDQAOTk5xMTEeLfn5uYSFRXVENFERJqtstISdn77Jflbv6BlzgqeKx7Ex+7zAUgxihnvrJxWfZgwcv3bciw4AXd4B5xRnbi9w4X8JjaRVkEObDYDGG/hOxGRxiQnJwfglLFaVFQUe/fu9dY4HA7Cw8NPqTnx/OpMmTKFSZMmee+fmCkmIiK1Z5omGbu/J2vtAuz7vuGV0oF8XpAAwFW2rdzkWFJZaEABwRzwi6UwsB1lIXFc16E/oxKSaRseSHTIYAIdT9C2hgkrIs1BvTbFTNPknnvu4YMPPuCrr76iffv2VR5v37490dHRLFq0iOTkZADKyspYsmQJf/zjH+szmohIs1RaXMiWr/+NZ/O/6ZL/P7obJd7H+htRrG85gF7twugdHc9GvwTa9ehHROtYIizMLCJN09nM9K9tjc4IEBH5aUpLS9i6fAElWz6n7eHlJJj7STj+2JLyliwyEugcFUJMm0tY5bER1K4n0Z36EBmTQKjNZml2ESvVa1Ps7rvv5s033+TDDz8kJCTEe2QwLCyMwMBADMPgvvvuY8aMGXTq1IlOnToxY8YMgoKCGDlyZH1GExFpVjbtz2fBsjVM2HILfU40wozKWWDpISm4Ey7m0pSrGdn+5BMYe1qSVUSattrM9I+OjqasrIy8vLwqs8Vyc3O58MLangotIiKnU+H2sGznIVZ9s5xxO8eTbPywLmeFaWOHszsFUf0Y1ONqxvW+mJAA/+OPnsUpcCLNXL02xV54oXJh5ksvvbTK9rlz55KWlgbAb3/7W0pKShg/frz3kt4LFy70XrlIRESq566o4OuV/2P2ejvf7au8uu9QRxSRRhHpUVfQMuVGOqcMJEJXeROROlSbmf4pKSn4+/uzaNEihg+vXDswOzubTZs28ac/1XxZexERObPcnH18uXwls79vyYECF34Y3Om0cYiW7Gl1MY6ugzmn71C6hbWyOqpIo1fvp0+eiWEYTJs2jWnTptVnFBGRZqOivIx1n/ydNhv+Sor7MOmuv+CwhzIkKZqSnm8T1b0z0TY1wkTkpzt27Bg7d+703t+zZw/r16+nVatWxMfHn3Gmf1hYGGPGjOGBBx4gIiKCVq1aMXnyZHr27MkVV2iGgojIT7Fn2wYOfDyDPvn/5SLCmOL6C62CAxjaK4Hs9h/So8e5RNob7Fp6Is2CvmNERJqQrcsXEPDfqZznqVzMOt8I5uHzPFw25DIiWmgdHhGpG2vWrGHgwIHe+ycWvx89ejTz5s2r1Uz/P//5z/j5+TF8+HBKSkq4/PLLmTdvHnbNXhUROSt7tm0gd8ETpBb8l/aGCQYU+0Xw4lVtuTS1Nw4/rQkm8lMZZm2mczViBQUFhIWFkZ+fT2hoqNVxRETqxcGsdPa9dS/JhV8BcJQWfN/+dnpcN4kQTY0X+Uk0hmj89DUSEV+Wd+QQW96cQt+D/8LP8ACwMegCgq54iI59Bp7h2SK+rbZjCM0UExFp5Bat2ULqgsEkU4jbNFjd+jq6jvgjF0RGWR1NREREROqYx2Py1uoMvvrsX7xsvgsGfBd0AaFX/p6evS62Op5Is6KmmIhII1XkqmDaR5t5b+0+HvHrzyXOHfCLv3JBr35WRxMRERGRepCVV8QD721kxe7DQFfeDbuBXhdfS6+LrrM6mkizpKaYiEgjlJW+jUnvf8/KXD8MA472n0rC5V3wdwRYHU1ERERE6sE3n79B5Irp7C6dQqB/a357ZReuv+Aq/OxaM0ykvqgpJiLSyHz/zSKiPrmDyZ5o7gl+nD/fcj4XdIiwOpaIiIiI1IOysnK+efluLjr4DgCPtvyEbnf+nfaRwRYnE2n+1BQTEWlEvvtqPp2+HEegUUZL/9Z8cEcPotuqISYiIiLSHB05fIg9L/2Ki1zfALAm5lcMSvsz/s5Ai5OJ+AY1xUREGolvP/8HScvvxWG42RBwHp3ueZ+gYF1tTURERKQ5ytq7ndJ5N5BiZlBiOtjZfxapg0dbHUvEp6gpJiLSCHy35H1vQ2xti0vpec87OJxaP0xERESkOdqzYxOBb/yCDhziEOGU3PRPeiZdZHUsEZ+jFftERCz2/TeLOGfxOG9DrPd989UQExEREWmmvs8pIO3NbRzxBJNha4vnzi+IU0NMxBJqiomIWCjjcDF/+HQ7hQTyXcB59LznHex+msQrIiIi0hztPniMW//+DXtLApgZOZPQcQtp066j1bFEfJaaYiIiFjnmquDO11ezrCSRB1s9S6d7PtAMMREREZFm6kBWBq/+bTaHjrnoHhPKc3cOpmWbdlbHEvFpmo4gImIB0+Nh5j8/ZvsBP1qHOHky7XICg9UQExEREWmOio4VUPDKdfzBvYvwsLtJG/MoYUH+VscS8XmaKSYiYoFv3v0jv8+4k2v9vuGlUSlEh6khJiIiItIcud0eNj8/ik7uXeQRyi03jySihdPqWCKCmmIiIg1uz+ZV9N76NIFGGb/q7iQ5PtzqSCIiIiJST5a/NpXzi7+i3LSTe/Xfie6QZHUkETlOTTERkQbkcpXA/LE4jXI2BJ7PBSMesjqSiIiIiNSTDUs/ov/eFwHY1PsRupw/xOJEInIyNcVERBrQt29Oo71nL0cIpV3aXAyb/hkWERERaY4OZO8jdvFEbIbJ6lbDSP7lfVZHEpEf0W9jIiINJGPHBvqkvwLAntSHiYjS1YZEREREmiPTNPnk3b/RmjwybHH0vPMFqyOJSDV09UkRkQZgejwUvjeBeKOc7wJS6XP1nVZHEhEREZF68saqDB7LvoB1/jZ+c/PVBASFWB1JRKqhppiISANYsC6dnOJ2tLPvIvLmv+q0SREREZFmKuNwMTM+2QrAuUPSiOvW3uJEIlITNcVEROqZq8LNH/+bzr6KW3Ff8hDj2ne1OpKIiPyIx+MhKyuLkJAQDMOwOo5Ik2WaJoWFhcTGxmLzwYOApsfD8n88QnBZb5Lad+T2CxOtjiQip6GmmIhIPfvHir3syyshKtTJ6Et1CW4RkcYoKyuLuLg4q2OINBuZmZm0a+d766euX/RPRhx9mSucYRQOXY3Npia7SGOmppiISD0qOJxLxy/GkmRcx6hBvyDQYbc6koiIVCMkpHK9n8zMTEJDQy1OI9J0FRQUEBcX5/2e8iXFxceIWvkEADvaXU+/tlEWJxKRM1FTTESkHm16fyYDWUN84GESksdbHUdEpME9//zzPPXUU2RnZ9OjRw+eeeYZLr74YqtjneLEKZOhoaFqionUAV88DXnN23/gEjOXXCLo/avHrY4jIrXgeyd5i4g0kPwjB+m57y0ACvvej5+fZomJiG955513uO+++/jd737HunXruPjii7nqqqvIyMiwOpqISJ06sD+dlL1zAcg+70ECW6i5LtIUqCkmIlJPtv77T4QYJeyxJdDrilutjiMi0uBmz57NmDFjuPPOO+nWrRvPPPMMcXFxvPDCC1ZHExGpU3vn/45go5Tt/l3pddWdVscRkVpSU0xEpB4UFR6le8YbABxOuRebXbPERMS3lJWVsXbtWgYPHlxl++DBg1m+fHm1z3G5XBQUFFS5iYg0dnt3bKTP4U8A8AyejmHTuE+kqVBTTESkHmxY8AKhFJFpxJI8ZLTVcUREGtyhQ4dwu91ERVVdaDoqKoqcnJxqnzNz5kzCwsK8N10NUkSagr+uOMSr7qtYE3QJXc+7wuo4InIW1BQTEaljbreb+O2vAZDdLQ27n65pIiK+68eLbZumWeMC3FOmTCE/P997y8zMbIiIIiI/2dbsAt7dUsxM9y2EjHrD6jgicpb0m5qISB1bsjWLr8oGc5P/MpKu/rXVcURELBEZGYndbj9lVlhubu4ps8dOcDqdOJ3OhognIlInXlyyC4Cre8bQJUaL64s0NZopJiJSx15dmcXr7iH85/w3CGrR0uo4IiKWcDgcpKSksGjRoirbFy1axIUXXmhRKhGRupO1ews3bLmHPsZ2/m9AR6vjiMhPoJliIiJ1aGfuMZbtPITNgFEXJFgdR0TEUpMmTWLUqFGkpqbSr18/XnrpJTIyMhg3bpzV0UREfra9nzzNJbaNhIUFkNT2fqvjiMhPoKaYiEgd2v7JHG6yH6HonGHEtQqyOo6IiKVuvvlmDh8+zOOPP052djZJSUl88sknJCTooIGING2HDh6g18H/gAH+F91jdRwR+YnUFBMRqSPlZS7OT3+Rq/2Psr5tL6vjiIg0CuPHj2f8+PFWxxARqVNbFzzLxYaLPfb2dLtwmNVxROQn0ppiIiJ1ZNNX7xLJUQ7Rkh6XDrc6joiIiIjUg/KyUrrsfROAo+fehWHTr9UiTZW+e0VE6oht3T8A2BEzDH+Hrp4mIiIi0hxtWvQP2nCk8kDo4NutjiMiP4OaYiIideDwwWy6F68BIPbSMRanEREREZH64tjwOgDb427CERBocRoR+TnUFBMRqQM7vnwDf8PNLntHErokWx1HREREROrB9pwC3ilKZrMngY5DdCVdkaauUTTFnn/+edq3b09AQAApKSl8/fXXVkcSETkroTv/DcChRC20KiIiIr7J4zHJzt5vdYx69caqDF53D2FOp7lEtTvH6jgi8jNZfvXJd955h/vuu4/nn3+e/v3787e//Y2rrrqKLVu2EB8fb3U8EZEzyj5SwJFSkwrDRsKlo6yOIyIiIlKvTNMkJ3MXB3ZvoHj/FoxD2wkp3E1MeQYxZflWx6s3rgo3H27IAmBkX/2uKtIcWN4Umz17NmPGjOHOO+8E4JlnnuHzzz/nhRdeYObMmRanExE5s483H+IPZVMZGG9nbpyOGIqIiEjzcSTvCBlbV5ObvY9FnlS25x5j54FCFjCR3rYDVYsNKLAmZoPYsHQBg11f803IAPqfE2l1HBGpA5Y2xcrKyli7di0PPfRQle2DBw9m+fLl1T7H5XLhcrm89wsKmvM/uyLSFCz4LhuAgcldLU4iIiIi8tOYpkn2/r3kbF1OacY6nIe3EFW8k3bk0ArIN4O4y/UyYACwzZGAzebgSFAi5eGdcEZ3o1ViT4Ij4+HJdpa+l/oSuPqv/Ml/Ff9rXYbdpiUzRJoDS5tihw4dwu12ExUVVWV7VFQUOTk51T5n5syZPPbYYw0RT0TkjHIPHSIjMwMI5coe0VbHEREREamVQwcPsGfLapaUdmJ95lE2ZeXzXPk0LrJvPrXWCOdAUCd+068t7dtG06lNCxIjrsTfz07Cj2qb66SFvIPZdC1eAwbEXHKH1XFEpI5YfvokgGEYVe6bpnnKthOmTJnCpEmTvPcLCgqIi4ur13wiIjXZ89XrrHY+zsLAq2gTeo3VcUREREROUVLqYs+mleRv+xr/nLVEH9tCOzOHSGBs6d84SggAG/w70c5WyKGQbnja9CA0MZl23c4jslUMkUAPS9+Ftb5f/A/6GW522jtyTtfeVscRkTpiaVMsMjISu91+yqyw3NzcU2aPneB0OnE6nQ0RT0TkjAJ2fYrdMAmPbm91FBEREREA8ovLWZ1+hNXpR4jZ/BI3HXuD7obrlLr9RjS39XAQ1TmJXm1b0jlqCE5/PxIbPnKjF7LjQwDyOui0SZHmxNKmmMPhICUlhUWLFvHLX/7Su33RokX84he/sDCZiMiZFRXk0bV4HRgQ3fd6q+OIiIiIj8o9kM3etZ/j3vM1kUe+5d6SO9nsSQRguN1OsL+LQoJID0yiKCqFkA59ievZn7bhbZh0+l0LkLV3J93LN4MBHQfeZnUcEalDlp8+OWnSJEaNGkVqair9+vXjpZdeIiMjg3HjxlkdTUTktLYv/zfJRjn7jBgSuvSxOo6IiIj4iIKCo+z85nOKt39Jm0PfcI57N20M0/v4ecb3lET24LzEVlzUNo2ciF8RdU5vetrsFqZuuvZ+/U9iDZPvHT3oGtvR6jgiUocsb4rdfPPNHD58mMcff5zs7GySkpL45JNPSEj48ZKNIiKNS8X3nwOQ2eZS2tlsFqcRERGR5qqsrJwNe7JZureEZTsP0WLf1/zDMfOHAgMybHHkRJyPX4eLmJA8iGnRWne5ruTt34HHNMjvqLOZRJoby5tiAOPHj2f8+PFWxxARqTXT4yHh6CoAQpKutDiNiIiINDcHD+xn14qPMHYuonPhN3zrvoQ5FbcAEEBnMo1YcsP74N/pUhJTryS+dRzxFmdujrLzSxifN5Io4yoWXDbE6jgiUscaRVNMRKSpSd+6mvYcocR00Om8QVbHERERkSbO4/awY+MKDq/9NxHZS+hUvp3WJ06JNKCf3w6u7RHLRedE0r9TJG1bXo/mgtW/zzZVXhQuPqEDrVu3tjiNiNQ1NcVERH6Cr3McvFA+lvNbV3BjQLDVcURE5Cy5XC5crh+uxldQUGBhGvFV5W4Pq3Yf4dNN2fx3cxYLyu+ki3H876IBe+ztORRzCeG9h5J07qU86++wNrAPWrxhDwBXJsVYnERE6oOaYiIiP8Gi9DK+dg+kS2p3q6OIiMhPMHPmTB577DGrY4gPcpUW8/3yjynZ+CFheZsYVfoEJpVrk37m7E/PoALKOw6ifb9f0D62A+0tzuvLDh08wMsHhrPOvxMJXf5jdRwRqQdqiomInKXScjer9hwBYEDnSIvTiIjITzFlyhQmTZrkvV9QUEBcnE5Gk/pRXl7Oxv99jGvdO/Q4+hXnGsXexwYE7SW6xyVcmRRNv45X4vTTFSIbi53/e58LjHJiHUXEttaYT6Q5UlNMROQsbVmzhFvNj9kU0peOrVtYHUdERH4Cp9OJ0+m0OoY0Y6Zp8m3GUbZ/+U+uSH+aPuRVPmDAIVqyO3Igwedex9/7XoWfQ38XGyP/nZ8BkBNzGQkWZxGR+qGmmIjIWXJ9N59H/P/JN8GHMYxRVscRERGRRiRj5yYWbc3lta0GGUeKSTHK+ZUzj3xasDPyclqcN4JOqUOItGtGWGNW7iqhS+EqMKBl8i+sjiMi9cRmdQARkaam1cHVANjaX2RxEhGR+jF9+nQuvPBCgoKCaNmyZbU1GRkZDBs2jODgYCIjI5k4cSJlZWVVajZu3MiAAQMIDAykbdu2PP7445im2QDvQKRhFR0rZOW/n2fj9IuJ/2d/nKueI+NIMUEOO4nnXsrGS18heOouUia8Tpe+V2NTQ6zR27X6c1oYJRwknHN6X2J1HBGpJ5opJiJyFooKj9KxfAcY0C55kNVxRETqRVlZGTfddBP9+vXjlVdeOeVxt9vNNddcQ+vWrVm2bBmHDx9m9OjRmKbJnDlzgMo1ugYNGsTAgQNZvXo127dvJy0tjeDgYB544IGGfksi9WLH+v9x6Ou/0/3Q51xgFAHgMQ06hpr8ZXBvBnWPIsjhB/SxNqictYJNladO7gq7kNZqYoo0W2qKiYichd3fLqan4SGb1sTEd7Y6johIvThxVcZ58+ZV+/jChQvZsmULmZmZxMbGAvD000+TlpbG9OnTCQ0N5Y033qC0tJR58+bhdDpJSkpi+/btzJ49m0mTJmEYRkO9HZE6VVBazofrs+j8xRj6lq+mE4ABOUZrMhOup8OgX9OvbUerY8rP1Dp3OQD2zpdZnERE6pOaYiIiZ+HY9iUA7AvrQ4zFWURErLJixQqSkpK8DTGAIUOG4HK5WLt2LQMHDmTFihUMGDCgymL2Q4YMYcqUKaSnp9O+fftT9utyuXC5XN77BQUF9ftGRM7C3l1beeW7ct77NouScjeT/WJJtvuxMfRiAs9Po1v/YUTbNKOoOcjKK+YV12VcbNtI375DrY4jIvVITTERkbPQMvebyj8k9Lc2iIiIhXJycoiKiqqyLTw8HIfDQU5OjrcmMTGxSs2J5+Tk5FTbFJs5c6Z3lppIY2B6PGxc9hHuFS9wbvEqMsonU+JJplObFrTsfR/Fvf5ESmS01TGlji3ZcYh/ugexpe1NDNHXV6RZ00L7IiK1VFpaQkLZLgBizr3C4jQiImdn2rRpGIZx2tuaNWtqvb/qTn80TbPK9h/XnFhkv6ZTJ6dMmUJ+fr73lpmZWes8InWpuKiAVe/+iYw/9KLX4tEkl6zEZpjc0CabN+/sy8L7L+HWy/rQUg2TZul/Ow8BcHGn1hYnEZH6ppliIiK1tG5/MXe4XmBgiwz+2r6b1XFERM7KhAkTGDFixGlrfjyzqybR0dGsWrWqyra8vDzKy8u9s8Gio6O9s8ZOyM3NBThlltkJTqezyumWIg3tSMExtr73ON0y36QvhQAUmQFsbjOU2METGdbpXIsTSn0zPR6idr5LvNGZCzteYHUcEalnaoqJiNTStxl5lBCA0X4Ahk0TbUWkaYmMjCQyMrJO9tWvXz+mT59OdnY2MTGVKywuXLgQp9NJSkqKt2bq1KmUlZXhcDi8NbGxsbVuvok0lKyjJbz89W7e/iaD941PaWUrJMuIIqPTaHpc83+cH9bK6ojSQPZ+v5qHPS/wgMOJPfb0BxJEpOlTU0xEpJbWZRwFIDm+paU5RETqW0ZGBkeOHCEjIwO328369esBOOecc2jRogWDBw+me/fujBo1iqeeeoojR44wefJkxo4dS2hoKAAjR47kscceIy0tjalTp7Jjxw5mzJjBI488oitPSqORuWsLGR//ifEHriXfXTlL8a3WY/hlt2B6DU4j1s/f4oTS0A6u/4xEYHtgL3oHBFkdR0TqmZpiIiK1YHo8pO2ZzAV+0aRGzbQ6johIvXrkkUd47bXXvPeTk5MB+PLLL7n00kux2+18/PHHjB8/nv79+xMYGMjIkSOZNWuW9zlhYWEsWrSIu+++m9TUVMLDw5k0aRKTJk1q8Pcj8mP792xj34eP0SfvM+IMNzfQgi0dbmH8pedwcaer1bj1YYGZXwNwrO3FFicRkYagppiISC1kpW/nItZxvt2OJ+4Vq+OIiNSrefPmMW/evNPWxMfHs2DBgtPW9OzZk6VLl9ZhMpGfJ2fvNjI+fILkw5/Q1nCDARsDUrlp6I10S+1ndTyxmKeinMSSTQBEJF1ucRoRaQhqiomI1EL25iW0BdL9O9I5MNjqOCIiInIWcvOL2P2Pe+hz8N9Ee5thfXBe8Tt6puqK0lJpz+aVdKSEAjOIc5L6Wh1HRBqAmmIiIrXgzvgGgCOtelsbRERERGqtyFXBy1/v5qWlu5ltZuCwu9nk7I3fZVPp2XeI1fGkkTm46Us6AruDetLbX+vJifgCNcVERGohPO87APwSzrc4iYiIiJxJRZmLdR/O4bFt7dh0LASAD2Puol3KRJIuutbidNJYOfavBKA0RrPERHyFmmIiImdQWlJEYvkuMCC2xyVWxxEREZHT2PDlvwj/+lHO8+zjtooBPNfqfn57ZReu6RmjBfSlRqZpcl/JGDqVXcCklOusjiMiDURNMRGRM8jYuprOhpsjhBIT38nqOCIiIlKN/bs2cfBfk+ldsgKAI4QQ16Mf/71pAA4/m8XppLHbfaiIjJIADvidxwtdkqyOIyINRE0xEZEz2Ld/H2FmSw4EdqKVTYNqERGRxqT42FE2vvkIyfvfoK1RQblpZ230cLr9ajr9WkZYHU+aiG/35gHQq12YmqgiPkRNMRGRM1hU3os7XM9zb9+29LI6jIiIiACVp7t9sjGHAx8+zB3u98CA7wJSCfvl01zQpbfV8aSJabHmOR7wy8HR5ldWRxGRBqSmmIjIGWzcnw9A17goi5OIiIgIwL4jRfz+w818te0gIQwhNXAj5f0m0ueKX2FoVrf8BL1yP+Qqv2zWhA21OoqINCA1xURETqOs3M22nEIAktqGWZxGRETEt7krylnzznRKti/hK9cDOOx2br/0XDpfupwAf7vV8aSJKjySQ1tPNgCJvXRRJRFfoqaYiMhpZGxZwf/8/o/V/j1pF3611XFERER81u4NX2N+dC993ZVXhP6/6B3cMHIs57RpYXU0aeL2blhKErDXaEdCa50ZIOJL1BQTETmNvJ2rOcc4SoKzUJdxFxERsUBZaQnf/uMhztv3GnbDJJ9gtiY9yG9+eQ82u06VlJ/v2K7KK5bmhCaRYHEWEWlYaoqJiJyGmbUegMJWujS3iIhIQ9uzaQV8MI4L3OlgwOoWl5F467NcEB1ndTRpRoIPrgfAbJtqbRARaXBqiomInEZY/lYA/NslW5xERETEd7g9Ji8v3cWlX95FVyODI4SSfsF0zrvyNqujSTPjcbtJLN0KBrTuepHVcUSkgakpJiJSA7fbTbvydDCgTScdORQREWkIew8X8cC7G1izN49PjLE83GoRibc9T58ozQ6TupeRvpNwDIpNJwndUqyOIyINTE0xEZEaZKd/TzvDhcv0p22HHlbHERERad5Mk7X/fpaP16WzpuwKWjj9uHXoL0lNvUfrekq9WV/QgvtdLzG4nZu/+TusjiMiDUxNMRGRGuTuXEc7INMvnnP8/K2OIyIi0mwVFRxh29/HklLwX3oadvLaXcCkkcOIaxVkdTRp5r7bl4+JjZj4DlZHERELqCkmIlKDjPwKyj1dKQ/vzjlWhxEREWmm9nz3NY4P7qSPmUOFaWN14v8x67YbsdvtVkcTH7Bpfz4APduGWZxERKygppiISA0Wlvfkk7JH+F1yN7TsqoiISN0yPR6+fXcGPbfOxmG4ySaSw1e/SP++g6yOJj7CXVHOE9l3scM/lq6tX7E6johYQE0xEZEafJ9TCECX6BCLk4iIiDQvJa4Kvn/uelIKl4ABawL702HMXJIio6yOJj5k/84NdDEyaGfLJaBtjNVxRMQCNqsDiIg0RqUuFwcOHQagq5piIiIidSbzSDHXv7iCz47E4jL9WNb5IfpMXkArNcSkgeVuXw1AhqODTtcV8VGaKSYiUo19W79ho2MMm42OtA652uo4IiIizcL/vt/P3e9u5mhxOblB13P1sDFclHye1bHER5XvWwdAQcvuFicREavU20yx9PR0xowZQ/v27QkMDKRjx448+uijlJWVVanLyMhg2LBhBAcHExkZycSJE0+pERFpaEfT12MzTGyOIF0GXkRE5GcyPW7WvvYgEW8Ooay4kF7twvjPxIs5Vw0xsVBo3hYA7G17WxtERCxTb02x77//Ho/Hw9/+9jc2b97Mn//8Z1588UWmTp3qrXG73VxzzTUUFRWxbNky3n77bebPn88DDzxQX7FERGqlIqdykFQY1tniJCIiDasuD2xu3LiRAQMGEBgYSNu2bXn88ccxTbMh3440AqXHjrJp9jBS9rxIV1smj3TYwbu/7kdsy0Cro4kPMz1u4st2AhBxjpqzIr6q3k6fvPLKK7nyyiu99zt06MC2bdt44YUXmDVrFgALFy5ky5YtZGZmEhsbC8DTTz9NWloa06dPJzQ0tL7iiYicVuDRHQAYbTSdXkR8y8kHNs855xw2bdrE2LFjKSoq8o7hThzYbN26NcuWLePw4cOMHj0a0zSZM2cOAAUFBQwaNIiBAweyevVqtm/fTlpaGsHBwToA6kPysnaT/+r19KzYg8v0Z03Ph7n5homahS2Wy07fRqxRQpnpR7vOyVbHERGLNOiaYvn5+bRq1cp7f8WKFSQlJXkbYgBDhgzB5XKxdu1aBg4ceMo+XC4XLpfLe7+goKB+Q4uIT2rt2gtAaHwPi5OIiDSsujqw+cYbb1BaWsq8efNwOp0kJSWxfft2Zs+ezaRJk6ptimic17zs2/w/Av91C4lmHocII/uqufS/4HKrY4kAkJmVxRFPIoZ/ID0cTqvjiIhFGuzqk7t27WLOnDmMGzfOuy0nJ4eoqKpXmQkPD8fhcJCTk1PtfmbOnElYWJj3FhcXV6+5RcT3lBQVEu05CEB0h14WpxERsd7ZHtg8UTNgwACcTmeVmqysLNLT06t9HY3zmo/vv36fiPeuI8LMY5eRwLFRn9NTDTFpRL5xJTC0bAavdHrB6igiYqGzbopNmzYNwzBOe1uzZk2V52RlZXHllVdy0003ceedd1Z5rLqjhKZp1jilesqUKeTn53tvmZmZZ/sWREROa//uTdgMk6O0IDwyxuo4IiKW+qkHNqurOXG/poOfDTnOc7lcFBQUVLlJ3fhw/X7GfXaMo2YL1jpSaTlhMYkdu1kdS6SK7w8UAtAlRkv2iPiysz59csKECYwYMeK0NYmJid4/Z2VlMXDgQPr168dLL71UpS46OppVq1ZV2ZaXl0d5efkpg6gTnE5nlSOOIiJ1LSPfzbcVA2gZEsRgrXkiIs3EtGnTeOyxx05bs3r1alJTU733f+6BzR/XnFhkv6aDnw05zps5c+YZPw85ey8u2cWTn34PRDDnnL/yyMjLCdDYXRqh7Vl5AHRVU0zEp511UywyMpLIyMha1e7fv5+BAweSkpLC3LlzsdmqTkzr168f06dPJzs7m5iYytkYCxcuxOl0kpKScrbRRETqxIaSNvyl4tfc3DGOwVaHERGpIw19YDM6OvqUGWG5ubkANR78bEhTpkxh0qRJ3vsFBQU6XfNnMN0VfPu3u1i3Lw44n7EXt2fKVd2w2XRwSRqf0mN5/KfwZnY5YmkdudTqOCJioXpbaD8rK4tLL72U+Ph4Zs2axcGDB72PRUdHAzB48GC6d+/OqFGjeOqppzhy5AiTJ09m7NixuvKkiFhm18FjAHRsE2xxEhGRutPQBzb79evH1KlTKSsrw+FweGtiY2OrNN+sorMP6o67rIStf72ZlPwlPOPv4F8XXc2oQbp6szRe+7eto6NRTqRRSOvwMKvjiIiF6m2h/YULF7Jz504WL15Mu3btiImJ8d5OsNvtfPzxxwQEBNC/f3+GDx/Odddd572ykYiIFYpzduJPBR1bt7A6iohIgztxYDMuLs57YDMnJ6fKrK+TD2yuW7eOL7744pQDmyNHjsTpdJKWlsamTZv44IMPmDFjRo1XnpSmqay4kJ1/voqk/CW4TD/W9pnJqEF9rY4lclp56RsAyHG2179HIj6u3maKpaWlkZaWdsa6+Ph4FixYUF8xRETOiqeigufzx+PndJPjXAFYf4qPiEhDOnFgc+fOnbRr167KYyfWBDtxYHP8+PH079+fwMBARo4cWeXAZlhYGIsWLeLuu+8mNTWV8PBwJk2aVOWURWnaSgqPkvHcULq4NnLMDGTTJS9w0eW/tDqWyBm5D2wBoKhlZ4uTiIjV6q0pJiLSFOVk7iTWKKfM9CM6roPVcUREGlxdHtjs2bMnS5dqvZ7mqKQgj8znrqJL2VYKzCB2DnmNCy7USpzSNLTI3waAX1QPi5OIiNXq7fRJEZGm6FD6RgD229vi5++wOI2IiEjjU1ru5sO/P0Hnsq3km8FkDnuLPmqISRMS49oDQHiH3tYGERHLaaaYiMhJirO2AnAkMIH2FmcRERFpbErL3Yx9fQ3LcgficmaTcu3dJKVcZHUskVrLy91PKwrwmAZtO51rdRwRsZiaYiIiJzGO7AKgvKVaYiIiIicrLcrn129v4esdRwhy+NMt7a8ktW9ldSyRs5Jx4DDL3ecT4V/OBcGhVscREYupKSYicpKgYxkA2CPPsTiJiIhI4+EqLiDj2au4oTiUb/0n8Pe08zhfDTFpgraUtGRK+X1c0r41F1gdRkQsp6aYiMhJIsr2A9AiVlcjEhERAXCXlbBrznV0d20myhbMP34ZRe8OEVbHEvlJduUeA6Bj62CLk4hIY6CmmIjIcWUVHt4sH0B7I5tLEnQ1IhEREbOijO+fu5EeJWspMp3svXIevXufZ3UskZ8sJ2c/YHJOmxZWRxGRRkBNMRGR4/blFfNcxXUE+tvZEtXO6jgiIiLW8njY+uIoehQsw2X6s/mSFzm/n64yKU3bo/vv4o/OInb6fQAkWB1HRCymppiIyHF7DxcDkBARhGEYFqcRERGxkGmy+dVx9Dj0GRWmjZWpsxlw+fVWpxL5WUqP5dHaPAIGtEvoZHUcEWkEbFYHEBFpLA7t20mikU2HVk6ro4iIiFhq4ZKvOSdzPh7TYHH3Jxgw7DarI4n8bFm7NgFwiDBaRbS2OI2INAaaKSYiclzctlf5yvkeK0tuAfpaHUdERMQSX+84yP8tPEaKOYW0TqVcNfxuqyOJ1ImjGZsBOOAfR6TOChAR1BQTEfEKPLYXAKNVR4uTiIiIWGN7Tj7j//ktbo9JbO/LuXJ4by0pIM1G2YFtABxr0d7iJCLSWOj0SRGR41qV7gMgOEZrTIiIiO85kr4R42+XEFO2h/MTW/HHG3ths6khJs2H4+guADwRGuuJSCXNFBMRAdwVFUR5DoABkfHdrI4jIiLSoEqOHsT1+k10MrN5POhduoy6A6ef3epYInUqvKTyrIDAmC4WJxGRxkJNMRER4MC+XcQablymP23adrA6joiISIMxK8rI+NtNdPFks5/WxKTNIzzYYXUskTplmiafVqRwjieCLonnWh1HRBoJNcVERIDDGd8TC+TYo0mw68i4iIj4jk2v3k3PknUcMwM4fO1r9IqLtzqSSJ07eMzFn1w3YDNga0Jnq+OISCOhNcVERIDiAzsBOOqMtTiJiIhIw9m+4Bl6Zr2LxzRYm/JHeqX0tzqSSL3IOFwMQGzLQJ0aLCJemikmIgJ8b3RgRcX1xLXpgSbUi4iIL8jeuIT2ax4HYFHMnQy5Ns3aQCL1KDsrg9YcJbFVhNVRRKQRUVNMRARYW57IRxU38ruOWmRfRESavyJXBfcsLGCiuzv2oJZcOuZJqyOJ1KuYjS+yOuAtlpaNBC6wOo6INBI6fVJEBNiXVzmlvl14oMVJRERE6pdpmkz9YCNrDtr4rfNhOt31D5z+OlYuzZujMAMAW3iCxUlEpDHRTz8REaD14TUkGC1oF+a0OoqIiEi9+vy/C/lwfQV2m8Fzt55Hm4hwqyOJ1LvQkn0ABEbpKuMi8gM1xUTE55WWFPE39yPghKOBV1sdR0REpN5krvyAK/+Xxky/geRf8RSpia2sjiRS70yPhzbuHABateticRoRaUx0+qSI+LyD+yqvPFlsOgmLiLI4jYiI9a699lri4+MJCAggJiaGUaNGkZWVVaUmIyODYcOGERwcTGRkJBMnTqSsrKxKzcaNGxkwYACBgYG0bduWxx9/HNM0G/KtyEmKDmUQ+tk9ALQOD+GuS86xOJFIw8g/uI8gXLhNg5iEzlbHEZFGRE0xEfF5R7N2AZBrj8Kw6Z9FEZGBAwfy7rvvsm3bNubPn8+uXbu48cYbvY+73W6uueYaioqKWLZsGW+//Tbz58/ngQce8NYUFBQwaNAgYmNjWb16NXPmzGHWrFnMnj3birfk80yPm/2vjiaMQr43OtDnzuex2QyrY4k0iNyMbZX/NyIJCND6sSLyA50+KSI+rzh3DwD5zhiLk4iINA7333+/988JCQk89NBDXHfddZSXl+Pv78/ChQvZsmULmZmZxMbGAvD000+TlpbG9OnTCQ0N5Y033qC0tJR58+bhdDpJSkpi+/btzJ49m0mTJmEYasg0pC3zp9Oj+FuKTSflv3yJVmEhVkcSaTCFWTsAOOxoi0Z7InIyTYkQEZ/nydsLQGlwO4uTiIg0PkeOHOGNN97gwgsvxN/fH4AVK1aQlJTkbYgBDBkyBJfLxdq1a701AwYMwOl0VqnJysoiPT292tdyuVwUFBRUucnPl7ttBZ03PwPA/zpNpue551kbSKSBbffE8PeKq9gZOdDqKCLSyKgpJiI+z7+w8mpEZst4i5OIiDQeDz74IMHBwURERJCRkcGHH37ofSwnJ4eoqKprMIaHh+NwOMjJyamx5sT9EzU/NnPmTMLCwry3uLi4unxLPslTXkbFu3fij5vljgsZOOKBMz9JpJlZXd6eP1SMYn+nW62OIiKNjJpiIuLzWpRULh7tiEy0NoiISD2aNm0ahmGc9rZmzRpv/W9+8xvWrVvHwoULsdvt3HbbbVUWya/u9EfTNKts/3HNiefXdOrklClTyM/P994yMzN/1nsWeHXlPqaW/IrNZnva3vYyfn52qyOJNLj9eSUAtAvXemIiUpXWFBMRn/eaeTVRFd24Mr6P1VFEROrNhAkTGDFixGlrEhMTvX+OjIwkMjKSzp07061bN+Li4li5ciX9+vUjOjqaVatWVXluXl4e5eXl3tlg0dHRp8wIy83NBThlBtkJTqezyumW8vNsyynkT59vo8yTzKCrbuWWdlomQHxTi8PfEUEI7VqqKSYiVakpJiI+rbTczVtFKUAKoxO6Wh1HRKTenGhy/RQnZni5XC4A+vXrx/Tp08nOziYmpnLZ6oULF+J0OklJSfHWTJ06lbKyMhwOh7cmNja2SvNN6kdFSQEz3vmSsgo/LuvahpF9E6yOJGKJipICXin7LQRAbtB2q+OISCOj0ydFxKdlHa2cTh/ksNMyyN/iNCIi1vvmm2947rnnWL9+PXv37uXLL79k5MiRdOzYkX79+gEwePBgunfvzqhRo1i3bh1ffPEFkydPZuzYsYSGhgIwcuRInE4naWlpbNq0iQ8++IAZM2boypMNZNs/J/HskXFcH7CGJ6/vqc9cfNahfZVXnswzWxAZ2cbiNCLS2KgpJiI+7VDWXvrZNpMcWqBfGEREgMDAQN5//30uv/xyunTpwh133EFSUhJLlizxntpot9v5+OOPCQgIoH///gwfPpzrrruOWbNmefcTFhbGokWL2LdvH6mpqYwfP55JkyYxadIkq96az8hZv5Ae+98jzCjm2r7daRMaYHUkEcsczd4FQK69DTabxnoiUpVOnxQR37b7C95yTOe7ihRguNVpREQs17NnTxYvXnzGuvj4eBYsWHDGfS1durSuokktmK5jGP+5B4Avgq/msitvtDiRiLVKDu4FoNARbXESEWmMNFNMRHya++h+AFwBGiiJiEjT9/07vyfKnUOWGUHnW5/RLGjxee68yqvYlgbHWpxERBojNcVExKfZCyubYhUhGiiJiEjTdnj3Os7Z/ToAG899mLiY6q/yKeJLToz1CNXVV0XkVGqKiYhPcxbnAGBvqYGSiIg0YabJ0fcm4o+blY4LuPwXo61OJNIoBJVkAeAfEW9xEhFpjLSmmIj4tNCyXAACIuIsTiIiIg3J5XLhcrm89wsKCixM8/N9szObzYUxtLHvIOz62fjZdexbBODfXEZERQLntzvX6igi0gipKSYiPi3CcwiAsKhEa4OIiEiDmjlzJo899pjVMepEudvDwwt2sq1iNPvOncjDXXtYHUmkUXB7TP5e1J9y94X8L1HfFyJyKh1CEhGfVVR4lFCKAIho297iNCIi0pCmTJlCfn6+95aZmWl1pJ/steXpbDtQSHiQPxOuPt/qOCKNRm5hKeVuEz+bQVSI0+o4ItIINUhTzOVy0bt3bwzDYP369VUey8jIYNiwYQQHBxMZGcnEiRMpKytriFgi4uNyCsr5bflYnjVH0CK0ldVxRESkATmdTkJDQ6vcmqK89A10+e8ddDL28eCVXQkPdlgdSaTROJC9j3ONnXQNdemUYhGpVoOcPvnb3/6W2NhYNmzYUGW72+3mmmuuoXXr1ixbtozDhw8zevRoTNNkzpw5DRFNRHxYVjG86x5I58gWTLQ6jIiIyNkyTQ7Pf4CLjXVMCwmkX+pdVicSaVQqtn/Bh85H2OQ5F/il1XFEpBGq96bYp59+ysKFC5k/fz6ffvpplccWLlzIli1byMzMJDY2FoCnn36atLQ0pk+fXu0Ru+a2KKqIWCc7vxSA6LBAi5OIiEhjUXzsKH42D9idYPev3OipgIrSmp9kd1TeADxujAoXgS3qf+bZ/tUfck7halymH0HXTMdmM+r9NUWakoq8ytOiiwNjLE4iIo1VvTbFDhw4wNixY/n3v/9NUFDQKY+vWLGCpKQkb0MMYMiQIbhcLtauXcvAgQNPeU5zWhRVRKxVvu87LrRtokvQeVZHERGRRiJoThJBToPJ5b/mX+4BAAy0rWOu46kan/NweRr/cA8G4ALbFt52/IGVLa/hgvverL+g7nJsC38PwJctb+DKc/vU32uJNFH2wn0AVLSIPUOliPiqejux2jRN0tLSGDduHKmpqdXW5OTkEBUVVWVbeHg4DoeDnJycap/TnBZFFRFrnbP3Ld50zODy4k/PXCwiIlILnY3KsWni0ZX1+jo7Pp1DTEUmh8xQut78eL2+lkhTFVCUXfmHlnHWBhGRRuusZ4pNmzbtjDO1Vq9ezfLlyykoKGDKlCmnrTWMU6d5m6ZZ7XaoXBTV6dSVQ0Tk5wsoqWy+28PaWpxEREQai5KJ3+MfGsoTdn+esB0fKnsGUeK+r8bnTLX7M/V47dE90fDWazhM12nHtD+Hp/QYEWv/AsCqhF9zTWx0nb+GSHMQUlY51nO2irc4iYg0VmfdFJswYQIjRow4bU1iYiJ/+MMfWLly5SkNrNTUVG655RZee+01oqOjWbVqVZXH8/LyKC8vP2UGmYhIXQstywUgoLUGSiIiUikwuAWBwS1+tNUO1O6qjp6wMAACKMdV4SHA3163AYEtH88hyTxKhhlF/5vur/P9izQXke6DALSIam9xEhFprM66KRYZGUlkZOQZ65599ln+8Ic/eO9nZWUxZMgQ3nnnHfr27QtAv379mD59OtnZ2cTEVC5+uHDhQpxOJykpKWcbTUTkrER4DgEQ2ibB4iQiItJcOAMq19ENoIx8V0WdN8XK3R7u35VC//LbOK9XL64JCa7T/Ys0F+VFebSgGIDw2ERrw4hIo1VvC+3Hx1ededGiReURt44dO9KuXTsABg8eTPfu3Rk1ahRPPfUUR44cYfLkyYwdO7baK0+KiNSVosJ8QikCICJWRw9FRKRu+Dkrm2I2w6SktITwFnW77Mf8tfvYcaScw8HXMvm6Uy9KJSKVDhVV8PfyW4mwHWNcy1ZWxxGRRqreFtqvDbvdzscff0xAQAD9+/dn+PDhXHfddcyaNcvKWCLiA44cyACgyAygRagGSiIiUkf8f7jiuqu0qE53XXrsKH/97/cAjL+0Iy2c9XoheZEmLbvUj1fcV/NG8Ghstrpf209EmocG+0mamJiIaZqnbI+Pj2fBggUNFUNEBIDC3Mqrgx22tUInnoiISJ2x+/OpcQlHy+30rjh17Ptz7H7nIeaVfsXsFuO49YIr63TfIs1NTn4pANFhARYnEZHGTIeXRMQn7bPFMq98LPGRYUywOoyIiDQfhsGTQZPYe7iY+UbQmetryXU0iw6Z8wmwlfHL3jH1soC/SHNSnL2Nc42ddAzuZXUUEWnELD19UkTEKhnlobzrHsi26KFWRxERkWYm8HjDqqTMU2f73PXhTAIoY6PRmYuH3FBn+xVprhJ2/ZMPnY9wbfH7VkcRkUZMTTER8UkHC10AtAmp2wWQRUREWvh5CKGYUldpneyvvCCX9nveASDn3Htw+utkD5Ez8S86AIARGmNxEhFpzNQUExGfFJTzDf1tG4l3FlsdRUREmpm/HL2bjQF3EnBgbZ3sb9dHTxGIiy104KKrRtbJPkWauyBXLgCOlrEWJxGRxkxNMRHxSVfkvMIbjpn0KFljdRQRkUbL5XLRu3dvDMNg/fr1VR7LyMhg2LBhBAcHExkZycSJEykrK6tSs3HjRgYMGEBgYCBt27bl8ccfr/bCS81Nha1yFrLb9fMPvLiL8ojb+Q8A9va4m0BdcVKkVkIrDgMQ3DrO4iQi0pjpp6qI+KQWxwdKAa3aWpxERKTx+u1vf0tsbCwbNmyost3tdnPNNdfQunVrli1bxuHDhxk9ejSmaTJnzhwACgoKGDRoEAMHDmT16tVs376dtLQ0goODeeCBB6x4Ow3Gbau82l1dNMU2//c1elHCDuK4aOion70/EV9gety08hwBA0LVFBOR01BTTER8UitPZVMsRAMlEZFqffrppyxcuJD58+fz6aefVnls4cKFbNmyhczMTGJjK09Nevrpp0lLS2P69OmEhobyxhtvUFpayrx583A6nSQlJbF9+3Zmz57NpEmTMAzDirfVINz2ypliFT+zKWaaJg9nphJU9juuT47lpkCtgylSG8fyDhBiuAGIiIq3OI2INGY6fVJEfE5JUSEhlAAQHq2BkojIjx04cICxY8fyj3/8g6CgoFMeX7FiBUlJSd6GGMCQIUNwuVysXbvWWzNgwACcTmeVmqysLNLT06t9XZfLRUFBQZVbU2TaK2eKecpKftZ+vs3IY8O+fNbaenLplTfVRTQRn3D0QAYAh80wAgMDLE4jIo2ZmmIi4nOOHB8oFZtOQkJaWhtGRKSRMU2TtLQ0xo0bR2pqarU1OTk5REVFVdkWHh6Ow+EgJyenxpoT90/U/NjMmTMJCwvz3uLimuZsXo/f8aZY+c9oipkm/1y6BYBf9m5La10tWaTWcj1hPF4+inecN1gdRUQaOTXFRMTnFBzcB8ARWziGTf8MiohvmDZtGoZhnPa2Zs0a5syZQ0FBAVOmTDnt/qo7/dE0zSrbf1xzYpH9mk6dnDJlCvn5+d5bZmbm2b7NxuF4U4yf0RTL3fA5j+28iXvt87njovZ1FEzEN2S5w3jVfRVfRQy3OoqINHJaU0xEfE7x4cqmWIFfpMVJREQazoQJExgxYsRpaxITE/nDH/7AypUrq5z2CJCamsott9zCa6+9RnR0NKtWraryeF5eHuXl5d7ZYNHR0afMCMvNzQU4ZQbZCU6n85TXbYqOtOrNf7KPkG376RdzyV/8DG2MYnqEV9AlOqQO04k0fwcLXQCaYSkiZ6SmmIj4nF3+XXi7/C46xbSlu9VhREQaSGRkJJGRZz4Y8Oyzz/KHP/zBez8rK4shQ4bwzjvv0LdvXwD69evH9OnTyc7OJiYmBqhcfN/pdJKSkuKtmTp1KmVlZTgcDm9NbGwsiYmJdfzuGpeMDr/iofVJXOFsw10/4fnH9m2mU8EKPKZB6KX31Hk+kebOzN1Mb2MX8QGtrI4iIo2czhsSEZ+zqyKC99yXkh07yOooIiKNTnx8PElJSd5b586dAejYsSPt2rUDYPDgwXTv3p1Ro0axbt06vvjiCyZPnszYsWMJDQ0FYOTIkTidTtLS0ti0aRMffPABM2bMaPZXngQIclYedy5yuX/S8/d+9iwAy/370jel+nXdRKRmqekv82/nI1xY9F+ro4hII6emmIj4nIMFlVPq24ToakQiIj+F3W7n448/JiAggP79+zN8+HCuu+46Zs2a5a0JCwtj0aJF7Nu3j9TUVMaPH8+kSZOYNGmShckbRpC/HRseKsqKz/q5pquQxH0fAVDa+/Zm30AUqQ8BrsMA+IVVf6q2iMgJOn1SRHxOm4PLuchWQLuARKujiIg0eomJid4F8k8WHx/PggULTvvcnj17snTp0vqK1mglZr7P7oCHWH70fOCys3ruvqX/JI5i0s1ozrvsl/UTUKSZCy4/AkBAyxiLk4hIY6emmIj4nBFHnifRkcnG8m5AD6vjiIiIRfYfLcHM34fhLqOw8BgABXkHwV16vMKGxxmKQeVsLaP8GHiqPyXSMMDjDAPAba+ciex0F1F49FC19SdqK/dbjOEpr/zz2lcB+DZyGIPKCiksK8R0hsKJDBUl4C6npglkHkcIJx40Kkox3OU1vn/TEQxG5YkjhtsFp6nFPwgMe+Wf3a7q93s8k+kXCDb78f2WgbvsxyU/ZPALANvxX0nc5T/UVvf+7M4faj3lp39vdgfY/Co/Ck9FlQyn7tdx0n7dlZlrrPUHm//xF3Gfst+TY5s2/x/2a3p+2G91782wV+77eG3lfmv4Iht2sJ/4Nc48/Xsz7Bgnak3z9F9jm+2kvIDn1P2e+F7AOKmWqvstc1V+/xQV5mM3zMq/C34nzc4vL67x7y+GrUqtUeE6zXuz4edw4m8/9eSnME8eAC0i1BQTkdNTU0xEfE64p/LoYWhkO4uTiIiIlUa/+g1PH72Pc227KXBVzoYL3fQ6ocGVv5QXm05ecg/11t9oX0I7o/omV7npx1/dvwAgYn8ZXYBATxEh61+utv6Zihu8f77atpLOtv2UuA3uOnYX1/IVfdpEep/7XMV1VFDZZBpsW0N3294a39PfKoZSQuUV9wba1nGubXeNta9UXEUhQQBcZNtIqm17jbWvVwziCJXrxV1g28IFtq011r5VcRkHCAcgxdjOxfaNNda+VzGA/VReAKKXsYvL7OtrrP23uz/pZjQA3Y10BtvX1lj7sbsvO8zKn/OdjH1cY19VY+3n7lS2mgkAJBo5XGf/X421i93JfGd2AKCdcZAb7TXPhPza3ZO1ZuWafFEc4Vd+X9ZYu8LdnVVmNwBaUcBtfotqrF3j6cwyT08AQiniDr/Paqzd4OnIl57eAATi4td+Nc/u3OxJYJGncg07PyqY4PdhjbXbPe34xNPXe/8+v/nePzuKKptiwc/1INhpsNjdmzvKf+t9fKszjUCj+kbeCnd3flX+e+/9tc5fE2EUVlu73tOBW40neX3M+fSJD/dud5eVEkLlqcstW8fW+B5EREBNMRHxMS5XCWEUARDWuq3FaURExEqB/nbKDCdFZgBFpgcopMz0o8ysHCJX4FdlFoobP8rN6ofP5djxsx2vdVY2jwIprbHez/bDVBnTsFNh2vk4J4wtFbEUBt7IHa13UHH8rFW7zcA8PkPHNGxUmPYa35PNZmA/aTaP26x5CWG7cVItxmlrbYaB7aRaj1nzWmeG8cOMIsPgzLXmib2CeZpakR875qrg2715VZpiRw9mEQGUm3ZaRWhNMRE5PcOsbpGIJqSgoICwsDDy8/O9VzsSEanJgf27iXo5mXLTjv2Rg9jsNf9iISLNm8YQjV9Dfo3q8rUKdq8l9PXLyDVb0uqRPfhVc3pXdX710kpW7D7MpEGdmXh5p5+VQU5Sm193TpzPZ5qYnKn+RK33PzW/tHFyrec0hXhPZQWz8hTKGsrAqFpbwym93qzHT2XFNCtP+fQ+88fZT66l8rTT2uwXqpw+WVBQQETrNhzIyqz8Xjrp9EnTBMqOnWa3Nkz/wB/ulx2r8ev3yEdb+dfGPKZe3ZW7Luno3b5rw1I6fjCMXFrRZtqe07wHEWnOavtzXTPFRMSnFBzMIgo4aoTSWg0xERGpB4GhlbNWginhmKuClkGOMz7n8JKXuDXzPdy2K7kh5ewW55czOJsreBrGD+tmnbHW+5/Tl3j/UNtxhwHUrpFa2Zw6i9pa75fKtdZqXev0/tHPUfnngOBQAoKr+UXUEXbqtpo4Wtb4UBvzIBfaviewOBT4oSl2wBPOG+WjaB0awP/V/pVExEedxb+KIiJNX3FeDgAF9vAzVIqIiPw0/oGVjYBgw0V+UekZqiuVf/Mq19i/YVibg7RtGXjmJ4j4uMsOvcmbjhl0zK26/lqOGc6r7qtYHnmTRclEpCnRTDER8Smuo5VNsWJ/NcVERKSeOENYYSRzuMJJQlExtD796ZjunC1EF22l3LTT5sJbGyikSBN34hRSs+rpo0eKKhfxbxV8FjPdRMRnqSkmIj5lZ2AS75XfRZd27elpdRgREWme/Jw8FvY43+cU8o/yM58yl7XkVeKAr40+DEjuVv/5RJoB78UnPFXXHDMOfk+ysYNYhw6AisiZ6fRJEfEpuyva8J77UnJjB1odRUREmrHQQH8A8kvKT1/oriB0+3wActrfQIC/1rsUqZXjM8V+fN24Pntf4QPno/Qv/NyKVCLSxKgpJiI+5fDxKfURmlIvIiL1KCzQHz8qKCgqPm1d4ZbPCXMf4ZAZyrmXaw0kkVo73hQzfnT6ZIDrcOX2kDYNHklEmh41xUTEp7Q5tJKLbd8R6yixOoqIiDRjk3KnsjPgNiIyF5227tCyuQAsC7iUHu0iGyKaSPPgXVOs6kyx4PIjADjCoho6kYg0QVpTTER8yvDDL9LRsYfvSjsBSVbHERGRZsrwcwJgFh8+bd2nBR0Z6PkeW/ItDRFLpPkwKtcUw/RU2RzqzgMgoGVMQycSkSZITTER8SmhnqMABLXSQElEROpPRUArAGwleTXWbNqfz5/yLuEZ+wC+ueSyhoom0ixsCx/A51mBJIT1pf+JjR43oRQC0KJVtGXZRKTpUFNMRHyGx+0m3MwHA0IjY62OIyIiFnK5XLhcLu/9goKCOt2/ERRR+f+SIzXWvLsmE4DBPaJpGaS1LkXOxt6w8/m7uzW/btHBu628KA9/Kk+nbBmh0ydF5My0ppiI+Iz8vIP4GZVT7FtGaqaYiIgvmzlzJmFhYd5bXFxcne7fP6RyfTB/V/VNMdeBHXjWvUEQpdx8Xt2+togvsNkqT590e35YU6zgyAEACs1AwloEW5JLRJoWNcVExGfkH9xf+X+CcTgDLE4jIiJWmjJlCvn5+d5bZmZmne4/oGXlqVvBZdU3xTL++wJ/4HmeC3qZCztqgX2RsxVWdoBkYwchpdnebYfdwTxRfiuv2G70Ns1ERE5Hp0+KiM84dqRy0HTUFk6YxVlERMRaTqcTp9NZb/tv0SYBgAjPQdweE/vJv6C7K4jc9QEAxzr/supjIlIr5+W8w3jnmyw7eAtwOQAHPS14xX01nUJacJ+l6USkqVBTTER8RunRHACK/MItTiIiIs1daMw5/M/Tgz2eaEKKymgd8kMD7tB3nxLpOcJhM4Tky2+2MKVIE2ZUnvRknHT1ycNFZQC0CtYafSJSO2qKiYjP2OPsytvlv6ZTTDu6Wx1GRESaNb9WCdznfJyDhS56F5RWaYod+d88IoFvWlzOVa1bWpZRpEk73hTD/GFNsbLcXSQbO2gfoJGeiNSO1hQTEZ+R7o7kX+4B7I/WZe9FRKT+tQsPBGDv4WLvNnfRERIPfQVAwHmjrIgl0jwcb4qZJzXF4tPf4wPno1xb+I5VqUSkiVFTTER8xuFjlVPqI1vU3xoyIiIiJ3Rs3YIgSsnMyvJu27V4Hg4q+J5E+vUfaGE6kSbOqFyLz+CH0yeN0soLW5iBEZZEEpGmR00xEfEZkQdXMsC2gVj/Y1ZHERERHzCycC6bnGNI3DHXuy19xyY8psHeuOsI8LdbmE6kiTtx+qTnh6aYf2keALbgVlYkEpEmSE0xEfEZww79ndccf6Rj6Waro4iINGqJiYkYhlHl9tBDD1WpycjIYNiwYQQHBxMZGcnEiRMpKyurUrNx40YGDBhAYGAgbdu25fHHH69yqlNzFxTRDpth0jJ/GwD78ooZd/AGBpY9zTlDxlmcTqSJO9EUO2mmmLM8HwC/kEgLAolIU1TvTbGPP/6Yvn37EhgYSGRkJNdff32Vx2szoBIRqQsh7sqjh4EtoyxOIiLS+D3++ONkZ2d7b7///e+9j7ndbq655hqKiopYtmwZb7/9NvPnz+eBBx7w1hQUFDBo0CBiY2NZvXo1c+bMYdasWcyePduKt2OJqM7nAdCxfBtHjpXywle78JgQ1zGJju1iLE4n0rRlh5/H7PIb2RLcz7stqKKyKebfQk0xEamder365Pz58xk7diwzZszgsssuwzRNNm7c6H38xICqdevWLFu2jMOHDzN69GhM02TOnDn1GU1EfFCYpwAMCG6lX0RERM4kJCSE6Ojoah9buHAhW7ZsITMzk9jYWACefvpp0tLSmD59OqGhobzxxhuUlpYyb948nE4nSUlJbN++ndmzZzNp0iSM4+sBnczlcuFyubz3CwoK6ufNNZDwTv0oIojWRj6L353ON7sSgWgmXt7J6mgiTV5Oq1Sedbfg+hZtvdtaeCr/zXCGqikmIrVTbzPFKioquPfee3nqqacYN24cnTt3pkuXLtx4443emhMDqn/+858kJydzxRVX8PTTT/Pyyy83+UGQiDQurtIigo1SAEJbVf9LnoiI/OCPf/wjERER9O7dm+nTp1eZyb9ixQqSkpK8DTGAIUOG4HK5WLt2rbdmwIABOJ3OKjVZWVmkp6dX+5ozZ84kLCzMe4uLi6ufN9dQ/BxktKm84vFlGc/yqd9kfhO3jfPba70jkZ/Ldryx7j5xSrZpEkohAIEt21gVS0SamHprin377bfs378fm81GcnIyMTExXHXVVWze/MNaPrUZUP2Yy+WioKCgyk1E5EwKDucCUGHaCAnTLyMiIqdz77338vbbb/Pll18yYcIEnnnmGcaPH+99PCcnh6ioqqeih4eH43A4yMnJqbHmxP0TNT82ZcoU8vPzvbfMzMy6fFuWaHfDE+QZYQBssXflphG3W5xIpHkIKs+ji5FBaFnlGM/jrmBGxUierbiOYC2VISK1VG9Nsd27dwMwbdo0fv/737NgwQLCw8MZMGAAR45UXiq3NgOqH2t2RxBFpEEU5h0A4KgRis2ua4yIiO+ZNm3aKYvn//i2Zs0aAO6//34GDBhAr169uPPOO3nxxRd55ZVXOHz4sHd/1Z3+aJpmle0/rjmxyH51zwVwOp2EhoZWuTV1IVEdCHxgA9t+sYDODy6hTUS41ZFEmoUuWR/wufMhrjpUeXXXwjKYW3EVsyuGExrSwuJ0ItJUnPVvhrUdUHmOXxr3d7/7HTfccAMpKSnMnTsXwzB47733vPurzYDqZM3xCKKI1L/io5VHEQttTf8XLBGRn2LChAls3br1tLekpKRqn3vBBRcAsHPnTgCio6NPOYCZl5dHeXm594BndTW5uZX/Fv/4oGhzF9AinC7JFxPgdFgdRaT5OH71ScOs/L2zoLQcgAB/G04/u2WxRKRpOeuF9idMmMCIESNOW5OYmEhhYeX53N27d/dudzqddOjQgYyMDKBysLRq1aoqz/3xgOrHnE5nlbUpRERqI9s/nnnlvyaudUvutTqMiIgFIiMjiYz8aYtPr1u3DoCYmMoLlfTr14/p06eTnZ3t3bZw4UKcTicpKSnemqlTp1JWVobD4fDWxMbGkpiY+DPfjYj4PFvV+R3HjmTTx9iOO8C3mu4i8vOcdVOstgOqlJQUnE4n27Zt46KLLgKgvLyc9PR0EhISgNoNqERE6kKOpyX/cg/gyggtsi8icjorVqxg5cqVDBw4kLCwMFavXs3999/PtddeS3x8PACDBw+me/fujBo1iqeeeoojR44wefJkxo4d6z3lceTIkTz22GOkpaUxdepUduzYwYwZM3jkkUdqPCNARKS2DOP4bLDjM8XsuxfzvnMa35rnAiOtCyYiTcpZN8VqKzQ0lHHjxvHoo48SFxdHQkICTz31FAA33XQTULsBlYhIXThSVDmlPjxYp66IiJyO0+nknXfe4bHHHsPlcpGQkMDYsWP57W9/662x2+18/PHHjB8/nv79+xMYGMjIkSOZNWuWtyYsLIxFixZx9913k5qaSnh4OJMmTWLSpElWvC0RaWZOtNZPnD5Zcaxy3eoSe5hFiUSkKaq3phjAU089hZ+fH6NGjaKkpIS+ffuyePFiwsMrFxitzYBKRKQuBOSuZ4BtB/F+WnhVROR0+vTpw8qVK89YFx8fz4IFC05b07NnT5YuXVpX0UREfmA7sW5YZVPMXXIUgHJ/Ta4Qkdqr16aYv78/s2bNOm2TqzYDKhGRnys1+01+7VjMisLJwACr44iISCNz4sqYBQUFFicRadpOfA+d+J6qN8dPwzZOvE7pUQDcTjXFRKT26rUpJiLSWDjK8gDwa/HTFpkWEZHm7cRFouLi4ixOItI8HD58mLCw+juVMb9lD16sGIYZmERfgNJ8AEw1xUTkLKgpJiI+IaiicqDkCG1tcRIREWmMYmNjyczMJCQk5GdfCKCgoIC4uDgyMzOb5Dq5ym+tpp4/Pz+f+Ph4WrVqVa+vczQyhScr7FwcFMn/AX6u4zPUAlrW6+uKSPOippiI+IRgd+VAKTCsjcVJRESkMbLZbLRr165O9xkaGtokmxonKL+1mnp+m81Wr/s/0bv2HD990q+8cqxnD2pZr68rIs2LmmIi0uyZpkmYWQAGtGgVZXUcEREREfmZ/CuKiTMOEFJe2RRbHHQlnxR2pHNEN4uTiUhToqaYiDR7JcWFBBllAIRGqCkmIiIi0tS12/8JXzsfYfXRC4ChfGYfwLqKc/lbm65WRxORJqR+57SKiDQC+YdyAHCZ/gQHN93TEEREpGlwOp08+uijOJ1Oq6P8JMpvLeWvnRNr/xl4AMgvKQcgLNC/Xl9XRJoXzRQTkWbviDuQWWXjaBPo5sF6Xt9CRETE6XQybdo0q2P8ZMpvLeWvJeP4mM4EPB7ii7dgGH6EOu31/9oi0myoKSYizd6higDmey6ha0gID1odRkRERER+NsNW2fwy8GCW5jPPPQWcsD/gZouTiUhToikTItLs5RVVrifWKthhcRIRERERqQve0ydNk7KiPACKTSehwUFWxhKRJkZNMRFp9ty527jUtp7O/getjiIiIiIideDkmWLH8g8DUEAQLZw6GUpEak9NMRFp9mIz/8M8x5+46tj7VkcRERERkbpgHP+f6aGkoLIpVmQEe2eQiYjUhtroItLs2UqOAGAGtrI4iYiIiIjUhdLQjsyrGExxYHsGFx4/fdLWwuJUItLUaKaYiDR7/q7KppgRHGFxEhERaUqmTZuGYRhVbtHR0ad9zpIlS0hJSSEgIIAOHTrw4osvnlIzf/58unfvjtPppHv37nzwwQeNIv/777/PoEGDaN26NaGhofTr14/PP/+8Ss28efNO2adhGJSWllqe/6uvvqo22/fff1+lrrF+/mlpadXm79Gjh7emIT9/gP3793PrrbcSERFBUFAQvXv3Zu3atad9TkN9D5S07sW0ijQ+cg6l/PiaYqV2NcVE5OyoKSYizZ6z7CgA9pDW1gYREZEmp0ePHmRnZ3tvGzdurLF2z549XH311Vx88cWsW7eOqVOnMnHiRObPn++tWbFiBTfffDOjRo1iw4YNjBo1iuHDh7Nq1SrL8y9dupRBgwbxySefsHbtWgYOHMiwYcNYt25dlbrQ0NAq+8zOziYgIMDy/Cds27atynM6derkfawxf/5/+ctfqtRmZmbSqlUrbrrppip1DfX55+Xl0b9/f/z9/fn000/ZsmULTz/9NC1btqzxOQ35PXDiLEmPaVJeXNkUK/MLOev3KSK+TadPikizF1RRAIAzJNLiJCIi0tT4+fmdcXbYCS+++CLx8fE888wzAHTr1o01a9Ywa9YsbrjhBgCeeeYZBg0axJQpUwCYMmUKS5Ys4ZlnnuGtt96yNP+J3CfMmDGDDz/8kP/85z8kJyd7t9dmxlxdOZv8J7Rp06bGxk1j/vzDwsIICwvz3v/3v/9NXl4et99+e5W6hvr8//jHPxIXF8fcuXO92xITE0/7nIb8HrB7ymjNUVq4PWQGdufLiusJDutJ/7N7myLi4zRTTESavWBPZVMsIFRNMREROTs7duwgNjaW9u3bM2LECHbv3l1j7YoVKxg8eHCVbUOGDGHNmjWUl5eftmb58uV1H56zy/9jHo+HwsJCWrWquibnsWPHSEhIoF27dgwdOvSUmWR16afkT05OJiYmhssvv5wvv/yyymNN6fN/5ZVXuOKKK0hISKiyvaE+/48++ojU1FRuuukm2rRpQ3JyMi+//PJpn9OQ3wOt9n/F6oDxPF78B3YFJPHnihvZ1eaKs9qHiIiaYiLS7IWYxwAIDtPpkyIiUnt9+/bl9ddf5/PPP+fll18mJyeHCy+8kMOHD1dbn5OTQ1RUVJVtUVFRVFRUcOjQodPW5OTkWJ7/x55++mmKiooYPny4d1vXrl2ZN28eH330EW+99RYBAQH079+fHTt2WJ4/JiaGl156ifnz5/P+++/TpUsXLr/8cpYuXeqtaSqff3Z2Np9++il33nlnle0N+fnv3r2bF154gU6dOvH5558zbtw4Jk6cyOuvv17jcxrye8CwVf4qa8NDfkllwy000P+s9iEiotMnRaRZKy2r4OGK2wnjGPdGxlgdR0REmpCrrrrK++eePXvSr18/OnbsyGuvvcakSZOqfY5xYqGj40zTPGV7dTU/3lYXfkr+E9566y2mTZvGhx9+SJs2bbzbL7jgAi644ALv/f79+9OnTx/mzJnDs88+a2n+Ll260KVLF+/9fv36kZmZyaxZs7jkkku825vC5z9v3jxatmzJddddV2V7Q37+Ho+H1NRUZsyYAVTOwNu8eTMvvPACt912W43Pa7DvAXtlA8yGG+fRXXQ0smnlH392+xARn6eZYiLSrBWUVvAv9wDmeq6hRXCo1XFERKQJCw4OpmfPnjXOyomOjj5ltktubi5+fn5ERESctubHM2fqw5nyn/DOO+8wZswY3n33Xa644vSno9lsNs4777x6man0Y7XNf7ILLrigSn1T+PxN0+TVV19l1KhROByO09bW5+cfExND9+7dq2zr1q0bGRkZNT6nIb8HDFtlU8xuurlu3x/5wvkbuh1beVb7EBFRU0xEmrWjx6fThwX6Y7PV/VFgERHxHS6Xi61btxITU/3M4379+rFo0aIq2xYuXEhqair+/v6nrbnwwgvrJ/RJzpQfKmeIpaWl8eabb3LNNdeccZ+mabJ+/frT7rOu1Cb/j61bt65KfWP//AGWLFnCzp07GTNmzBn3WZ+ff//+/dm2bVuVbdu3bz9ljbOTNej3gN1e+T/cBFQUAuAXHH52+xARMZu4/Px8EzDz8/OtjiIijdDazdvM0VP+YI558lWro4hII6MxxOktWLDAPP/8882AgAAzIiLC/OUvf1nl8b1795pDhw41g4KCzIiICPOee+4xXS5XlZrvvvvOvOSSS8yAgAAzNjbWfOyxx0yPx1PrDFZ/jR544AHzq6++Mnfv3m2uXLnSHDp0qBkSEmKmp6ebpmmaDz30kDlq1Chv/e7du82goCDz/vvvN7ds2WK+8sorpr+/v/mvf/3LW/O///3PtNvt5pNPPmlu3brVfPLJJ00/Pz9z5cqVlud/8803TT8/P/Ovf/2rmZ2d7b0dPXrUWzNt2jTzs88+M3ft2mWuW7fOvP32200/Pz9z1apVluf/85//bH7wwQfm9u3bzU2bNpkPPfSQCZjz58/31jTmz/+EW2+91ezbt2+1+2zIz/+bb74x/fz8zOnTp5s7duww33jjDTMoKMj85z//6a2x8ntg1+rPTfPRUDP90a5m7rQOpvloqLlmxeKf/8ZFpFmo7RhCTTERada+XfhP03w01Pz+ifOsjiIijYzGEDX717/+ZYaHh5svvPCCuW3bNvP7778333vvPe/jFRUVZlJSkjlw4EDz22+/NRctWmTGxsaaEyZM8Nbk5+ebUVFR5ogRI8yNGzea8+fPN0NCQsxZs2bVOofVX6Obb77ZjImJMf39/c3Y2Fjz+uuvNzdv3ux9fPTo0eaAAQOqPOerr74yk5OTTYfDYSYmJpovvPDCKft97733zC5dupj+/v5m165dqzRtrMw/YMAAEzjlNnr0aG/NfffdZ8bHx5sOh8Ns3bq1OXjwYHP58uWNIv8f//hHs2PHjmZAQIAZHh5uXnTRRebHH398yn4b6+dvmqZ59OhRMzAw0HzppZeq3WdDfv6maZr/+c9/zKSkJNPpdJpdu3Y9JZeV3wO7vv3CNB8NNTMf7WQWPdrGNB8NNTdtXHfW+xGR5qm2YwjDNI+vfNhEFRQUEBYWRn5+PqGhWi9IRKr65oM5nL/h93wXcB69Hvqv1XFEpBHRGKJ6FRUVJCYm8thjj9V4+tann37K0KFDyczMJDY2FoC3336btLQ0cnNzCQ0N5YUXXmDKlCkcOHAAp9MJwJNPPsmcOXPYt29frRbV1tdIRGqya/tGvn19KqX+LRllfgRA+piNJMZpsX0Rqf0YQmuKiUiz5ik+AkCZI8ziJCIiTcO3337L/v37sdlsJCcnExMTw1VXXcXmzZu9NStWrCApKcnbEAMYMmQILpeLtWvXemsGDBjgbYidqMnKyiI9Pb3a13a5XBQUFFS5iYhUxx2WyG8qxvEKv/BuCwmLsDCRiDRFaoqJSLNmFucB4FZTTESkVnbv3g3AtGnT+P3vf8+CBQsIDw9nwIABHDlSeaAhJyfnlCvFhYeH43A4vFeVq67mxP0fX3nuhJkzZxIWFua9xcXF1el7E5Hmw3v9pNJ8AI6ZAYQGB1oXSESaJDXFRKRZs5VWNsXMgJbWBhERsdi0adMwDOO0tzVr1uDxeAD43e9+xw033EBKSgpz587FMAzee+897/6qO/3RNM0q239cc2LVjppOnZwyZQr5+fneW2Zm5s9+3yLSPBmYBFGKgclfKn7JP7gaf7t+vRWRs+NndQARkfrkV1Z59JAgXaJbRHzbhAkTGDFixGlrEhMTKSwsBKB79+7e7U6nkw4dOpCRkQFAdHQ0q1atqvLcvLw8ysvLvbPBoqOjT5kRlpubC3DKDLKTX+fk0y1FRGoScCyTLQF3UGgG0tP1CtFBAfyf1aFEpMlRU0xEmjX/8sr1aOxBrSxOIiJircjISCIjI89Yl5KSgtPpZNu2bVx00UUAlJeXk56eTkJCAgD9+vVj+vTpZGdnExMTA8DChQtxOp2kpKR4a6ZOnUpZWRkOh8NbExsbS2JiYj28QxHxJX5+/pX/xw1AWKC/lXFEpInS/FIRadb+7RjKE+W3UhGTbHUUEZEmITQ0lHHjxvHoo4+ycOFCtm3bxv/9X+X8i5tuugmAwYMH0717d0aNGsW6dev44osvmDx5MmPHjvVe4WnkyJE4nU7S0tLYtGkTH3zwATNmzGDSpEm1uvKkiMjp+PlVNtsDjTI6GFnEOkssTiQiTZFmiolIs/bfimQy3F24Orqr1VFERJqMp556Cj8/P0aNGkVJSQl9+/Zl8eLFhIdXnoput9v5+OOPGT9+PP379ycwMJCRI0cya9Ys7z7CwsJYtGgRd999N6mpqYSHhzNp0iQmTZpk1dsSkWbE3/+HmWGLnZNZUXQFMMS6QCLSJKkpJiLNWn5JOaAp9SIiZ8Pf359Zs2ZVaXL9WHx8PAsWLDjtfnr27MnSpUvrOp6ICP6OqusPVjhCLEoiIk2ZTp8UkWbL7XbTp+wbko0dhDntVscRERERkTri7191fofHGWZREhFpyjRTTESarWNHDzHX/ykAygLGWZxGREREROqK3e9HZwEEqCkmImdPM8VEpNkqzDsIQLHpxOEMsDiNiIiIiNQVw+7kE88F3vv2oJbWhRGRJktNMRFptooLDgFQYGiNCREREZFmxe7Hb41JfOPpAoB/cEtr84hIk6SmmIg0W6UFhwEosqspJiIiItLc+NsNQikGwBncyuI0ItIUaU0xEWm2yo5VNsVK7aEWJxERERGRuuawG3zk6sc3nq4kR7a3Oo6INEFqiolIs+UuOgKAy18Lr4qIiIg0Nx9W/B/R/ge5xjWd/lEdrY4jIk2QTp8UkWbLU5wHQIVDM8VEREREmpsyKq9AGUwpLQP9z1AtInKqem2Kbd++nV/84hdERkYSGhpK//79+fLLL6vUZGRkMGzYMIKDg4mMjGTixImUlZXVZywR8RGbg87jifJb2d3mCqujiIiIiEgdc2MHoK1xiFA1xUTkJ6jXptg111xDRUUFixcvZu3atfTu3ZuhQ4eSk5MDgNvt5pprrqGoqIhly5bx9ttvM3/+fB544IH6jCUiPmKr0YlX3FeTF3OJ1VFEREREpI7FmpW/V/7Z8QL+dp0EJSJnr97WFDt06BA7d+7k1VdfpVevXgA8+eSTPP/882zevJno6GgWLlzIli1byMzMJDY2FoCnn36atLQ0pk+fTmjoqac8uVwuXC6X935BQUF9vQUAbvn7Su498DDhZl61j2fbYngq5EHv/YcKZ9DGfaDa2sO2CP4Q+rD3/gOFs4hzZ1ZbW2CE8nDoE977E4uepWPFrmprXTj5TeifvPfHF79At4qt1daaGNwT+pfKP5smY0teoXf5hmprAe4LeZpyo/Koy+iS1+lbvrrG2sktZlJktABgZOnbXFz+v1Ne/YSpwY9xxFZ5hZibXO8zqGzxjyp+8EjQ78mxRQPwi7IFDC37tMYMTwQ+yF57PKZpcnXZQm4s/7DG2icD7mObvRMAV5R/xS1l71VfaMLsgPFstPcA4OKK5Yxx/bPG/T7nvJPVfn0AuKBiDf/neqXG2pcct7HM7wIAkt3fMcn1Yo21cx0j+MKvsrnTveJ7flf2lxpr3/C/ngV+lbOjOnl287jrqRpr3/Mbyny/awCI8+xnVtkTNdb+2z6EN/1+CUCUeZA5ZQ/XWPuZ7VJe9R8BQEszn5fLflv9FxhYbL+Q5/1uAyDALOGNsvtq3O8yWyqz/cdimmCYHj4oH1dj7YWeHszn17QM0pFDERERkebG1GpAIvIz1VtTLCIigm7duvH666/Tp08fnE4nf/vb34iKiiIlJQWAFStWkJSU5G2IAQwZMgSXy8XatWsZOHDgKfudOXMmjz32WH3FPsXmrALaunfR1jhc7eNuTykbC/K996Mdu+loy6621lmez6b9PzTxIh3pnGNLr7b2oBnG5qwfals6MjjHVn1T7JgZwJbsH2pD/DPpaN9dba3HNNh6Um2Q/3462vdUWwuw/UABLhwAOPyz6WCvPi/A7twCjh7vevj55dDBr+bajIMFZB3fL365JPpl1FibdTifXWZls83td5BEv+obiQAHjhxlp1nZbKuwHybBf1+NtYfz8tltFgHgsh85be3R/Hx2eyprU+x5xPvvr7G2oCCfPcdrk2x5xDuyaqwtLjxKuqfyMtIdbfnEnabWdewoe92VtbG2Qto5qv97BlBedJRMdwkAkcYx2jlzaqw1i4+y73htsHGMts7qm7oAttI89ldU1tqMotPW2l157C+qrC2jmNiA3BprHa48sopKKzNQSkzAwRprA8rzyC6urDXwEB1wqMbaMLMAw4DuMVpTTERERKS5+a7rfZz//R9ZFns7F1kdRkSaJMM0zRrmbvx8+/fv5xe/+AXffvstNpuNqKgoPv74Y3r37g3AXXfdRXp6OgsXLqzyPKfTybx58/jVr351yj6rmykWFxdHfn5+tTPLfq6vdxykRdZybG5XtY+7/YLJb3MeGJX3W+auwlZRWm2taQ/gaFRf7/3Qg2vxKy+qWnR8P6bNwdHoft7NIYfW41dW8OOy47V2jkb3995vcWQT/q68qkUnORpz8Q+1ed/jX1p9ww/gaFQ/sNkxDAg6ug3/kpobEIVtzsO0Vza6AvN34iiupmFyPFNBm1RMuxOAgII9OIqyTyqpGvxYZG88/kEAOAszcBZVNqSMat7fsYieePwrG2iOY/sJOFZzA624VXfcjlAMw8C/KIeAwvQaa0tadcXtbAmAX/FBAgqrbyQaQGnYOVQEVDbm/EoPE5C/+9Si41yhiVQEtgbA7jpKwNGdpxadqA2JpyKozfHafAKO7qz2MwAoa9GW8uDK2XX28mME5G2r8b2VB8VQEdK28lUrSgg8Uv0sQwMoD4qivMWJ2tLqa49nqgiMpDwkrnKTu4yAw1tOKqkavCIgnPLQhMo7HjeBhzfV+N7czjDKQhMr75gmAYe+q/G9eRyhhLTtQkxYYI01IuK7CgoKCAsLq7cxhPx8+hqJyOmY7grSt6ykbdcLcPjX23wPEWmCajuGOOt/OaZNm3bGmVqrV68mJSWF8ePH06ZNG77++msCAwP5+9//ztChQ1m9ejUxMTEAGNX85muaZrXbobJh5nQ6zzb2T3Zxp9bQ6Re1f0KXYWdRe1XtazsPqn0tp86wq1nrs6iNbAS1EUDyWdT2qmVtK6D7WdR2OYvaTmdR26GWteFA4lnUxtWytiW0j6llLdA+uva1CW1qXxt/FmuAtdN6YSIiIiK+yLD70b6n5oiJyE931k2xCRMmMGLEiNPWJCYmsnjxYhYsWEBeXp63K/f888+zaNEiXnvtNR566CGio6NZtWpVlefm5eVRXl5OVFTU2UYTERERERERERGplbNuikVGRhIZeeaZPcXFlWsf2WxVFz+02Wx4PB4A+vXrx/Tp08nOzvbOHFu4cCFOp9O77piIiIiIiIiIiEhdq7fLdfTr14/w8HBGjx7Nhg0b2L59O7/5zW/Ys2cP11xTeaW7wYMH0717d0aNGsW6dev44osvmDx5MmPHjtW6ESIiIiIiIiIiUm/qrSkWGRnJZ599xrFjx7jssstITU1l2bJlfPjhh5x77rkA2O12Pv74YwICAujfvz/Dhw/nuuuuY9asWfUVS0RERERERERE5OxPnzwbqampfP7556etiY+PZ8GCBfUZQ0REREREREREpIp6mykmIiIiIiIiIiLSWKkpJiIiIiIiIiIiPkdNMRERERERERER8TlqiomIiIiIiIiIiM+p14X2G4JpmgAUFBRYnERERESakhNjhxNjCWl8NM4TERGRn6K247wm3xQrLCwEIC4uzuIkIiIi0hQVFhYSFhZmdQyphsZ5IiIi8nOcaZxnmE388KjH4yErK4uQkBAMw6jz/RcUFBAXF0dmZiahoaF1vn85PX3+1tLnby19/tbS52+thvj8TdOksLCQ2NhYbDatKNEYaZzXvOnzt5Y+f2vp87eevgbWqu/Pv7bjvCY/U8xms9GuXbt6f53Q0FB9o1hIn7+19PlbS5+/tfT5W6u+P3/NEGvcNM7zDfr8raXP31r6/K2nr4G16vPzr804T4dFRURERERERETE56gpJiIiIiIiIiIiPkdNsTNwOp08+uijOJ1Oq6P4JH3+1tLnby19/tbS528tff7SEPT3zFr6/K2lz99a+vytp6+BtRrL59/kF9oXERERERERERE5W5opJiIiIiIiIiIiPkdNMRERERERERER8TlqiomIiIiIiIiIiM9RU0xERERERERERHyOmmJn8Pzzz9O+fXsCAgJISUnh66+/tjqST5g5cybnnXceISEhtGnThuuuu45t27ZZHctnzZw5E8MwuO+++6yO4jP279/PrbfeSkREBEFBQfTu3Zu1a9daHcsnVFRU8Pvf/5727dsTGBhIhw4dePzxx/F4PFZHa5aWLl3KsGHDiI2NxTAM/v3vf1d53DRNpk2bRmxsLIGBgVx66aVs3rzZmrDS7GicZw2N8xoXjfMansZ51tE4r2E1hXGemmKn8c4773Dffffxu9/9jnXr1nHxxRdz1VVXkZGRYXW0Zm/JkiXcfffdrFy5kkWLFlFRUcHgwYMpKiqyOprPWb16NS+99BK9evWyOorPyMvLo3///vj7+/Ppp5+yZcsWnn76aVq2bGl1NJ/wxz/+kRdffJHnnnuOrVu38qc//YmnnnqKOXPmWB2tWSoqKuLcc8/lueeeq/bxP/3pT8yePZvnnnuO1atXEx0dzaBBgygsLGzgpNLcaJxnHY3zGg+N8xqexnnW0jivYTWJcZ4pNTr//PPNcePGVdnWtWtX86GHHrIoke/Kzc01AXPJkiVWR/EphYWFZqdOncxFixaZAwYMMO+9916rI/mEBx980LzooousjuGzrrnmGvOOO+6osu366683b731VosS+Q7A/OCDD7z3PR6PGR0dbT755JPebaWlpWZYWJj54osvWpBQmhON8xoPjfOsoXGeNTTOs5bGedZprOM8zRSrQVlZGWvXrmXw4MFVtg8ePJjly5dblMp35efnA9CqVSuLk/iWu+++m2uuuYYrrrjC6ig+5aOPPiI1NZWbbrqJNm3akJyczMsvv2x1LJ9x0UUX8cUXX7B9+3YANmzYwLJly7j66qstTuZ79uzZQ05OTpWfxU6nkwEDBuhnsfwsGuc1LhrnWUPjPGtonGctjfMaj8YyzvNrsFdqYg4dOoTb7SYqKqrK9qioKHJycixK5ZtM02TSpElcdNFFJCUlWR3HZ7z99tt8++23rF692uooPmf37t288MILTJo0ialTp/LNN98wceJEnE4nt912m9Xxmr0HH3yQ/Px8unbtit1ux+12M336dH71q19ZHc3nnPh5W93P4r1791oRSZoJjfMaD43zrKFxnnU0zrOWxnmNR2MZ56kpdgaGYVS5b5rmKdukfk2YMIHvvvuOZcuWWR3FZ2RmZnLvvfeycOFCAgICrI7jczweD6mpqcyYMQOA5ORkNm/ezAsvvKDBUgN45513+Oc//8mbb75Jjx49WL9+Pffddx+xsbGMHj3a6ng+ST+Lpb7o75b1NM5reBrnWUvjPGtpnNf4WP2zWE2xGkRGRmK32085Wpibm3tKJ1Pqzz333MNHH33E0qVLadeundVxfMbatWvJzc0lJSXFu83tdrN06VKee+45XC4XdrvdwoTNW0xMDN27d6+yrVu3bsyfP9+iRL7lN7/5DQ899BAjRowAoGfPnuzdu5eZM2dqsNTAoqOjgcojiTExMd7t+lksP5fGeY2DxnnW0DjPWhrnWUvjvMajsYzztKZYDRwOBykpKSxatKjK9kWLFnHhhRdalMp3mKbJhAkTeP/991m8eDHt27e3OpJPufzyy9m4cSPr16/33lJTU7nllltYv369Bkr1rH///qdcmn779u0kJCRYlMi3FBcXY7NV/fFot9t1qW4LtG/fnujo6Co/i8vKyliyZIl+FsvPonGetTTOs5bGedbSOM9aGuc1Ho1lnKeZYqcxadIkRo0aRWpqKv369eOll14iIyODcePGWR2t2bv77rt58803+fDDDwkJCfEeyQ0LCyMwMNDidM1fSEjIKet6BAcHExERofU+GsD999/PhRdeyIwZMxg+fDjffPMNL730Ei+99JLV0XzCsGHDmD59OvHx8fTo0YN169Yxe/Zs7rjjDqujNUvHjh1j586d3vt79uxh/fr1tGrVivj4eO677z5mzJhBp06d6NSpEzNmzCAoKIiRI0damFqaA43zrKNxnrU0zrOWxnnW0jivYTWJcV6DXeeyifrrX/9qJiQkmA6Hw+zTp48uFd1AgGpvc+fOtTqaz9KluhvWf/7zHzMpKcl0Op1m165dzZdeesnqSD6joKDAvPfee834+HgzICDA7NChg/m73/3OdLlcVkdrlr788stq/70fPXq0aZqVl+t+9NFHzejoaNPpdJqXXHKJuXHjRmtDS7OhcZ41NM5rfDTOa1ga51lH47yG1RTGeYZpmmbDteBERERERERERESspzXFRERERERERETE56gpJiIiIiIiIiIiPkdNMRERERERERER8TlqiomIiIiIiIiIiM9RU0xERERERERERHyOmmIiIiIiIiIiIuJz1BQTERERERERERGfo6aYiIiIiIiIiIj4HDXFRMQnbNu2jejoaAoLC+v9tRYsWEBycjIej6feX0tERETEl1xyySW8+eab9f46LpeL+Ph41q5dW++vJSLWUVNMROrFsGHDuOKKK6p9bMWKFRiGwbfffvuzXuPSSy/lvvvuq1Xt7373O+6++25CQkJ+8uv17NmTO++8s9rH3nrrLfz9/Tlw4ABDhw7FMIwGGbCJiIiINCb1OQZcsGABOTk5jBgx4ifne/rppwkLC6O4uPiUx0pLS2nZsiWzZ8/G6XQyefJkHnzwwZ/8WiLS+KkpJiL1YsyYMSxevJi9e/ee8tirr75K79696dOnT4Nk2bdvHx999BG33377z9rPmDFjePfdd6sdRL366qsMHTqUqKgoAG6//XbmzJnzs15PREREpKmpzzHgs88+y+23347N9tN/jb3tttsoKSlh/vz5pzw2f/58iouLGTVqFAC33HILX3/9NVu3bv3JrycijZuaYiJSL4YOHUqbNm2YN29ele3FxcW88847jBkzBoDly5dzySWXEBgYSFxcHBMnTqSoqMhb//zzz9OpUycCAgKIiorixhtvBCAtLY0lS5bwl7/8BcMwMAyD9PT0arO8++67nHvuubRr1867bd68ebRs2ZIFCxbQpUsXgoKCuPHGGykqKuK1114jMTGR8PBw7rnnHtxuNwCjRo3C5XLx3nvvVdl/RkYGixcv9r4ngGuvvZZvvvmG3bt3/+TPUERERKSpqe0YcP78+fTo0QOn00liYiJPP/30afd76NAh/vvf/3LttddW2W4YBn/7298YOnQoQUFBdOvWjRUrVrBz504uvfRSgoOD6devH7t27QKgdevWDBs2jFdfffWU13j11Ve59tprad26NQARERFceOGFvPXWWz/14xCRRk5NMRGpF35+ftx2223MmzcP0zS929977z3Kysq45ZZb2LhxI0OGDOH666/nu+++45133mHZsmVMmDABgDVr1jBx4kQef/xxtm3bxmeffcYll1wCwF/+8hf69evH2LFjyc7OJjs7m7i4uGqzLF26lNTU1FO2FxcX8+yzz/L222/z2Wef8dVXX3H99dfzySef8Mknn/CPf/yDl156iX/9619A5cDoF7/4BXPnzq2yn7lz5xIVFcVVV13l3ZaQkECbNm34+uuvf94HKSIiItKE1GYMuHbtWoYPH86IESPYuHEj06ZN4+GHHz6lkXayZcuWeZteP/bEE09w2223sX79erp27crIkSP59a9/zZQpU1izZg2Ad3wJlbPZlixZwp49e7zb0tPT+fLLL6sc5AQ4//zzNZ4TacbUFBORenPHHXeQnp7OV1995d326quvcv311xMeHs5TTz3FyJEjue++++jUqRMXXnghzz77LK+//jqlpaVkZGQQHBzM0KFDSUhIIDk5mYkTJwIQFhaGw+EgKCiI6OhooqOjsdvt1eZIT08nNjb2lO3l5eW88MILJCcnc8kll3DjjTeybNkyXnnlFbp3787QoUMZOHAgX375ZZX3tHTpUu8MMNM0mTdvHmlpaae8ftu2bWucvSYiIiLSXJ1pDDh79mwuv/xyHn74YTp37kxaWhoTJkzgqaeeqnGf6enpREVFVXvq5O23387w4cPp3LkzDz74IOnp6dxyyy0MGTKEbt26ce+991bJMmTIEGJjY6s04ebOnUtsbCyDBw+usm+N50SaNzXFRKTedO3alQsvvNA7PX3Xrl18/fXX3HHHHQCsXbuWefPm0aJFC+9tyJAheDwe9uzZw6BBg0hISKBDhw6MGjWKN954o9r1vM6kpKSEgICAU7YHBQXRsWNH7/2oqCgSExNp0aJFlW25ubne+4MHD6Zdu3be2WKLFy8mPT292vXKAgMDf1JeERERkabsTGPArVu30r9//yrP6d+/Pzt27PAuW/FjNY3nAHr16uX984n1XXv27FllW2lpKQUFBQDY7XZGjx7NvHnz8Hg8mKbJa6+9Vu1BTo3nRJo3NcVEpF6NGTOG+fPnU1BQwNy5c0lISODyyy8HwOPx8Otf/5r169d7bxs2bGDHjh107NiRkJAQvv32W9566y1iYmJ45JFHOPfcczl69OhZZYiMjCQvL++U7f7+/lXuG4ZR7TaPx+O9b7PZSEtL47XXXsPj8TB37lwuueQSOnXqdMr+jxw54l2TQkRERMSXnG4MaJomhmFUqT/5VMvq1DSeg6pjuhP7rW7byWO6O+64g8zMTBYvXswXX3xBRkZGtQc5NZ4Tad7UFBORejV8+HDsdjtvvvkmr732Grfffrt3YNKnTx82b97MOeecc8rN4XAAletSXHHFFfzpT3/iu+++Iz09ncWLFwPgcDhqPJp4suTkZLZs2VJn7+n2229n3759vP/++7z//vunrD0BlZf03rVrF8nJyXX2uiIiIiJNxenGgN27d2fZsmVV6pcvX07nzp1rXA4jOTmZnJycGhtjZ6tjx44MGDCAuXPn8uqrr3LppZdWOYPghE2bNmk8J9KMqSkmIvWqRYsW3HzzzUydOpWsrCzS0tK8jz344IOsWLGCu+++m/Xr17Njxw4++ugj7rnnHgAWLFjAs88+FCgNkgAAlIBJREFUy/r169m7dy+vv/46Ho+HLl26AJCYmMiqVatIT0/n0KFDVY7+nWzIkCGsWLGiVg202mjfvj2XXXYZd911F/7+/t4rYp5s5cqVOJ1O+vXrVyevKSIiItKUnG4M+MADD/DFF1/wxBNPsH37dl577TWee+45Jk+eXOP+kpOTad26Nf/73//qLOOYMWN4//33+eCDD6o9yAnw9ddfn7LOmIg0H2qKiUi9GzNmDHl5eVxxxRXEx8d7t/fq1YslS5awY8cOLr74YpKTk3n44YeJiYkBoGXLlrz//vtcdtlldOvWjRdffJG33nqLHj16ADB58mTsdjvdu3endevWZGRkVPv6V199Nf7+/vz3v/+t8/c0YsQIgoKCTnn8rbfe4pZbbqn2MRERERFfUNMYsE+fPrz77ru8/fbbJCUl8cgjj/D4449XaZz9mN1u54477uCNN96os3w33HADTqcTp9PJ9ddff8rjK1asID8/v9oDoCLSPBjmmU7eFhFpBp5//nk+/PBDPv/883p/rYMHD9K1a1fWrFlD+/bt6/31RERERHzBgQMH6NGjB2vXriUhIaHeX++mm24iOTmZqVOn1vtriYg1/KwOICLSEO666y7y8vIoLCwkJCSkXl9rz549PP/882qIiYiIiNShqKgoXnnlFTIyMuq9KeZyuTj33HO5//776/V1RMRamikmIiIiIiIiIiI+R2uKiYiIiIiIiIiIz1FTTEREREREREREfI6aYiIiIiIiIiIi4nPUFBMREREREREREZ+jppiIiIiIiIiIiPgcNcVERERERERERMTnqCkmIiIiIiIiIiI+R00xERERERERERHxOWqKiYiIiIiIiIiIz/GzOsDP5fF4yMrKIiQkBMMwrI4jIiIiTYRpmhQWFhIbG4vNpuOEjZHGeSIiIvJT1Hac1+SbYllZWcTFxVkdQ0RERJqozMxM2rVrZ3UMqYbGeSLy/+zdeXhU5fn/8feZNXsIJGSBQACRLWyCRcSKqIAKLtW61JZKv0rrD5datK1oq2AL2Apqte5V0brUtta64AJVXFBAdtl3SCAJW5bJOklmzu+PISMhmRAkyUkmn9d1Dck5554z90yY5Jn7PIuIyKk4UTuvzRfFYmNjgcATjYuLszgbERERaSs8Hg/p6enBtoS0PmrniYiIyHfR2HZemy+K1XSlj4uLU2NJRERETpqG5bVeaueJiIjIqThRO08TaIiIiIiIiIiISLujopiIiIiI1DJnzhzOPPNMYmNj6dy5M1dccQVbt26tFWOaJjNmzCAtLY3IyEjOO+88Nm7cWCvG6/Vy2223kZiYSHR0NJdddhn79u1ryaciIiIiEpKKYiIiIiJSy2effcYtt9zCsmXLWLRoEdXV1YwbN47S0tJgzJ///Gcefvhh/vrXv7JixQpSUlIYO3YsxcXFwZg77riDt956i3/84x8sWbKEkpISJk6ciM/ns+JpiYiIiNRimKZpWp3EqfB4PMTHx1NUVKS5JkRERKTR1IZovEOHDtG5c2c+++wzzj33XEzTJC0tjTvuuIPf/va3QKBXWHJyMn/605/4xS9+QVFREUlJSfz973/n2muvBb5dTfL9999n/PjxJ3xc/YxERETku2hsG0I9xURERESkQUVFRQB07NgRgN27d5OXl8e4ceOCMW63m9GjR/PVV18BsGrVKqqqqmrFpKWlkZmZGYw5ntfrxePx1LqJiIiINBcVxUREREQkJNM0mTZtGueccw6ZmZkA5OXlAZCcnFwrNjk5OXgsLy8Pl8tFQkJCyJjjzZkzh/j4+OAtPT29qZ+OiIiISFCzFsWaapJWEREREbHGrbfeyjfffMPrr79e59jxy5ybpnnCpc8bipk+fTpFRUXBW3Z29ndPXEREROQEmrUo1lSTtIqIiIhIy7vtttt45513WLx4MV27dg3uT0lJAajT4+vgwYPB3mMpKSlUVlZSUFAQMuZ4brebuLi4WjcRERGR5uJozpN/+OGHtbZffPFFOnfuzKpVq4KTtD766KPce++9XHnllQC89NJLJCcn89prr/GLX/yizjm9Xi9erze4rbkmRKQxSjwFrH/zQSIOb8Duq8Awv135rMCeyLMdfx3c/lnBX+hcnVPveUptcfy1073B7Z8UPkWXqj31xlYaETySODO4fW3R82RUbqs31sTOn5NmB7evLHqZ3pWhe83OTZyFzwj8Cr/U8zr9vWtDxv6l0/1U2KIAuKj4TQZXfB0y9omO91BijwfggpJ3GV6+JGTscwl3ke9IAuDc0g8ZWbY4ZOz8hNs54OgCwMiyTzi39KOQsa92uJl9zh4ADC9fwgUl74aM/Wf8jex2nQ7A4PKvuajkzZCxb8VNYps7MPSrf8UaLi3+R8jY92KvY2PEUAB6ezdypeflkLEfxVzJ2sgRAGRUbuPaoudDxn4SPZEVUd8HoEvVHn5S+FTI2M+jx7M06nwAOlfn8LOCv4SMXRo1hs+jLwKgY/UhphTMDRm7KnIU/4u5DIAYn4db8meFjP0m4kw+iP0hAG5/OXccmREydrN7CO/E/QgAm+nj14fvCRm7w9WfN+NvCG7/+tA92Ki7GuGSqLEkjJzEdd/rFvJc0jxM0+S2227jrbfe4tNPP6VHjx61jvfo0YOUlBQWLVrE0KGB90plZSWfffYZf/rTnwAYNmwYTqeTRYsWcc011wCQm5vLhg0b+POf/9yyT0hERFqc6ffjLS8J3CrK8VaUU+ktxxPdgwqfQZXPjzt/E05PNvgqoaoCs7oS01+N3+fD9FexOeUKKmyRVPv8pB3+imTPevBXY+APtOf9PgzTh83081nKZIodCfhNk36Fn3F60VcYmIEeyke/BhIzeT/xZxx2puI3TQYVf8Gw4sVwNA5q1iI0MYD/Jvwfea5AW2Rg6Vd8v/h9DI7G1lq20OTthMnsdQfapgNKv+aC4v/W88IE7vRu/I/ZETEAE+hbsY5Lit6oHXbM9+/HXc0m9xAATvNu4geeVwgVvDDm8mPaptu5puiFkD+jT2ImsDLyHADSqvYyqYG26RfRY/kq6gKgpm36WMjYZVGj+Sz6YgASfIf5ef5DdWJWR46kYuhN/GJ0r5DnaQnNWhQ73slO0lpfUWzOnDnMnDmzzn4RkVBMv589T1zByBCFo93+ZJbkHw5uT3dtYIBtb72xB8wOLCn4NvYO10YG2uovdHnMSJbs+Db2585NDLSvrze2yrTXip3k3MJA+5qQz+mrnYepOvor/IfOrQ3Gfr3rIB5iALjEsY2BjtCxa3Yf4ABVAIxx7Ggwdv3ePHabgSFQZzl2Nhi7ZW8uG003AIPsuxjoDB27PSuHVWYsAL3tuxuM/fO+fSzxB/6mdLXvaTD2r/vPY4k/0Luloy2Lga7QsX8rHskSf2Auo0hbdoOxr5WcwRLf0T/mtv0Nxv63ZABLfP0AOMPIYaA7dOyHJb1Z4hsEQD8jt8HYz0vTWZIb+P+TYeQ1GLuytDNL8gKxnSlgYETo2A2lHVhyIBAbS1mDsbvKIllyMBDroLrB2LwyG0sOffv//QX3GlxG3aLYwpJeFB0pC3keaT633HILr732Gm+//TaxsbHBHmHx8fFERkZiGAZ33HEHs2fPpnfv3vTu3ZvZs2cTFRXF9ddfH4y98cYbufPOO+nUqRMdO3bkrrvuYuDAgVx44YVWPj0REWmkKp+f/NJKirI3UX5oN+VFh/CVHMFWno+tIh9nZRH2qhJmx9+Px+vHU17Fryqe4CLzS6KoIMIwiTjunIMqnsNDNABzHM/xI0foC6u/XNeNPDoBcJ/jfa50fBgy9u59Z7HLTAPgLsfXnOlYEDJ2xqHRbDxanOpu38BwZ+gcZuePYeXRdmwX+zaGOJeGjJ1bNIbP/R0A6GTfzSBnAxejPeew2N8ZgDjbHga5VoSMfdFzJl/4Az22I2xZDHKtDBn7j5LBwbapadvHINeqkLFvl/Rjia8vAGcY+xnoXh0y9qOSXizxDQZq2qahY78o7Rpsm3YP0TZdXZrI9oMlIc/RUgwzWC5tXqZpcvnll1NQUMAXX3wBwFdffcWoUaPYv38/aWlpwdif//zn7N27l48+qtuToL6eYunp6VqqW0RC+mb7Hra9fBtn2raSe/qPsUcnYrPbg8erHdHkpZ4f3O6c9xnOqvqHcPvtEeSmffthLungl7i8BfXGmjYHOV0uCm4nHlqGu+JwvbEYBvu7Tghudjq8kojy+ieiBtjf9WIwAs+h45HVRJbV37MNIKfLOEybC4CE/HVElYaeoycv9QJ8jkgA4gs3ElO8O2TsgZTzqHYGim1xRVuI9ewIGXsw+RyqXB0AiPVsJ65oa8jYQ53PptIdKHTFFO8ivnBTyNjDSSPwRgR6q0WX7KVDQf1FR4AjnYZREZUKQGTpfjrmhy7a5HccSnl0oGdbRHkenQ6HbngUJgykNKY7AO6KQyQeWh4ytqhDf0piewLg8uaTdLD+FfgAPPF9KI7rDYCzsojOB74IGVsc2wtPh0CxzVFVQnLepyFjS2J7UNRhAAD26nJScj8OGVsWnU5Bx0Djx/BXkrZ/YcjY8qg08judEdgw/XTZ937I2IrIZI4knhnc7rLvfTD9deI88X1IPm0oA9LiQ57rVDR2qe72KNScXy+++CKTJ08GAm27mTNn8swzz1BQUMCIESN44okngpPxA1RUVPDrX/+a1157jfLyci644AKefPLJRk+gr5+RiEjzKi0rI2fPZor3b6HqwHYoysZRmofLW8BP/fdTUF4NwNPOR7jIHrpoM6DieUoJtCH/5HiWax2f1jpeadqpxEWV4WRSxGNUuDritNu41vtvRlYuo9pwUm1z4bM5MQ0HGDZMm53/JP8Sr6sjTrvB4OLFnFayJnDMsAdj/IYdDDtr0q6jwtURA0j3rCat+BsMI9CnyzACNwwbBrAjdSKVEZ2wGQaJng10LlwHGHA0PvA9gEF28vl4IwLFq/ji7SQWfgNGIMYEMAxq/mrmJY6kIjIwRUBMyR4Sa85bj0OdzqQ8KlAHiSrbR1L+qjqxNVtHOp5BWczRC7bluSQeXsGxf6qNY+6XnzCIstgMINA2TToYuohX1KE/xXGnAYG2aeeDoUeJFMX1pTg+0AvOWVlE8oHPQ8Z6Yk87pm1aSnJe3aJjaUx3YnuN4IxuCXWONYXGtiFarCh2yy23sGDBApYsWRKck6KmKJaTk0NqamowdsqUKWRnZ9cZflkfNZZE5ESeWLyDhz7aysWZKTz1k2FWpyMirYTaEK2ffkYiIk3D7/Ozd8921pfEsjXPw9a8Yq7ImsNF1YtxGHUvTAEMqngWDzHYbQb3RbzB9421VDjiqXR1oMrVAV9EAv6IjtgiO5Df6zKio2OIi3AQX3WICKMaV1Qs7qhYIqJicDpadJCaSKPbEC3yP7NmktbPP/885CStxxbFGpqAVUTkZO042i13YNfm6W0iIiIiItKaVHi9bFvzBcVbFhObt5zuFZvoQSmXHzN08WyHE4fDTykR5Nq7UBjZjcrYdBwduhDVqSv/7juGTh3iSYhyYbNdchKP3jw9f0SaQ7MWxZpiklYRkVNVdCQXG37SE6KsTkVEREREpFlk55ex7cv/kLjpZU4rW8cgo6LW8UrTzkWpJdjT+9EnOYbMuPsp6PwQCZ3TOS3EsHmRcNesRbGmmKRVRORUzT54Kx3dBez0vw2knTBeRERERKQtOJC7j/c3F/LvDQVszPHwE/tK/uhcDgYUEcOemCF4u5xNx37nktH/TP7sOn7ae5H2rVmLYk89FVjO87zzzqu1/9hJWn/zm99QXl7O1KlTg5O0Lly4kNjY2OZMTUTakTizGJfhIy4+0epUREREREROic/n45vF/8K/aj6Dyr5me/VkNvouwG4zOJR2IUtjouk8dCI9M7/HYJv9xCcUaceaffjkiRiGwYwZM5gxY0ZzpiIi7VRVpZcoI7BibXSc5jcQERERkbaprNTDqneeptu2+Qw19wd2GnB+3H76jc5kwsBUOka7gImW5inSlmgJCBEJa6WeAjoc/V5FMRERERFpa8q9Vax+8yH6bnua71MEQDGRbEq5gvQLfsGFvYdanKFI26WimIiEtZqiWJnpJsrltjodEREREZFGMU2T/6zez4MfbuGRivfoZC8i1+hMbt+f0e+SqYyI7WB1iiJtnopiIhLWyovzASg1otDakyIiIiLSFuzYvI4ZnxxmSXZgBckXO/yMyN5FDLr0NlJ1oVekyagoJiJhzVtSCECZLdraRERERERETqC60svSV2byvb3Pcr7vAla7fsbtF/Tm/0ZdjMthszo9kbCjopiIhLVSWxTv+75HVUQq3a1ORkREREQkhP27t1Ly6k/5fvUWMGBEXAEfTzmH1IQYq1MTCVsqiolIWMuP7cvUqjsYFp/A5VYnIyIiIiJSjxUfvcrpX/2aLkYpHqLYOew+hk68GQzD6tREwpqKYiIS1rzVfgBcdnU3FxEREZHWxe/z8+XLv2fUniewGSbbnH2I/cnfGdq9j9WpibQL+pQoImGtsqoaMDUHg4iIiIi0KlU+PzNeXcTgPc9jM0xWJl1Jz19/TqoKYiItRj3FRCSsdd/zT/ZE/JGVR0YD71idjoiIiIgIFVU+pr66mk+2VLPPcTu3DnEw/Ie/sTotkXZHRTERCWtmtTfwjU09xURERETEemWlxUyf/xGfZEcS4bTx05/cxBl9Oludlki7pE+JIhLWzKpAUcy0uSzORERERETau4qKCrY+fhX3H/wl33Nn8fcbR3CeCmIillFPMREJb75KAPwqiomIiIiIhaqrqvjm8Wv5XsVyKnAy65IMemd0tDotkXZNPcVEJLz5jvYUs6soJiIiIiLWWf7cbXyv9FMqTTu7Lnia3iMutjolkXZPRTERCW9He4qpKCYiIiIiVln6r4cZdfB1ADaPeJD+5/7Q4oxEBFQUE5EwZ9RMtG93W5uIiIiIiLRL65d+yPANfwRgRfcpDL7k5xZnJCI1NKeYiIS1PFd3PvUNpiKmh9WpiIiIiEg7c7C4gqKFf8Jp+FgTO4bhN/zZ6pRE5BjqKSYiYW1Jh8uZXPVbdqVNtDoVEREREWlHfH6TX72xlhvLb+dV9zX0/fl8DJs+gou0JnpHikhYq/T5AXDZ9etORMQKTz75JD169CAiIoJhw4bxxRdfWJ2SiEiLeObznXy54wg2ZyQjbnyYyNgOVqckIsfRp0QRCWvVfhMAh82wOBMRkfbnjTfe4I477uDee+9lzZo1fP/73+fiiy8mKyvL6tRERJrVri1r8Xz8CDb8zLx8AKd1jrU6JRGph4piIhLWbsj5I5vcP6Pv/jetTkVEpN15+OGHufHGG7npppvo168fjz76KOnp6Tz11FNWpyYi0myqqqqo+PfN3G1/hac6v83Vw7panZKIhKCJ9hvg9Xrxer3Bbb/fT35+Pp06dcIw1OtE5LsyTZPi4mLS0tKwNfO8Ck5/BVGGF5thNuvjiIhIbZWVlaxatYq777671v5x48bx1Vdf1Xuf49teHo+nWXM8lt/vJycnh9jYWLXzRE5BS7bzWquv/zGbUdWbKSGSM665W79TRFoxFcUaMGfOHGbOnGl1GiJhKzs7m65dm/fKmYH/6Df2Zn0cERGp7fDhw/h8PpKTk2vtT05OJi8vr977WNn2ysnJIT093ZLHFglHLdHOa43y9u1myI4nwYCtg37DsK6nWZ2SiDRARbEGTJ8+nWnTpgW3i4qK6NatG9nZ2cTFxVmYmUjb5vF4SE9PJza2+edWMExf4Ktdv+5ERKxwfA8J0zRD9po4vu1V8/eiJdT8TVI7T+TUtGQ7rzXKeuMuvmdUsM3ZlzOu+KXV6YjICehTYgPcbjdut7vO/ri4ODWWRJpAS3QlN8xATzHDpp5iIiItKTExEbvdXqdX2MGDB+v0HqsRqu3VEmr+JqmdJ9I02uOQwQ1fvs/3iv+H3zSwT5yr9qdIG9A+B3mLSLthM6uPfqNrACIiLcnlcjFs2DAWLVpUa/+iRYs4++yzLcpKRKR5+Hx+3B//DoBViZfRa/D3Lc5IRBpDnxJFJKypp5iIiHWmTZvGpEmTGD58OCNHjuTZZ58lKyuLm2++2erURESa1Dvf5PB8+c+4w/0OZ/7oT1anIyKNpKKYiIS1PY4elFRUYkZ0sjoVEZF259prr+XIkSM88MAD5ObmkpmZyfvvv0/37t2tTk1EpMlUVvt5ZNF2ssyebB39FBcmplqdkog0kopiIhLWno/5BavzC3kmZZjVqYiItEtTp05l6tSpVqchItJs/vX1LrLyy0iMcfOzURlWpyMiJ0FFMREJaz4z8NXeDid7FREREZHmVVFeyrkLJ3C/YzDu7/+eKJc+You0JXrHikhY8/sDVTG7TUUxEREREWlaa997mrPIY4Kjig4jelmdjoicJK0+KSJh7Y9Fd7PCfTMJh5ZbnYqIiIiIhJHqqiq6bPobAHtOn4wrIsrijETkZKkoJiJhLc7vIcnwYMdvdSoiIiIiEkbWLnqVdDOHIqLJvOx2q9MRke9ARTERCWu2o8Uwm02jxUVERESkaZh+P7Gr/grAlvTriIrpYG1CIvKdqCgmImHNZvoAMOx2izMRERERkXCx4av36ePbToXppM+ld1qdjoh8RyqKiUhYsxEoitlsKoqJiIiISNOo+uppAL5JmkiHzl0szkZEviuNJxKRsGYzA8MnDbt+3YmIiIjIqcspLOe2gmu4xp7EFRfeanU6InIK1FNMRMJacE4xFcVEREREpAm8/nUW+81OLO32c7r3HWZ1OiJyCvQpUUTC2g6jGwd9sUS4oq1ORURERETauMpqP/9YkQ3ApLMyrE1GRE5Zq+gp9uSTT9KjRw8iIiIYNmwYX3zxhdUpiUiY+KX9Xi6tnI2v42lWpyIiIiIibdy6/73KQxUPMCF6C+MGJFudjoicIst7ir3xxhvccccdPPnkk4waNYpnnnmGiy++mE2bNtGtWzer0xORNq7abwJgNwyLMxEREREJLyXeanbkHKZyzb+oOriViKIduAp3W51Ws3Kvnc+Z9nVEpgzBaW8VfUxE5BRYXhR7+OGHufHGG7npppsAePTRR/noo4946qmnmDNnjsXZiUhb5ztaFLPZVBQTERER+S4qvF6ydmwgf9cafLkb2FMeyZPlY9lfWI4dH5vd9+MyAit+ezAtzrb5HNy/hwHlq8CA9AtutjodEWkClhbFKisrWbVqFXfffXet/ePGjeOrr76q9z5erxev1xvc9ng8zZqjiLRt/zXvwOWqwihdAEl9rE5HREREpFUrrqhic46H6uXP4TiwjoTiraRXZ3G6URWMifNnsL/yHAA6xkbxlfNCoqJjsCWdji2hOzx4vVXpN6udn8yns2Gy2dmffj37W52OiDQBS4tihw8fxufzkZxceyx2cnIyeXl59d5nzpw5zJw5syXSE5Ew0IVDRNiqyLE6EREREZFWpqy0mF0bvqZg59ccyc/nLxUT2H24FIDFrhfoYTsQCDSgHDf7nBkUxZ1OdfIQ3hh+Fqcnx5IQ7QIuDJ4zXDstmKZJ593/BaD49KusTUZEmozlwycBjOPm+jFNs86+GtOnT2fatGnBbY/HQ3p6erPmJyJtlx0/ADZ7q/h1JyIiImIJv98ka/cW8jZ8hpm1nKTCdWRU7ybTCLSVPGYkv/KeC9hIi49gVdRlHIjxE5E+lNTTh9G5Wx962+zWPgkL7dz4Naf5d1NpOuh7/k+tTkdEmoilnxITExOx2+11eoUdPHiwTu+xGm63G7fb3RLpiUgYqCmK2VUUExERkXakoryU7eu/ZnFxV1bsyWdtdiFP+P7Aufb13wYZkE88OVF9qEgaxCtnDaFft2Q6RruACyzLvTU6uORlTgM2xZzFkE6drU5HRJqIpZ8SXS4Xw4YNY9GiRfzgBz8I7l+0aBGXX365hZmJSDgw/X5sRmCyV8Pefq9sioiISPgrKS1h5+rFlG5dTIcDyzmtcgsDjWomVzzFEeIBWOXqT4qzisKOQ3D2OIsumefSuUtPOmqV7gb5/SYfHEokwn8atsHXWZ2OiDQhy7tOTJs2jUmTJjF8+HBGjhzJs88+S1ZWFjffrNU8ROTU+P0+akphjnbc3V9EROrS4k3S1lVU+Vi5p4DcFf+hz+6/c3rlZgYfMxl+oBdYHNf19pPcfwDDuifQJ/liHHabdUm3UauyCvh76ff4b8TZrBpz4YnvICJthuVFsWuvvZYjR47wwAMPkJubS2ZmJu+//z7du3e3OjURaeN8Pn+wKGZo+KSIiBxDizdJW+P3+dm5ZTWHVi/gzbJBvJcdgbfaz1W2nVzt+gYMOEICWXFn4Ot+DimDLqRLr0x+bVMR7FR9uCEw3c/Yfsm4nLrQKhJOWsWnxKlTpzJ16lSr0xCRMOM3Tbb5u2AAyRo+KSIix9DiTdIWFBXks2Xpu1RvW0SPwmX05hC9gcVV1+P1TSQ5zk1s93GsjUwibcg4OvcYSCcNhWxSpt+Pb90/SaAP4zOHWZ2OiDSxVlEUExFpFnYn4yofAmBDRJzFyYiISGuixZukNTJNk52HSlmxZhWDVv2O070bGWH4gse9ppPtkYM4a9Awrhl1Lqd1jsEwDDQpfvPZ8c1XzKh6hDvdkTh77bY6HRFpYupLKyJhy2+awe91zVREpHH27NnDjTfeSI8ePYiMjKRXr17cf//9VFZW1orLysri0ksvJTo6msTERG6//fY6MevXr2f06NFERkbSpUsXHnjgAcxjfjeLSGBY5MaVn/H3V+czZu6nXPjwZ8xcfISe3i04DR/7bamsTL6GLec/j3H3HjLv/oQLrryJ3smxRwti0pwOrfg3ANtjzyQiItLibESkqamnmIiErWM/d9nUaBQRaZQtW7bg9/t55plnOO2009iwYQNTpkyhtLSUuXPnAuDz+ZgwYQJJSUksWbKEI0eOcMMNN2CaJo8//jgQGI44duxYxowZw4oVK9i2bRuTJ08mOjqaO++808qnKGI5X3U1W5Z9QPHat8g4/BkDOIzdn87vK/+Ey27jzJ5d+CpxLv0HDqdLzwF0sTrhdso0TdJy/xf4vu9Ei7MRkeagopiIhC2/t4RFrl9jAobvPCDa4oxERFq/iy66iIsuuii43bNnT7Zu3cpTTz0VLIotXLiQTZs2kZ2dTVpaGgDz5s1j8uTJzJo1i7i4OF599VUqKiqYP38+brebzMxMtm3bxsMPP8y0adPq7eGiFSElnFX7/Gz4ejFlq17j9MP/YwCFwWNluPHG9+TZCzM5u28XYtwOYIRluUrA3q1ryfBnU2naOf2cq6xOR0SagYZPikjYMk0/vW37Od22H3UUExH57oqKiujYsWNwe+nSpWRmZgYLYgDjx4/H6/WyatWqYMzo0aNrzds1fvx4cnJy2LNnT72PM2fOHOLj44M3TXwvbZ3p97NhXyEPvLuJs+Z8wq73H+bsw/8mkUKKiObrDpfwzbnP4Pjtbgbf+Q7jBnc/WhCT1uDAiv8AsCVyKLEdEi3ORkSag37jikjYMv3HzClmaPVJEZHvYufOnTz++OPMmzcvuC8vL4/k5ORacQkJCbhcLvLy8oIxGRkZtWJq7pOXl0ePHj3qPJZWhJRwkZO9k72fPE/a3rf5dfktbDa7A7Aoagw94qJxDvkhfc6+jO+5IizOVBoSk/0pAKUZF1qah4g0HxXFRCR8md+u1qQ5xUSkvZsxYwYzZ85sMGbFihUMHz48uJ2Tk8NFF13E1VdfzU033VQrtr7hj6Zp1tp/fEzNJPuhJgfXipDSlpWVlbL2f/8gcuPrDKpYSZoR+P9+hXMZvfqexQ+GduHc0y/GaddgnbagpMRDb+8mMKDrmZdZnY6INBMVxUQkbPmP7SlmUwNURNq3W2+9leuuu67BmGN7duXk5DBmzBhGjhzJs88+WysuJSWF5cuX19pXUFBAVVVVsDdYSkpKsNdYjYMHDwLU6WUm0pZt3ZNN/oKZ9D34IWcbxYGdBmx2ZVLc91p+dMFP+EV8x4ZPIq3OV3vLuNf7GBPidzGj1wCr0xGRZqKimIiELdN/TE8xFcVEpJ1LTEwkMbFxc+Ls37+fMWPGMGzYMF588cU6v0NHjhzJrFmzyM3NJTU1FQhMvu92uxk2bFgw5p577qGyshKXyxWMSUtLqzOsUqStKfNW8d43ebz2dRYbsw+zzP0hCUYxh4yO7E2/gvQxN9Gvhwopbdmn2w5xiA6Y/X9gdSoi0oxUFBORsOU3/cHvDUNFMRGRxsjJyeG8886jW7duzJ07l0OHDgWPpaSkADBu3Dj69+/PpEmTeOihh8jPz+euu+5iypQpxMXFAXD99dczc+ZMJk+ezD333MP27duZPXs29913X8jhkyKt3d6dW8j66HHiDiznt977MbHhsDl5L+UWhvfrTb9zriDJoY9YbZ1pmny2NfC777w+nS3ORkSak35jN0DLgou0bSYG+8xEDKCLPoCJiDTKwoUL2bFjBzt27KBr1661jtXMCWa321mwYAFTp05l1KhRREZGcv311zN37txgbHx8PIsWLeKWW25h+PDhJCQkMG3atFoT6Yu0Babfz4Yl71C19BkGly2lu2GCAVd22MFpZ13GD4d1JSn2EqvTlCa0Z+s6/lT6OxY7z+SsnhdZnY6INCMVxRowZ86cE05IKyKtlz+yE+d4H8NmwC6rkxERaSMmT57M5MmTTxjXrVs33nvvvQZjBg4cyOeff95EmYm0rIqyEja8/zSdN73IQP++wE4DNkYMwxjxcx76/g+xqVdYWMpb9S7n2DcSH+Em0qUVzEXCmX6LN0DLgou0bUc7NGiYjoiIiDTa4RIvL3+1h23L3uNp/x8AKDUj2NB5Il3G3caA3kOsTVCaXUz2pwCUdj3PyjREpAWoKNYALQsu0rb5j1bFbKqJiYiIyAkcyN7Ox58u5oFt6VRU+YE+fBY1Alev79N/wi2M6KAVJNuD6kovvcrXgwGdBo23Oh0RaWYqiolI2DJKD/KO616qcAKa60NERETq2rdrMznv/pGh+R8wETezqx5jUNdUfnFuL0YN+BCHXYv1tCe7139Jb8NLITH0HHCm1emISDNTUUxEwpZZVcEg2268ptPqVERERKSVyd65if3v/IFhhR/R1fCBAVtcp/Pi5T0ZPvQMTb/QTh3Z+Am9gV1RQzjDrvnERMKdimIiEvb8qFErIiIiAblZ29n/n98zpOAj0g0/GLAhYhj286cz8HtjrU5PLBaVswyAyq4jLc5ERFqCimIiErZMvz/wVUUxERGRdu+Ap4InFu9g2dfLeN/xEQ7Dz/rIM4m68B4yh51vdXrSClT5/BwoNyjDTeLAC6xOR0RagIpiIhK2TFNFMRERkfauuCif/y14g7s3ZeCt9gOpvJJ0MyPOGcvA4SqGybfW7y9iivcOEiPh6/7fszodEWkBKoqJSNgyj64+qeGTIiIi7U9VZQVr/zOP07Y8zWVmMU/6/kRst0zuGt+Hs3tNsDo9aYWW7ToCwLCeydg0n5hIu6CimIiELdP0Bb5qolwREZF2w/T7WffJP+n05UzONHMAyLalMeuiLpx57tmaQF9CWrcjG4CzenayOBMRaSkqiolI2DKxccSMpdSIIs7qZERERKTZ7dmymqK37mKIdxUAh+nA9v63MfwHt5PudFmcnbRm/uoq5u37Eb92JVCd9F+r0xGRFqKimIiErcq4DIZ5n6FDlJO1VicjIiIizabEW81fF27gppWXk2F4qDTtrE67nv7XPcDI+I5WpydtQPaWFXSnnM6GQVSPnlanIyItREUxEQlb5tGvNg2TEBERCUum38873+Qya8FmDhZ7qbBfweXxO0j54VzO6jnA6vSkDTm0eQndgV0R/RjidFqdjoi0EBXFRCRs+Y9OtK+SmIiISPjZu20dnn/fzlslYznoH0pGpyhGX/o7hvZNtjo1aYOMfSsAKE0canEmItKSVBQTkbDlKNrLG64HKPXHA2OtTkdERESaQGVFOWteu4+he1+gu1HN75yHGH7u1dx07mlEOLVioHw3ycXrAYjsNdLiTESkJakoJiLhq7KEEbYtHDY7WJ2JiIiINIEdKxYS8cEdjPDvBwO+iTiTpOse59aMPlanJm2Y53AuXf25AHQfdK7F2YhIS1JRTETC19Hhk35sFiciIiIip6Ks1MM3L93F9w78E5thcogO7B7+e8685P8wbPo7L6dm7zefMhDYY3QlI7Gz1emISAtSUUxEwpbp91udgoiIiJyi1VkF/PvVZ5ntfQMMWBZ/CadP+gvfU/FCmsg3hZFsqB5DQkoGGVYnIyItSkUxEQlj6ikmIiLSVlX5/Dz28XaeWLwDv5nJ4OgJ9D3nB5w15mqrU5Mw82FBKl9UT+EPw7RiqUh7o6KYiIQtf7CnmNafFBERaUuyNi2n6D/TeK3kFvzE84OhXbjospeIj3RanZqEGb/fZG1WIQBDuyVYm4yItDgVxUQkfJmBopjfUFFMRESkLTD9fr5+YzZDtzxCN6Oa6RH/JuLKvzJxUJrVqUmY2rs/m+6V29jrzKBvSqzV6YhIC1NRTETClt80KDPdeA231amIiIjICRQeymHvC5MZUb4cDFgdOZJzJz9O52QVxKT55K9dwHvu37HRmYnDfqnV6YhIC9NEOyIStsqSBtHf+yKTox63OhURkTbJ6/UyZMgQDMNg7dq1tY5lZWVx6aWXEh0dTWJiIrfffjuVlZW1YtavX8/o0aOJjIykS5cuPPDAA5hHVwYWOda2r96m+omRDC5fjtd0sqzvPQz99ft0Tu5idWoS5qqz1wDg6dDP4kxExArqKSYiYct/9HOXBk+KiHw3v/nNb0hLS2PdunW19vt8PiZMmEBSUhJLlizhyJEj3HDDDZimyeOPBy5EeDwexo4dy5gxY1ixYgXbtm1j8uTJREdHc+edd1rxdKQV8vtNPvn3k1y46R4A9tjSqb7yec7KHGFxZtJexBVuBMCWNsTaRETEEiqKiUjYqumMYNOcYiIiJ+2DDz5g4cKFvPnmm3zwwQe1ji1cuJBNmzaRnZ1NWlpgaNu8efOYPHkys2bNIi4ujldffZWKigrmz5+P2+0mMzOTbdu28fDDDzNt2jQM/W5u9/JLK7nzn2tZubUzb7tSyEk4k8E3PUFsbLzVqUk74ff56ObdAQYknf49q9MREQto+KSIhC13/mZedP6JO7xPWp2KiEibcuDAAaZMmcLf//53oqKi6hxfunQpmZmZwYIYwPjx4/F6vaxatSoYM3r0aNxud62YnJwc9uzZU+/jer1ePB5PrZuEp21b1nHpY1+weOshvI4YVo57i1F3/F0FMWlRObvWE21UUG666Hb6EKvTERELqCgmImHLUVHIGPs6Bvs2WZ2KiEibYZomkydP5uabb2b48OH1xuTl5ZGcnFxrX0JCAi6Xi7y8vJAxNds1McebM2cO8fHxwVt6evqpPh1phda+/RjdX7+AC0vepnunKP47dRTXnNNfvQelxR3YuhyAvc5eOJwui7MRESs0W1Fsz5493HjjjfTo0YPIyEh69erF/fffX2cC1sZM0ioi8l2Ypj/w1eI8RERagxkzZmAYRoO3lStX8vjjj+PxeJg+fXqD56uvgGGaZq39x8fUTLIfqvgxffp0ioqKgrfs7OyTfZrSivkqK1j75E8Zsub3uI0qJsTt5J2po+ifFmd1atJOVWevBqBQk+yLtFvNNqfYli1b8Pv9PPPMM5x22mls2LCBKVOmUFpayty5c4HGTdIqIvKdBYti6hQrInLrrbdy3XXXNRiTkZHBH//4R5YtW1Zr2CPA8OHD+fGPf8xLL71ESkoKy5cvr3W8oKCAqqqqYG+wlJSUOj3CDh48CFCnB1kNt9td53ElPHiO5JH77FUM8W7Abxp8nv4Lvv+z2djtdqtTk3bsHd8oPq2C7/Uaa3UqImKRZiuKXXTRRVx00UXB7Z49e7J161aeeuqpYFGsMZO0ioh8VzU9ErT+pIgIJCYmkpiYeMK4xx57jD/+8Y/B7ZycHMaPH88bb7zBiBGBFQFHjhzJrFmzyM3NJTU1FQi069xuN8OGDQvG3HPPPVRWVuJyuYIxaWlpZGRkNPGzk9YsZ/saeO1a+pgHKDYj2XD2o5w3vuECrUhzM02TBfkpFPouY8Kgc6xOR0Qs0qLdJ4qKiujYsWNwuzGTtB5PE7CKSKMd7Snm1xwlIiKN1q1bNzIzM4O3008/HYBevXrRtWtXAMaNG0f//v2ZNGkSa9as4eOPP+auu+5iypQpwYua119/PW63m8mTJ7NhwwbeeustZs+erZUn25l12/cQ/epE0swD7DeSybv6PUaqICatwAGPl8KyKuw2g9M6x1idjohYpMWKYjt37uTxxx/n5ptvDu5rzCStx9MErCLSWH71FBMRaRZ2u50FCxYQERHBqFGjuOaaa7jiiiuCowEA4uPjWbRoEfv27WP48OFMnTqVadOmMW3aNAszl5b04YY8rnlpM3+p+gEbHANw3byY3pn1L94g0tKytq7mEtsyzu5YTIRTw3hF2quTHj45Y8YMZs6c2WDMihUraq1WlJOTw0UXXcTVV1/NTTfdVCu2MZO0Hmv69Om1GlMej0eFMRGpX3BOMRXFRES+q4yMjGOGo3+rW7duvPfeew3ed+DAgXz++efNlZq0VqbJK59+w+8X7sM0YW+fn9LjurlER0ZYnZnItza/y5OuJ1lujAWutTobEbHISRfFGjtJa42cnBzGjBnDyJEjefbZZ2vFNWaS1uNpAlYRaawjqeeSUfEaA9PieNfqZERERNoBX3UV6576GcMPrSXW/D2XndWfGZcOwGHXojfSujgPbwagOlErT4q0ZyddFGvsJK0A+/fvZ8yYMQwbNowXX3wRm632H8PGTNIqIvJd1XRssNnUU0xERKS5VZaXsPWvV3NG6Vf4DIM/Dy9m/OWZmkNOWqVOpdsBiOw6yOJMRMRKzbb6ZE5ODueddx7dunVj7ty5HDp0KHgsJSUFqD1J60MPPUR+fn6dSVpFRL4rf3BKMTXGRUREmlOF5zD7nriUgd5NVJhOvjnrYS66+KdWpyVSrypvGWm+HDAgubc6Y4i0Z81WFFu4cCE7duxgx44dwZWKatTMS1EzSevUqVMZNWoUkZGRXH/99bUmaRUR+a5ij6zjSeejlJX2AEZZnY6IiEhYKjmSw5GnLua06j0UmdHsGvs83zvnYqvTEgkpd/s6uhl+Cs0YUrtkWJ2OiFio2YpikydPZvLkySeMa8wkrSIi34Wr7CCX2L9mS2Wx1amIiIiEpcIDWRQ/ewndfdkcMjuQd/kbDD3jLKvTEmnQkV1r6Absc/UgU/PdibRrzVYUCwderxev1xvc9ng8luSxf9dmstZ/zieO73Ow2EtReRUXFP6b4WVLsJtVGEdX2DP4dmWoP8b+jsP2JAAmlr/Dhd6Pg8eOjQN4MPq37Ld3AeAi74dM8L4fMpeHo+5gt6MnAOd7P+EH3v+GjP1r1C1sdfQBYFTlEq6r+GfI2Gcjb2K9MzCe/3uVXzOp4pWQsfMjb2CVM9DNeXDVWm4qfyFk7GsR17HUdTYA/ao3M7XsqZCx/464is9cowHoVb2DO8oeCxn7jnsii9zjAEj3ZfOb0odCxn7oGs+CiAkAdPYd4Pels0LGfuI6j7cirgQgwV/AAyX3h4z90nk2/4gMLHoRZZbyp+LpIWNXOM/k5chJADjMKh4pvjNk7DrHIP4W9e0qsX/x/BIbdVcdA9js6MuTUVOD2w95fkMEFfXG7rT35NHoOwCoqigN+fhNycAHgKnhkyIiIk3uYHEF015ZzoPV5eQZnfBc+x8G9h9idVoiJ1Sdux4AT1wfizMREaupKNaAOXPmMHPmTMsef9/2b8h/81cMqlhJF+D2iic4RAIAox176OfYGPK+uw4UkmUGVumc4MjlNMfOkLHZhwrYYsYCcIE9j17OXSFjcw/ns8kMLLQw0n6gwdgDR46wyR8oJA6xH2ow9kj+t7F9bIfo5QodW5R/KBibbjvcYGxx4SE2+QKxiSeILS88EIyNNvLp5Q4dW1X0baxxglhfcS6bCgKxFUZBg7GLi/sEY1MppFdE6Njl3gw2FQZi4ylpMHZdZVow1kVVg7HbKzuxqejbAnBP9y7sRv1Fsf2V0bViu7l3E2uU1xt7pMrJpqOFZb+3LOTjN6WaodqgopiIiNTWWi5+tlWHS7xc/9xydhyK4vbYmcy7Ziin9x5gdVoijfJPx0T+VtmZib1HWJ2KiFjMML/91NgmeTwe4uPjKSoqavLJ+etrLKWnpzfLYx1v66rFdHnnR8QY5fhMg22u/nzaezr2lP50iHLRuWQLcRX7sTncGPa6tc2izmfid0QBEOnZTWTpvlrHj10FyJM4FJ8zBoCI4r1ElmSFzMvTaTA+V+C5u0v2EVW8O2RsccdMqt2BIp67NIcoT+jCXElCf6oiOgHgKjtAdNG2kLGlHfpQGdkZAGf5YWIKN4eOjT+NyqjAyqaOinxiC0IXEsvieuKNDvSYc1QWEXvkm5Cx5bHdqYjpBoC9qpi4w2tDx8akUxGbAYCtuoz4Q6tCxlZEd6E8LtATz/B56XDw65Cx3qgUyuJ7B2L9VXQ4sCx0bGRnyjocvRJm+knI+zJkbGVEJ0oT+ge3E3K/CBlb5U6gpGNmcLtD3lcYpq/e2GpnHMWJgwEoLSnmkmG9mv29tOr9Fxn29R1scg2k/z1Lmu1xRKRtas42hDSN5vwZzZgxo96Ln/r/cGIF2Zt55PUFvJzfj9T4CP7x87Po3ina6rSklWgLv1tHPfgJ+wvLeePnZzGiZyer0xGRZtDY30XqKdYAt9uN2+1u8cctKjhMp3cnE2OUs9nZn7gfPU+/nv3pVysq/STO2Blo7FWQJGD4ScQOPYnYwScRm3nCqG9j+50w6tvYxnaRTgJOO4nYno2MBeje+NB+XU8cU6PvlY2P7XMSsaefTOzljQrzeFrmfWUeHVrcpiv/IiLSLKZPn860adOC2zUXP6VhRTk7qXphIr/zF1AQcy/Tptysgpi0KaXeavYXBkY2nJ4ca3E2ImI1FcVaoc2v3c1ZFJJl60K32z8gOraD1SmJtFH+o181gaqIiNRm1cXPtqzoYBYlf5tAF/Mwe4w07px0JRmJKohJ27J/10b+n/0d9rp7kxA9wep0RMRi+qTYyhTlH2LQwXcC3583SwUxkVNxdHS4JtoXERE5NcX5eRQ9M4Eu/lz20Rnzp2+T0b2H1WmJnLSS7V/yW+c/+H+Od61ORURaAfUUa2Xe2+Lhi6r/xxUxGxl/TuOGoolI/fanjucnFYmM7JLIi1YnIyIi0kZVFOdz+MlL6OHL4gAdqfzxf+nZ83Sr0xL5TqoPbAGgJFZFXRFRUazVWbT1CJ/6v8fgs3+KYVNHPpFT4TPsVOCm2qbhMSIiIt+Fr6KE/X+dQK/qnRwx4yi65t9aZVLaNHfhDgDMTirsioiGT7YqFVU+vtp5BIAL+3W2OBuRtq9mbV1DwydFREROmmma3LdgO6tLEyk0o8m+9HVOHzDM6rRETknH8j0ARKU1drEuEQln6inWiuzYuJpJ5ntsjx7IaZ1jrE5HpM1LOLKKec7n8Hr6A9+zOh0REZE25ZFF23h1RQ6vGb/ghYkpjBne2BXKRVonX5WXVF8uGNC5x0Cr0xGRVkBFsVakZOMH/N75CmvcZ2MYN1udjkibF1O6l/PtX7DO67U6FRERkTbl47df4q9LOwI2/njFQMaM6G51SiKnLG/PZroYfkrNCJK79rQ6HRFpBTR8shVx5q0BwNt5qMWZiISJmvGTaPikiIhIY21651EuWHM7TzkfZdoFvfixCmISJvL3fAPAfkdX7HZ9FBYRFcValYTSXQBEdhtkcSYiYeJoUczUrzoREZFG2bPsbfqsmgGAPXUgt13Yx9qERJrQCseZXOR9kLfTfmV1KiLSSuiTYivh9/lI9eUA0KlbpsXZiISLmpn2rc1CRESkLTi0ax2JH96M3TD5LHo8o38+T4vVSFjZll/FFrMb9m6aa1ZEAlQUayUO5uwm0qikyrST0l3LA4s0iWBPMREREWlIWeEBql+5hhjKWGcfwJD/9yJOh93qtESa1M5DJQD0Soq2OBMRaS000X4rcWjPRlKAXHsK3Zwuq9MRCQum5hQTERE5IbPay76nr+Z0fx776Eynn71BfIyKBhJ+fnDwCYbY4+gVp+lqRCRARbFWoix3GwAF7nS6WZyLiIiIiLQf/17wIRPLN1NCJIVX/J3MrulWpyTS5EoLD3O9/z1wQlHH2VanIyKthIZPthIrokbzA+9MlqT/wupURMLG7i4TOaPiaV7s/FurUxERaXMWLFjAiBEjiIyMJDExkSuvvLLW8aysLC699FKio6NJTEzk9ttvp7KyslbM+vXrGT16NJGRkXTp0oUHHnjgmF680hp8tu0Qv1nm4OrK+/j6zEfJHHqW1SmJNIuDWZsBOEQH4jskWJyNiLQW6inWSuwpd7PG7M2FqVrhR6SpVNsiyCeOCluM1amIiLQpb775JlOmTGH27Nmcf/75mKbJ+vXrg8d9Ph8TJkwgKSmJJUuWcOTIEW644QZM0+Txxx8HwOPxMHbsWMaMGcOKFSvYtm0bkydPJjo6mjvvvNOqpybHyDpcyu2vr8E0IfPM0Zw/UUPKJHwV7Q+MzDno6EKSxbmISOuholgrccBTAUDnWLfFmYiEj+CMYppSTESk0aqrq/nlL3/JQw89xI033hjc36fPtxfuFi5cyKZNm8jOziYtLQ2AefPmMXnyZGbNmkVcXByvvvoqFRUVzJ8/H7fbTWZmJtu2bePhhx9m2rRpWtXQYuWFByl6+goyKq7FSB/OzMsHWJ2SSLOqPLgDgJJoDQ8WkW9p+GQrcc6hfzDZ/iHprhKrUxEJG53zV/IHxwt837PA6lRERNqM1atXs3//fmw2G0OHDiU1NZWLL76YjRs3BmOWLl1KZmZmsCAGMH78eLxeL6tWrQrGjB49GrfbXSsmJyeHPXv21PvYXq8Xj8dT6ybNwO8j62/XM7B6Iw+7n+Op6wfj1kqTEuZshXsAqIrPsDQPEWldVBRrJa6t+CcznC+T4iy1OhWRsNGheAeTHP+jf9lKq1MREWkzdu3aBcCMGTP43e9+x3vvvUdCQgKjR48mPz8fgLy8PJKTk2vdLyEhAZfLRV5eXsiYmu2amOPNmTOH+Pj44C09XT06msPmN35Hn5IVlJsuiic+Q2qCphmQ8BdTuhcAZ+JpFmciIq2Jhk+2AuVlpXQg0EMsIaW7xdmIhBPzmH9FRNq3GTNmMHPmzAZjVqxYgd/vB+Dee+/lqquuAuDFF1+ka9eu/Otf/+IXvwgsClTf8EfTNGvtPz6mZpL9UEMnp0+fzrRp04LbHo+nxQtjvtnp+NwGd/t+wVv+0QCcZ6zmGcfckPd5wDeZV/3jABhhbOIlxyxWplzLWf/v6RbJ+WTkrF3E6VueAgM+63MvFw0fZXVKIi0isTIHgNi00y3ORERaExXFWoGCA9lEAhWmk7j4TlanIxJ2NG2NiAjceuutXHfddQ3GZGRkUFxcDED//v2D+91uNz179iQrKwuAlJQUli9fXuu+BQUFVFVVBXuDpaSk1OkRdvDgQYA6PciOfZxjh1tawW6Y2I1AAa/aHyji+WyB/aH4j4ntac/GYfjpfmBRi+R7MiqKDuF8+2bshsniyHGMve6XVqck0iK81T7GeB+iGweZ32uI1emISCuiolgrUFoYaCAWGvGk2DSiVaTJmDU9xVQVExFJTEwkMTHxhHHDhg3D7XazdetWzjnnHACqqqrYs2cP3bsHerSPHDmSWbNmkZubS2pqKhCYfN/tdjNs2LBgzD333ENlZSUulysYk5aWRkZGRjM8w6Zx+GdL8cbG8mtXLL92RgZ2Vo/ikPenIe9zqyuaW53RABzaEgXvz8dJVUuk23imya4X/o/+5mH2kMaAG5/GbtPfR2kf9hWUU2xGscfVi8QOcVanIyKtiIpirUCF5zAApbZYizMRCS/BYToW5yEi0pbExcVx8803c//995Oenk737t156KGHALj66qsBGDduHP3792fSpEk89NBD5Ofnc9dddzFlyhTi4gIfOK+//npmzpzJ5MmTueeee9i+fTuzZ8/mvvvua9UrTyampAefw7cigA6NPEGg8Og0q5syrVP26ca9VOWX0Mvm4MjFT5GRqNEJ0n7sPRKYt7lbp+hW/ftHRFqeimKtgLc4UBQrd+iqhUhTMlBPMRGR7+Khhx7C4XAwadIkysvLGTFiBJ988gkJCQkA2O12FixYwNSpUxk1ahSRkZFcf/31zJ377bxb8fHxLFq0iFtuuYXhw4eTkJDAtGnTas0ZFo4i3BEAOKmm2ufHYbd+FEB+aSV3/XcHh6vu5J4zfPz8rPOsTkmkRRmb/ssDjkV4Is4Hvm91OiLSiqgo1gpUlwRWcqp0xluciUh4Cc7+oiuCIiInxel0Mnfu3FpFruN169aN9957r8HzDBw4kM8//7yp02vVXBGBIZcuqvBWW18UM/1+7n1rPYdLvPTuHMtPf3COpfmIWCE25yt+6ljEEptWtBWR2lQUawXWxV/Ag95Izju9O2dYnYxIGNmeehl3fdOFc3qmM8zqZEREpF1wuwNFMYfhp8hbSbTb2ub2pn/exwVb17HM9lMeufYcIpx2S/MRsUJE6X4A7AndLc5ERFobFcVagdyqKNaapzGyYy+rUxEJK5WOGPaZSZQ6O1qdioiItBM2dxQf+4fhNe0MqbJ2sv2D21dy+uYnGWD3ETPgIjK7aFSCtE9x3lwAIpMyrE1ERFodFcVagaLyQIOpQ6TT4kxEwpMGT4qISItxRnKH7bcUV1Tzid+6XllmtZfyf06hs+FjmftsLvzhzZblImIp0yTJfxCADqnqhCAitako1gr0O7iAjvYc0s14QL+oRZpKcv5Kpjvewu05AxhqdToiItJOuB12iqmmospvWQ5b/nU//ap2UWDGknL9kzgcGjYp7VNxfi6xVOI3DTqn67OWiNSmolgrcG7Ru/R1bmZN5VmAJj8VaSqJxZuY6FjAylKv1amIiEg7EuG0ASYVVdWWPH7h7rWctvVZAJb1v5eLu/ewJA+R1uDwvh3EAoeNBDpHRlmdjoi0MtavES1E+T0AuGI6WZyJiIiIiJyqdysmsyfixxj5u1v+wf1+Cv55C058fOkcyQVX/aLlcxBpRYoP7AHgsCPZ2kREpFVSUawViPCXA+CK0uSnIk3KNANfNKuYiIi0IBuBvz+VVRUt/tjL16wmpiyLEjOC+CsfxuVQc1/at9VR5zCo4lleSfu91amISCuk4ZOtQKRZDga4o2OtTkUkrJg13xgqiomISF2Tnl/Oz3PupZc/i+IKHwDFXzyNER0JQIXh4l3HuOClldHVS0k0j9R7Lh92/uu8GIAJZmDxpNJN/8NTtrre+P84Jwa/H+FbRRd/Xsg833ZehM8INNuHV6+lm39fvXFl1QY3f3kaPu9crulymImHdlJ04JOQ513oPJ8yIzCcbIBvM719O0PGfuwcTbERaKv282+jj297yNjPHKMotHUAoLdvJ/19W0LGfuk4iyO2wGiJDN9eBvo2hoxd7jiTg7bOAKT7sxlS/U3I2FWOM8i1pwKQ6sthWPWakLFrHYPZZ+8KQGf/Qc6sWhkydqNjAHvs3QHo5D/CWVXLQ8ZucfRll70nAB3MQs6u/Cpk7HZHb7Y7emMAMWYJ3/d+ETJ2l6MnWx19AYg0yxjt/bTeOAPIcnRnk3MAAC7Ty5iK0P8fcuxdWO8aBIDdrObCikW1T3aMPFsq61xDgtvjKz4Ifu8pDVzw3/nnc4mNsLPZOYDnY77tsTi74C6c1L8y6y7HaTwdd1vwIe8ruJdos7Te2GxHN15LvZs/XJFJanxkyOe1v6gCDzFEdtYwYhGpS0Uxi5l+P1EEriJGxnSwNhmRcGOaJ44REZF2K7eogriqI6TZDuA5eiklllJiCRTI7H43nvJvP7y77KXEGSX1nqvKdARXFK8yHGCCw1dOHPXHFx5zXrutjDhbccg8i8qrqCYwab+tgdhndyVTUF5NbEQHXL0HY1SvI76B83rKKymu+ThgK2swtqTcSwFuAPy2MuJtnpCxpeVe8qkEoNooJ97ecOyRo7FdjDI62ItCxpZXlHPEDMwTmnyC2IqKMg4fjU0wyhuM9R4TG2OUk2AvDBlbeUxsxAliqytKOXQ01kY5CY7Qsb5jYqspJ8FREDLW9BZzsDzw+SGOcjo2EJvl7ciBo7GReOnoyA8Zm1sZy4GKQKyD6tqxxzWpDldHBWOBWrEOf2B/L98u4qoNsrwxrC0oDB7v4d5BpFFZbw6HvU7WFH4bm+7eQSej/v+XpZXV/G/zQc45LY/Jo0IXvPYXBop0XTqELpyJSPulopjFysuKiTICf2UiYzR8UqQpGcEWnHqKiYhIXY//aCgcfIqtVWWUlJTCg5dx5LSrqIw92nvfsHFtVOdgvKP8Yg776/8wb2JwTVRK4PtlbqiG4rjTOdz7vHqjr4lO+/a83mgOV1ccc7S2K6NSwAgMg7RXxHC4urxOXP72ZezP2gR05p5L+nHu6Uk4vPEcqhoW8vlfFJUMR3ug2b2xHKoaFDL2gsjOmPZADzh7ZRwHKweEjP1+ZBKmzRWIrYrnYGWfEJEmIyOSMO3uo7EdOOgNvTrgmRGdGOYIFDZsVQkc9HYPGTskohMDHVFHz9uRg94ugUes53rZgIiO9HNEB85b3YkDFZ3rBh11ujuBXs6YY2JDzwncwxVPuisuEOtLIq+8Q8jYdGccqa7AZwHDn0ReWXTI2BRnLJe7E47GVpFXdnntgGOeYydnDJdHdDoaW01e6XGxx4h3RgdjMf3klVxW5/9ijShHFJdFJAW3c4svC35fXFwCPMC6kY8REx1FtLsjf+s4MJja5twnMah/ZVa7qwPPdhwc3N594C/s9dffq+ytzcWwH6r9DV8EnZD9MCMcXnq6fguot5iI1KaimMXKSjxEAX7TICpKwydFmpIZ/KqimIiI1NUvNQ5SzwLA4wn0ZuqUmkFcXFz9d4jv2qjzZttdUA0ut5vELj0bcY8ujTpvQN3eLmZ1JVXzL+cRRzZDkuC6MydgGEa9sSdz3tCigJSTiG3sBOdRQOiCVN3YpBNGfRubeBKxjV38KvokYxOaIRagw0nEnsRF+KTQhc+GYqOPvpcGn3dl/e+l/lc3/rwDrgp56JXDq7Hv3wd+X+j7myajKz4mxlHO9ui7G/+4ItJutMjMm16vlyFDhmAYBmvXrq11LCsri0svvZTo6GgSExO5/fbbqays/wpcS/N6vXg8nlq3plZixHCldwZTzHuw2TURqkhT2pJ8GWO9f+bDzjdZnYqIiLQjfiPQo8pf5W2Rx9v23qOkVWdzxIxj9FVTjxbERMLbj3LnsDNiEpnZr4SMKffkE0OgZ2XnLqe1VGoi0oa0SBXmN7/5DWlpaXX2+3w+JkyYQGlpKUuWLOEf//gHb775JnfeeWdLpHVCc+bMIT4+PnhLT09v8scoqbax2jyd9e4zmvzcIu1dhSuB7WZXPK7GXnUWERE5dftiMvnUNxiP0fyjALyeg6StfRSAFT2nktH1ZHqdibRlR4u/Dcwhe2h/YEGII2YccfGaqkZE6mr2otgHH3zAwoULmTt3bp1jCxcuZNOmTbzyyisMHTqUCy+8kHnz5vHcc881S6+skzV9+nSKioqCt+zs7CZ/jFJvNQAxbo1kFWlqZsiZMERERJrPom6/YnLVb9kdNbDZH2vnG/cSSynbyOD710xr9scTaTWO9og0GyiKeXJ3AXDY0Vk9KEWkXs1aiTlw4ABTpkzhv//9L1FRUXWOL126lMzMzFq9yMaPH4/X62XVqlWMGTOmzn28Xi9e77dd0ZuzeOZ2u3G73c12fgD/kZ3caH8fw+gOnNesjyXS3qQUrOEOx/t08AwDBp8wXkREpClEOO0AeKvrn0y8qXj2rqPP/n8BsP+s+zg9snnbrSKtiRns3xG6KFZxeC8Axe7UFshIRNqiZuspZpomkydP5uabb2b48OH1xuTl5ZGcXHvizYSEBFwuF3l5efXepyWGNLYk58H1/N75Cld637E6FZGwk+pZwx2O/9C/+EurUxERkXYkwhloYldUNTABeBM4+OavsWPyhfNszh13ZbM+lkhrYwSHT4YuPpue/QB4oxq7MISItDcnXRSbMWMGhmE0eFu5ciWPP/44Ho+H6dOnN3i++rqxmqYZsntrSwxpbEn+imIAqux1e9KJyKkxai4cqru8iIi0oHE7Z7PR/TOGHfhXsz3GnsOlzMofw1p/LyIu/gN2m/7WSTtT81++geGTRumhQEiseoqJSP1OevjkrbfeynXXXddgTEZGBn/84x9ZtmxZneGHw4cP58c//jEvvfQSKSkpLF++vNbxgoICqqqq6vQgq9ESQxpbkq+iBIBqh4piIk3NpHmHrYiIiNTHSTXRhhdbdXmzPcZDH21lcfUg/KdfwEtn1D8qQyScmcbR/h0NFMUei53G2ryrmHF688/vJyJt00kXxRITE0lMTDxh3GOPPcYf//jH4HZOTg7jx4/njTfeYMSIEQCMHDmSWbNmkZubS2pqoHq/cOFC3G43w4YNO9nU2iTTG+gp5nPGWJyJSBgKtpF09VxERFqO4Th6Abe6slnOv2FfIQvW52IYMP2Svs3yGCKtXV7kaSzyDaM6slvImAMeLx6iSex04s+vItI+NdtE+9261f7lFBMTKPr06tWLrl27AjBu3Dj69+/PpEmTeOihh8jPz+euu+5iypQpxMXFNVdqrYpRVQaAXz3FRJrB0aqYhk+KiEhLOloUM/zeEwR+B75qol4ezy32QRwc8H/0TWkfbWaR4y1PvIpXd57JHYm9uThETG5RoLdmSnxEyyUmIm1Ks64+eSJ2u50FCxYwdepURo0aRWRkJNdffz1z5861Mq0WZasOFMVMp4piIs3FVE8xERFpQcbRdp2tuumLYns++Rs9K7dwo2MfnvP+0OTnF2kraq55hho9We7JZ57vQfIcHUmOvbDlEhORNqXFimIZGRmY9fzG6tatG++9915LpdHqGL4KAEyHrl6INDkNnxQREQsYrkgAHP6Kpj2xr4qoZY8A8FXqDUxM04p60n7ZjlbFQs0odiRnF2PtqykwY4mLdLVcYiLSppz06pPStD7ucA0/rfwtWanjrU5FJOxsTL6cy7x/4LPkn1idiohIm7Jt2zYuv/xyEhMTiYuLY9SoUSxevLhWTFZWFpdeeinR0dEkJiZy++23U1lZew6t9evXM3r0aCIjI+nSpQsPPPBAvRdJw43hCvQUc/iadqL97f97ns6+PA6b8Zxx1Z1Nem6RtubifY+yzT2Js/Y+U+/x4oNZAOTbO2FoKg0RCUFFMYtl2bryuX8wlfE9rE5FJOyUuBP5xuxFkUvLcIuInIwJEyZQXV3NJ598wqpVqxgyZAgTJ04kLy8PAJ/Px4QJEygtLWXJkiX84x//4M033+TOO78t1Hg8HsaOHUtaWhorVqzg8ccfZ+7cuTz88MNWPa0W44/rytf+PuylCXty+aqJ+fovAKzq8hPSkjo13blF2iAbJi7Dh2H66j1enp8NgMfZuSXTEpE2xtI5xQQqqgK/xCMcdoszEQk/7aAzgohIkzt8+DA7duzghRdeYNCgQQA8+OCDPPnkk2zcuJGUlBQWLlzIpk2byM7OJi0tDYB58+YxefJkZs2aRVxcHK+++ioVFRXMnz8ft9tNZmYm27Zt4+GHH2batGn19tzwer14vd/Ow+XxeFrmSTex8t6Xcc2HHUmOcHNTE51z16cv0dOXQ74Zy+AfTGuis4qEgRANPl/hfgAqIlUUE5HQ1FPMYpmeL7jWvpgOlblWpyISdlI96/iF/V1OK1lhdSoiIm1Gp06d6NevHy+//DKlpaVUV1fzzDPPkJyczLBhwwBYunQpmZmZwYIYwPjx4/F6vaxatSoYM3r0aNxud62YnJwc9uzZU+9jz5kzh/j4+OAtPT29+Z5oM4pwBprYFVX+pjmhaeJeFugltjzlelKSEpvmvCJtmVHzUbb+opitOPD5yhejEQMiEpqKYhabWPxP/uR8jsTSbVanIhJ2uhcuY7rzdfoXfWF1KiIibYZhGCxatIg1a9YQGxtLREQEjzzyCB9++CEdOnQAIC8vj+Tk5Fr3S0hIwOVyBYdY1hdTs10Tc7zp06dTVFQUvGVnZzfxs/uW1+vF4/HUujUV99ERADUjAk7VxlwPk0pu4zXfBfS/XHOJiQTULD9Zf/HZVX4gEBWXVu9xERFQUcxyDjMwRMBxdJUiEWlCZq0vIiLt2owZMzAMo8HbypUrMU2TqVOn0rlzZ7744gu+/vprLr/8ciZOnEhu7rc92+sb/miaZq39x8fUTLIfatJrt9tNXFxcrVtzac5eaTEHV/G1eyqv2X7fJAsLPPnpTnaZaSzr/3u6pyWf+A4i7UHw90j97zFXZSEA7o5dWyYfEWmTNKeYxVz+o0Uxd5TFmYiEo6MfvizOQkSkNbj11lu57rrrGozJyMjgk08+4b333qOgoCBYlHryySdZtGgRL730EnfffTcpKSksX7681n0LCgqoqqoK9gZLSUmp0yPs4MGDAHV6kFlh+vTpTJv27dxcHo+nyQpjLqedBKOQctOFt9pPhPO7zx2780AR768PFCP/33m9miQ/kbBQM3wyROH5BmM2ZRX5/L3XuS2YlIi0NSqKWcxpBpYuV1FMpDnUNJJUFhMRSUxMJDHxxHNRlZWVAWCz1R5QYLPZ8PsDw5RGjhzJrFmzyM3NJTU1MF/PwoULcbvdwXnHRo4cyT333ENlZSUulysYk5aWRkZGRlM9re/M7XbXmu+sKbkiogGINCrxVp1aUaz6lWt4xGFjWff/R7/U5us5J9LWFESks8Q3gEJ33Z5gfr/J4dJKqommc8d4C7ITkbZCwyct5iJQFHNGqCgm0uSOXjk0QwzTERGRukaOHElCQgI33HAD69atY9u2bfz6179m9+7dTJgwAYBx48bRv39/Jk2axJo1a/j444+56667mDJlSrB32fXXX4/b7Wby5Mls2LCBt956i9mzZ4dceTKcONyBolgEXrzV331esaLdq+lTvIxLbUu5bkRGE2UnEh6+SbmSn1Tdy+rEK+ocKyqvotofaAd2im6e4reIhAcVxSzmPtpTzKWimEgzUE8xEZGTlZiYyIcffkhJSQnnn38+w4cPZ8mSJbz99tsMHjwYALvdzoIFC4iIiGDUqFFcc801XHHFFcydOzd4nvj4eBYtWsS+ffsYPnw4U6dOZdq0abWGLIYrwxVo10VQeUorUOZ+GHg9v3Sfw+DMgU2Sm0i4qKmt++sZPlmUvYHnnPP4fcS/cDn0kVdEQtPwSQuZpom7pqfY0SuKItKEgm0kFcVERE7G8OHD+eijjxqM6datG++9916DMQMHDuTzzz9vytTaBkcEAC7DR4W3Ajj5i5+V+fs47cCHAPjOuiXse9eJnCxbA++JsrwdjLWvYputqAUzEpG2SGVzC1VV+5lSdSf/r/KXuGI7Wp2OSNj5pvNlXFf5O1Z0vsrqVEREpD1xflsEq6oo+06n2PP+Izjwscbox6hzxzdVZiJhY8S+F1jnvokL9j1Z55i3MLA4RYlTn7FEpGHqKWahCp+fxf6hADwSqZ5iIk2tMKILy/wV9ItomtXEREREGsXhZrutB8XVdqiqPOm7m1XlpOz8JwA5/W5kqIZ/idTh9FcSb5Th8FfUOebzHADAG3HixUVEpH1TUcxC3mPmmHCrsSPS5GqmmDA0fFJERFqSYXBb3GNsySvm77bYk7777s9fpafpIcfsxMiLf9wMCYqEgZrhk/XMKUZJoCjmi0xqwYREpC1SUcxC3tIifmj/jEpbJIYxwep0RMJOWvF6JtmXkF5yFtDf6nRERKQdcTvtQO2LoI31t7zTiK66nr4ZXbgqVosxidTLqOlUULco5ig/FPgmNrnl8hGRNklFMQv5ivYz1/kMHqKBmVanIxJ2ehd8yk+dr7C00Av8yOp0RESkHYk4Ogqgotp3UvcrKq/izc3leH0TefuiUc2RmkhYMIJf6xaeIyqPAOCIU1FMRBqmMXsWqvKWA+DFZXEmIuFNgydFRKSl3Vk0h+XuqcRmLz6p+72zLgdvtZ++KbEM6hrfTNmJhIGjPcXMeoZPuqtKAIhMSG3RlESk7VFRzELV3sBqRJWGimIizcGob44JERGRFhBPCclGIWZpfuPvVF7IoI8ncbltCVcP64Jh6LKOSEg1b4962ntXGw+RWfE3nN1HtGxOItLmaPikhXyVXgCqDafFmYiEOUP1fxERaVnVzhgAfBVFjb5P3hcvMrhqHf/PmU/SkPubKzWRsFDiTmGVvzf5ztq9wap9fo6UVWESRVKHk1/oQkTaF31StJC/OlAUq1JPMZHmYZ785MYiIiJNwecKfBg3K4obdwfTxFjzdwDWdL6STrERzZWaSFjYnnY5V1XO5OPE62vtzy+txDTBZkDHaH3OEpGGqaeYhfxVgaKY39CPQaQ5aPCkiIhYxXTHAWDzehoVX7lvNcnlO/GaTrqeO6k5UxMJC7aa4cXHNfg8Wet4zjmXbGcGdtuElk9MRNoUVWMsVNNTzKfhkyLNIjjVhOZkERGRFma4Az3FbJWN6ymWs/h5MoDP7CO4YMBpzZeYSJioad75j5tTrOLADsbaV7PZKLUgKxFpa1QUs9Ch+IHcWnkbaR1T6Wd1MiJhaE3iZTyT3ZWRSWcw0upkRESkXbFFdgDAUV1y4uBqL4l73gGgqM/V2G26mCNyIv32/5ul7qfYcuB84Pngfm9hLgBlrk4WZSYibYmKYhbyuJJ5zz+Sc2OSrE5FJCwdishgsd+kT2RPq1MREZH2Jj6NLf50DpgJJwzNX/1fOvqLyTE7cuaYK1sgOZG2z1ldSqqRT5avdm9Mf/FBACrdKoqJyImpKGahKl9gEnCXXVcDRZqTRk+KiEhL855+ORM/TiI9JpKrThD7WbaPzr4B5MUP4qrOcS2Sn0hbZwTnFKu9sJKt7AgA1ZEqionIiWn1SQtFeHZzke1relVttzoVkbCUWrqRH9o/I6V0i9WpiIhIOxMXEbj2XFxR3WCc32/yyM5Uflx1L5x3b0ukJhIebIGPssdf+7RX5Ae+iVJRTEROTEUxC6Ue+IynXY8y1vOm1amIhKWBRxYy1/kMpx9ZbHUqIiLSzsRHBRZSKiqvwucPvR7y8t35ZOWXEeN2cPGg1JZKT6TNM6i/p5irsgAAR0xiS6ckIm2Qhk9ayPRVBb7atPqkSPM4+iFEwydFRKSFdTTK+ND1WzoaxeQXbyYpPqpukGmyb9ETJNGLCwcPIsqlprlIowXnx6hddHZWB1addMZp3mYROTH95bWSrxIAv81lcSIi4ckINpJUFRMRkZbliIyjry0bgG1H8kiKr7voS+mupVydN4/x7ih2DV3T0imKtG3BOcVqF8WmuP7EgZIC/p4xyoKkRKSt0fDJBni9XjweT61bkzpaFDPt6ikm0hy+bSKpKCYiIi3M7qDIiAXAczi33pCcT/8GwDLXCAZnJLdYaiLhoNLVkc3+bhxxdK61/0hZFeVE0CE2xqLMRKQtUVGsAXPmzCE+Pj54S09Pb9oHqCmKafikSPMwNXxSRESsU2xPAKC8oJ6iWGUZafs+CHyb+aNvV9ITkUbJ6jKBiysf5M2OU4L7qnz+4OIWnaI1GkdETkxFsQZMnz6doqKi4C07O7tJz28cnVMMu35hizSHmuGThqpiIiJigXJXRwAqiw7UOZaz7F9Em2Vkm0mcdf5lLZ2aSJtnO1pIPnYdi6IDe3nOOY8/OF8kLlIdD0TkxFQUa4Db7SYuLq7WrUn5Az3FVBQTaR5m8KuKYiIiNWbNmsXZZ59NVFQUHTp0qDcmKyuLSy+9lOjoaBITE7n99tuprKysFbN+/XpGjx5NZGQkXbp04YEHHsA8bm6fzz77jGHDhhEREUHPnj15+umnm+tptUreiMBE36Ynp86xihUvA7C24yUkxka2aF4i4aCmc6X/mN87JYeyGGtfxYX2Ndhtav+JyIlpon0LrYobz39yExmeNJqRVicjEoZWdZzAyzldGZE4Uu8xEZGjKisrufrqqxk5ciTPP/98neM+n48JEyaQlJTEkiVLOHLkCDfccAOmafL4448D4PF4GDt2LGPGjGHFihVs27aNyZMnEx0dzZ133gnA7t27ueSSS5gyZQqvvPIKX375JVOnTiUpKYmrrrqqRZ+zVarjukE+OD1ZtfZXHt5DRvEqADqe/VMrUhNp89JzPuAT1zx2HzkTeAWA8sKDABTb4km1MDcRaTtUFLPQTnc//uOL47QOfa1ORSQs5Ub14X1/JL2jT7M6FRGRVmPmzJkAzJ8/v97jCxcuZNOmTWRnZ5OWlgbAvHnzmDx5MrNmzSIuLo5XX32ViooK5s+fj9vtJjMzk23btvHwww8zbdo0DMPg6aefplu3bjz66KMA9OvXj5UrVzJ37tx2UxRzJfdh264u7KuMrrX/mxWfMtB0sN7WhxFnnGFRdiJtm7u6hJ62PAp8h4P7vJ5DAJQ5OliUlYi0NRo+aaFKnx8Ap10/BpHmEJxnX73nRUQabenSpWRmZgYLYgDjx4/H6/WyatWqYMzo0aNxu921YnJyctizZ08wZty4cbXOPX78eFauXElVVVW9j93sK3+3sIjhP2Zc5UPMKrui1tDSh/f15UzvE3wz5H4cageKfDc17btjRm37igNFMa+rQ4unIyJtk/4KWyi1ZBOjbeuIqzpkdSoiYSm1bAsTbMvoWLbL6lRERNqMvLw8kpOTa+1LSEjA5XKRl5cXMqZm+0Qx1dXVHD58mPo0+8rfLaxrQhQ2A8oqfRwq9gKw+3ApX+08QrERw7hzz7E4Q5G2yzBqPsp+WxUzy44AUB3R0YKMRKQtUlHMQhMK/s5Lrj/RLX+p1amIhKUzj7zLE67H6H34E6tTERFpVjNmzMAwjAZvK1eubPT5jHq62JqmWWv/8TE1PaFONuZYzb3yd0tzOWxkdIrGhp/N+wIXQd//dAkA552eRNeEKCvTE2nbjhbFDNMf3GUrzwfAH9nJkpREpO1p9qLYggULGDFiBJGRkSQmJnLllVfWOt6Y1Y3Clf3o6pOGQ6tPijQPjZ8Ukfbh1ltvZfPmzQ3eMjMzG3WulJSUYG+vGgUFBVRVVQV7ftUXc/BgYILrE8U4HA46dar/A2uzr/xtgd+532C9+0aqv36Rwl0ruWXDNbzheoAbzupidWoibVzd8ZOGtyjwNUpFMRFpnGadaP/NN99kypQpzJ49m/PPPx/TNFm/fn3weGNWNwpnNjMwn4bN4T5BpIh8F8axk0yIiISxxMREEhMTm+RcI0eOZNasWeTm5pKaGli/beHChbjdboYNGxaMueeee6isrMTlcgVj0tLSyMjICMa8++67tc69cOFChg8fjtPpbJJc24JOSclEH/GSnP0BBf9ZTAegIiKZ0X3TTnRXEWlAfT1O53X4HSsP7+dPvQdZkJGItEXN1lOsurqaX/7ylzz00EPcfPPNnH766fTp04cf/vCHwZia1Y1eeeUVhg4dyoUXXsi8efN47rnn2vzEqo1h91cD6ikm0lxM1cREROrIyspi7dq1ZGVl4fP5WLt2LWvXrqWkpASAcePG0b9/fyZNmsSaNWv4+OOPueuuu5gyZUqw59b111+P2+1m8uTJbNiwgbfeeovZs2cHV54EuPnmm9m7dy/Tpk1j8+bNvPDCCzz//PPcddddlj13K2ScdwM+0yDTt4keJavxmk4iL5oRcgipiDROtSuWPf5kCm0JwX35pZVU4CY+tu33MhWRltFsRbHVq1ezf/9+bDYbQ4cOJTU1lYsvvpiNGzcGYxqzutHxwmlVIntNTzGnimIizcHQ8EkRkTruu+8+hg4dyv33309JSQlDhw5l6NChwTnH7HY7CxYsICIiglGjRnHNNddwxRVXMHfu3OA54uPjWbRoEfv27WP48OFMnTqVadOmMW3atGBMjx49eP/99/n0008ZMmQIf/jDH3jssce46qqrWvw5Wyk+pQcru/0MgCrTzge97uV7Z5xhcVYibd+hruM4r/IRnoz7VXBfQVlgepqEKH2+EpHGabbhk7t2BVZ7mzFjBg8//DAZGRnMmzeP0aNHs23bNjp27Nio1Y2ON2fOHGbOnNlcabeomqKYXcMnRZrJ0QmdtaaIiEjQ/PnzmT9/foMx3bp147333mswZuDAgXz++ecNxowePZrVq1efbIph53s/m8fa1VdjumK4fOBAq9MRCROBi57+mqEBpskDFXMockbSwXYG0MGyzESk7TjpT4qNXd3I7w+sAnLvvfdy1VVXMWzYMF588UUMw+Bf//pX8HyNWd3oWOG0KpHDDAyftDlVFBMREREJV4bNxpDhZzN00CANmxRpIrajb6Wa2TKqKooZa6zgh/bPiYuKsCwvEWlbTrqn2K233sp1113XYExGRgbFxcUA9O/fP7jf7XbTs2dPsrKygMCqRMuXL6913+NXNzqe2+3G7Q6PItILjmug7AhXdciwOhWRsLSiwyX882BXhnf6PmdZnYyIiIiINJmkvC9Y4PoD2Z7+wChKivJJACpNO7GaU0xEGumki2KNXd1o2LBhuN1utm7dyjnnnANAVVUVe/bsoXv37kDjVjcKZ+9zDgd9Xq6OS7U6FZGwtCdqIP/2JdAztrfVqYiIiIhIE3JWexhg24vPFw9AWeFhEoBiounksFubnIi0Gc02p1hcXBw333wz999/P+np6XTv3p2HHnoIgKuvvhqovbrRQw89RH5+fp3VjcJZlS8wxNRp13xHIs1Bi0+KiIiIhCfDOPoZ6uicYuXF+QCU2GLoZFVSItLmNFtRDOChhx7C4XAwadIkysvLGTFiBJ988gkJCYFlc2tWN5o6dSqjRo0iMjKS66+/vtbqRuFsiG8jJYYfl6mBXSLNIaV8B2Nsm4kvjwZOszodEREREWkiZnB+vkBRzFtyBIByW4xFGYlIW9SsRTGn08ncuXMbLHI1ZnWj1sI8ehXC4/E0yfke9P6RSKOK3EPn4YlyNsk5RdqCmvdQzXuquYw+8k/ucn3IskNe4LxmfSwREWnbmrqdJ9JetVQ7r6anmO1oUayypACACkdssz6uiISXZi2KhZuaxQPS09Ob9sQPDm/a84m0EUeOHCE+Pr4ZH+FoY0wrfYmIyAk0WztPpJ1q7naeQc3yk4H2nq+sCIBKFcVE5CSoKHYS0tLSyM7OJjY29pSX0/Z4PKSnp5Odnd0m509T/tZq6/kXFRXRrVs3Onbs2MyPpFnFRESkcdTO+5byt1Zbz7+l2nk1PcWMo+29FZ2v5sdr+nJVn2S+16yPLCLhREWxk2Cz2ejatWuTnjMuLq5N/rGrofyt1dbzt9laaJEJ9RQTEZETUDuvLuVvrbaef3O380yHm0NmPB4j0DPM463Giwt3THOOQhCRcKOimIiELSM4l4WKYiIiIiLhpLDreVzifYre8TEsAjzlVQDER2quZhFpPBXFRCSMqSgmIiIiEo5sR0cC+I9eBB2xfz5DHNuIqLgRON3CzESkLWmhsUtyPLfbzf3334/b7bY6le9E+VtL+Z8k1cRERKQF6e+0tZS/tVoq/5rmXc3AgNOLl3O143OSzCPN+rgiEl4Ms7nXym1mHo+H+Ph4ioqK2vSYexFpek88/xx5uzYwdNRFXHnJxVanIyKtjNoQrZ9+RiISysaVn1H2zl0UulIZ+7t32POHwWT49rDq3BcZdv6VVqcnIhZrbBtCwydFJGxtjRrOO740MuL6Wp2KiIiIiDQhR3UJZ9q2scdXBkCkvwQAd0wHC7MSkbZGwydFJGy16W6wIiIiIhKaYQfAhh+AaLMUgMj4TpalJCJtj4piIhK2Urx7OMu2ieiKA1anIiIiIiJNyLB9WxQzfVXEUA5AdJyKYiLSeCqKiUjYGn/kZf7h+iMZhz62OhURERERaUrHFMXKiwuCu2M7JFqVkYi0QSqKiUgY0wBKERERkXAU7Clm+iktCqw4WWJGEBXRNlftFBFrqCh2imbMmIFhGLVuKSkpDd7ns88+Y9iwYURERNCzZ0+efvrpOjFvvvkm/fv3x+12079/f956661Wkf9//vMfxo4dS1JSEnFxcYwcOZKPPvqoVsz8+fPrnNMwDCoqKizP/9NPP603ty1bttSKa62v/+TJk+vNf8CAAcGYlnz9Afbv389PfvITOnXqRFRUFEOGDGHVqlUN3qel3gNGcHFdo8E4ERGR+qidp3ZeS+avdt5JMgJrxtnwU+DuQt+KF/mB/XEMQ+0+EWk8FcWawIABA8jNzQ3e1q9fHzJ29+7dXHLJJXz/+99nzZo13HPPPdx+++28+eabwZilS5dy7bXXMmnSJNatW8ekSZO45pprWL58ueX5f/7554wdO5b333+fVatWMWbMGC699FLWrFlTKy4uLq7WOXNzc4mIiLA8/xpbt26tdZ/evXsHj7Xm1/8vf/lLrdjs7Gw6duzI1VdfXSuupV7/goICRo0ahdPp5IMPPmDTpk3MmzePDh06hLxPS74HjKM9xdQ4EhGR70rtPLXzWip/tfNOsp3ncFJsRlJOBEUV1VTgpioy6bs+XRFpr8w2rqioyATMoqIiSx7//vvvNwcPHtzo+N/85jdm3759a+37xS9+YZ511lnB7Wuuuca86KKLasWMHz/evO66604p1/qcbP716d+/vzlz5szg9osvvmjGx8efWmKNdLL5L1682ATMgoKCkDFt6fV/6623TMMwzD179gT3teTr/9vf/tY855xzTuo+LfkeWPXQRNO8P85c+vqck7qfiLQPVrch5MSs/hmpnad23qlQOy+gud4DW3I9Zvffvmee8cBC83+b8szuv33PvPTxL07qHCISvhrbhlBPsSawfft20tLS6NGjB9dddx27du0KGbt06VLGjRtXa9/48eNZuXIlVVVVDcZ89dVXTZ88J5f/8fx+P8XFxXTs2LHW/pKSErp3707Xrl2ZOHFinSuMTem75D906FBSU1O54IILWLx4ca1jben1f/7557nwwgvp3r17rf0t9fq/8847DB8+nKuvvprOnTszdOhQnnvuuQbv05LvgZrRk+opJiIi35XaeWrnnQq185rvPWC3Bdp31X4T995Pmet8mst8/zupc4iIqCh2ikaMGMHLL7/MRx99xHPPPUdeXh5nn302R44cqTc+Ly+P5OTkWvuSk5Oprq7m8OHDDcbk5eVZnv/x5s2bR2lpKddcc01wX9++fZk/fz7vvPMOr7/+OhEREYwaNYrt27dbnn9qairPPvssb775Jv/5z3/o06cPF1xwAZ9//nkwpq28/rm5uXzwwQfcdNNNtfa35Ou/a9cunnrqKXr37s1HH33EzTffzO23387LL78c8j4t+R5QKUxEpK5Zs2Zx9tlnExUVVe8wqHXr1vGjH/2I9PR0IiMj6devH3/5y1/qxK1fv57Ro0cTGRlJly5deOCBBzDN2gucNGZuodZM7Ty181oy/2OpnXdijqNFMZ/fJOLIRn5o/5zM6o0ndQ4REQ2fbGIlJSVmcnKyOW/evHqP9+7d25w9e3atfUuWLDEBMzc31zRN03Q6neZrr71WK+aVV14x3W538yR9jBPlf6zXXnvNjIqKMhctWtRgnM/nMwcPHmzedtttTZVmSCeTf42JEyeal156aXC7rbz+s2fPNjt16mR6vd4G45rz9Xc6nebIkSNr7bvttttqdZE/Xku+B5586i/mrHt+Yb770QcndT8RaR9aWxuipdx3333mww8/bE6bNq3eYVjPP/+8edttt5mffvqpuXPnTvPvf/+7GRkZaT7++OPBmKKiIjM5Odm87rrrzPXr15tvvvmmGRsba86dOzcYs2vXLjMqKsr85S9/aW7atMl87rnnTKfTaf773/9udK6t7Wekdl5dauc1ntp5Tfse2Je12/zsd6PMT+47z/z6udtN8/44c8njN57UOUQkfDW2DeGwrhwXnqKjoxk4cGDIqzUpKSl1roIcPHgQh8NBp06dGow5/opKczhR/jXeeOMNbrzxRv71r39x4YUXNhhrs9k488wzm+UK1vEam/+xzjrrLF555ZXgdlt4/U3T5IUXXmDSpEm4XK4GY5vz9U9NTaV///619vXr16/WZKrHa8n3wNros/nI14s/xPU7qfuJiISzmTNnAoFV7Orzf//3f7W2e/bsydKlS/nPf/7DrbfeCsCrr75KRUUF8+fPx+12k5mZybZt23j44YeZNm0ahmHw9NNP061bNx599FEg8Pdh5cqVzJ07l6uuuqrex/Z6vXi93uC2x+M5xWfbtNTOq0vtvMZTO69p3wNOKjnXvp4K08kGb2CIqd8dd1LnEBHR8Mkm5vV62bx5M6mpqfUeHzlyJIsWLaq1b+HChQwfPhyn09lgzNlnn908SR/jRPkDvP7660yePJnXXnuNCRMmnPCcpmmydu3aBs/ZVBqT//HWrFlTK761v/4QGI6yY8cObrzxxhOeszlf/1GjRrF169Za+7Zt21Zn7otjWfEe0DBKEZFTU1RUVGteqaVLlzJ69Gjcbndw3/jx48nJyWHPnj3BmBPNLXS8OXPmEB8fH7ylp6c3/ZM5BWrn1aV2XuOpnde07wGbPdC/w4Yfh7cIACOyw0mdQ0REwydP0Z133ml++umn5q5du8xly5aZEydONGNjY4OrxNx9993mpEmTgvE1Qwl+9atfmZs2bTKff/75OkMJvvzyS9Nut5sPPviguXnzZvPBBx80HQ6HuWzZMsvzf+2110yHw2E+8cQTZm5ubvBWWFgYjJkxY4b54Ycfmjt37jTXrFlj/uxnPzMdDoe5fPlyy/N/5JFHzLfeesvctm2buWHDBvPuu+82AfPNN98MxrTm17/GT37yE3PEiBH1nrMlX/+vv/7adDgc5qxZs8zt27ebr776qhkVFWW+8sorwRgr3wP3/u0t87K7HzX/9dmqU3+yIhJ2rG5DWK2xq9h99dVXptPpNBcuXBjcN3bsWHPKlCm14vbv328C5ldffWWaZmAY1axZs2rFfPnllyZg5uTk1PtYFRUVZlFRUfCWnZ2tdl4L5q92nrX511A7r3Hy8/aa5v1xZvV98eb6By8IDJ/892On/sRFJCw0tp2notgpuvbaa83U1FTT6XSaaWlp5pVXXmlu3LgxePyGG24wR48eXes+n376qTl06FDT5XKZGRkZ5lNPPVXnvP/617/MPn36mE6n0+zbt2+tP+ZW5j969GgTqHO74YYbgjF33HGH2a1bN9PlcplJSUnmuHHjgg1kq/P/05/+ZPbq1cuMiIgwExISzHPOOcdcsGBBnfO21tffNE2zsLDQjIyMNJ999tl6z9mSr79pmua7775rZmZmmm632+zbt2+dvKx8D6z+08WmeX+cueyfc08cLCLtjtVtiKZ0//331/v3+djbihUrat2nMUWxDRs2mElJSeYf/vCHWvvHjh1r/vznP6+1b9++fSZgLl261DTNxs0tdCJW/4zUzlM7ryXzN021805G0aH9pnl/nGneH2du/cOZpnl/nLn8g7+f9HlEJDw1tg1hmOZxywS1MR6Ph/j4eIqKioiL0xhyEfnWmj9fzNCyr1g+4D5GXH2n1emISCsTTm2Iw4cPB1d2CyUjI4OIiIjg9vz587njjjsoLCysN37Tpk2MGTOGm266iVmzZtU69tOf/pSioiLefvvt4L41a9ZwxhlnsGvXLnr06MG5557L0KFDa61c+dZbb3HNNddQVlYWHErVkHD6GYlI0yotOEj0X3oDsN9IoYuZx9oLX2fIOZdYnJmItAaNbUNoon0RCXuGoVnFRCS8JSYmkpiY2GTn27hxI+effz433HBDnYIYBOYEuueee6isrAxOBL5w4ULS0tLIyMgIxrz77ru17nf83EIiIt+V3fHtR9lrzQeprCjl2bShFmYkIm2RJtoXkbBlcLQjrIpiIiJBWVlZrF27lqysLHw+H2vXrmXt2rWUlJQAgYLYmDFjGDt2LNOmTSMvL4+8vDwOHToUPMf111+P2+1m8uTJbNiwgbfeeovZs2cHV54EuPnmm9m7dy/Tpk1j8+bNvPDCCzz//PPcddddljxvEQkvDrsdn2lQado5VAEHSSAuNtbqtESkjVFPMREJX217dLiISLO47777eOmll4LbQ4cGelYsXryY8847j3/9618cOnSIV199lVdffTUY17179+DKkvHx8SxatIhbbrmF4cOHk5CQwLRp05g2bVowvkePHrz//vv86le/4oknniAtLY3HHnuMq666qmWeqIiENXtkHD28r9baFxepXqgicnI0p5iIhK21fxrPkPJlfD1wJt+76g6r0xGRVkZtiNZPPyMRaUive94nzl/Evc7XOGLG8rMZr+ByaDCUiGhOMRGR4PBJzSkmIiIiEn7sNoMks4gf2j+nwIxVQUxETpqKYiIStpZFn8/i4i70j+trdSoiIiIi0pRMkyfs8xhu3wxAiRFDgsUpiUjbo6KYiIStpdHn82n1QP7cob/VqYiIiIhIUzIMLjBWYjs6MqDMHm1xQiLSFql/qYiEPQ2eFBEREQk/Vcf08aiwa+VJETl5KoqJSNjqWHWA3sY+XNXFVqciIiIiIk2skm9Xm6x0qCgmIidPRTERCVs35P+FRe7fkJq32OpURERERKSJVR1TFKtydbAuERFps1QUE5GwFVx90uI8RERERKTpVeIKfu+PiLcwExFpq1QUE5EwFiiKYagsJiIiIhJuKo1AT7FbK29jY/cbLM5GRNoiFcVEpB1QUUxEREQk3FQbgZ5ihcTgjku0OBsRaYscJw4REWmbDPPo8EnVxERERETCzvROj7AyuwQfdn4Y5TrxHUREjqOimIiEsaPDJ9VTTERERCTsONxR3OV4ARfVdPanAV2sTklE2hgVxURERERERKTNiXTa+aH9M5IMD5vtt1udjoi0Qc06p9i2bdu4/PLLSUxMJC4ujlGjRrF48eJaMVlZWVx66aVER0eTmJjI7bffTmVlZXOmJSLtxLLIc3mmegIlcb2sTkVEREREmtglJW+RZHgAiO6QbHE2ItIWNWtRbMKECVRXV/PJJ5+watUqhgwZwsSJE8nLywPA5/MxYcIESktLWbJkCf/4xz948803ufPOO5szLRFpJxZHX8Kc6h9T1KG/1amIiIiISBMbUPJV8PuklHQLMxGRtqrZhk8ePnyYHTt28MILLzBo0CAAHnzwQZ588kk2btxISkoKCxcuZNOmTWRnZ5OWlgbAvHnzmDx5MrNmzSIuLq7Oeb1eL16vN7jt8Xia6ykAcOc/13H5vj8T4yuq93iBozP/TJwa3L7u0ON08B2uE2ea4LEn8FrSHcF9PzzyNElVOfWet8wWw/zOvwlu/yD/b6RW7q03tspw8Wzn3we3Lyt4ifTK7SGekcETyQ8Ec7qk8DV6eTeFiIUnOt+P7+hSx+OK/k2/ijV1n9vRr88kTqfCFg3ABZ7/MrBiRcjz/q3TXRTbEwA4t/h9hpUtCRk7v+Md5Ds6A3B26SLOKl0cMvaVhKkccHbFNE1GlH3KuaULQ8a+Hj+Ffa4eAJxR/hUXlLxbf6AJ/46fzC5XHwAGVazg4uI3Q573rbjr2eoeCED/irVcXvx6yNh3Y65hfcQwAHp7N3F18UvfvqDH+SDmB6yOOAuA7lXb+UnRcyHP+7/oCSyNOBeALlV7udHzRKinxmeRY/ksaiwAnatz+X9FD9cfCCyJHMPHUZcAkOA7wi8LH6wbdNTyiFG8H30FADF+D78u+EPIfFe7z+S/0dcA4DQruK/g3pCx611DeCNmEqZpYph+ZhX+OmTshZW9+YrrMDTTvoiIiEjYKbJ3DH4fGaGJ9kXk5DVbUaxTp07069ePl19+mTPOOAO3280zzzxDcnIyw4YFigBLly4lMzMzWBADGD9+PF6vl1WrVjFmzJg6550zZw4zZ85srrTr+HjLAab5ltLFOFLv8S3+dD46cFVw+zeu5fSy5dYbm+VPYuGhA8Ht21wrGGjbU2/sITOeRYe/jb3JtYahti31xpaYEfzvyLexk5xrGWr/pt5Yv2nwv80Hg9s/dK5niD108erzrQfwEvgDM8G5gcH25SFjl24/QCGxAFzo2MJgx9chY1ftyCOHagBGObYy2BE6h29257DTDBQ1znBsbzD23j372WC6Aci072KQc2XI2D8WXczXZiDfnvbdDHKuChk7b9+FLPF3AiDVvpeBztUhY5/Yfy5f+gP/pzvassl0rQ0Z+0LxWXzlzwAg0ravwdjXD5zBUl/vwIYtl0zXupCx/y3NZLkvH4ChRh4D3PX/fwBYWHo6Xx+N7WMcYIB7fcjYz8sz+PpgIDbdOEj/BmK/Lk9jxaECAJIopH/EhpCxG8o7sfJwIDaacvpFhC7U7qiIY9WRQKyBn74Rm0PG7vNHAJAaHxEyRkRERETaJiPzB7DsYz6NvJDzrE5GRNokwzTNEP1STt3+/fu5/PLLWb16NTabjeTkZBYsWMCQIUMA+PnPf86ePXtYuLB2bx632838+fP50Y9+VOec9fUUS09Pp6ioqN6eZafqnyuz6bL3bRy+8nqPe53xZKWOp6YjSvecD3BWl9QbW+2IZm/ahOB2et4iXJWFtWJqzuOzR7Cny6XB/WkHPiXSW7cHGoBpc7C76xXB7dSDXxDpPRhyvb1d3b4t4iUfXkZ0Wf291QD2dL0c0+YAA5KOrCCmNDtkbFaXCfjtgYJUp/w1xJTW7dlW8/z2pY7D5wj0KksoXE9c8c6Q581JuYBqZ6B4FV+0mXjPtlrnOlZe8mgqXR0AiPNsp4MndMHkYNLZeCMSMTCIKd5Fh8LQRZsjSWdRERnorRZdspeEgtBFpiOdhlEeFSiKRZbtp+OR2r3rju21VNBxMGXRga7eEeUH6HQ4dBGvKGEApTEZALgqDpN4+OuQiyp64vtSGtszEOstJPHQspDnLY47jdL4QLHNUekh8eBX9cYZQGlsD4rjAz3m7NWlJOV9UX8gUBbdjeKEwLBFm89LUu6ndYOOKo9Kw9Mx0LvO8FfROTd0b0BvVApFHQO9TzFNknP+Fzo2IhF3j5EM7hqv3mIiUofH4yE+Pr7Z2hBy6vQzEpGG+PwmX65cTb++/UmKi7Q6HRFpRRrbhjjpotiMGTNO2FNrxYoVDBs2jCuuuIKqqiruvfdeIiMj+dvf/sY777zDihUrSE1N5ec//zl79+7lo48+qnV/l8vFyy+/zHXXXXfCfNRYEhERke9CbYjWTz8jERER+S4a24Y46eGTt9566wmLVRkZGXzyySe89957FBQUBBN48sknWbRoES+99BJ33303KSkpLF9eezheQUEBVVVVJCdr9RAREREREREREWkeJ10US0xMJDEx8YRxZWVlANhstRe4tNls+P1+AEaOHMmsWbPIzc0lNTUVgIULF+J2u4PzjomIiIiIiIiIiDQ124lDvpuRI0eSkJDADTfcwLp169i2bRu//vWv2b17NxMmBObVGjduHP3792fSpEmsWbOGjz/+mLvuuospU6aoi7yIiIiIiIiIiDSbZiuKJSYm8uGHH1JSUsL555/P8OHDWbJkCW+//TaDBw8GwG63s2DBAiIiIhg1ahTXXHMNV1xxBXPnzm2utERERETatVmzZnH22WcTFRVFhw4dGow9cuQIXbt2xTAMCgsLax1bv349o0ePJjIyki5duvDAAw9w/FS1n332GcOGDSMiIoKePXvy9NNPN/GzEREREfnuTnr45MkYPnx4nUn0j9etWzfee++95kxDRERERI6qrKzk6quvZuTIkTz//PMNxt54440MGjSI/fv319rv8XgYO3YsY8aMYcWKFWzbto3JkycTHR3NnXfeCcDu3bu55JJLmDJlCq+88gpffvklU6dOJSkpiauuuqq+hxMRERFpUc1aFBMRERGR1qVmFfH58+c3GPfUU09RWFjIfffdxwcffFDr2KuvvkpFRQXz58/H7XaTmZnJtm3bePjhh5k2bRqGYfD000/TrVs3Hn30UQD69evHypUrmTt3ropiIiIi0io02/BJEREREWmbNm3axAMPPMDLL79cZ9EkgKVLlzJ69Gjcbndw3/jx48nJyWHPnj3BmHHjxtW63/jx41m5ciVVVVX1Pq7X68Xj8dS6iYiIiDQXFcVEREREJMjr9fKjH/2Ihx56iG7dutUbk5eXR3Jycq19Ndt5eXkNxlRXV3P48OF6zztnzhzi4+ODt/T09FN9OiIiIiIhqSgmIiIi0sbNmDEDwzAavK1cubJR55o+fTr9+vXjJz/5SYNxhmHU2q6ZZP/Y/Y2JOf6xi4qKgrfs7OxG5SwiIiLyXbT5OcVqGlfqXi8iIiIno6btcPyKiW3RrbfeynXXXddgTEZGRqPO9cknn7B+/Xr+/e9/A9++PomJidx7773MnDmTlJSUYI+wGgcPHgS+7TEWKsbhcNCpU6d6H9vtdtcakql2noiIiHwXjW3ntfmiWHFxMYC614uIiMh3UlxcTHx8vNVpnJLExEQSExOb5Fxvvvkm5eXlwe0VK1bwf//3f3zxxRf06tULgJEjR3LPPfdQWVmJy+UCYOHChaSlpQWLbyNHjuTdd9+tde6FCxcyfPhwnE5no3JRO09EREROxYnaeW2+KJaWlkZ2djaxsbEhu+KfCo/HQ3p6OtnZ2cTFxTX5+aVhev2tpdffWnr9raXX31ot8fqbpklxcTFpaWnNcv7WKisri/z8fLKysvD5fKxduxaA0047jZiYmGDhq0bN/F/9+vWjQ4cOAFx//fXMnDmTyZMnc88997B9+3Zmz57NfffdF2yP3Xzzzfz1r39l2rRpTJkyhaVLl/L888/z+uuvNzpXtfPCm15/a+n1t5Zef+vpZ2Ct5n79G9vOa/NFMZvNRteuXZv9ceLi4vRGsZBef2vp9beWXn9r6fW3VnO//m29h9h3cd999/HSSy8Ft4cOHQrA4sWLOe+88xp1jvj4eBYtWsQtt9zC8OHDSUhIYNq0aUybNi0Y06NHD95//31+9atf8cQTT5CWlsZjjz3GVVdd1ehc1c5rH/T6W0uvv7X0+ltPPwNrNefr35h2XpsviomIiIhI482fP5/58+c3Ov68886rdz6OgQMH8vnnnzd439GjR7N69eqTTVFERESkRWj1SRERERERERERaXdUFDsBt9vN/fffX2slJGk5ev2tpdffWnr9raXX31p6/aUl6P+ZtfT6W0uvv7X0+ltPPwNrtZbX3zDDYR1yERERERERERGRk6CeYiIiIiIiIiIi0u6oKCYiIiIiIiIiIu2OimIiIiIiIiIiIvL/27v3oKjKPwzgz4rLck0FY1dDEJIAFRHZbBTSvGFeMEZNLUW5OI4NKkihjjjZWIJiOU0SGE4C2eAtMdEso1AumiNeSEYcHblmo1JJSpCIcH5/OO6vbcESYt9lz/OZ2Rn2PS/7fmEczzPfc3iP7LApRkREREREREREssOm2D9ISUmBm5sbrKys4O/vj8LCQtElyUJiYiKef/552Nvbw8nJCSEhIbhy5YrosmQrMTERCoUCMTExokuRjZ9//hkLFiyAo6MjbGxsMHz4cJw7d050WbLw4MEDrFu3Dm5ubrC2toa7uzs2bNiA1tZW0aWZpYKCAgQHB6N///5QKBT48ssv9Y5LkoR33nkH/fv3h7W1NV566SVcunRJTLFkdpjzxGDOMy3MecbHnCcOc55xdYecx6bYY+zduxcxMTGIj4/HhQsX8OKLL2LKlCmoqakRXZrZy8/PR1RUFE6fPo3c3Fw8ePAAQUFBaGhoEF2a7BQXFyMtLQ3Dhg0TXYps1NXVISAgAEqlEl9//TXKysrwwQcfoHfv3qJLk4XNmzdj+/btSE5OxuXLl5GUlIQtW7Zg27ZtokszSw0NDfD19UVycnKbx5OSkrB161YkJyejuLgYGo0GkyZNQn19vZErJXPDnCcOc57pYM4zPuY8sZjzjKtb5DyJ2jVy5Ehp6dKlemNeXl7SmjVrBFUkX7W1tRIAKT8/X3QpslJfXy95eHhIubm50tixY6Xo6GjRJcnC6tWrpcDAQNFlyNa0adOkiIgIvbGZM2dKCxYsEFSRfACQDh48qHvf2toqaTQaadOmTbqxe/fuSb169ZK2b98uoEIyJ8x5poM5TwzmPDGY88RizhPHVHMe7xRrx/3793Hu3DkEBQXpjQcFBeHUqVOCqpKvO3fuAAAcHBwEVyIvUVFRmDZtGiZOnCi6FFnJycmBVqvFq6++CicnJ/j5+WHHjh2iy5KNwMBAfP/997h69SoA4Mcff0RRURGmTp0quDL5qaysxM2bN/XOxSqVCmPHjuW5mDqFOc+0MOeJwZwnBnOeWMx5psNUcl5Po63Uzfz6669oaWmBWq3WG1er1bh586agquRJkiTExsYiMDAQQ4cOFV2ObOzZswfnz59HcXGx6FJkp6KiAqmpqYiNjcXatWtx5swZrFixAiqVCgsXLhRdntlbvXo17ty5Ay8vL1hYWKClpQUbN27Ea6+9Jro02Xl0vm3rXFxdXS2iJDITzHmmgzlPDOY8cZjzxGLOMx2mkvPYFPsHCoVC770kSQZj1LWWLVuGixcvoqioSHQpsvHTTz8hOjoa3377LaysrESXIzutra3QarVISEgAAPj5+eHSpUtITU1lWDKCvXv34vPPP0dWVhaGDBmCkpISxMTEoH///li0aJHo8mSJ52LqKvy3JR5znvEx54nFnCcWc57pEX0uZlOsHX379oWFhYXB1cLa2lqDTiZ1neXLlyMnJwcFBQVwdnYWXY5snDt3DrW1tfD399eNtbS0oKCgAMnJyWhqaoKFhYXACs1bv379MHjwYL0xb29vHDhwQFBF8hIXF4c1a9Zg3rx5AAAfHx9UV1cjMTGRYcnINBoNgIdXEvv166cb57mYOos5zzQw54nBnCcWc55YzHmmw1RyHvcUa4elpSX8/f2Rm5urN56bm4vRo0cLqko+JEnCsmXLkJ2djby8PLi5uYkuSVYmTJiA0tJSlJSU6F5arRbz589HSUkJg1IXCwgIMHg0/dWrV+Hq6iqoInlpbGxEjx76p0cLCws+qlsANzc3aDQavXPx/fv3kZ+fz3MxdQpznljMeWIx54nFnCcWc57pMJWcxzvFHiM2NhahoaHQarUYNWoU0tLSUFNTg6VLl4ouzexFRUUhKysLhw4dgr29ve5Kbq9evWBtbS24OvNnb29vsK+Hra0tHB0dud+HEaxcuRKjR49GQkIC5syZgzNnziAtLQ1paWmiS5OF4OBgbNy4ES4uLhgyZAguXLiArVu3IiIiQnRpZumPP/7AtWvXdO8rKytRUlICBwcHuLi4ICYmBgkJCfDw8ICHhwcSEhJgY2OD119/XWDVZA6Y88RhzhOLOU8s5jyxmPOMq1vkPKM957Kb+vjjjyVXV1fJ0tJSGjFiBB8VbSQA2nylp6eLLk22+Khu4zp8+LA0dOhQSaVSSV5eXlJaWprokmTj7t27UnR0tOTi4iJZWVlJ7u7uUnx8vNTU1CS6NLN0/PjxNv+/X7RokSRJDx/XvX79ekmj0UgqlUoaM2aMVFpaKrZoMhvMeWIw55ke5jzjYs4ThznPuLpDzlNIkiQZrwVHREREREREREQkHvcUIyIiIiIiIiIi2WFTjIiIiIiIiIiIZIdNMSIiIiIiIiIikh02xYiIiIiIiIiISHbYFCMiIiIiIiIiItlhU4yIiIiIiIiIiGSHTTEiIiIiIiIiIpIdNsWIiIiIiIiIiEh22BQjom7t5MmT8PHxgVKpREhISLvzrly5Ao1Gg/r6+i6v6ciRI/Dz80Nra2uXr0VERETUXZw4cQIKhQK///77f/q5zHlE1FFsihFRlwkODsbEiRPbPPbDDz9AoVDg/PnznVojNjYWw4cPR2VlJTIyMtqdFx8fj6ioKNjb23d4LR8fHyxevLjNY7t374ZSqcStW7cwffp0KBQKZGVldXgtIiIiIlMXFhb22IuSxsKcR0QdxaYYEXWZyMhI5OXlobq62uDYzp07MXz4cIwYMcLgmCRJePDgwb9ao7y8HOPHj4ezszN69+7d5pzr168jJycH4eHhT1T/30VGRmLfvn1obGw0OLZz505Mnz4darUaABAeHo5t27Z1aj0iIiIiejzmPCLqDDbFiKjLTJ8+HU5OTgZ3cDU2NmLv3r2IjIwE8P9b6Y8dOwatVguVSoXCwkJIkoSkpCS4u7vD2toavr6++OKLLwAAVVVVUCgU+O233xAREQGFQtHunWL79u2Dr68vnJ2ddWMZGRno3bs3jhw5Ak9PT9jY2GD27NloaGhAZmYmBg4ciD59+mD58uVoaWkBAISGhqKpqQn79+/X+/yamhrk5eXpfh4AmDFjBs6cOYOKiorO/hqJiIiIuoWmpiasWLECTk5OsLKyQmBgIIqLiw3mnTx5Er6+vrCyssILL7yA0tJS3bHq6moEBwejT58+sLW1xZAhQ3D06NF212TOI6LOYFOMiLpMz549sXDhQmRkZECSJN34/v37cf/+fcyfP19v/qpVq5CYmIjLly9j2LBhWLduHdLT05GamopLly5h5cqVWLBgAfLz8zFgwADcuHEDTz31FD788EPcuHEDc+fObbOOgoICaLVag/HGxkZ89NFH2LNnD7755hucOHECM2fOxNGjR3H06FHs2rULaWlpukaco6MjXnnlFaSnp+t9Tnp6OtRqNaZMmaIbc3V1hZOTEwoLCzv8+yMiIiLqTlatWoUDBw4gMzMT58+fx6BBgzB58mTcvn1bb15cXBzef/99FBcXw8nJCTNmzEBzczMAICoqCk1NTSgoKEBpaSk2b94MOzu7dtdkziOizugpugAiMm8RERHYsmULTpw4gXHjxgF4eAv6zJkz0adPH725GzZswKRJkwAADQ0N2Lp1K/Ly8jBq1CgAgLu7O4qKivDJJ59g7Nix0Gg0UCgU6NWrFzQaTbs1VFVVwd/f32C8ubkZqampePbZZwEAs2fPxq5du3Dr1i3Y2dlh8ODBGDduHI4fP65ruEVERGDq1KmoqKiAu7s7JElCRkYGwsLCYGFhoff5zzzzDKqqqjr2iyMiIiLqRhoaGpCamoqMjAxdA2nHjh3Izc3Fp59+iri4ON3c9evX6zJfZmYmnJ2dcfDgQcyZMwc1NTWYNWsWfHx8ADzMf4/DnEdEncE7xYioS3l5eWH06NHYuXMngId7gBUWFiIiIsJg7l+v8pWVleHevXuYNGkS7OzsdK/PPvsM5eXlT1TDn3/+CSsrK4NxGxsbXVACALVajYEDB+pdjVSr1aitrdW9DwoKgrOzs+4qYl5eHqqqqtrcx8La2rrNfSmIiIiIzE15eTmam5sREBCgG1MqlRg5ciQuX76sN/fRBU8AcHBwgKenp27OihUr8N577yEgIADr16/HxYsXH7sucx4RdQabYkTU5SIjI3HgwAHcvXsX6enpcHV1xYQJEwzm2dra6r5+9Jjrr776CiUlJbpXWVmZ7jb3f6tv376oq6szGFcqlXrvFQpFm2N/feR2jx49EBYWhszMTLS2tiI9PR1jxoyBh4eHweffvn0bTz/99BPVSkRERNQdPdoqQ6FQGIz/fawtj+YsXrwYFRUVCA0NRWlpKbRa7WM3tWfOI6LOYFOMiLrcnDlzYGFhgaysLGRmZiI8PPwfw9HgwYOhUqlQU1ODQYMG6b0GDBjwROv7+fmhrKysMz+CnvDwcFy/fh3Z2dnIzs7W23j1kXv37qG8vBx+fn7/2bpEREREpmrQoEGwtLREUVGRbqy5uRlnz56Ft7e33tzTp0/rvq6rq8PVq1fh5eWlGxswYACWLl2K7OxsvPnmm9ixY0e76zLnEVFncE8xIupydnZ2mDt3LtauXYs7d+4gLCzsH7/H3t4eb731FlauXInW1lYEBgbi7t27OHXqFOzs7LBo0aJ/vf7kyZOxePFitLS0GOwH0RFubm4YP348lixZAqVSidmzZxvMOX36NFQqld6fBxARERGZK1tbW7zxxhuIi4uDg4MDXFxckJSUhMbGRoPG0oYNG+Do6Ai1Wo34+Hj07dsXISEhAICYmBhMmTIFzz33HOrq6pCXl2fQVPsr5jwi6gzeKUZERhEZGYm6ujpMnDgRLi4u/+p73n33Xbz99ttITEyEt7c3Jk+ejMOHD8PNze2J1p46dSqUSiW+++67jpTepkc/z7x582BjY2NwfPfu3Zg/f36bx4iIiIjM0aZNmzBr1iyEhoZixIgRuHbtGo4dO2bwcKVNmzYhOjoa/v7+uHHjBnJycmBpaQkAaGlpQVRUFLy9vfHyyy/D09MTKSkp7a7JnEdEnaGQHv3xNxGRGUtJScGhQ4dw7NixLl/rl19+gZeXF86ePfvEDTwiIiIiejLMeUTUUfzzSSKShSVLlqCurg719fWwt7fv0rUqKyuRkpLCoERERERkBMx5RNRRvFOMiIiIiIiIiIhkh3uKERERERERERGR7LApRkREREREREREssOmGBERERERERERyQ6bYkREREREREREJDtsihERERERERERkeywKUZERERERERERLLDphgREREREREREckOm2JERERERERERCQ7bIoREREREREREZHs/A+TLOYHAkPzJgAAAABJRU5ErkJggg==", "text/plain": [ "
" ] @@ -353,11 +344,20 @@ "sAs.pre(t1)\n", "dA = sA.run(t0)\n", "dAs = sAs.run(t0)\n", - "ax = plot(dA, t1, t2)\n", - "ax = plot(dAs, t1, t2, ls='--', axes=ax)\n", + "ax = plot(dA, t1, t2, label='Original')\n", + "ax = plot(dAs, t1, t2, label=r'Without $\\tau_{amp}$', ls='--', axes=ax)\n", + "ax[0].legend(loc='lower right')\n", "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "5e5f534b-aa58-4d34-81f0-e8f5d04b9bf9", + "metadata": {}, + "source": [ + "And with compensation/prediction enabled:" + ] + }, { "cell_type": "code", "execution_count": 8, @@ -366,7 +366,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAABM4AAAPbCAYAAACkNcfzAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOzdeXxTVf7/8ddNmqR76UI3adlEdgHBBVABFxS3ccZxHRmYcRx3RcaNcUbRUXHXGfiqo+OIy7iMP9dxA1wAUVBWQVD2pUDLUkr3Jk1yf3+kDRRaaGnTm6bv5+MRm3tzcu+nV9KcfPI55ximaZqIiIiIiIiIiIhIHTarAxAREREREREREQlHSpyJiIiIiIiIiIjUQ4kzERERERERERGReihxJiIiIiIiIiIiUg8lzkREREREREREROqhxJmIiIiIiIiIiEg9lDgTERERERERERGphxJnIiIiIiIiIiIi9YiyOoDW4Pf72b59OwkJCRiGYXU4IiIi0gaYpklpaSnZ2dnYbPquMVypnyciIiJN1ZR+XrtInG3fvp2cnByrwxAREZE2KC8vj06dOlkdhjRA/TwRERE5Uo3p57WLxFlCQgIQuCCJiYkWRyMiIiJtQUlJCTk5OcF+hIQn9fNERESkqZrSz2sXibPasv3ExER1qERERKRJNPwvvKmfJyIiIkeqMf08TdghIiIiIiIiIiJSDyXORERERERERERE6qHEmYiIiIiIiIiISD2UOBMREREREREREamHEmciIiIiIiIiIiL1sDxxNmXKFI4//ngSEhJIT0/nwgsvZPXq1XXamKbJ5MmTyc7OJiYmhpEjR7Jy5UqLIhYRERERERERkfbA8sTZnDlzuOGGG1iwYAGzZs3C6/UyevRoysvLg20effRRnnzySaZNm8bChQvJzMzkzDPPpLS01MLIRUREREREREQkkhmmaZpWB7G/Xbt2kZ6ezpw5czj11FMxTZPs7GwmTJjAnXfeCYDb7SYjI4NHHnmEa6655rDHLCkpISkpieLiYhITE0P9K4iIiEgEUP+hbdD/JxEREWmqpvQfoloppkYrLi4GICUlBYCNGzdSUFDA6NGjg21cLhcjRozg22+/rTdx5na7cbvdwe2SkpIQRy0i0nw+v8nSLUV8u74Qx7pPGbHzP8T6SokxKwDwGE6qDSdlUR2YlXw5O9NPoVNyDN0TPHS17SD9qO6kZHTCsNkt/k1EREREwsOKrcVc+9pibj3zGH49uJPV4YhIGxRWiTPTNJk4cSInn3wy/fr1A6CgoACAjIyMOm0zMjLYvHlzvceZMmUK9913X2iDFRFpIQVb1rDh06k8umMIyyrSABhj2811zp8DDYz9GptAdR7PbR3FJ1vyADjLtpB/Op8CwGPaKbSlUuzMoDImE19CJ4q6nkdc7kCyO8SQGhdFvMuBYbN8pL6IiIhIyP1r3ga27a3ktrd/UOJMRI5IWCXObrzxRpYvX868efMOeswwjDrbpmketK/WpEmTmDhxYnC7pKSEnJyclg1WRKSZyooLWfnG3QzK/y+Zho9zvbvZED2eU4/pyEnp5/OjvzuxyZlExyViGgZmdRWVFWW49+5gTOyx9KruQN6eCrK3/UjB3jQ6moU4DR9Z5k6y3DvBvQL2wnXrE/nUH6jCPcu2kKccz1BuxFJpi8Vti8Ntj8Njj8Vvc/JN2sUUJPbHabeRVbmGgYWfYhoGhunHwAzcau4vSTmHvNi+mJhklq9m2O63wfRjmH4wTcDEwI9hmnzT4Tx+jj0ev2lyVNVazt/9IpiBx8HEMGvb+vki4QK+ix2BaZoc5dnI74r+HkgYEvwR9HnM2XwVcyYAmd7t3FjyRIPX++voUcyIPQ+AFN9uJhZPabDtfNfJfBz3SwAS/CXcuff+Btsudp3A+3GXAOAyq/hL0V8abLvCOZD/xl8JgM30cV/RnQ22Xe3sw38Sfh/c/uueSUSZ3nrbbnR0Z3ritcHtSUX3EO2vrLfttqhcXki6Obg9segBEv3F9bbdEZXJs0l/Cm7fuPdR0ny7DmpnAkX2VP7R4a7ADgOu2fs0mb5t9R63zEjgiZR7gtu/K36GXO/Getu6jWgeTvlbcPs3Jf/i6Op9iwi9H38ZJ5xxMWf2yajv6SIi0s4t3bLX6hBEpI0Lm8TZTTfdxIcffsjcuXPp1GnfNwGZmZlAoPIsKysruH/nzp0HVaHVcrlcuFyu0AYsItIM6xbNIv7j6zjR3AUGrHQey5BTLmTxyDNx2GurwY5v8Pn96mwNAO7E4/Gwfdsm9mzfQMXuzXiL8rCVbCPeeSzdyuPI31tFtn83sYabWNzgLwI/sF8u5oU9A5jh7wDABbbFXOv8b4Mx/Gd7Fu/54wE4w7aKG52fNdj2v3u686HvKACG2TYxyfldg23fLuvPXF8fAAYZBfR0rWqw7ccVfVjsKwKgl7GL3odoO7uyO4t3BdrmGrsP2XZBZQ6LdwfadmQvvaMbXsl5eWVHFtW0jafikG3XVSWwqDDQ1ob/kG23VrlYWNMWoKdrJS6jut62RVUmC/fsa9vNtYoORnm9bd3uKr4v2hPcznWtItvYU29bw723TtujnD/R3ZZfb9vN7nS+37uv7T3On+lr21Rv211mEt9v3Nf2Nudq+tpW19u21Iyp0/YGxxr62lcEt/9VcDI7Sqrqfa6IiEisU1NYiEjzWL44gGma3HTTTbz33nvMnj2bHj16HPR4dnY2t956K3fccQcAHo+H9PR0LQ4gIm3S0vee4thl92E3TLYamew+9QEGjPx1g1W0LamqoozSXVspKy2ionQvVaVF+KtKMNyl+LweNiQPZ7fjKNxeP2nlq+lV+DlAba0ZGDZMbPgx2JA6gsK4HhiGQYeqPHrsmR143LBjGGBiD/xOho2C5MEUJxyNAcR5dtFpz3zABjWPGzYbGIFbcWJPyhO6YjPAVV1Mx8JFYARGrBoY2AJ3AIPyhG6UJ3YHIKq6lJSdCxr83SviO1OWdAwAdm85qTu+bbBtZVwOpR16AWDzuelYMKeeVoG3z8rYbEqSA6lMw19Nev5XDV//6AyKUwfUPN0kY9vMBtu6ozuyN+244Hb6tlmBar46Zw/wOFMo6rgv0Zq+/Qts/vqTbB5nB/aknxTc7pg/G7uv/sRTtSOBwozhwe20gq+J8pYfdH4Anz2GXVkj9rXd8Q1R1fWvfu23OdmZfVpwO2XnApyevfW2NQ07O446M7idvGshLnchtb2X4pT+dO/Rm86pcfU+vznUf2gb9P9JRA7lF//3DT/k7QVg45RzWqW/JSLhryn9B8sTZ9dffz2vv/46H3zwAT179gzuT0pKIiYmBoBHHnmEKVOm8NJLL9GjRw8eeughZs+ezerVq0lISDjsOdShEpFwMe+dqZy8IjCU77v40+l11b9ISk6xOCoRqY/6D22D/j+JyKH86plvWFIzXPPnv51NtEMVaCLSxlbVfPbZZwEYOXJknf0vvfQS48ePB+COO+6gsrKS66+/nqKiIk488URmzpzZqKSZiEi4eHfJViYvzOAtZw47s0/nlKufwmbXJP0iIiIioWLbr8Ks3O1V4kxEmszyxFljCt4Mw2Dy5MlMnjw59AGJiITAt+t3c8f/W46XON4f/Ap3XTBIQwVEREREQszj2zfNQYXHR6qFsYhI26RSBxGRECvM+5kP/vMMXr/J+QOyufN8Jc1EREREWkNVtS94v8xd/+rUIiKHYnnFmYhIJPN7qih+5Uoe8a+la4c/MP7Xj2KzKWkmIiIi0hq8vn0jnCo8SpyJSNOp4kxEJIRWvHUv3arXsteM5+yL/6h5NURERERakW+/qYHK3L5DtBQRqZ8SZyIiIVK4eSW91/0LgOUD/kqX7j0P8wwRERERaUk+/34VZxqqKSJHQIkzEZFQME12v3UjTsPLEsdxDP/FH62OSERERKTd8fv3rzhT4kxEmk6JMxGREFg//z16ViyhynQQ/6unsdv151ZERESkte0/VLOyWkM1RaTp9ElORKSl+f3Yv3oAgAVpv+aY3gMsDkhERESkfaozVNOjxJmINJ0SZyIiLezb9YVMKT+fpf4eHHPRX6wOR0RERKTd0hxnItJcSpyJiLSwv3+5jhn+E/hgyMtkZ3eyOhwRkZCYO3cu559/PtnZ2RiGwfvvv1/n8fHjx2MYRp3bSSedVKeN2+3mpptuIi0tjbi4OC644AK2bt3air+FiEQ6VZyJSHMpcSYi0oJWbC3mu417iLIZXDuiu9XhiIiETHl5OQMGDGDatGkNtjn77LPJz88P3j755JM6j0+YMIH33nuPN998k3nz5lFWVsZ5552Hz6cPtyLSMvbLm1GuxJmIHIEoqwMQEYkkZW9fy9X2DpT2vZLMpGirwxERCZkxY8YwZsyYQ7ZxuVxkZmbW+1hxcTEvvvgir776KmeccQYAr732Gjk5OXz++eecddZZLR6ziLQ/+1ecVXo0VFNEmk4VZyIiLWTX+qUMLf6Eu6Le4HeDEqwOR0TEcrNnzyY9PZ1jjjmGq6++mp07dwYfW7x4MdXV1YwePTq4Lzs7m379+vHtt982eEy3201JSUmdm4hIQ/ZfVVMVZyJyJJQ4ExFpIVs/fxaARTHD6Nn7WIujERGx1pgxY/jPf/7Dl19+yRNPPMHChQs57bTTcLvdABQUFOB0OklOTq7zvIyMDAoKCho87pQpU0hKSgrecnJyQvp7iEjb5q9TcabEmYg0nYZqioi0AJ+nku75HwfuDxpncTQiIta79NJLg/f79evHkCFD6Ny5Mx9//DG/+tWvGnyeaZoYhtHg45MmTWLixInB7ZKSEiXPRKRBXv/+FWcaqikiTaeKMxGRFrBmzlskUkYBqRw38pdWhyMiEnaysrLo3Lkza9euBSAzMxOPx0NRUVGddjt37iQjI6PB47hcLhITE+vcRETqs3+1GajiTESOjBJnIiItYelrAPyccR7RLqfFwYiIhJ/CwkLy8vLIysoCYPDgwTgcDmbNmhVsk5+fz48//siwYcOsClNEIsj+85sBVChxJiJHQEM1RUSaqXxPPseULwIDMkdcZXU4IiKtoqysjHXr1gW3N27cyLJly0hJSSElJYXJkydz0UUXkZWVxaZNm/jzn/9MWloav/xloCo3KSmJq666ij/96U+kpqaSkpLCbbfdRv/+/YOrbIqINIfPf2DiTEM1RaTplDgTEWmm+T9vocJ/EjnOUgZqUQARaScWLVrEqFGjgtu1846NGzeOZ599lhUrVvDKK6+wd+9esrKyGDVqFG+99RYJCftWHX7qqaeIiorikksuobKyktNPP53p06djt9tb/fcRkcjjV8WZiLQAJc5ERJrpnQ1RfFp9E9cP68agQ0xoLSISSUaOHIl5wIfS/c2YMeOwx4iOjmbq1KlMnTq1JUMTEQHqqzjz4feb2Gzqr4lI42mOMxGRZqjwePlq9U4Azjk22+JoRERERKSW33/wviqvqs5EpGlUcdaC/H4/27dvJyEh4ZDLqIvI4ZmmSWlpKdnZ2dhs4ZvjX/LdHHK9m6lM7kHfbK3sJiISqdTPE2k5rdXP89aTOSt3+4h16mOwiDSe/mK0oO3bt5OTk2N1GCIRJS8vj06dOlkdRoMSv3+ama65zEibgGGcbnU4IiISIurnibS8UPfz9l9VM8Zhp7LaR6XmORORJlLirAXVTnabl5dHYqIqT0Sao6SkhJycnDqTSIcb0+uhW+kiADL7jrA4GhERCSX180RaTmv182oLzuw2gzhXIHFWUa2VNUWkaZQ4a0G1ZfuJiYnqUIm0kHAeDrP5hzl0oYI9ZgK9Bp9idTgiIhJC6ueJtLxQ9/NqK87shkGMM7Bab7lbFWci0jThO3GQiEiYK/zhEwDWxB+Py+GwOBoRERER2Z+/ZlVNmw3iauY101BNEWkqJc5ERI5Qcv5cAKq7aW4zERERkXDj89dTcebRUE0RaRolzkREjkBlcSFdPOsB6DLkXIujEREREZED1S4NYDMMYmsSZ6o4E5Gm0hxnzeB2u3G73cHtkpISC6MRkda0aekX9DZMNhvZ5OZ2sTocERERETmAv3ZVTQNia4ZqquJMRJpKFWfNMGXKFJKSkoI3LVEu0n58WdWD8Z47mJV1bVgvYCAiIm2DaZp8tHw77yzeGpyXSUSaZ7+8mSrOROSIKXHWDJMmTaK4uDh4y8vLszokEWkl3251M9s/ENexF1odioiIRID/fLeFG19fyp/e/oGpX66zOhyRCBHInBmGEaw4q1DiTESaSImzZnC5XMElybU0uUj7Ue3zs2TzXgBO6JJibTAiIhIRXluwOXj/2TnrKK6otjAakchQW3FmM/ZVnGmopog0lRJnIiJNtGH5N9xsvsYZ0avpkR5vdTgiItLGFZa5+bmgFID0BBdV1X4+XL7d4qhE2r7aQc+GYRCnoZoicoSUOBMRaaLiH2dwXdT/+GPsbGw2zW8mIiLNs3xbMQBHp8fzx1O7AfC/ZUqciTRX7eIABhBTuziAW4kzEWkaJc5ERJrIufMHAKozBlobiIiIRITNu8sBOLpjPGf1zQRg8ZYiDdcUaabg4gD7DdWsrNZQTRFpGiXORESaKLPsZwDiuh5vcSQiIhIJNhVWANA5LZaclFiOyYjH5zeZs3aXxZGJtG1mcIFaY98cZ6o4E5EmUuJMRKQJyvYUkGnuBCC371CLoxERkUiwuTBQcdY5JQ6AUb3SAZj9807LYhKJBGbNLGeBxQECQzU1x5mINJUSZyIiTZC3cj4AW4xsUlLTLI5GREQiwfa9VQB0So4BYESPjgB8s3435r6SGRFpojpDNV1aVVNEjowSZyIiTVC+cSEA+XG9LY5EREQiRWG5G4C0eBcAx3VOxmm3saPEzcaa+c9EpOmCiTMMYh1aVVNEjowSZyIiTWDbtQqA6vT+FkciIiKRwO832VPuASAt3glAtMPOoNwOAMzfUGhVaCJtXu1QTcOAOFdgqGaFEmci0kRKnImINMFd3Myp7qdgwGVWhyIiIhFgb2U1/pqqmOQ4Z3D/0O6pACzYsMeKsEQiQm3Fmc0wiHFqqKaIHJk2kzh75pln6Nq1K9HR0QwePJivv/7a6pBEpJ3xeP1sKKxii5lBt85drA5HREQiQGFZYJhmUowDh31f1/ykboHE2fz1hZrnTOQI+fd77dSuqlnp8ek1JSJN0iYSZ2+99RYTJkzg7rvvZunSpZxyyimMGTOGLVu2WB2aiLQjmwrL8fpNElxRZCVFWx2OiIiEkNvtpqSkpM4tFHaXBYZppsY76+wflNsBV5SN3WVu1u8qC8m5RSJdbXrM2G9VTa/fxOPzWxeUiLQ5bSJx9uSTT3LVVVfxhz/8gd69e/P000+Tk5PDs88+a3VoItKO7F32P6Y6/sFVid9hGIbV4YiISAhNmTKFpKSk4C0nJyck56md3ywltm7izBVlZ3DnZCBQdSYiTVdnVc2aijPQAgEi0jRhnzjzeDwsXryY0aNH19k/evRovv3223qf01rfEIpIO7NlPufbF3CiY73VkYiISIhNmjSJ4uLi4C0vLy8k5ylzVwOQGOM46LGhtcM1tUCAyBEKZM5shoHDbsNZMxy6XIkzEWmCsE+c7d69G5/PR0ZGRp39GRkZFBQU1Puc1vqGUETal+iiNQD4O/a2OBIREQk1l8tFYmJinVsolFYFJiqPr1nxb3/Djt43z5nfrzmZRJoqWHFWsx0TnOdMCwSISOOFfeKs1oHDokzTbHCoVGt9Qygi7UvHyg0AxOf0tzgSERGJFGXumsRZ9MGJs2M7dSDWaaeooprVO0pbOzSRNs8fHKoZ+NwYV5M4q1DFmYg0QdgnztLS0rDb7QdVl+3cufOgKrRarfUNoYi0H+7KUrLMnQBkHj3Q2mBERCRilNVUnCXUU3HmsNs4vksKAN9qnjORJqtdPfPAirNytxJnItJ4YZ84czqdDB48mFmzZtXZP2vWLIYNG2ZRVCLS3hRs/BmAYjOO9Ixsi6MREZFIEaw4qydxBjC0e+1wzd2tFpNIpNh/VU3Yt7JmZbWGaopI49X/Dh1mJk6cyNixYxkyZAhDhw7l+eefZ8uWLVx77bVWhyYi7cTebavpDOyIyiZJK2qKiEgLKT3EUE2AYTWJs+827MHr8xNlD/vvvUXChnnAUM1YVZyJyBFoE4mzSy+9lMLCQu6//37y8/Pp168fn3zyCZ07d7Y6NBFpJ0oK8/GaNkpitNiIiIi0nPLDVJz1zU4iITqK0iovK7eXMCCnQytGJ9K2HThUMza4OIASZyLSeG3mK6vrr7+eTZs24Xa7Wbx4MaeeeqrVIYlIOzIzegy93NP5ptefrQ5FREQiSHCOswYqzuw2gxO71gzX3KB5zkSa4qChmjUJ6nKtqikiTdBmEmciIlbavKcCL1FkZWRaHYqIiESQfXOcORpsUztcUwsEiDRN7VBNW+1QTYdW1RSRplPiTESkETYXlgOQmxprcSQiIhJJSqsOPccZ7FsgYNGmPXi8/laJSyQSmMGas4C4moozDdUUkaZQ4kxE5DCq3ZU8VXo7TzqeoUuS/myKiEjL2VdxZm+wTc+MBFLinFR4fCzfureVIhNp+/wHLA4QU7s4gIZqikgT6BOgiMhh7NyymuNsaxltW0x6hySrwxERkQhSWR2ofIl2NJw4s9kMhnbTcE2RpjpwcYC44KqaSpyJSOMpcSYichh7tq4BYIc9E5tdfzZFRKRl+P1mcOjloRJnACfVDNf8Zt3ukMclEilqB2raarpviTGBuQRrh0iLiDSGPgGKiBxGxa7NAJREa2EAEZFac+fO5fzzzyc7OxvDMHj//ffrPG6aJpMnTyY7O5uYmBhGjhzJypUr67Rxu93cdNNNpKWlERcXxwUXXMDWrVtb8bewlse3b76ywyXOTu2RBsDizUWUVlWHNC6RiFE7VLOm5iwxOpA4K9FrSESaQIkzEZHDMIvzAHDHZlsciYhI+CgvL2fAgAFMmzat3scfffRRnnzySaZNm8bChQvJzMzkzDPPpLS0NNhmwoQJvPfee7z55pvMmzePsrIyzjvvPHy+9jFxd1X1vt8zOurQ3fLOqXF0S4vD6zdVdSbSSLWLA9RMcUZiTGBxgJJKVZyJSOM1vHyPiIgA4CjdDoCZ2MniSEREwseYMWMYM2ZMvY+ZpsnTTz/N3Xffza9+9SsAXn75ZTIyMnj99de55pprKC4u5sUXX+TVV1/ljDPOAOC1114jJyeHzz//nLPOOqvVfherVFUHKs6ibAZRjZgKYETPjmzYXc5XP+/i7H5ZoQ5PpM3z1xR11s5xVltxpqpNEWkKVZyJiBxGTFUBAFEpuRZHIiLSNmzcuJGCggJGjx4d3OdyuRgxYgTffvstAIsXL6a6urpOm+zsbPr16xdsUx+3201JSUmdW1tV1YiFAfY3qmc6ALPX7AxOei4iDat9ldSuqlk7x1mJ5jgTkSZQ4kxE5DC8Xi9e00Zcx85WhyIi0iYUFAS+cMjIyKizPyMjI/hYQUEBTqeT5OTkBtvUZ8qUKSQlJQVvOTk5LRx966ny1ibOGtclP6FrCjEOOztK3PyUX3r4J4i0c8FVNWtKzhKia4dqViv5LCKNpsRZM0TSN54iUj+/3+TX7nvp6X6ZhO4nWR2OiEibUlvlUcs0zYP2HehwbSZNmkRxcXHwlpeX1yKxWqF2qKYrqnEVZ9EOO8NqVtf8avXOkMUlEimCFWc1P2uHanr9JpXV7WMuRRFpPiXOmiHcv/E0TROfX9+kiDRHYbkHj8+P37CTmRxndTgiIm1CZmZgFeIDK8d27twZrELLzMzE4/FQVFTUYJv6uFwuEhMT69zaqn1DNRvfJR/Zq2a4phJnIoe1r+IskDqLddqx2wL3tUCAiDSWEmfNEK7feBYUlfPmv59ixv3n8d29w/ho8rm8PO1+5q3coJJkkSbKL64EID3BhaMREzeLiAh07dqVzMxMZs2aFdzn8XiYM2cOw4YNA2Dw4ME4HI46bfLz8/nxxx+DbSJdU+c4AxjVsyMAizcXUVjmDklcIpGi9qNPTa4MwzBIrB2uqQUCRKSRtKpmM7hcLlwul9Vh1LH8p5+xvXUFl7E+sKO2H7Z7Hm+8sYQrcu/ibxf25ej0BMtiFGlLqn/6lLedT7I26gTgDKvDEREJG2VlZaxbty64vXHjRpYtW0ZKSgq5ublMmDCBhx56iB49etCjRw8eeughYmNjueKKKwBISkriqquu4k9/+hOpqamkpKRw22230b9//+Aqm5GudqhmUxJnnZJj6XdUIj9uK2HWqh1cdoIWrhFpyL6hmvuGfyfGOCiqqKakUokzEWkcJc4iyIYtW0l+6wJy2EGZEceePuNI7tyX8oJ1GKs+4MWyX7BuQyHn/mMe95zfhytOyD3sPCMi7Z1v5xpOsK0BWyerQxERCSuLFi1i1KhRwe2JEycCMG7cOKZPn84dd9xBZWUl119/PUVFRZx44onMnDmThIR9X9499dRTREVFcckll1BZWcnpp5/O9OnTsdsbn0hqy9xNXByg1tl9M/lxWwmfrSxQ4kzkEMwDJzlj3zxnpVpZU0QaSYmzCOH1+bnl/fWM9g7lYucCOlz7Kbnp3QBIADj/Xl4qquTu939k7ppdlP7vbv6zpBcX/v4u4l36ZyDSEF9pYH4eb1zD8+2IiLRHI0eOPOQUEIZhMHnyZCZPntxgm+joaKZOncrUqVNDEGH4Cw7VbOTiALXO7pfF4zPX8M263RRXVpMU4whFeCJtnr92jrP99iXGaKimiDSNJuyJEK/M38yK7WW8EHUF9hu+IbomaRZkGOSkxDJ9/PFMO6mEa6P+x5U7HmP245ezbtsua4IWaQOiygOTLxsJmRZHIiIikeZIhmoCHJ0ez9Hp8VT7TL76WYsEiDSkNrVv22+UTYIrkGjWUE0RaSwlziJAlcfLM7MDc4zcOaYXHVPTGmxrsxmcd8GlbDvuNvwYnFc9k8rnRzPrm+9aK1yRNiXavRsAR5IqzkREpGXVVpy5mjhUE2BMv8AXOp/+mN+iMYlEkn2rau7bt6/iTEM1RaRxlDiLAAs/+D/+z/MXLkhYyyVDcg7/BJuNoy74K6W/fpNSI4H+xgZOmHkhr7/yLB6vP/QBi7QhCd5CAFwdsi2OREREIs2RVpwBnF2TOJu9epeGnIkchlHPHGeqOBORxlLirI0z/X46/fQiJ9p+ZlzXIhz2xv8vTep3NrE3fcP2+L4kGRVcseEu3nnqJrbvrQxhxCJtSwd/EQDxaUdZHImIiESaqprFAVxRTe+S98lK5Oj0eNxeP5/9WNDSoYlEhNppGPdfVbN2TsC9FUqciUjjKHHWxq1e8hVd/ZspN10cc85NTX6+PaUz2RNms6XHeAC+LErnvKnzmL1a82WIuD1uyk0X1aadDh21qqaIiLSs6ppKf1cTFweAwOILvxwU+FLn/aXbWjQukUjhr2eoZnKcE4CiCo8VIYlIG6TEWRu3d/6rAKzqMIKEDg3PbXZIUU5yf/N38q/4iu2Zp7Gn3MP4lxby+IuvUrBjewtGK9K27K7wM9w9lb7eV0hMTrc6HBERaUVut5uSkpI6t5ZW7Qskzpx24zAt63fBgMA0AvM3FJJfrBEDIgcKVpztlzlLUeJMRJpIibM2zO+tplfh5wBEH3d5s4+XdcxxvHPdMH43vAsZRhFXb7mDuGeOY/Y/J7Jj+5ZmH1+krdlV6gYgNT4GWxOGQYuISNs3ZcoUkpKSgrecnEbMI9tEHl/gU31TptrYX05KLCd0TcE04YNl+rJT5EC1q2run5pOjg0kzvaUK3EmIo0TZXUAcuTWL/mSHpSy14yn59DzWuSY0Q47957flytzCyn6XwZdvBsZmf8i1f+czqK4odD7ArqdeB4p6S0335NpmlRUlFO6t5AiM44Sj0GV10/UnrXE7PkJv9eD3+vB5/Vi+qqxmV4M08/GjDMocwUmxk0pXUNW0SIwwMQAjJqfgW+YtqadTHlsIOak8s1k7l0UeKz2bdTY93Nn6gmUxXbCwCC+chsZexbu16a2XeA/e1IGUh7XGQOIce8kbff3GEbdeRRqFXXoR3l8FwBcVbvpuHtBg9ekOLEXpYlHA+Dw7CVj57wG25Yk9KAkqScAUdWlZO6Y02Db0vhuFHfoA4DdW05W/pcNti2L78ze5GMBsPncZG+f2WDbirhO7EkZBIDh93LUtk8bbFsZk0Vh2pDgdqe8/9Xbbo83psFjtJbaxFnHBJfFkYiISGubNGkSEydODG6XlJS0ePKstuLMcQRznNX65aCj+H7jHt5dspVrTu1Wp7JGpL2rb1XNfRVnmuNMRBpHibM2rGhZIOGwJvEkTnA6W/TY3Qecitl/MT998SpR3z9Lj+qfGVIxDxbPw7/oTibF3UtZzki6d4yjF5vJdG/A6YoFw8CPgeGtwltZireqhB9Sz2WXL46SymqO2fkpg4s+xektI8ZXRqxZToJZTpzhJQ64yv0QK80uAFxj/x+THG80GOPTK6OZ7+8LwJX2WTzgeKnBtr9fcRtf+o8D4CLbXJ5wPtdg2xs8N/Ox/yQAzrEt4BnnPxpse3v1H3nbNxKAkbalTHc+1mDbe6rH8YrvLABONH7iLdffGmw7pfpy/uk7H4D+xgb+5/pLg23/7v0VT3l/DcDRxlY+d93RYNvnvefykPc3ABzFLr6JvrPBtq96z+Cv3t8DkEwJSw/R9h3fKfyp+joAonHz8yHafuI7gQnVE4Lbmxpo+2VljwaP0Vpi1nzA284X2Ow7FTjZ6nBERKQVuVwuXK7QfnESTJw1o6r5nP5Z3Pe/lazZUcaSLUUM7pzSUuGJtHm1QzVt+2XOkuNqFwfw4POb2G1KNovIoSlx1oZ9sTeTKl9/onudG5LjGzY7vc8cD2eOJ+/nhWyf+wppBV/T3b+Rb4sS2bwnMCTgFvs7nO14p8Hj3ONOYaXZFYDr7Ovp51hywIkCP/ymQXasl8qYOFwOO1HezvzoHoBp2DFtUZg2B37Djt+Iwo+N/mnHkO7KxgCOKu/DkuLTAoczTQJ1ZyZmzXav1B7ERWdjmia5FT34oWh4nccDAj+7ZHdldHQGJtC5sisr9p4QfKz23bf2uRnpXRgR3RET6OLO4ce9g4K/lskBZeFpXTklJjAPXa4nu07bAyWkduWU2EDbzOoqfixquG10SjdOiQu0TfX6+HFPw22jkrtzSnygbaLPzo+FDbelQw9OSQi0jfHHsGL3cQ029UT35JTEQFuH6WHFrobbVrh6cUrSvvn4Vuysv+2eqKOAxQ3H1wqiCtdwvG0NfqOXpXGIiEhkau4cZxBYIfCCAdn8d9FW/rNgixJnIvsxqe2771M7VNNvQklldXCxABGRhihx1kbtKffwzz2D+CeDWDzijJCfL6fX8eT0Oh6Awh1b+etuO+t3V7B+VxlJ+V1ZXjoQw1eNDROb4ceDk+qoWHxRcZzcvQsndOhCQrSDLr5f8717II64ZFzxHYhJSCE2MZmEDqnExCXxgm3/VaVOASY1GNPxdbYGAX9ssO3AOlvHAX9osO2xdbaGAL9vsG3/OlsnAOMabNuvztaJwBWHbHtjnbYXHbLtNXX2XHDItnV/m7MP2XZsnT2nNdi2P1B3lr2vDtn2141o27mkBP76nwaP0xpsFYHVZf2xWhhARERansfbvDnOal1xYmf+u2grH63I56/n9VEiQKTGvsUB9u1z2G0kREdRWuVlT4VHrxcROSwlztqo7zfuAeCYjHhS41t3/qXUjE6ckQH70nUDgL822P7EOlvHAGeGKDKRlhVVFXid2RKUOBMRkZbXEkM1AQZ0SqJvdiIrt5fwzpKt/OGUbi0RnkibZwbv1a3qTI1zUlrlpajcAx1bOyoRaWu0TFwbtfXHeWRRyAldVY4vEiouTxEAjgT1qEREpOW1xOIAEFgI6TcndgbgtQWb8fnNwzxDpH3w15ScHTiNWW2VmVbWFJHGUOKsjRq9ZjLzo2/ivJiVVociErHifMUAOBPTDtNSRESk6VpijrNavxiYTVKMg02FFcxataPZxxOJBPUN1QRIiVXiTEQaT4mzNqh0TwG5/jwAug08xeJoRCJXgr8EgLjkDIsjERGRSOTxtcwcZwBxriiuPCkXgOfnrm/28UQiQW3tpXHAUM1gxVmFEmcicnhKnLVBW3+YDcBGoxPpGUdZG4xIhPL7/FSaDtxmFAlKnImISAhUewMVZ1EtkDgDGDesC067jSVb9rJo054WOaZIm1ZTcnZQxVlt4qxMiTMROTwlztqgik2LAMiP73eYliJypErcXk52/4Oe7pdJ7JhjdTgiIhKB9i0O0PyhmgDpCdH86rjAl6rPzdnQIscUactqK85sB2TOOtYsrrarzN3KEYlIW6TEWRvk2r0CgOqMARZHIhK5aue8iHc5cDrsFkcjIiKRaN8cZy3XJb/61G7YDPj8px2s2FrcYscVaYv8tQtlHJCbTk8MJM52lihxJiKHp8RZG5RZsRqApK6DLY5EJHIVVVQDkBznsDgSERGJVNUtOMdZre4d47lwYKDq7IlZq1vsuCJt0b45zurqmBBInO0orWrVeESkbVLirI0p3b2VNLMIv2nQuc8JVocjErk2zuVt52RuNV+1OhIREYlQnuBQzZbtkt9yRg+ibAazV+/SXGfSru1bVbNu6iwjMRqAXao4E5FGUOKsjVm528vNnhv5p+M3JCcnWx2OSMTyF23meNsajjbzrA5FREQiVHCoZlTLzHFWq3NqHBcPCczP+diM1Zi12QORdmbfHGd196fXVJyVur1UeLytG5SItDlKnLUxq3abfOgfxtLc31kdikhE85XtBsDj7GBtICIiErFqV9Vs6YozgJtOOxpnlI3vNu5hxsodLX58kbagNml8YGo63hVFrDMwh63mORORw1HirI1Zu7MMgGMyEiyORCSymRVFAHijUyyOREREIlUo5jirld0hhj+e0g2ABz5eRVW1r8XPIRLuGhqqaRhGsOpsR4nmORORQ1PirI3J3vweJ9tW0CtVq/yJhFJUVSEAZowSZyIi0vJM0wzZHGe1rh/VnaykaLYWVfLPORtCcg6RcGZSf8UZQHrNPGc7S1VxJiKHpsRZG2J6PVxb/DSvOadwTKLH6nBEIprDHag4M+LSLI5EREQikde/b94xZ4gSZ7HOKP58Tm8Anpm9jo27y0NyHpFw1VDFGaCKMxFpNMsSZ5s2beKqq66ia9euxMTE0L17d+699148nroJoS1btnD++ecTFxdHWloaN99880Ft2os9W1fjwEe56SK3yzFWhyMS0ZzVJQA44lMtjkRERCJR7cIAAI4WXhxgf+cdm8XJR6fh9vq5/e0f8Pm1UIC0H/5g4uzgxzJUcSYijWRZ4uznn3/G7/fzz3/+k5UrV/LUU0/x3HPP8ec//znYxufzce6551JeXs68efN48803eeedd/jTn/5kVdiW2rVhOQBb7Z2IdkZZHI1IZHP7DapMB9EJGqopIiItr3Z+MwjdUE0IVNo8fFF/4px2Fm0u4qVvNobsXCLhqr7UdHaHGAC2FlW0bjAi0uZYln05++yzOfvss4Pb3bp1Y/Xq1Tz77LM8/vjjAMycOZNVq1aRl5dHdnY2AE888QTjx4/nwQcfJDEx0ZLYrVK+fRUAe2K6WhyJSOS71j6ZHeVuPuo63OpQREQkAu1fcRZlC13FGUCn5Fj+fG5v7n7vRx6bsZpTenSkZ6YWmpLIVzvHWX1ykmsTZ5WtFY6ItFFhNcdZcXExKSn7qjvmz59Pv379gkkzgLPOOgu3283ixYsbPI7b7aakpKTOLRRa6zy1bIVrAahO6RHS84gIlFR6AUiKdVociYiIRKLaxJnTbqt3/qWWdsUJuZx6TEfcXj/X/Wcx5W5vyM8pEi7qe4nlpMQCkLdHFWcicmhhkzhbv349U6dO5dprrw3uKygoICMjo0675ORknE4nBQUFDR5rypQpJCUlBW85OTkhibm1zlMrsSywGpIzs1dIzyPS3nm8fiqrfQAkRjssjkZERCJRtTdQCeOwhz5pBoEhm09dMoDMxGg27Cpn0rsrME3NdyaR7VD/xDvVVJwVVVRTpkSyiBxCiyfOJk+ejGEYh7wtWrSoznO2b9/O2WefzcUXX8wf/vCHOo/V9w2caZqH/GZu0qRJFBcXB295eXkt88tZdB4ATJOs6sDxEzr1Dd15RISyvbv4r/M+/uV4jHhX2Hy/ICIiEcRTU3EWFcL5zQ6UGu9i2hWDsNsMPvxhO8/N2dBq5xaxklHPLGcJ0Q46xAa+INU8ZyJyKC0+x9mNN97IZZdddsg2Xbp0Cd7fvn07o0aNYujQoTz//PN12mVmZvLdd9/V2VdUVER1dfVBlWj7c7lcuFyupgffRK11HoCqai+/9dxJDju5u0vvVjmnSHtVvncXJ9hWU25GY7fbrQ5HREQikNcfSJyFcmGA+gzpksI95/Xh3g9X8shnP5OTEsN5x2Yf/okiESgnOZa9FcXk7amkV2b7mj9bRBqvxRNnaWlppKWlNarttm3bGDVqFIMHD+all17CZqvbcRg6dCgPPvgg+fn5ZGVlAYEFA1wuF4MHD27p0MPa1r1VLPL35CdnH55MjLM6HJGIVlmyB4AyIw692kREJBS8NatqhnphgPqMG9aFTYXlvPTNJib+9weSY50MP7px/XeRtqihwUo5KTGs2FasijMROSTLxiBt376dkSNHkpOTw+OPP86uXbsoKCioM3fZ6NGj6dOnD2PHjmXp0qV88cUX3HbbbVx99dXtbkXNzYWBP+adU+NaZQJZkfbMXRZInFXY4i2OREREIpXPH0ic2S1InAH85dw+jO6Tgcfr56qXF7JgQ6ElcYiE0uHm8euUHFggYIsWCBCRQ7AscTZz5kzWrVvHl19+SadOncjKygreatntdj7++GOio6MZPnw4l1xyCRdeeCGPP/64VWFbpnrN51xpn8VJ8TusDkUk4nnKiwCojEqwOBIREYlU3prEWVQrLQ5wILvNYOoVgxjZsyNV1X5+P30hc9fssiQWkVCpzZs1VHfQLS0wtmD9rvJWikhE2iLLEmfjx4/HNM16b/vLzc3lo48+oqKigsLCQqZOndpq84qFk6zNH/KA4yVOYbHVoYhEPF9N4syjxJmIiISI1RVnAK4oO89dOZhTeqRR4fHx++kL+X+Lt1oWj0jo1P8665ERGF2wdkdpawYjIm2MlotrI2LLtwDgSOtmcSQikc9fuReAaocSZyIi7ZXb7aakpKTOrSXVLg5gxRxn+4t22PnXuCH8YmA2Xr/JbW//wBMzVwcTeyJt2eH+FR+dHujr5RdXUVpVHfqARKRNUuKsjUjx5AOQkHm0xZGIRD5PtYcq04Hf2b7mUhQRaWmTJ0/GMIw6t8zMzODjpmkyefJksrOziYmJYeTIkaxcudLCiPeZMmUKSUlJwVtOTk6LHn9fxZn13XFXlJ2nLhnIdSO7AzD1y3WMffE7dpZWWRyZSMtoaKhmUoyDjMTAaKa1O8taMSIRaUusf6eWw/K5y0k1A0PH0nJ7WhyNSOSblXIlvdwv802P260ORUSkzevbty/5+fnB24oVK4KPPfroozz55JNMmzaNhQsXkpmZyZlnnklpqfXDpiZNmkRxcXHwlpeX16LHD85xZnHFWS2bzeDOs3vx1KUDiHXa+XZ9Ief8fR6f/ZhvdWgiR+wwawMA0KOm6mzdDiXORKR+Spy1AYVb1wCw14wjMyPrMK1FpLlKakr1E2OcFkciItL2RUVFkZmZGbx17NgRCFSbPf3009x999386le/ol+/frz88stUVFTw+uuvWxw1uFwuEhMT69xaks9n/Rxn9fnloE58eOPJ9MxIYHeZm2tfW8IfX1nE9r2VVocmcsQO9SqrnedsjeY5E5EGKHHWBhRvCyTOCmyZYde5EolEJZW1iTOHxZGIiLR9a9euJTs7m65du3LZZZexYcMGADZu3EhBQQGjR48OtnW5XIwYMYJvv/22weOFeu6x1hJuFWf7Ozo9ng9uHM5Npx1NlM1g5qodjHp8NlM+/YniCs0DJW2HedhZzqB3ZiApvmJbcajDEZE2SomzNqBq92YAil2Zh2kpIi3h0p1P8y/HY3Sq+NnqUERE2rQTTzyRV155hRkzZvDCCy9QUFDAsGHDKCwspKCgAICMjIw6z8nIyAg+Vp9Qzz3WWsJhVc1DiXbY+dPonnx088mc0CUFt9fPP+ds4JRHv+TJWWvYVeq2OkSRRmtojjOAgbkdgEDiTItiiEh9lDhrAxYnnMbF7nuYlznO6lBE2oXe7uWcYV9Kol2TIouINMeYMWO46KKL6N+/P2eccQYff/wxAC+//HKwjXHAJ1rTNA/at79Qzz3WWoKratrDM3FWq1dmIm9dcxIvjhtCz4wESqq8/OOLtQx/5Evu+H8/sGRLEWZjJpISsUBj/ml27xhPnNNOhcfH2p0arikiB1PirA3YUBHNQrMX/qwBVoci0i7E+MsBiI7rYG0gIiIRJi4ujv79+7N27drg6poHVpft3LnzoCq0/YV67rHWEk6rah6OYRic3juDT245hWlXDGJATgc8Xj//XbSVXz3zLac9MYe/f76WdTtLlUSTsGQcYpYzu83g2E4dAFi2ZW/rBCQibUr4v1NLcDLWrKQYiyMRaR9izcBrLjouyeJIREQii9vt5qeffiIrK4uuXbuSmZnJrFmzgo97PB7mzJnDsGHDLIyydYTzHGcNsdsMzjs2m/evH8Y71w3ll4OOIsZhZ+Pucp76fA1nPDmXUx/7ins/+JEvftqh+dDEco1N49YO1/x+056QxSIibVeU1QHI4Q0reI1Mu0Hn2GOsDkUk4vl9fmIJDNGMTuhgbTAiIm3cbbfdxvnnn09ubi47d+7kgQceoKSkhHHjxmEYBhMmTOChhx6iR48e9OjRg4ceeojY2FiuuOIKq0MPuXCf4+xQDMNgcOcUBndO4YELvcxYWcAHy7Yzf30heXsqeXn+Zl6eH5ijt2dGAkO6JHNspyR6ZSZyTEYCMU67xb+BtBs1FZCHmuMMYHj3NJ6dvZ55a3cfdri4iLQ/SpyFO7+PsZWv4nD4WB97ndXRiES8iopS4o1AJysuvoO1wYiItHFbt27l8ssvZ/fu3XTs2JGTTjqJBQsW0LlzZwDuuOMOKisruf766ykqKuLEE09k5syZJCQkWBx56LXFirP6xLmi+NVxnfjVcZ0od3v5dn0hX/68k+82FLJhdzmrd5Syekcp//ku0N5mQJe0OHpmJNA5NY7clFg6p8aSmxJLdoeYNplIlLZvSJdkXFE2dpa6WbuzjGMyIv9vkIg0nhJnYa5iz3Zi8eE1bXTM7mx1OCIRr7KsmHjAZxpEx8ZbHY6ISJv25ptvHvJxwzCYPHkykydPbp2AwojPF1gcIJISRXGuKM7sk8GZfQJz1O0uc7N4cxGLNxexansJP+WXUFjuYcOucjbsKj/o+Q67QXpCNOmJLjISoslIdJGeGE16QuBnapyT5DgnybEOYhx2VQXJYdUO1Tzcv5Roh50Tuqbw9drdzF69U4kzEalDibMwV5i/gVhgF8lkxUZbHY5IxKsoL8VtOvAYUSS0gQmbRUSkbYqUirNDSYt3cVbfTM7qmxnct7O0ip/zS1m7s4wtheVs3lPBlsIK8ooqqPaZbNtbybaa+X0PxRllIznWQXKsM3CLc9Ah1hnclxjtIDHGQVLNLTEmiqQYB/GuKCXc2qHG/D8f3SeDr9fu5qPl+fzx1O6tEJWItBVKnIW5kh2B+SH2RKWTZXEsIu1BcfRRjHC/TKdEB/OsDkZERCJWW1pVsyWlJ0STnhDNqcd0rLPf5zfZUVJFQUkVO0vc7CytYkfN/R2lbnaWVLGn3MPeimo8Pj8er58dJW52lLibdH6bQd2EWnRtYm1fcm3//bWPBfZFEWVvX/+/2rqmLPJ6Tv8sJv9vFcu3FrNxdzld0+JCF5iItClKnIU5d+EWAMpd6RZHItI+lLm9AERHuyyOREREIll7qDhrCrvNILtDDNkdDr2KvGmaVHh8FFV4KCqvDvysCCTUAvs87K2spriympKan8WVXkoqAwk3vwl7K6rZe4QrfsY57fsl2g5OwCXFRB1Q6bbvvivKpmq3MJYa7+Lko9OYs2YXby/K446ze1kdkoiECSXOwl3xNgCqYlVvJtIayt0+IDBPi4iISKgEK87sSqQ0hWEYxLmiiHNF0Sm5ac+tqvYdkFCrpqSqmuKKmuRa1X77a36WVnkprqwOfrFW7vFR7vGxvbiqybE77baaRNq+5FpCtIOE6CgSXFGBn9GB4aQJ0VHER0eRWPN4YJ8DZ5Qq3prCpAklZ8DlJ+QwZ80uXluwmRtGHa3+oIgASpyFPUf5dgB8CdkWRyLSPsRs/Zp/OaZR6B4ADLc6HBERiVCqOGt90Q470Q47GYlNnzfY6/MHk2jBhFswyVZ3f8kBybeSKi8+v4nH52d3mZvdZU0bXro/Z5SNxAMTbDVJtdr7MU47sU47cc599wM/owL3HYF9sc4ooh3towqusb/imX0y6ZoWx8bd5by6YDPXjtBcZyKixFnYeznxWjbvPJUrOp9sdSgi7YJj7yZOti9lmffQQ0VERESaw+ePvFU1I1mU3RZY0TPO2eTnmqZJuSdQ7VZcUTfpVlrlpazKS2lVzX13oPKt9n5pVTVlVV7KPYGKeI/Xz+4yD7vLPC3yexkGxDrsxNQk1WKddlwOO64oW/DmjLLhirLjtNfe329fne3AT6fdht1m4LDbiLIbRNlsOOwGUXYbUTX7KyvKWiT+w2nKHGcQeD1eP7I7t/+/5Uz9Yi2/HHTUESVaRSSyKHEW5tZUJrDc7MUf07tYHYpIu+B3lwLgjdKEsCIiEjqqOGs/DMMg3hWoBjvqMHO4NcTnNwMJNncgqRZIrAXul+yXfCt3e6nw+Kio9lFRc7+y2hf46fFR4Qnsc3sDiVvT3Df8tDX53RWtej6Dxr/OLjquE//5bgvL8vZy29s/MP13JyjBLdLOKXEW5nbWrBSUnqCJykVahTvwDajPEW9xICIiEsl8vva5qqYcGbvNICnWQVKso0WO5/ObNQk1L5UeH+VuH5XVNUm1aj9urx+PL3Df4/Pv99OHu2ZVU7d3/5++4Ha1z0+1z8TnN6n2+fH6Tbw1+7x+P16fSZVx5MNVm6KJBWcA2GwGj1x0LBf+3zd8vXY39/1vJfdd0LddDGkVkfopcRbG/JUljK18hQJ7B9ITRlkdjki7YHgCFWemU4kzEREJHVWciZXstn1VcFYoKSkh6eHQn6d2qGZTc149MxN45NfHcvMbS3ll/mbK3F4evLA/MU57ywcpImFPX3GFseIdm7jB/j5/inqbtASNrRdpDbbqmjk3nBqqKSIioRNcVVOJM5GwdMGAbB779bHYDHh3yTbOnfo1X63eidnUidNEpM1T4iyMFe/KA6DQSMZh1/8qkdZgry4P3IlOtDYQERGJaKo4Ewk9s2aw5pG+yi4eksNrV51IeoKLDbvK+d1LC7n0+QXMWrUDv18JNJH2QkM1w1jFnu0AlEalWByJSPth81UFfkYnWByJiIhEsuCqmnYlzkRCrTnTkw07Oo1Zt45g2ldrefnbzXy/cQ/fb9xDl9RYrjgxl4uO60RqvOajFolkKmMKY569BQBUONMsjkSk/Xgo6V56VL1CYbdfWB2KiIhEMFWcibSCFioKS4p1cPe5fZhzx0iuHdGdxOgoNhVW8NAnP3PSlC+48fUlfLtut6rQRCKUKs7CmL90BwDVMUqcibSWMrePaqKIjYm1OhQREYlg++Y40/fYIqHWUitiZiXFcNeYXtx8+tF8uGw7b3y/hR+2FvPR8nw+Wp5Pl9RYLjshl18P7kSaqtBEIoYSZ83gdrtxu/ctpVxSUtKix7eXBxJnZnxmix5XRBpW7vYCEO/SqkkiIhI6qjgTCb1Q1X/FOqO47IRcLjshlx+3FfPmwi28v3Q7mworePjTn3li5mpG98nk8hNyGdY9FZte5yJtmhJnzTBlyhTuu+++kB3fWbUbAHtiRsjOISJ13VH5JNUOH0neHoDmFxQRkdDw+bSqpkhrCeWrrN9RSTxwVH8mjenNx8vzef37LSzL28vHK/L5eEU+uSmxXHZCDhcOPIrsDjEhjEREQkWJs2aYNGkSEydODG6XlJSQk5PTYsd/MvZm9uzdyA2dR7TYMUXk0E73LyDG7mG7zW91KCIiEsFUcSYSeqbZenOOxbmiuOT4HC45PodV20t4c+EW3luyjS17Knj0s9U8+tlqTuiSwvkDsjinf5YWFBBpQ5Q4awaXy4XLFbo/eKvKk9hm9iSpY6eQnUNE9vH5fMQYHgBi4rSqpoiIhE5wVU0lzkRCJpg3a+WXWZ/sRO7/RT/uGtOLj5fn8/birYHVODcFbvd+uJKBOR049ZiOjDimI8d26qC/BSJhTImzMGWaJrvLAvOnpSfo2wiR1lBZUUZ8zf3oWCXOREQkdIIVZ3Z9WBaJVLHOKC4eksPFQ3LIL67kox/y+fCH7azYVsySLXtZsmUvT3++llinnX7ZSRzbKYm+RyXSJTWOzqlxJMc6WmxhgyPl85tU+/y0RPFeS/wqhzuGcZgs6eGff7jz6292azPA8nkClTgLU+V7d3ITb7DdnkZq/FlWhyPSLlTVSZzFWRqLiIhYK9SLQGlVTZHQ21dwZn2yIysphqtP7cbVp3Zj295Kvl6zi7lrdzFv7W5KqrzBarT9Jbii6JjgokOsg+RYJ0kxDlwOGw77vhsEKli9fhOf38TrN/H7Tap9gYSX1+/H4zXx+v1U+/z79tf8DLQxqfb6qfbve8zj8+P1+fG33mhXkXpNOKMHE844xtIYlDgLU2Xb13Fj1AdsN1OJdT5pdTgi7YK7ogyAStNJjE2raoqItGehXgRKc5yJtF9HdYgJrsrp85ts2FXGD1uLWb51L2t2lLK5sIL84ipK3V5Ka1Z8FxHrKHEWpsqLtgFQbEsm2+JYRNoLT2UgceY2XGjNIxGR9i3Ui0DtqzhT4kwkVGqHF4bz6Dq7zaBHRgI9MhL49eB9c1tXVfvYWlRBYZmHoopqiio8FFdWByrDfH48NRVjBmC3G0TZDOw2G3bDIMpuYLcZNVVpgZ9RNgNnlI0om40ou4HTHvhZt81+94NtAvftzbyIjSlcO9xiDoc7xmGHkx7mcfMwDVpxrQnZT7TD+oIGJc7ClHvvTgDKojpYG4hIO+KuCiTOqoi2OBIREbFaqBeBUsWZSOtpi6+yaIedo9MTODrd6khERImzMOUt3QWA25lscSQi7ceexD70rJpO745O3rc6GBERiWi1q2paPeGxSCQ7XAWRiEhjaDbSMOUv3w2A15VicSQi7UdltR83TvyuJKtDERGRCFeTN2v28CcROTy9zESkOZQ4C1O2ykIA/LGpFkci0n5UVvsAiAmDcfQiIhLZ/DWT5dj0iV4kZDQnlYi0BA3VDFNRVYGliG3xaRZHItJ+xG2fz5OOf1NeNQAYanU4IiISwWoXB7Dpa2yRkDPa5CxnIhIulDgLUy8k3czmPWsZ32mk1aGItBuxxesYZZ/Hkmp9ihERkdDy1ZTCaKimiIhIeAuLT4dut5uBAwdiGAbLli2r89iWLVs4//zziYuLIy0tjZtvvhmPx2NNoK1orTuJJeYxJKQdZXUoIu2G31MOgM+uVTVFRCS0aoeQaXEAkdAxa15oyk+LSHOERcXZHXfcQXZ2Nj/88EOd/T6fj3PPPZeOHTsyb948CgsLGTduHKZpMnXqVIuibR2FZYHkYEqc0+JIRNoRTyUA/qhYiwMREZFIFxyqqU/0IiIiYc3yxNmnn37KzJkzeeedd/j000/rPDZz5kxWrVpFXl4e2dnZADzxxBOMHz+eBx98kMTERCtCDjmzuoqxla+yyx5PSswpVocj0n5UByrOzKgYiwMREZFIV5s4s6viTCRkatcG0KtMRJrD0sTZjh07uPrqq3n//feJjT24wmP+/Pn069cvmDQDOOuss3C73SxevJhRo0bVe1y3243b7Q5ul5SUtHzwIVReVMD1tvfwGHa8CU9ZHY5Iu2F4AxVnOFRxJiIioWVqjjMREZE2wbI5zkzTZPz48Vx77bUMGTKk3jYFBQVkZGTU2ZecnIzT6aSgoKDBY0+ZMoWkpKTgLScnp0VjD7WSPYHfbS+JxLocFkcj0n7YqpU4ExGR1uHT3EsiIWcGS870QhORI9fiibPJkydjGMYhb4sWLWLq1KmUlJQwadKkQx7PqOePnGma9e6vNWnSJIqLi4O3vLy8Zv9eram8aAcAxbYkiyMRaV/svkDizHApcSYiIqHl8wd+aqimiIhIeGvxoZo33ngjl1122SHbdOnShQceeIAFCxbgcrnqPDZkyBB+85vf8PLLL5OZmcl3331X5/GioiKqq6sPqkTbn8vlOui4bUnV3p0AVEQpcSbSmv6ZfBuLdl/GXzofZ3UoIiIS4fym5jgTCTWzZpYzvcpEpDlaPHGWlpZGWlraYdv94x//4IEHHghub9++nbPOOou33nqLE088EYChQ4fy4IMPkp+fT1ZWFhBYMMDlcjF48OCWDj1sVJfuAqDKkWxxJCLtS4kvij0k4ohNsDoUERGJcLWJM+XNREJPIzVFpDksWxwgNze3znZ8fDwA3bt3p1OnTgCMHj2aPn36MHbsWB577DH27NnDbbfdxtVXXx2xK2oCmGW7AfC4lDgTaU2VHh8AMQ67xZGIiEikq11V06ZP9CIhE5zjTESkGSxbHKAx7HY7H3/8MdHR0QwfPpxLLrmECy+8kMcff9zq0ELKVlUIgC8m1eJIRNqXS0tf5v6ol0ip2mJ1KCIi7cozzzxD165diY6OZvDgwXz99ddWhxRyfr+Gaoq0FkODNUWkGSyrODtQly5dgsty7y83N5ePPvrIgois80nKOP6WN5ALjtI8SyKtaaRnDtlRO1jtv9bqUERE2o233nqLCRMm8MwzzzB8+HD++c9/MmbMGFatWnXQCIVIUpM3U8WZSAip4ExEWkJYV5y1V1uqE1liHoM9tYvVoYi0K07THfgZoznORERay5NPPslVV13FH/7wB3r37s3TTz9NTk4Ozz77rNWhhZSvdo4zVZyJhExtXYby0yLSHEqchaG9FdUAdIhxWByJSPsSY1YB4IyOszgSEZH2wePxsHjxYkaPHl1n/+jRo/n222/rfY7b7aakpKTOrS0KDtXUJ3oREZGwpsRZGBpd9AZX2T8hzV5udSgi7Ybp9xNNoOLMpVU1RURaxe7du/H5fGRkZNTZn5GRQUFBQb3PmTJlCklJScFbTk5Oa4Ta4vZVnFkciEhEC7zOlJ4WkebQW3W4MU2urHqdvzpeI9nusToakXbD7a7CbgQ6V66YeIujERFpX4wDqq5M0zxoX61JkyZRXFwcvOXl5bVGiC3KNM3gEDLNcSYiIhLewmZxAKlRXYmLwFDN+OSOFgcj0n5UVZQRXXM/JlaJMxGR1pCWlobdbj+oumznzp0HVaHVcrlcuFyu1ggvZPz7zViuoZoioaM5zkSkJajiLMxUlRYC4DVtJCV1sDYYkXbEU1UJgM80iHI4LY5GRKR9cDqdDB48mFmzZtXZP2vWLIYNG2ZRVKHn2y9zpsUBREREwpsqzsJM2d5dRAPFxJMSrcUBRFpLpTOZ46v+j2Snn5n6WlJEpNVMnDiRsWPHMmTIEIYOHcrzzz/Pli1buPbaa60OLWT85n6JM73liITMvoozvdBE5MgpcRZmyot3kQaUGvGk6g+8SKtx+w12kYxP1WYiIq3q0ksvpbCwkPvvv5/8/Hz69evHJ598QufOna0OLWT2T5zZlTkTEREJa0qchZmqkj0AlNu0qp9Ia3JX+wFwRWkEu4hIa7v++uu5/vrrrQ6j1dQZqqkvSkVCxsQ8fCMRkcNQ4qwZ3G43brc7uF1SUtLsY3pq5jirikps9rFEpAn2bODeqJfx+DOA062ORkREIpjfv+++EmcioaeXmYg0h0ormmHKlCkkJSUFbzk5Oc0+5rqUU/ml+z4+TPtDC0QoIo1llGzld1EzONv3ldWhiIhIhNNQTZHWYargTERagBJnzTBp0iSKi4uDt7y8vGYfc4c3jqVmD0o79GqBCEWksbyewKqa1YbmOBMRkdDyaXEAkVZR+0oz0AtNRI6chmo2g8vlwuVytegx91ZUA9AhRh/eRVqT31MFgNfWsq9pERFpm0IxJUctf80cZzZDq/2JiIiEO1WchZnc/E+5yv4JXdlqdSgi7YqvOpA489mUtBYRkdBMyVGrtuJM85uJhFZtcadeaiLSHEqchZlBu/7HXx2v0cWzxupQRNoVszowVFOJMxERgdBMyVGrdlFNm8ZpioiIhD0N1QwzLm9gGIAjPtXiSETaF391YDiOT0M1RUSE0EzJUat2qKZdZTAiIWXWzHKmV5qINIcqzsJMjC+QOHPFp1gciUj7YtYM1fTblTgTEZHQ8u03x5mIiIiEN1WchZl4fykAsUlpFkci0r4sTz+fu1YexdlHdWGw1cGIiEhE89fOcabMmUhoaY4zEWkBqjgLJ34fCVQAENuho8XBiLQvZcSy2czEHZNpdSgiIhLhahNndiXORFqFocGaItIMSpyFkeryouD9eFWcibQqt9cPgCtKfxZFRCS0fIG3HM1xJhJiptUBiEhE0CfEMFJRvAuAUjOGhNhoi6MRaV+67vqS26Pe5OjyxVaHIiIiEa52jjNDiTORVqGXmog0h+Y4CyPFjo6Md99HksPLdLtymiKtqVvxAi6P+pDvyrOtDkVERCLcvqGaFgciEuFMUzVnItJ8SpyFkRKvg6VmDzKjVW0m0tpsPnfgTpRefyIiElrBxJnKYERCSmkzEWkJ+p4rjJRUVgOQEK18pkhrq02cGUqciYhIiGmopoiISNuhxFkYMfOXc5X9E062rbA6FJF2J5g4cyhxJiIioVWTN9OqmiIhVjtSU0lqEWkOJc7CSFz+fP7qeI3Rns+tDkWk3bH7PQDYnEqciYhIaO2b40wf5kVERMKdEmdhxF9ZDIDXmWhxJCLtT5Q/UHFmU8WZiIiE2L6hmhYHIhLhauc400tNRJpDibNwUlUCgN+ZYHEgIu2P3QxUnNkdMRZHIiIikc7v1+IAIiIibYVmoQ8jNk8gcWa6VHEm0toeirmd3bt3cU/WiVaHIiIiEU5znIm0DtNUdaeINJ8SZ2HEXpM4M6KVOBNpbZv9HdlkxhEVl2R1KCIiEuF8NR/mbfo0L9Iq9EoTkebQUM0w4vCWAWCP0Qd3kdbm9voBcEXZLY5EREQiXe1QTZt64iIhZR6+iYjIYaniLIw4axNnsR2sDUSkHbrc8w5V9mpiqvsBSl6LiEjoBFfVVMWZSGjVZM4MvdZEpBmUOAsjT8XcSEn5dq7OGmR1KCLtzu/97xLvqGS7b4LVoYiISITzBSvO9GFeREQk3KlAPIz84O3MHP8AYjpkWB2KSLvjJLCqpiNaq2qKiEho+TXHmUirMNHiACLSfKo4CyMlVdUAJEQ7LI5EpH3xVlfjNHwAOF1KnImISMP8fjNYMVa7Yl/tPEo1m8EP6+YBEyzVbldVB+bV1FBNERGR8KfEWZgwvW5+7fmQYnsMic4RVocj0q643ZXBP4bO6FhLYxERkfB27H0zsbla5r1CiwOIhFZtslopahFpDiXOwkRl8W7+EvUqftOgMuZBq8MRaVc8VZXE1dxX4kxERFqDYcApPTpaHYaIiIgchhJnYaK8pIhYoIwYElwaqinSmjzuCgC8po2oKL3+RESkYV/fMYrExMTgnEkGwTuBH3U3a/YZdfYZRmB+s2iHPeTxirRnweHSGhYtIs2gxFmYqCzdA0CZEUui/rCLtKrqqkoAPDj0R1FERA4pOc5JYpzT6jBERESklegzYpioKisCoNKIO0xLEWlpFa6OXOD+GykxNqZbHYy0KJ/PR3V1tdVhSBhzOp3YNNGUiEhECq6qaXEcItK2KXEWJjzlewGotCtxJtLaqnCw3OxOtiPa6lCkhZimSUFBAXv37rU6FAlzNpuNrl274nSqgkhEREREDmZ54uzjjz/m/vvvZ/ny5cTFxXHqqafy7rvvBh/fsmULN9xwA19++SUxMTFcccUVPP744xHXwa2uSZx57PHWBiLSDrm9fgBcmmsmYtQmzdLT04mNjQ3OLySyP7/fz/bt28nPzyc3N1f/TkREIkxwVU39eReRZrA0cfbOO+9w9dVX89BDD3HaaadhmiYrVqwIPu7z+Tj33HPp2LEj8+bNo7CwkHHjxmGaJlOnTrUw8pbnrywGoNqRYHEkIu1Q0Wausf8Pmz8bGGl1NNJMPp8vmDRLTU21OhwJcx07dmT79u14vV4cDi0OIiISSYJrA2iwpog0g2WJM6/Xyy233MJjjz3GVVddFdzfs2fP4P2ZM2eyatUq8vLyyM7OBuCJJ55g/PjxPPjggyQmJrZ63Ptzu9243e7gdnFxIPlVUlLS5GMtcZ3IE6U30y+jK72P4Pkikab2dWQGl0MKHceeNUxyvMFaTw/gnpCfT0Krdk6z2NhYiyORtqC2gt3n8ylxJnW0ZD9PROpqzX6eiEhzWZY4W7JkCdu2bcNmszFo0CAKCgoYOHAgjz/+OH379gVg/vz59OvXL5g0AzjrrLNwu90sXryYUaNG1XvsAzs6oergTJkyhfvuu++g/Tk5Oc067t3XX3X4RiLtRGFhIUlJSSE9h6+6CgCvEVlDwNs7DbuTxtC/E2lIqPp5IrJPqPt5GqopIi3BssTZhg0bAJg8eTJPPvkkXbp04YknnmDEiBGsWbOGlJQUCgoKyMjIqPO85ORknE4nBQUFDR67oY5OS5s0aRITJ04Mbu/du5fOnTuzZcuWkH/Qb66SkhJycnLIy8uzvHLvUBRny2orcULgm/3c3FxSUlJCfi6/pxIAn02JMxERCTiwn+f3+9mzZw+pqaktknBtS+/J9VH81mrr8bdmP09EpLlaPHE2efLkwyatFi5ciN8fmIz77rvv5qKLLgLgpZdeolOnTrz99ttcc801QP3fBJumecgOy4Edndo3lpbmcrlwuVwH7U9KSmozb2CJiYltIlbF2bLaSpwQWPEu1MyaijOf7eDXs0hbsWnTJrp27crSpUsZOHBgo54zffp0JkyY0KKrjx5JHBKZunTpwubNm+vsu/POO3n44YeD2+G8CFR9/bwOHTq0+Hna0ntyfRS/tdp6/KHv5wVKzlRwJiLN0eKJsxtvvJHLLrvskG26dOlCaWkpAH369Anud7lcdOvWjS1btgCQmZnJd999V+e5RUVFVFdXH1SJtr+GEloiIvXx1ybO7Pq7IdbLy8tj8uTJfPrpp+zevZusrCwuvPBC7rnnnkMudpCTk0N+fj5paWmNPtell17KOeec0xJhi9Tr/vvv5+qrrw5ux8fvWz28PS0CJSIiIm1XiyfO0tLSGtVpHzx4MC6Xi9WrV3PyyScDgQmdN23aROfOnQEYOnQoDz74IPn5+WRlZQGBBQNcLheDBw9u6dBFpJ0yvYHEmV9DNcViGzZsYOjQoRxzzDG88cYbdO3alZUrV3L77bfz6aefsmDBgnqHtXg8HpxOJ5mZmU06X0xMDDExMS0VvshBEhISGvx3Ge6LQIlI26c5zkSkJYR+DFQDEhMTufbaa7n33nuZOXMmq1ev5rrrrgPg4osvBmD06NH06dOHsWPHsnTpUr744gtuu+02rr766rDsTLlcLu699942Ue3WVmJVnC2rrcQJrRxrTcWZPyr8r4tEthtuuAGn08nMmTMZMWIEubm5jBkzhs8//5xt27Zx9913A4HK7QceeIDx48eTlJTE1VdfzaZNmzAMg2XLlgWP9+GHH9KjRw9iYmIYNWoUL7/8MoZhBIdmTp8+vc7Qs8mTJzNw4EBeffVVunTpQlJSEpdddlmwShzgs88+4+STT6ZDhw6kpqZy3nnnsX79+ta4PNIGPfLII6SmpjJw4EAefPBBPB5P8LHDLQLVELfbTUlJSZ1bW9SW3pPro/itpfhFRFqPZYsDADz22GNERUUxduxYKisrOfHEE/nyyy9JTk4GwG638/HHH3P99dczfPjwOnNfhCOXy8XkyZOtDqNR2kqsirNltZU4oXVjXZ46hn+sTeHkjD6c0CpnlNZkmiaV1T5Lzh3jsDd6EvE9e/YwY8YMHnzwwYOqwDIzM/nNb37DW2+9xTPPPAME3kP/+te/8pe//KXe423atIlf//rX3HLLLfzhD39g6dKl3HbbbYeNY/369bz//vt89NFHFBUVcckll/Dwww/z4IMPAlBeXs7EiRPp378/5eXl3HPPPfzyl79k2bJlrTInobQdt9xyC8cddxzJycl8//33TJo0iY0bN/Kvf/0LIOwXgQq1tvSeXB/Fby3F3zg1BWcYmuVMRJrB0sSZw+Hg8ccfP2QiLDc3l48++qgVoxKR9ma3vSPz/X05NqGb1aFICFRW++hzzwxLzr3q/rOIdTburXbt2rWYpknv3r3rfbx3794UFRWxa9cuAE477bQ6ibBNmzbVaf/cc8/Rs2dPHnvsMQB69uzJjz/+GEyANcTv9zN9+nQSEhIAGDt2LF988UXwebUL+tR68cUXSU9PZ9WqVfTr169Rv6u0XY1dBGrIkCHceuutwX3HHnssycnJ/PrXvw5WoUF4LwIlIiIiAhYnzkREwoHbG1jl1xWlahkJX2bNRC21CYUhQ4Ycsv3q1as5/vjj6+w74YTD11R26dIlmDQDyMrKYufOncHt9evX89e//pUFCxawe/fu4CrZW7ZsUeKsHWjsIlD1OemkkwBYt24dqampWgRKRELODE5yZm0cItK2KXEmIu1ebtF3XGlfQbbbAHpaHY60sBiHnVX3n2XZuRvr6KOPxjAMVq1axYUXXnjQ4z///DPJycnBBXji4uIOebz6qnaCHyAOweFw1Nk2DCOYHAM4//zzycnJ4YUXXiA7Oxu/30+/fv3qzF0lkauxi0DVZ+nSpQDBBZ+0CJSIhNq+oZoiIkdOiTMRafcG7PmUPzhm8V1xB+Acq8ORFmYYRqOHS1opNTWVM888k2eeeYZbb721zjxnBQUF/Oc//+G3v/1to+dM69WrF5988kmdfYsWLWpWjIWFhfz000/885//5JRTTgFg3rx5zTqmRKb58+ezYMECRo0aRVJSEgsXLuTWW2/lggsuIDc3F6i7CNRjjz3Gnj17wnoRKBEREWmfNC6pBUyePBnDMOrcGlp63Wrbtm3jyiuvJDU1ldjYWAYOHHjIlaus0qVLl4OuqWEY3HDDDVaHVofX6+Uvf/kLXbt2JSYmhm7dunH//ffXqc4IF6WlpUyYMIHOnTsTExPDsGHDWLhwoaUxzZ07l/PPP5/s7GwMw+D999+v87hpmkyePJns7GxiYmIYOXIkK1eubPE4bD534I4jusWPLdIU06ZNw+12c9ZZZzF37lzy8vL47LPPOPPMMznqqKMOOz/Z/q655hp+/vln7rzzTtasWcN///tfpk+fDtQ/r1RjJCcnk5qayvPPP8+6dev48ssv68w1JVLL5XLx1ltvMXLkSPr06cM999zD1VdfzRtvvBFsU7sIVHR0NMOHD+eSSy7hwgsvDNtFoBpyJP3AOXPmMHjwYKKjo+nWrRvPPffcQW3eeecd+vTpg8vlok+fPrz33nthEf+7777LmWeeSceOHUlMTGTo0KHMmFF3Hsnp06fX24+rqqqyPP7Zs2fXG9vPP/9cp124Xv/x48fXG3/fvn2DbVrz+sORfb5orddAcKTmEb7viYiAEmctpm/fvuTn5wdvK1assDqkgxQVFTF8+HAcDgeffvopq1at4oknnqBDhw5Wh3aQhQsX1rmes2bNAuDiiy+2OLK6HnnkEZ577jmmTZvGTz/9xKOPPspjjz3G1KlTrQ7tIH/4wx+YNWsWr776KitWrGD06NGcccYZbNu2zbKYysvLGTBgANOmTav38UcffZQnn3ySadOmsXDhQjIzMznzzDMpLS1t0Tjs/sAQM1uUEmdirR49erBo0SK6d+/OpZdeSvfu3fnjH//IqFGjmD9/PikpKY0+VteuXfl//+//8e6773Lsscfy7LPPcvfddwMc8fxQNpuNN998k8WLF9OvXz9uvfXW4OIDIvs77rjjWLBgAXv37qWyspKff/6ZyZMnExsbW6dd7SJQFRUVFBYWMnXq1DY5f1lT+oEbN27knHPO4ZRTTmHp0qX8+c9/5uabb+add94Jtpk/fz6XXnopY8eO5YcffmDs2LFccsklB80JZ0X8c+fO5cwzz+STTz5h8eLFjBo1ivPPPz84FLdWYmJinWPm5+cTHR2a99kj6YevXr26znN69OgRfCycr//f//73Om3z8vJISUk5qI/cWtf/SD5fhONrQETkkMx2oLi42ATM4uLikBz/3nvvNQcMGBCSY7ekO++80zz55JOtDuOI3HLLLWb37t1Nv99vdSh1nHvuuebvf//7Ovt+9atfmVdeeaVFEdWvoqLCtNvt5kcffVRn/4ABA8y7777boqjqAsz33nsvuO33+83MzEzz4YcfDu6rqqoyk5KSzOeee65Fz738oRGmeW+iuejDZ1v0uGKNyspKc9WqVWZlZaXVoYSdBx54wOzUqZPVYYSVQ/17CXX/QVqG1f+fmtoPvOOOO8xevXrV2XfNNdeYJ510UnD7kksuMc8+++w6bc466yzzsssua1as9WmJfmyfPn3M++67L7j90ksvmUlJSc0LrJGaGv9XX31lAmZRUVGDbdrS9X/vvfdMwzDMTZs2Bfe15vU/ks8XrfkaGPvid2bnOz8y/9+ivCY9T0QiX1P6D6o4ayFr164lOzubrl27ctlll7FhwwarQzrIhx9+yJAhQ7j44otJT09n0KBBvPDCC1aHdVgej4fXXnuN3//+92FXZn3yySfzxRdfsGbNGgB++OEH5s2bxznnhNc8WV6vF5/Pd9A3jTExMWE7P9HGjRspKChg9OjRwX0ul4sRI0bw7bfftui5omorzjRUUyLMM888w8KFC9mwYQOvvvoqjz32GOPGjbM6LJGI05R+4Pz58+u8twGcddZZLFq0iOrq6kO2aen3v1rN6cf6/X5KS0sPqogtKyujc+fOdOrUifPOO++girSWdCTxDxo0iKysLE4//XS++uqrOo+1pev/4osvcsYZZ9C5c+c6+1vr+h/J54twfA2IiByKEmct4MQTT+SVV15hxowZvPDCCxQUFDBs2DAKCwutDq2ODRs28Oyzz9KjRw9mzJjBtddey80338wrr7xidWiH9P7777N3717Gjx9vdSgHufPOO7n88svp1asXDoeDQYMGMWHCBC6//HKrQ6sjISGBoUOH8re//Y3t27fj8/l47bXX+O6778jPz7c6vHoVFBQAkJGRUWd/RkZG8LGWUps4sztjDtNSpG1Zu3Ytv/jFL+jTpw9/+9vf+NOf/sTkyZOtDkskojS1H1hQUFDve5vX62X37t2HbNPS739HEv+BnnjiCcrLy7nkkkuC+3r16sX06dP58MMPeeONN4Lz2K1du9by+LOysnj++ed55513ePfdd+nZsyenn346c+fODbZpK9c/Pz+fTz/9lD/84Q919rfm9T+Szxet+RowayY5C7Pv3kWkjQn/ZcbagDFjxgTv9+/fn6FDh9K9e3defvnlsJo02e/3M2TIEB566CEg8E3bypUrefbZZ/ntb39rcXQNe/HFFxkzZgzZ2dlWh3KQt956i9dee43XX3+dvn37smzZMiZMmEB2dnbYVXW8+uqr/P73v+eoo47Cbrdz3HHHccUVV7BkyRKrQzukA6sMTdNs8crDKLMmcaaKM4kwTz31FE899ZTVYYhEtCPpB9b33nbg/tZ4/4Pm9WPfeOMNJk+ezAcffEB6enpw/0knncRJJ50U3B4+fDjHHXccU6dO5R//+Iel8ffs2ZOePXsGt4cOHUpeXh6PP/44p556anB/W7j+06dPp0OHDlx44YV19rfm9T/Szxfh9BoQETkcVZyFQFxcHP379w/JtzrNkZWVRZ8+fers6927N1u2bLEoosPbvHkzn3/++UHfpIWL22+/nbvuuovLLruM/v37M3bsWG699VamTJlidWgH6d69O3PmzKGsrIy8vDy+//57qqur6dq1q9Wh1at2RakDv1ncuXPnQd9ANtdjzuu4yvMnqtP7Hr6xiIjIIRyuH5iZmVnve1tUVBSpqamHbNPS73/1aWw/9q233uKqq67iv//9L2ecccYh29psNo4//vhW6RsfST/8pJNOqtO+LVx/0zT597//zdixY3E6nYdsG8rrfySfL6x4DSjfJiLNocRZCLjdbn766SeysrKsDqWO4cOHs3r16jr71qxZc9CcCOHkpZdeIj09nXPPPdfqUOpVUVGBzVb3ZWS32/H7/RZFdHhxcXFkZWVRVFTEjBkz+MUvfmF1SPXq2rUrmZmZwRVVITDf3Zw5cxg2bFiLnmuJ/xi+8A8mKr5jix5XRETan8P1A4cOHVrnvQ1g5syZDBkyBIfDccg2Lf3+V5/G9GPfeOMNxo8fz+uvv96oPpppmixbtqxV+sZH0g9funRpnfbhfv0B5syZw7p167jqqqsOe8xQXv8j+XwR7q8BEZGDhGyJgjAS6tWW/vSnP5mzZ882N2zYYC5YsMA877zzzISEhDqr24SD77//3oyKijIffPBBc+3ateZ//vMfMzY21nzttdesDq1ePp/PzM3NNe+8806rQ2nQuHHjzKOOOsr86KOPzI0bN5rvvvuumZaWZt5xxx1Wh3aQzz77zPz000/NDRs2mDNnzjQHDBhgnnDCCabH47EsptLSUnPp0qXm0qVLTcB88sknzaVLl5qbN282TdM0H374YTMpKcl89913zRUrVpiXX365mZWVZZaUlLRoHAPvm2F2vvMjc+2Olj2uWEOrakpTaFXNts/q/0+H6wfedddd5tixY4PtN2zYYMbGxpq33nqruWrVKvPFF180HQ6H+f/+3/8Ltvnmm29Mu91uPvzww+ZPP/1kPvzww2ZUVJS5YMECy+N//fXXzaioKPP//u//zPz8/OBt7969wTaTJ082P/vsM3P9+vXm0qVLzd/97ndmVFSU+d1331ke/1NPPWW+99575po1a8wff/zRvOuuu0zAfOedd4Jtwvn617ryyivNE088sd5jtub1b8znCytfA795YYHZ+c6PzPeWbG3+LysiEaUp/QclzlrApZdeamZlZZkOh8PMzs42f/WrX5krV64Mybma63//+5/Zr18/0+Vymb169TKff/55q0Nq0IwZM0zAXL16tdWhNKikpMS85ZZbzNzcXDM6Otrs1q2beffdd5tut9vq0A7y1ltvmd26dTOdTqeZmZlp3nDDDXU6uVaoXRL+wNu4ceNM0zRNv99v3nvvvWZmZqbpcrnMU0891VyxYkWLx3H3X+8wb510h5mXv7PFjy2tT4kzaQolzto+q/8/Ha4fOG7cOHPEiBF1njN79mxz0KBBptPpNLt06WI+++yzBx337bffNnv27Gk6HA6zV69edRI7VsY/YsSIQ753m6ZpTpgwwczNzTWdTqfZsWNHc/To0ea3334bFvE/8sgjZvfu3c3o6GgzOTnZPPnkk82PP/74oOOG6/U3TdPcu3evGRMT02A/vjWvv2ke/vOFla+BK16Yb3a+8yPz/aVKnIlIXU3pPximWTMTYwQrKSkhKSmJ4uJiEhMTrQ5HRMJM9b0pOAwfu65eRsejwnPON2m8qqoqNm7cSNeuXYmO1oIPcmiH+vei/kPboP9PItKQ3/xrAd+sK+Tvlw3kFwOPsjocEQkjTek/aI4zEWnXqqs9OAwfAK7oWIujEREREZGWEvklIiLSGpQ4E5F2zV1VEbzvjFHiTERERERERPZR4kxE2jVPZXnwvtOlxJm0PSNHjmTChAkt1k5ERCRS1FacGYZhbSAi0qYpcSYi7ZrHXRn4aUZhs9stjkbas+eee46EhAS8Xm9wX1lZGQ6Hg1NOOaVO26+//hrDMFizZg3vvvsuf/vb34KPWZ0gs/r8IiIiIiItSYkzEWnXqqsCFWduw2FxJNLejRo1irKyMhYtWhTc9/XXX5OZmcnChQupqNg3rHj27NlkZ2dzzDHHkJKSQkJCghUhi4iIhDWTQMmZ6s1EpDmUOBORds1TVRX4icviSKS969mzJ9nZ2cyePTu4b/bs2fziF7+ge/fufPvtt3X2jxo1Cqhb4TV+/HjmzJnD3//+dwzDwDAMNm3aFHye3+/njjvuICUlhczMTCZPnhx8zO12c/PNN5Oenk50dDQnn3wyCxcurBNjly5dePrpp+vsGzhwYPA4hzt/rQsuuCD4+IG3Dz/8sMnXTkREREQkVJQ4E5F2rTw6g2s8t/KY81qrQxFh5MiRfPXVV8Htr776ipEjRzJixIjgfo/Hw/z584OJs/39/e9/Z+jQoVx99dXk5+eTn59PTk5O8PGXX36ZuLg4vvvuOx599FHuv/9+Zs2aBcAdd9zBO++8w8svv8ySJUs4+uijOeuss9izZ0+j4z/c+Wu99NJL5Ofns3btWgA++eSTYPtzzjmn0ecTERE5lH1znFkbh4i0bVFWByAiYqVyWwIz/MdzdHS81aFIqHnKG37MsIMjupFtbeCIOXxbZ1zT4iOQOLv11lvxer1UVlaydOlSTj31VHw+H//4xz8AWLBgAZWVlfUmzpKSknA6ncTGxpKZmXnQ48ceeyz33nsvAD169GDatGl88cUXDBs2jGeffZbp06czZswYAF544QVmzZrFiy++yO23396o+A93/lqpqakAzJ8/H8MwOPnkkzXcVERERETCkhJnItKuub0+AFxRKsCNeA9lN/xYj9Hwm7f3bT92NFRX1N+288nwu4/3bT/dHyoKD243ubjJIY4aNYry8nIWLlxIUVERxxxzDOnp6YwYMYKxY8dSXl7O7Nmzyc3NpVu3bk0+/rHHHltnOysri507d7J+/Xqqq6sZPnx48DGHw8EJJ5zATz/91OTzNNby5cvp0qWLkmYiIhISNQVnGJrlTESaQZ8URaRdM4rzuMD2DYP8K60ORYSjjz6aTp068dVXX/HVV18xYsQIADIzM+natSvffPMNX331FaeddtoRHd/hqLsIhmEY+P1+zJqxLMYBY1lM06yzz2azBdvWqq6uPqJYIJA4OzCZV+vVV1/lxBNPpH///lxwwQV4PB4AxowZw8SJEznppJPo1asXCxcu5IILLqBz5848//zzAFx44YVceumlHH/88fTo0YMffvjhiGMUEZE2zDx8ExGRw1HFmYi0a3E7l/AP5/+xsmIAoHnOItqftzf8mGGvu337ukO0PeA7pwkrjjymeowaNYrZs2dTVFRUZ4jkiBEjmDFjBgsWLOB3v/tdg893Op34fL4mnfPoo4/G6XQyb948rrjiCiCQEFu0aFFw4QGAjh07kp+fH9wuKSlh48aNR3z+TZs20a9fv3ofO+eccxg7diwAv//97/n66685/fTT+fHHH7n00kt58skn+e1vf8udd97J//73P9auXct1113HH//4R5YvX851113HW2+9xcsvv8zTTz/NSy+91JRLIiIiEURznIlIc6jiTETaNX91JQBeu1bVjHjOuIZv+89vdti2MY1re4RGjRrFvHnzWLZsWbDiDAKJsxdeeIGqqqp65zer1aVLF7777js2bdrE7t278fv9hz1nXFwc1113HbfffjufffYZq1at4uqrr6aiooKrrroq2O60007j1Vdf5euvv+bHH39k3Lhx2O11k45NOb/f72fz5s1s3bq1TiWbaZo8//zzHH/88QwYMID33nuP6OhoiouLcTqdjB8/HoDo6GhuueUW4uLicLlcJCUlUVZWhtvtZuLEiQD07t2boqKiw14DERGJPGZNyZnyZiLSHEqciUi7ZnoCiTO/TYkzCQ+jRo2isrKSo48+moyMjOD+ESNGUFpaSvfu3etdqbLWbbfdht1up0+fPnTs2JEtW7Y06rwPP/wwF110EWPHjuW4445j3bp1zJgxg+Tk5GCbSZMmceqpp3LeeedxzjnncOGFF9K9e/cjPv/NN9/MN998Q69eveokzqZPn866deuYO3cuP/zwA4mJifTp04cff/yR448/PthuxYoVnHjiicH7/fr1Y/ny5fTt2zeY0FuyZAn9+/dv1DUQERERETmQhmqKSLtmeqsA8KniTMJEly5dDppHDKBTp0717p89e3ad7WOOOYb58+cfth3A+++/H7wfHR3NP/7xj+DqnfVJTEzkrbfeqrNv3LhxjTp/fcaMGUNeXt5B+1euXMmwYcOIiYnh73//O36/n+TkZH788cdgEsw0TXbs2BFcvbP2seXLl7N582aqq6vZu3cvzz77LB9++GGj4hERkchS+7apoZoi0hyqOBORds2sGarpV+JMJGyMHTuWv/3tb4wYMYLCwsJgsmzlypXB+5s2baJLly7B5+yfOPvlL3/J8OHDOe2003jsscfo3LmzFb+GiIiIiEQAVZyJSPvmLgfA74i3OBARqTVgwAA2bdp00P79q+G6du3Kl19+Gdx+9913gcBKnW+88QYPP/xwyOMUEZHwtq9OWyVnInLkVHEmIu2aUV0WuONU4kwkEmzbtu2Qc8CJiIiIiDSFKs5EpF37Nv5s/rs9nWGZp1odioi0gI0bN1odgoiIhInauUE1x5mINIcSZyJiifomOT+4zWEeb8x5/P5DPv6j2ZUv/fEcl9avEUcTERERERGR9kSJs2Yqd3sZ+egXfOa7usE2C4wB3GO7Obj9se8anFTX23YZvbjNfkdw+z3fTSRSTn0pglV040bbX4Lbb/pvI4PCeo+7kaP4ne2BYCLiNXMSueTX23Y7HbmUR4Pb/+I+erGp3raFJHIBfw9uP8MUBpir621bSTRn8BzU/DZP8TgnsaLetiYGQ82XgtsPG1MZxeJ62wKcbD6PBycAk43nOZdvGmx7hn8ae0kAYJLxEhcZXzXY9lz/E+SbaQBMtL3BWOOzBtv+0jeFjWQDcIPxDtfY3m+w7WXe+1lFVwCusv2PCbb/Nth2vO/PLDJ7AXCFMZO77a822PaP3tv42hwAwEW2OTxgf6HBtrd4b2KG/wQAzrXN58moZxpse6f3j7znPwWA02xL+GfUkw22vcf7O173nQ7AUNtKXnM81GDbh7xX8KLvXAAGGWt5xzm5wbZPey/iH75fAdDT2MIM110Ntn3Wez6PeC8HIMfYwdeuWxtse6p3NF8ynpQ4Z4NtRESk/Rr+8Je4vb7DtmvE90H72jbh/I35ounIjmt9DE1p3JaumdmEo4bq303Trq318Yb6/5kKzkSkOZQ4ayYT2F3uITW6uME2Lm8phdWe4HayqwSXUX/iLNpXxp6qfW0TXaUkG2X1to31l1NUte848a4yOjTQNsZfwd792sY6y0myldfbttgfS4nHu++5znISG2jrNqMode9r63RWkmirqLetzfTXbeuoIsFeWW9bv2lQ7tnXSY1yuIlvoC1ApduHm0B7u8NNnL2q4bbVPipr20ZVExflbrCtp9qPm0DFki2qmthDtPX6fHjMmuqmKC/RDfw/BvD692uLD5e94bY+n5/q2nd9ux+n4W2wrWma+PyBtqbhx2k03NE3TfCb+zYch2iLae7rKJkmUUbDVVzGAd0Zu9Fw9+bAToztEG1DqUOsg8Gdky05t4iIhLfCcjdV1YeuXhaR8BXviqJXZqLVYYhIG2aYTUnvt1ElJSUkJSVRXFxMYmLL/tH0+U3W7SjFWVR/lRUEVuvzJXYKbjv31N/WAPxRsXgT901q7Chah2H66zaqYUZF403M3dd27wbw+6jvOxXT7sSb1Hlf25LNGP76kzWmLQpvUpfgUaJK8jB8DSSMbFF4O3QNbtpLtx3U1tjvXp22ZfkY3kCCq755B/Zvayvfid3bcOLMm5gLhg3DAFvFbozq+hN9AL6ETmCzB45bWYjhObhtbTy+hGywBfLLRlURNs++xOSBIfvis8DuqGlbjN1T0nAMsekQ5cIwwHCXYLgbbmvGdoSo6MBxPWX1tq2N1x+TEmxLdTm2qn1tD4zXH90BHDE1bSuwuUsa/DrO70oER2zgOF43tqqGE8V+VzyGMy6w4XVjuPfW284ATEc81Lb1eTCq6rY19vuHYTpi903g7/diVBbV+3sF2sbs19aHzV3UYLymPZrYhA44o7RWSqSoqqpi48aNdO3alejoaKvDkTB3qH8voew/SMsJ9f+nNTtKm1Rl09i5lJpSAdO0+Zka37gpx21avE2IoUnHbULbEF2HpmhSvBF8zUL0z7fR8SZERxHtsDclChFpB5rSf1DFWTPZbQY9sxIh6/jGPyljSBPaDmp82/QBTWjbt/FtO/ZufNu0Y5rQ9ujGt03tevg2tVJyD98mKDZEbWOAzCa0zWhk22ggrQltU5vQNqUJbZMa2dYFNPZDjAuSEhrZ1gkJTfj/kRDT+LYiIiL7OSajse9NIiIiEolUYiEiIhGpHRRUSwvQvxMRERERORQlzkREJKI4HIEh0xUV9c+3KLI/jycwr6jdrmE8IiIiInIwDdUUEZGIYrfb6dChAzt37gQgNja2SXPHSPvh9/vZtWsXsbGxREWpSyQiIiIiB7O0l7hmzRpuv/12vvnmGzweD/379+eBBx5g1KhRwTZbtmzhhhtu4MsvvyQmJoYrrriCxx9/HKfTaWHkIiISzjIzA3MM1ibPRBpis9nIzc1VclVERERE6mVp4uzcc8/lmGOOCSbFnn76ac477zzWr19PZmYmPp+Pc889l44dOzJv3jwKCwsZN24cpmkydepUK0MXEZEwZhgGWVlZpKenU11d/wrCIgBOpxObTTNXiIiIiEj9DNOiWXF3795Nx44dmTt3LqeccgoApaWlJCYm8vnnn3P66afz6aefct5555GXl0d2djYAb775JuPHj2fnzp2NXnJcy8mLiIhIU6n/cGgPPvggH3/8McuWLcPpdLJ3796D2jRm5MCKFSu48cYb+f7770lJSeGaa67hr3/9a6OrAPX/SURERJqqKf0Hy75iTU1NpXfv3rzyyiuUl5fj9Xr55z//SUZGBoMHDwZg/vz59OvXL5g0AzjrrLNwu90sXry4wWO73W5KSkrq3ERERESk5Xg8Hi6++GKuu+66eh+vHTlQXl7OvHnzePPNN3nnnXf405/+FGxTUlLCmWeeSXZ2NgsXLmTq1Kk8/vjjPPnkk631a4iIiIgckmVDNQ3DYNasWfziF78gISEBm81GRkYGn332GR06dACgoKCAjIyMOs9LTk7G6XRSUFDQ4LGnTJnCfffdF8rwRURERNq12r7W9OnT63185syZrFq1qs7IgSeeeILx48fz4IMPkpiYyH/+8x+qqqqYPn06LpeLfv36sWbNGp588kkmTpyouedERETEci2eOJs8efJhk1YLFy5k8ODBXH/99aSnp/P1118TExPDv/71L8477zwWLlxIVlYWQL0dJtM0D9mRmjRpEhMnTgxuFxcXk5ubq8ozERERabTafoNFs1q0eYcbOTBq1Cjmz5/PiBEjcLlcddpMmjSJTZs20bVr14OO63a7cbvdwe3i4mIA9fNERESk0ZrSz2vxxNmNN97IZZdddsg2Xbp04csvv+Sjjz6iqKgoOJ70mWeeYdasWbz88svcddddZGZm8t1339V5blFREdXV1QdVou3P5XLV6YDVXpCcnJwj/bVERESknSotLSUpKcnqMNqcxowcKCgooEuXLnXa1D6noKCg3sRZQyML1M8TERGRpmpMP6/FE2dpaWmkpaUdtl1FRQXAQStZ2Ww2/H4/AEOHDuXBBx8kPz8/WIE2c+ZMXC5XcB60xsjOziYvL4+EhISQlPyXlJSQk5NDXl6eJqW1gK6/tXT9raXrby1df2uF+vqbpklpaWmdiqlI19iRA0OGDGnU8RozcuDANrXf/DbUZztwZIHf72fPnj2kpqaqnxeBdP2tpetvLV1/a+n6Wyuc+nmWzXE2dOhQkpOTGTduHPfccw8xMTG88MILbNy4kXPPPReA0aNH06dPH8aOHctjjz3Gnj17uO2227j66qubdOFsNhudOnUK1a8SlJiYqBeUhXT9raXrby1df2vp+lsrlNe/vVWaNXbkQGM0ZuRAZmbmQfPW7ty5E6DB0QUHjiwAgvPjhpJe59bS9beWrr+1dP2tpetvrXDo51mWOEtLS+Ozzz7j7rvv5rTTTqO6upq+ffvywQcfMGDAAADsdjsff/wx119/PcOHD6+zjLmIiIiItKzGjhxojMaMHBg6dCh//vOf8Xg8OJ3OYJvs7OxGJ+hEREREQsmyxBnAkCFDmDFjxiHb5Obm8tFHH7VSRCIiIiLSGFu2bGHPnj1s2bIFn8/HsmXLADj66KOJj49v1MiBK664gvvuu4/x48fz5z//mbVr1/LQQw9xzz33aEVNERERCQuWJs4ihcvl4t577z1o2IC0Dl1/a+n6W0vX31q6/tbS9bfWPffcw8svvxzcHjRoEABfffUVI0eObNTIgaSkJGbNmsUNN9zAkCFDSE5OZuLEiXXmMLOa/p1ZS9ffWrr+1tL1t5auv7XC6fobptZYFxEREREREREROYjt8E1ERERERERERETaHyXORERERERERERE6qHEmYiIiIiIiIiISD2UOBMREREREREREamHEmfN9Mwzz9C1a1eio6MZPHgwX3/9tdUhtRtTpkzh+OOPJyEhgfT0dC688EJWr15tdVjt0pQpUzAMgwkTJlgdSruybds2rrzySlJTU4mNjWXgwIEsXrzY6rDaBa/Xy1/+8he6du1KTEwM3bp14/7778fv91sdWkSaO3cu559/PtnZ2RiGwfvvv1/ncdM0mTx5MtnZ2cTExDBy5EhWrlxpTbAScdTXs4b6eeFD/TxrqJ9nHfXzWldb6OcpcdYMb731FhMmTODuu+9m6dKlnHLKKYwZM4YtW7ZYHVq7MGfOHG644QYWLFjArFmz8Hq9jB49mvLycqtDa1cWLlzI888/z7HHHmt1KO1KUVERw4cPx+Fw8Omnn7Jq1SqeeOIJOnToYHVo7cIjjzzCc889x7Rp0/jpp5949NFHeeyxx5g6darVoUWk8vJyBgwYwLRp0+p9/NFHH+XJJ59k2rRpLFy4kMzMTM4880xKS0tbOVKJNOrrWUf9vPCgfp411M+zlvp5rast9PMM0zTNVjtbhDnxxBM57rjjePbZZ4P7evfuzYUXXsiUKVMsjKx92rVrF+np6cyZM4dTTz3V6nDahbKyMo477jieeeYZHnjgAQYOHMjTTz9tdVjtwl133cU333yjygeLnHfeeWRkZPDiiy8G91100UXExsby6quvWhhZ5DMMg/fee48LL7wQCHwLmZ2dzYQJE7jzzjsBcLvdZGRk8Mgjj3DNNddYGK20derrhQ/181qf+nnWUT/PWurnWSdc+3mqODtCHo+HxYsXM3r06Dr7R48ezbfffmtRVO1bcXExACkpKRZH0n7ccMMNnHvuuZxxxhlWh9LufPjhhwwZMoSLL76Y9PR0Bg0axAsvvGB1WO3GySefzBdffMGaNWsA+OGHH5g3bx7nnHOOxZG1Pxs3bqSgoKDO+7HL5WLEiBF6P5ZmUV8vvKif1/rUz7OO+nnWUj8vfIRLPy+q1c4UYXbv3o3P5yMjI6PO/oyMDAoKCiyKqv0yTZOJEydy8skn069fP6vDaRfefPNNlixZwsKFC60OpV3asGEDzz77LBMnTuTPf/4z33//PTfffDMul4vf/va3VocX8e68806Ki4vp1asXdrsdn8/Hgw8+yOWXX251aO1O7Xtufe/HmzdvtiIkiRDq64UP9fNan/p51lI/z1rq54WPcOnnKXHWTIZh1Nk2TfOgfRJ6N954I8uXL2fevHlWh9Iu5OXlccsttzBz5kyio6OtDqdd8vv9DBkyhIceegiAQYMGsXLlSp599ll1qFrBW2+9xWuvvcbrr79O3759WbZsGRMmTCA7O5tx48ZZHV67pPdjCRX927Ke+nmtS/0866mfZy3188KP1e/FSpwdobS0NOx2+0HfOO7cufOgbKiE1k033cSHH37I3Llz6dSpk9XhtAuLFy9m586dDB48OLjP5/Mxd+5cpk2bhtvtxm63Wxhh5MvKyqJPnz519vXu3Zt33nnHoojal9tvv5277rqLyy67DID+/fuzefNmpkyZog5VK8vMzAQC30hmZWUF9+v9WJpLfb3woH5e61M/z3rq51lL/bzwES79PM1xdoScTieDBw9m1qxZdfbPmjWLYcOGWRRV+2KaJjfeeCPvvvsuX375JV27drU6pHbj9NNPZ8WKFSxbtix4GzJkCL/5zW9YtmyZOlOtYPjw4axevbrOvjVr1tC5c2eLImpfKioqsNnqvoXa7XYtU26Brl27kpmZWef92OPxMGfOHL0fS7Oor2ct9fOso36e9dTPs5b6eeEjXPp5qjhrhokTJzJ27FiGDBnC0KFDef7559myZQvXXnut1aG1CzfccAOvv/46H3zwAQkJCcFvhJOSkoiJibE4usiWkJBw0BwjcXFxpKamau6RVnLrrbcybNgwHnroIS655BK+//57nn/+eZ5//nmrQ2sXzj//fB588EFyc3Pp27cvS5cu5cknn+T3v/+91aFFpLKyMtatWxfc3rhxI8uWLSMlJYXc3FwmTJjAQw89RI8ePejRowcPPfQQsbGxXHHFFRZGLZFAfT3rqJ9nHfXzrKd+nrXUz2tdbaKfZ0qz/N///Z/ZuXNn0+l0mscdd5w5Z84cq0NqN4B6by+99JLVobVLI0aMMG+55Rarw2hX/ve//5n9+vUzXS6X2atXL/P555+3OqR2o6SkxLzlllvM3NxcMzo62uzWrZt59913m2632+rQItJXX31V79/7cePGmaZpmn6/37z33nvNzMxM0+Vymaeeeqq5YsUKa4OWiKG+njXUzwsv6ue1PvXzrKN+XutqC/08wzRNs/XSdCIiIiIiIiIiIm2D5jgTERERERERERGphxJnIiIiIiIiIiIi9VDiTEREREREREREpB5KnImIiIiIiIiIiNRDiTMREREREREREZF6KHEmIiIiIiIiIiJSDyXORERERERERERE6qHEmYiIiIiIiIiISD2UOBMREREREZGI8eWXX9KrVy/8fn/IzzVt2jQuuOCCkJ9HRKyjxJmItHtjx47loYceapVzHX/88bz77rutci4RERGRcHP++edzxhln1PvY/PnzMQyDJUuWNOscd9xxB3fffTc225F93PV4PKSlpfHAAw/U+/iUKVNIS0vD4/Fw9dVXs3DhQubNm9eckEUkjClxJiJhozU6Ugdavnw5H3/8MTfddNMRH+Odd97BbrezZcuWeh/v1asXN998MwB//etfueuuu1rlG1ARERGRcHPVVVfx5Zdfsnnz5oMe+/e//83AgQM57rjjjvj43377LWvXruXiiy8+4mM4nU6uvPJKpk+fjmmaBz3+0ksvMXbsWJxOJy6XiyuuuIKpU6ce8flEJLwpcSYiYSPUHan6TJs2jYsvvpiEhIQjPsYFF1xAamoqL7/88kGPffPNN6xevZqrrroKgHPPPZfi4mJmzJhxxOcTERERaavOO+880tPTmT59ep39FRUVvPXWW8E+0+zZszEMg48//pgBAwYQHR3NiSeeyIoVKw55/DfffJPRo0cTHR0d3Dd58mQGDhzIv//9b3Jzc4mPj+e6667D5/Px6KOPkpmZSXp6Og8++GDwOVdddRXr169n7ty5dY7/9ddfs3bt2mCcEOgLvv/++1RWVh7pZRGRMKbEmYiEjaZ2pGbMmMGgQYOIiYnhtNNOY+fOnXz66af07t2bxMRELr/8cioqKho8n9/v5+233z5oXoouXbrwwAMP8Nvf/pb4+Hg6d+7MBx98wK5du/jFL35BfHw8/fv3Z9GiRQA4HA7Gjh1b77eS//73vxk8eDADBgwAwG63c8455/DGG28093KJiIiItDlRUVH89re/Pajf9Pbbb+PxePjNb35Tp/3tt9/O448/zsKFC0lPT+eCCy6gurq6wePPnTuXIUOGHLR//fr1fPrpp3z22We88cYb/Pvf/+bcc89l69b/z959h0dVpv8ff09Pb6RDQpeuVGkKogI2LGtDVxRFXL+CrovurrjuLroq64rKrmvdVdCfZe0VCyiKIqiAoFIEkRYgIRBCJnXq+f0xYSAwgaBJTsrndV1zMeec+zxzz4RMztzzlO0sWrSI++67jzvuuIMvv/wSgD59+jBo0CDmzJlTo52nn36aE088kd69e4f3DRw4EJ/Px9dff/2zXhMRadpUOBORJuNYL6RmzJjBv//9b5YsWUJeXh6XXHIJs2fP5oUXXmDevHksWLDgiN3mv/vuO/bt2xfx4uqhhx5i+PDhrFy5krPPPpsJEyZw5ZVXcsUVV/DNN9/QpUsXrrzyynCekyZNYtOmTSxatCjcRnl5OS+//HKNbyQBTjzxRD7//POf9RqJiIiINHfXXHMNW7Zs4dNPPw3ve/rpp/nVr35FcnJyjdi//vWvjB49mj59+vDMM8+wa9cu3njjjVrb3rJlC9nZ2YftDwaDPP300/Ts2ZNx48YxatQo1q9fz+zZs+nWrRtXX3013bp1q5HTNddcw6uvvkpZWRkAZWVlvPLKK4dd28XGxpKUlMSWLVuO/cUQkSZPhTMRaVKO5ULq7rvvZvjw4fTr149JkyaxaNEiHnvsMfr168fJJ5/MRRddxCeffFLrY23ZsgWbzUZ6evphx8466yx+85vf0LVrV/7yl79QWlrKoEGDuPjiiznuuOP44x//yLp169i1axcAPXv2ZPDgwTW+lXz55ZcJBAJcdtllNdpu27Yt27Zt0zxnIiIi0ip1796dYcOG8fTTTwOh3mCff/4511xzzWGxQ4cODd9PSUmhW7durFu3rta2KysrawzT3K9Dhw41pubIyMigZ8+eNRYQyMjIoLCwMLx92WWXEQwGeemllwB46aWXMAyD8ePHH9Z+dHT0EUc6iEjzpcKZiDQpx3Ihdfzxx4fvZ2RkEBMTQ6dOnWrsO/ji51CVlZW4XC4sFstR24ZQl/1D9x3c/qRJk3j11VcpLS0FDhT8kpKSarQdHR1NMBjE4/HUmpuIiIhISzZp0iRee+013G43c+bMoX379px22ml1OjfStdt+qampFBcXH7bf4XAc1kakfQd/sZmYmMhFF10U/mJ0zpw5XHTRRSQkJBzW/t69e0lLS6tT/iLSvKhwJiJNTl0vpA6+2KnLxc+hUlNTqaiowOv1HrXt2vYd3P748eOxWCy89NJLbNy4kcWLFx/WlR9CF1YxMTFER0fXmpuIiIhIS3bJJZdgs9l44YUXeOaZZ7j66qsjFsT2zzkGUFxczIYNG+jevXut7fbr14+1a9fWW56TJk3iiy++4N133+WLL76IeG33008/UVVVRb9+/ertcUWk6VDhTESanLpeSP1Sffv2Bai3i6v4+Hguvvhi5syZw9NPP02nTp045ZRTDotbvXp1va8OKiIiItKcxMXFcemll3L77bezc+dOJk6cGDHurrvu4uOPP2b16tVMnDiR1NRUzj///FrbHTt2LIsXL663PEeOHBme27ZLly6MGDHisJjPP/+cTp060blz53p7XBFpOlQ4E5Emp64XUr9UWloa/fv3r9eLq0mTJrFkyRIee+wxrrnmmogFv88//5wxY8bU22OKiIiINEeTJk2iuLiY008/ndzc3Igxf//73/ntb3/LgAEDyM/P5+2338bpdNba5hVXXMHatWtZv359veV5zTXXUFxcHHHqEIAXX3yRyZMn19vjiUjTosKZiDRJdbmQqg/XXXcdzz//fL21d9JJJ9GtWzfcbjdXXXXVYcd37NjBkiVLuPrqq+vtMUVERESao6FDh2IYBh9++GGtMSeddBKrV6/G4/Hw9ddfc8IJJxyxzeTkZKZOncqDDz4Y3jdjxgxWrVpVI27u3Lm8+eabNfZ9+umnzJ49+7A2p0+fjmEYTJ8+/bBjq1evZtWqVfzf//3fEfMSkebLYhiGYXYSIiJmqaqqolu3bvzvf/+rsWpTQ/n9739PSUkJTz75ZIM/loiIiEhz9emnnzJq1CiKi4sPW2jpaEpKSnjkkUf44x//iM1ma5gEq82fPx/DMBg7dmyDPo6ImMdudgIiImaKiori2WefZc+ePY3yeOnp6dx6662N8lgiIiIirVFiYiK33357ozyWpt8QafnU40xERERERERERCQCzXEmIiIiIiIiIiISgQpnIiIiIiIiIiIiEahwJiIiIiIiIiIiEoEKZyIiIiIiIiIiIhGocCYiIiIiIiIiIhKBCmciIiIiIiIiIiIRqHAmIiIiIiIiIiISgQpnIiIiIiIiIiIiEahwJiIiIiIiIiIiEoHd7AQaQzAYZOfOncTHx2OxWMxOR0RERJoBwzAoLS0lOzsbq1XfNTZVus4TERGRY3Us13mtonC2c+dOcnJyzE5DREREmqG8vDzatWtndhpSC13niYiIyM9Vl+u8VlE4i4+PB0IvSEJCgsnZiIiISHPgdrvJyckJX0dI06TrPBERETlWx3Kd1yoKZ/u77SckJOiCSkRERI6Jhv81bbrOExERkZ+rLtd5mrBDREREREREREQkAhXOREREREREREREIlDhTEREREREREREJAIVzkRERERERERERCJQ4UxERERERERERCQC0wtnM2fOZNCgQcTHx5Oens7555/P+vXra8QYhsGMGTPIzs4mOjqaU045hTVr1piUsYiIiIiIiIiItAamF84WLVrElClT+PLLL1mwYAF+v58xY8ZQXl4ejvnHP/7Bgw8+yL///W+WLVtGZmYmo0ePprS01MTMRURERERERESkJbMYhmGYncTBdu/eTXp6OosWLWLEiBEYhkF2djY333wzf/zjHwHweDxkZGRw33338Zvf/OaobbrdbhITEykpKSEhIaGhn4KIiIi0ALp+aB70cxIREZFjdSzXD/ZGyqnOSkpKAEhJSQFg8+bNFBQUMGbMmHCMy+Vi5MiRLFmyJGLhzOPx4PF4wttut7uBsxYRqZtg0GDHvkq2FJXj3/o10QVfQ9lu8Fdg81ViC1RiC1RhC3r4T8KN7LRlEwganFK5gDMr38FiGFgJYDWCwIHvPe6L/h0/2roAcKrvU37teaX6yOHfjTzkuoHv7b0AGOH7gqu9z9ea779d17Lc3h+Awb7l3OB5qtbYJ1wTWWIfDEA//3fc7Hms1thnnJex0DECgJ6BH/hj1T9rjX3ReSEfOE4HoHNgE3+pur/W2Nec43jbcRYAOcHt3F15T62x8xxjeNl5AQDpwd3cX/mXWmMXOE7hOeelACQaJfyr4rZaYz+zD+Mp1wQAooxKnqiYVmvsV/YBPOq6FgCrEWBOxdRaY1fa+jA76obw9n8rbsJh+CLGrrV1476om8Pbj1TcSpxRHjH2J1tH7or6Q3j7oYrbSTGKI8Zut7blT9F3hLf/XjmDrOCuiLGF1lR+H/238PadlTPpENwWMbbEksBNMfeFt/9U9QDHBTZGjK2yRPGbmIfC2/FGKdeM7s95fdtGjBcREalvS38q4tFPN7KvwseYHmlcd0oXXHab2WmJSANpUoUzwzCYNm0aJ510Er179wagoKAAgIyMjBqxGRkZbN26NWI7M2fO5M4772zYZEVE6iDg97N26TzK13xIyp5lXF01jR3+0Dcat9pfYqr9rVrP3bQ9n++NaAAG2/Lp6ohcSADYs3cfG40yAIbbimjvyKs1trikhJ+CoSLKAFsxuY4dtca6S0rYVB3by1pMjnNnrbHl7uJwbEfrviPGVpYVsykQis20lhwx1lu2NxybaHGT46o9NlBWFI51WEqPGGtUFLHJHYr1HyXWWrEnHJtGGTlRtcfaK/ewqTQUG0vlEWNXVeWGY60Ejxi73pPBprIDxa+2rp24LJELZ9s8yTViM135JFvKIsbu8cbUiE1z5ZNt2RsxttxnY9NBUym0cRaQY82PGBv0+WvEJjkLyLFGfn5RwXI27TkQG+/cVWtsWTCqRmwKZZRW+SPGioiI1LeP1+1i8rPLCRoABlMKZ/D89ydy+dS/EeVQ8UykJWpSQzWnTJnCvHnzWLx4Me3atQNgyZIlDB8+nJ07d5KVlRWOnTx5Mnl5eXzwwQeHtROpx1lOTo668ItIoykt2cvq1/5O522vkM6BIsTl3ttZbjme9m1iONOxghG+L/BHtcFwxYMjBqszdLM4o9mbcRKBqGSsVgtxZduIK9+ExWLHYrNisdjAasVqCU1VWZ7Sk4Az9P7mLM/HVXqgZ4/lkNwqkrsRcCUB4KjcTZR7c3XgoZFQldgFf1SoB7C9qoho96Zan3NVQkf80akA2KqKiS6pvdDniW+PLyY9FOtxE71vfe2xcTn4YjMBsHpLiSn+odZYb2w23rhQzyOrr4KYvbUvJOONycIbH/pbY/FXEVv0fa2xvuh0PAntQ7EBL7F7vj1CbCqehI6hjaCfuN0ra431u1KoSuoc2jAM4gqXHyE2iaqkruHtuF3LidSjECDgjKcyuXt4O7ZwJRYjcnEp4IilMqXngdjdq7AEIxfkgvZoKtr0Dm/H7Pkea6AqcqzNRUXq8Qdii9Zg9VdEjDWsdsrT+oW3o/euw+aLXOgzLDbK0/uHty1BH7mpiaQnREWM/yU0BLB50M9JRBpLSfFefvjnuTzkPY82vU/jgqSfOP3rUM/x/5dzFxMm/dbkDEWkro7l+qHJFM5uvPFG3nzzTT777DM6duwY3r9p0yY6d+7MN998Q79+By6qzzvvPJKSknjmmWeO2rYuqESkMa1490k6Lb+LZEILmBQTz49JJ2PpNJKMfmfTtm07bNbDi1Qi0rTo+qF50M9JRBrLF/+5heE7/stOSyapt32H0+lk50s3k/3DXPYZsaw5/0OG9+tjdpoiUgfHcv1g+qqahmEwdepUXn/9dRYuXFijaAbQsWNHMjMzWbBgQXif1+tl0aJFDBs2rLHTFRGplT8Q5J/Pvc6A5b8nmVLyLNksH3g/Mbdt4MSbX2TQudeTm5OjopmIiIhIM1NVUUbPHS8BUHjiH3C6XGCxkH3xLPJjupFkKads3h1U+QImZyoi9c30Oc6mTJnCCy+8wFtvvUV8fHx4TrPExESio6OxWCzcfPPN3HvvvXTt2pWuXbty7733EhMTw+WXX25y9iIiIf5AkCkvfMOHa1xE28+mT8e2DJxwNzlOl9mpiYiIiMgvtHbhC/SnlALS6H36hAMHbA4SL3kE5p7OaN8i3ls4n3PGnmleoiJS70wvnD32WGjVtVNOOaXG/jlz5jBx4kQA/vCHP1BZWckNN9xAcXExgwcPZv78+cTHxzdytiIikd3z7mo+XLMLp91Kh8seZGivTLNTEhEREZF6YlsXWtBpU9tzyHQ4axyL6TCIzZln0rHgfZK/uh/vaWNx2k0f3CUi9cT032bDMCLe9hfNACwWCzNmzCA/P5+qqioWLVoUXnVTRMRs37z3NGesmEwybv55aV/GqGgmIiIi0mJ4KkroVvYVAMkDL44Yk33+nQSxMCDwHfOWrmrE7ESkoZleOBMRac727c6nw9d/ZbD1B/553Pec2Sfr6CeJiIiISLOxcflHRFl87CSNbscPjRjjyuzGZz3/xsmef/LoslKayBp8IlIPVDgTEfkF1r98Bym42Wxtz5Bf/8XsdERERESknq3bVcHSQE82JQ3Daqv9I3T/c6+n3NmGHwvLWLqpqBEzFJGGpMKZiMjPVLDtR/oVvglA+al343RFmZuQiIiIiNS714q7cpnvDrYO/dsR4xKiHPyqf1sAXl68tjFSE5FGYPriACIizdXWt+8l0+JntbMvvU861+x0RERERKSeef1BvtlWDMDgjilHjb+mt52zVtxN7qZCduz9lrYpcQ2doog0MPU4ExH5GcpL99Fr9/sAGCdNMzkbEREREWkI67fk4fK7SY5x0Dnt6EWwTu070seeRzvLHr6e/79GyFBEGpoKZyIiP8OaD/9LnKWSbZZseg0fZ3Y6IiIiItIAvMv/H99FXccD0XOwWCxHP8ERRWHniwBI3/ACgaAWCRBp7lQ4ExH5GR4u6M1dvgn81OOGI04SKyIiIiLNl3XXdwDYktvV+Zyc0f8HwNDAN3y1alVDpCUijUif9kREjlFBSRWfbw/wdOBMep4x2ex0RERERKSBtHGvA8CV07/O5zgzurEpfiBWi0Hx5081VGoi0khUOBMROUYfrikAYED7ZDIStJKmiIiISEsUqCqjrT8PgMxug4/pXNfgawAYsPdd9rjL6z03EWk8KpyJiByjpCX3cLntY8Z1izU7FRERERFpIPkbV2GzGOwxEsnJ7XhM57YdcjH7LIlkWopZseClBspQRBqD3ewERESak8ryUs4sfY3zHAHycq82Ox0RERERaSDF21bTDsh35JBqrcPCAAezO1nf87e8uHIPG7a0Y4xh1G1xARFpctTjTETkGGxcsQCnJUABqbTr1MvsdERERESkgXgLNgBQEntsvc326zHuJj6wjWDtbh/fbNtXj5mJSGNS4UxE5BiU//AJANsSB2Gx6i1URFqvmTNnMmjQIOLj40lPT+f8889n/fr1NWImTpyIxWKpcRsyZEiNGI/Hw4033khqaiqxsbGce+65bN++vTGfiohIRKs4juf9p7Ev66SfdX5ClIOz+mQB8PKyvPpMTUQakT71iYgcg5TCL0N3Oo4wNxEREZMtWrSIKVOm8OWXX7JgwQL8fj9jxoyhvLzmJNhnnHEG+fn54dt7771X4/jNN9/MG2+8wf/+9z8WL15MWVkZ55xzDoFAoDGfjojIYd7zHM+f/JMI9jj3Z7dxWd9UrrXN44Lvr6essqoesxORxqI5zkRE6qiyvIxOvo1ggZx+p5mdjoiIqT744IMa23PmzCE9PZ0VK1YwYsSBLxdcLheZmZkR2ygpKeGpp57i//2//8fpp58OwHPPPUdOTg4fffQRY8eObbgnICJyFJt2lwHQKfXnLwg1sGMbjnO8TSKlfPrRK5wybkJ9pScijUQ9zkRE6mjr2i+xW4IUkUhmTlez0xERaVJKSkoASElJqbH/008/JT09neOOO47JkydTWFgYPrZixQp8Ph9jxowJ78vOzqZ3794sWbKkcRIXEYmgeO8esit/JJoqOqX9/MKZxRHN1pzzAIj+7lkMw6ivFEWkkahwJiJSR4Vb1hEwLGyP7q75zUREDmIYBtOmTeOkk06id+/e4f1nnnkmzz//PAsXLuSBBx5g2bJlnHrqqXg8HgAKCgpwOp0kJyfXaC8jI4OCgoKIj+XxeHC73TVuIiL1bc/aRcxz3c7b0XcS4/xlA7VyR98AwEDvMtat/6E+0hORRqRPfiIidfSmcTJ9PE/xda87zE5FRKRJmTp1Kt999x0vvvhijf2XXnopZ599Nr1792bcuHG8//77bNiwgXnz5h2xPcMwsFgsEY/NnDmTxMTE8C0nJ6fenoeIyH7luzYBsM+V/YvbSsrtxcaYE7BZDLYvfPIXtycijUuFMxGROlq9o4QKoujUuZvZqYiINBk33ngjb7/9Np988gnt2rU7YmxWVhbt27fnxx9/BCAzMxOv10txcXGNuMLCQjIyMiK2MX36dEpKSsK3vDytVCci9S+4dysAVTFt66U964CJAPTe9RYl5VokQKQ5UeFMRKQOKrx+NhaGJojt0zbR5GxERMxnGAZTp07l9ddfZ+HChXTs2PGo5xQVFZGXl0dWVhYAAwYMwOFwsGDBgnBMfn4+q1evZtiwYRHbcLlcJCQk1LiJiNQ3e2moKB9IzK2X9jqOuAy3JY5sSxHLPnqlXtoUkcahVTVFROogb90yXnTcxVpbD9ITzjY7HRER002ZMoUXXniBt956i/j4+PCcZImJiURHR1NWVsaMGTO48MILycrKYsuWLdx+++2kpqZywQUXhGMnTZrELbfcQps2bUhJSeHWW2+lT58+4VU2RUTMEFe5EwBH6tG/FKgLiyOare0vZvNPP/DeBj+nHWFIuog0LSqciYjUgXvzSgZbfyDe4TA7FRGRJuGxxx4D4JRTTqmxf86cOUycOBGbzcb333/Ps88+y759+8jKymLUqFG89NJLxMfHh+Mfeugh7HY7l1xyCZWVlZx22mnMnTsXm83WmE/nqILBIDt37iQ+Pl4fdkV+IcMwKC0tJTs7G2sTXXApxRf6MiA+o1O9tdl+/P1ceu/HVBQF+OzHPYw8Lq3e2haRhqPCmYhIHfgL1wFQGt/Z5ExERJoGwzCOeDw6OpoPP/zwqO1ERUXx8MMP8/DDD9dXag1i586dWohApJ7l5eUddW5EMwSrSkkitGJvStsu9dZuQpSD8YNyefqLzfzns00qnIk0EyqciYjUQfS+jQAYqd1NzkRERMywv5dcXl6e5lUT+YXcbjc5OTk1ep82JXvKPDztG0+GdR8T0tPrte2rh3dg0dKljN3yNOt+mkWPzvUzFFREGo4KZyIidZBauRmA2Ha9TM5ERETMsH94phYkEKk/TXXYc165lccD59I2PpqrbfU7lDQnJYanE56kfdUPvP/+bHpM/We9ti8i9a9pDigXEWlCvFUVZAVD81xkdO5rbjIiIiIi0qC2F1cC0DY5ukHatwy7EYDBu19lW35BgzyGiNQfFc5ERI5i5+a12CwGbiOGtMymNw+HiIiIiNSf0h0/0N2yjc4JR57L8efKHT6efHs7UixlrHnt7w3yGCJSf1Q4ExE5il2FBewykii0Z2Fpois/iYiIiEj96LPxcT5w3cYZle81zAPY7PhGTAfgpN3/Y+OWrQ3zOCJSLzTHmYjIUXxv7cmlnkcZ1zWdpr3mm4iISOtmGAZ7y71sL66kqNyDdc8GAhX7CAYCWFyxWKMTccYm0qZNOlnJsSRGO5rsPFtiHldlIQDWxOwGe4zcky5n2+KHyPVu5Ms37qTL755usMcSkV9GhTMRkaPYP89Fdps4kzMRERGRg5WUlrJu2cdU/vg5UXt/oLKqims808LHX3LexWDrD4ed5zHsbDfSGGHMokNGEt0y4umb7KVzbjtO6JBOtNPWmE9Dmpg43x4AXCltG+5BrFZso2fAvCs4Zd+bfLPsWvoPGtZwjyciP5sKZyIiR5G3twKAnOQYkzMRERGRkn17Wfvxc0RveJPuVd8xxOILH/Niw46fNgmxpMW78JVnUugvwcCKM1hJTLAcFx5cFj8JVOD2WvhuewnfbS/hbMd99LOu4XujM5vi+uNrfxK5x5/CiV2zcNlVSGtNkgOhwllcWm6DPk7bQeP4fsnpfLw7gfc/LePtfgH9XxNpglQ4ExE5it9s/yOTHJU4rLOA9manIyIi0ir9uKuUxxdt4uzVN3Oq9ZvQTgsUWZLZkTQQsvqS0qkva/qcjssVVX3WyYc35PdCWQEJJYV8FN2dDbtKWV9QSvevi3D5/Ay0rGdgxXpY9yJVax18RS82p59O1IlXMap7OunxUYe3KS1GsNJNLFUApGTmNPjjtb/uRZ5/8DN2F3l45JOfmDb6uAZ/TBE5NiqciYgcgREM0tu3mhibh7ykWLPTERERaXW2fv85/1hhYd4PJQAErYPpElXIrvbn0m7YJWR16UubY5mnzO6EpFxcSbl0Abqkx3FWnyw4fTVG0U8Ur/2EsvULSdr1JQn+vYxgFa5dVVz6Wn8sFhjSsQ0X9YzltP7dSIpxNsyTFtOUFG4jGXAbMbRJTmnwx0uIdvKXc3py44sreXLhGk7L9nFCr14N/rgiUncqnImIHEHxnnxSLB6ChoW0nK5mpyMiItJq7MvfxNaX/8AJxQvo4LsEi+V8xvbMZMKI35OTcy+59b3StcWCJbULKSO6kDJiMhgGRuFaCpe9yd7SRE4oSuTb7SX8sGkz5+yYysr5x7Eu7UzSh1zKaX27EOXQELuWYH/hbI8lhU62xllNfdwJ2Xz33Uou+PGvOF61sDf7E1IaoWgnInWjwpmIyBHs2f4jKcAeSzLp0epxJiIi0uCCQda+/SAdV/2DEwh9eTWwjZcFV4ykS3ojLtRjsWDJ6EXGOb04EziT0Lynaz5+DtcaP0MsaxlStJaqd//Fp/MGsbvzhQwYdSE92yU3Xo5S7/It6bzkG09CYiL/14iP+9szj8f70z5SjBJWPHYxMbe8R5TL1YgZ1I3PH8DnrQTDAIsFsGCxWEP/Wi1YrDZsVis2q0Ur1kqLocKZiMgRlBZuA2CfPY10k3MRERFp6cp2bWbnM9fQsyI0h9n3tp4Ex85k1ImnmJtYtZyUGHIuvg5Gn0HR0ufhu5doU7mZM1gCPy1h+8aZ3Jp4G32HjeHcvtkkRDnMTlmO0dZgOo8FzmVUWlqjFs7iUnPIu/D/Ef3aRQzwLmfZPy+iz40vERXdsItTGYbBnt0FFG1cTmn+BoJ7t2Gt2I3Ds5cobzFxgX08bL2C9/wnUukLMIIVPO2cVWt7d/omMCdwJgADrBt41jGTIFaCWPFjI2gJ3Q9g43nb+bzpOAurFTqyk79UzSJosRHEimGxEsRG0GLDsFj5PPpUFseOxWa1kBIs4sp9jxIq2lXX7w7yfexQvkoYC0BsoITLCh+K8MRD/6yLGcDixHEAuIIVXLnrH7U+t43Rx7Mw8VcA2Awfk3bdXWvsZlcPFiSPr34og+sKZmDd/6CHyHN2Zl7KleHtSbvuwRH0RIzNd+byZsq14e2rCv9BTLAsYuxuRxavtDnwv/iK3Q+RENgbMbbYlsoLqb8Nb19W9G9S/LsixpZZE3gm7dbw9kV7nyDTtz1ibJUlmv+m3x7ePn/v07Tzbo4YG7DYeDR9Rnj7nH3PsdPRnnbDL+W8vg24wm0dqHAmInIEvuIdAJS7VDYTERFpSNtXLiDhras5jlIqDBeLO97IyF/fhsvRBItPSbm0OXM6nHEbgZ2rKFg0h6SNr5MeKOaTwlhefXM1d89by697RnHBSSfQO0fD7pqLwtJQwSIjofEXgcjpM5L1ex+h48LrGVTxGd8/dCZZ1zxHan0tUmAYFO/YwPbVi/nS056Fu+JYvaOEEb7FPOL8V62n2aqKKQ34AfBYj/z7GODA8Fa7ESDWErkABBCoKmVHeSUASZZiurgiF1QAPqnoyNJdRQB0tuxgoOvzWmNX7Ivjva0nAJBFEfdHfVZr7LoSB+/nDQrlQCmzjxC7xR3kg+3DAHDh5ZGo2nPY5a7ig52nhLcfdS3GZolcOHO73XyYPza8/YBrCXGWqoixvrI9zC84UNC6x/UlaZaSiLHfBzuwYNeB2DucX9PeWhgx9qdgFh/tPhB7q3M53a15EWN3Gil8tOdAO1OcK+lr3RgxttiI46OiA7FXO76lr21NxFiP4eDjHw7EXub4ln1BL7495RHjG5PFMIzIP70WxO12k5iYSElJCQkJCWanIyLNyJInbmRY/rN8lXYRg6c8ZXY6ItKIdP3QPDTWz0n/HxrWgrW7+PdL7/ACt7PFmoNxwZP0Pn6A2WkdG18lJRu/5JU97XlpWR4/FpbxkvMu2lr28FncmaScfC2jBvbBZddcaE359+nfz7/Gu6sLOWvkMG464wRTcli3+E3aL7iOGIuHIhJZfdr/Y8RJI4556KO/bC/bVy9m349LcOxaRduyNSThBuBvvl/zVOBsAHpat/CY6xGKXW2pjM0hGJeOLS4NV2I60YnpWFKPw5mYTrTDRrQtiMOowoIVMDAMA4wgYBAMGgTtUQRtUQSCBgFvJZQVEPT7CQYCBIMBggFf6H7AhzcmE090BgHDwFJVQlThKoyAHyPoxwgGDtwCPkrij2NfwnEEgwbWqmLa7nifoBF6/EMrGsXxx7E7uR8Adn85nXa+W+trtC+uM4VtQoUza8BDlx1v1hrrjm1PQZshAFiCfrpsf53afiJl0W3JTxse3u667ZXDg6pProjKYGf6iPDuTnlvYDX8EdutcqWyPWMUluqTO+x4B1sgcpHN40xme+bp4e32O9/HHiiPmLPXEUde1hnh7Zz8+Th97ojtBmzRbG17dni77a6FuLzFEWODVidb2oZ69FkskFX4GVFVeyLGGhYrW3LOD29n7F5ClSuVdt0H0bttYsRzfoljeR9qNoWzRx99lPvvv5/8/Hx69erF7NmzOfnkCMtLR9CU35hFpGn78N830m/32/zU5SqGTrjL7HREpBHp+qF5UOGs+Xvhq23c8eb3BA24rO1upl1xAWnJ9f8hqTEZhsE36zfT/eWRxAZDHz59ho1PrSeyt8cETh59AdnJDTsErylryr9PG2cOo4tnDZ/1e4AR51179BMayNa1ywi+OonogJtTPA/SpW0al52Yy+isKtLbdQHrQQXYYJBAWSE791Xy7T4X320vwb1pGX/fc+Nh7XoNG5tsnfg280KCfX9N35wkOqbGanELaXWO5X2oWQzVfOmll7j55pt59NFHGT58OE888QRnnnkma9euJTc31+z0RKQFe8b1a37jOYPZvfuanYqIiEiLYviqWPefSbyaN5CgcRzjB+Vw1/ln4miklQwbksViYUD3TjB9A+4Vr1L2xZNkl37HaGMprF3KxtV383jWZPqMvpJhndtoEvUmJMYX6jkTnZRlah7tew6i6vdLefWDhVi+cbB6h5s73viOca7r8Fs87LMm4bc4sRs+EoL7cOLnU//p/Nl/DQAu4rnLZaPAksr2mJ54MvqT0GUIXfoMpXtCPN1NfXYizUuzKJw9+OCDTJo0iWuvDVX8Z8+ezYcffshjjz3GzJkzTc5ORFqyAneo63N6QtNb1UhERKTZ8lWy9ZHz6LnvK55wfs4LQ97hpjP6tLwCkiOahCETSBgyAd+Ob8n/6BHSt7xFF+tOHs7bzd//+xWd0mK5cnA7LhjQnsToJjifWyuTENwHQFwbcwtnAFHRsVxxwTjOHO3hjZU7WLJiFdbiIHYCpAaLasQGDAsZ1hKOb5cYurVNYlvG93Rql02utYX9Xok0siZfOPN6vaxYsYLbbrutxv4xY8awZMkSk7ISkdai0B2a0DTThAliRUREWqSAj82PXUzHfV9RYbhY3v/v/PZMc+aSakyOtieQe9WTUDWLXUueJ3nfYGJXFbJpdzk735/FtgVfsT7nEnqNuYYeuRlmp9sqGb5K4qgAIDE12+RsDmgT5+Lakztx7cmdKKs8m7VbN1G2Zztevw+H3YUzIZWMth05LTmeMSqSidS7Jl8427NnD4FAgIyMmn88MjIyKCgoiHiOx+PB4zmweofbHXlSOxGRIykr3cc7xo3scqaQETvK7HRERESav2CAjU9eQZe9n1NlOPi4/78Zd94lZmfVuKISyDj1/5gB3HKWjze/2c7JH/2RDsE8+mz/OyVP/Yt3Y0cTPXQyJw0dpsUEGlFZ8S7iCc0DlpKSanY6EcVFu+jZvQfQw+xURFqNZjOBwKHdtg3DqLUr98yZM0lMTAzfcnLqafleEWlV9uZvoaN1F70tW4iNab0T+IqIiNQLw2DLc1PpsusDfIaN+b3vb31Fs0PERzmYMKwj7ad9wrb+f2SPI4tESwXnVLzFaR+fw/d3n8wbLzzO9uIKs1NtFUp37wRgL4lEOZt8HxMRaSRNvnCWmpqKzWY7rHdZYWHhYb3Q9ps+fTolJSXhW15eXmOkKiItjLsw9N6x15ZiciYiIiLN35ZP5tBh0wsEDQtvdPwL4y6aaHZKTYYlLo3cc28ndfpa9l3wIptSRhDAykDWYF33FiP+8QnXPrOcRRt2EwwaZqfbYpUX5wPgtiaZm4iINClNvozudDoZMGAACxYs4IILLgjvX7BgAeedd17Ec1wuFy6XJvIWkV+mqjj0rWOpvWl21RcREWkuduyr5NeL0/hT4ETKUk/gwit/2/IWAqgPVitJJ5xF0gln4d+7lY3zH+Obom4E8+CjdbvY8sMKZkS/wp7uVzBo9MW0TY41O+MWpcDeltd944lPTuU4s5MRkSajyRfOAKZNm8aECRMYOHAgQ4cO5cknn2Tbtm1cf/31ZqcmIi2Yv3Q3AJUu9TgTERH5uTz+ADc8/w07Kqw8knkHL/9mGDZNYH5U9pT2dBn/d+4EJhSW8fxXW+m64llOCi6DtcvYtuZuXkoaR/LQKxkx4HiiHJoL7Zfabs3mscC5nJ6WbnYqItKENIvC2aWXXkpRURF33XUX+fn59O7dm/fee4/27dubnZqItGTlocJZQIUzERGRn8dXxbv/72G+zetBYrSTx68cRGyUw+ysmp0u6XH8dVwvqgbeycYF6WRtfp1cdpNb8jTB9+fw9Qe92Zl7LseddjW9clPVm+9nKioLLTDXJlajl0TkgGZROAO44YYbuOGGG8xOQ0RaEWtlEQDBGA3VFBER+Tk2v3AzF257EZ/9FDIu/Q85KVps55eIyupOlysfBu997P36f1R8/Qzt3KsYwvfs3rqNIY91p31qAuNOyObcE7LonB5vdsrNinXPOnpa8siMijyXtoi0Ts2mcCYi0thKAk7yjRSIzzQ7FRERkWZnz9cv03HziwA4+lzAqO4a/lZvnDGknHQNKSddQ6BoM3mLnmHlzkrsBQ427Snn4Y/XM/rzi3kvqjvBHuPoN+Jc2rZJMDvrJm/Uln9xg2sZiyruBAaanY6INBEqnImI1OKJqGtZ7rmQR7v3NzsVEZEW7dFHH+X+++8nPz+fXr16MXv2bE4++WSz05JfIFCcR/T7NwPwZsxFnH/xVeYm1ILZ2nSkw69m0AEY7fGzYG0BPy6dR+/CLfT2boFvP2Dfqj/wcdRQKrueTbdh59Ilq42Gc0YQ5SsGwJGgHmcicoAKZyIitSgq9wLQJtZpciYiIi3XSy+9xM0338yjjz7K8OHDeeKJJzjzzDNZu3Ytubm5ZqcnP4dhsOPZyeQa5XxrdGHA1Q9qMYBGEueyc0G/dnD8NbjXdWDXVy+TtuMjkoL7OM3zEaz+iNLvb+dvUf+Htc/FjO6ZwcAOKfr5VIvzhwpnUUkabSAiB6hwJiJSi/AEsXEqnImINJQHH3yQSZMmce211wIwe/ZsPvzwQx577DFmzpxpcnbyc+Qv+i+5xUvxGA52jnqIE9ISzU6p9bE5SOg9loTeYyEYoHjdIgq/foW07fNJCexhlTuBbxZv5r+LN3N69HouTvoRe7fRdBt4Ku1Sk8zO3hyGQZJRAkBsigpnInKACmciIhH4vB7eCP6Wvc54Uhwfmp2OiEiL5PV6WbFiBbfddluN/WPGjGHJkiURz/F4PHg8nvC22+1u0Bzl2ASrSolbNAOAt9pcw8UjNeTWdFYbyb1OJbnXqRAMUrF1OdeWZrNg3R4W/lDIqb7PGFv8CXz5ApVLnaywd2df+mBijxtJp74jSE9uHYXPYOU+HPgBSErNMjkbEWlKVDgTEYmgZE8Bna35dDAKsCS0jgtGEZHGtmfPHgKBABkZNecTysjIoKCgIOI5M2fO5M4772yM9ORneH7VXl6v+j1XOz/m5Al/0TxaTY3VSkzHEzkLOOv4dvgDQTYv2ce671xk71lKIiUMCHwH+d9B/n+o/NTJubH/5biOHRjUIZmBbaPpmJmKtQUO7SzbW0ACUGpEk5yghRRE5AAVzkREIigpKiAVKLHEk2KzmZ2OiEiLdmhxxTCMWgsu06dPZ9q0aeFtt9tNTk5Og+YndVNQUsU/3v+BUqMr5489n6zkOLNTkqOw26x0PfkSOPkSMAzKdqxh24oPCW5eTNuSb9gXdPHdXjvf7d3Oqyu28/8c9xJjzWdbdHdK25xAdM7xZHftT26HrthsVrOfzi9SsreQBMBtiaOtvXk/FxGpXyqciYhEULEv1NPBbU0kxeRcRERaqtTUVGw222G9ywoLCw/rhbafy+XC5XI1RnpyLLzl/Pu1hZR6rPTNSeKKIe3NzkiOlcVCXLve9GzXG7gFDANb0U7mFDlYvmUvyzbtpXfBVpItpWRVfQE7voAdwJdQYsSy1nU8r3T+O53T4+icFke3uHLaZufgdDaPuWL3WlN4wTee2NgYppqdjIg0KSqciYhE4CkpBKDcnmxyJiIiLZfT6WTAgAEsWLCACy64ILx/wYIFnHfeeSZmJsdq21t/489b/4vNfgXjf3WXVmlsCSwWElLbMioVRnVLB8BfuZat676kZONXWHeuJKl0A5n+HSRayvFXlvL6yh3h079y3QCUkmfNYK+rLeWxuZDYDldyNsH4diY9qdoVWtN5LHAufROTVDgTkRpUOBMRicBfuhuAKqcKZyIiDWnatGlMmDCBgQMHMnToUJ588km2bdvG9ddfb3ZqUkf+wg1krfkPDoufHt2Oo0eW5odqqezRCbTvPwb6jwnvC3iryPvpW2x73Pze35GfCsvYWriXpD3lOC0Bcoyd5FTthKplUBQ658OKbiY9g9oVV3gBSIpxmJyJiDQ1KpyJiERgVBYD4HcmmZuIiEgLd+mll1JUVMRdd91Ffn4+vXv35r333qN9ew31ay4KXrmFdvhZTD/O+NUks9ORRmZzRpHTYzA5wLCD9huBAgp3bqFw6zqqdm0kuHcT1rICoip3sdWXAywzKePIgkWb6WXZQlun5uYTkZpUOBMRiaA8YGenkYI3Ot3sVEREWrwbbriBG264wew05GcoW/8J7XZ/ht+wsvukGSTFav45CbHY7KTndCE9p8thx3Ldbn5z7wsmZFW7bpvmMs/1GotKrwZGmp2OiDQhWi5ERCSC9xPHM8zzb1Z3/T+zUxEREWmagkHcb00HYJ7zDM45dYTJCYn8fDbPvtCd6CQz0xCRJkiFMxGRCEoqfQAkRmueCxERkUiKvnqR7Ip1lBlRpJ7zFxw2fbSQ5stRXTizxrYxNxERaXL0101EJAK3CmciIiJH9Pm3P1BlOHgv4VKGn9DD7HREfpEofwkA9jgVzkSkJs1xJiISwc17/orLuRdr5YNAltnpiIiINCk/7S5j2tYh/N3oymMXnG52OiK/WEzADYArXoUzEalJhTMRkQi6+H8k3bqXH10Ws1MRERFpch5asIGgAb179KBfp2yz0xH5xeKDocJZVKIWhhKRmjRUU0QkgjijHICYhFSTMxEREWlati15hfzvPwVg2uhupuYiUi/8XmKoAiA+Oc3kZESkqVGPMxGRQ3g9VcRYPADEJ6q7voiISJivkoSP/8Brrr08kX03PbPPNjsjkV/M6/fzkG88SZZSxiepcCYiNalwJiJyCHfxbvb3M4tLTDE1FxERMYfH48Hj8YS33W63idk0HQULHyMzsJftRiqnn/trs9MRqRf7fFYeC5yL1QKTo51mpyMiTYyGaoqIHKK8pAgANzFY7fp+QUSkNZo5cyaJiYnhW05Ojtkpmc9XRfTXDwOwKOMqOmfqyyVpGUoqDqymbrVqflsRqUmFMxGRQ1S6Q4WzMkucyZmIiIhZpk+fTklJSfiWl5dndkqm2/PFMyQG9rLDaEO/cTeYnY5IvSndW0AvyxY6RZWZnYqINEHqSiEicoiKyip2GikU21PROmEiIq2Ty+XC5XKZnUbTEQzAkn8B8FnKJVyWo8VzpOVw/fQB81x3sNw/CPiV2emISBOjwpmIyCG2xfflV55/MzynDc+bnYyIiEgTULziNVK929lnxNL97ClmpyNSr/zlewHwOBJNzkREmiIN1RQROURJ5YF5LkRERAQ++mEPecE0Poo/j35dNN+btCxGdeHM70wyNxERaZLU40xE5BAqnImIiBxQWuXjzo2dqfQ+yJwzTzA7HZH6V7UPgGBUkqlpiEjTpMKZiMghem55ltec77PLfSFwvNnpiIiImOrl5dsp8/jpkp7IyT1zzU5HpN5ZPW4ALNFJ5iYiIk2ShmqKiBwioXwLA6w/khzcZ3YqIiIipgrs3kj+Z3Nw4uOa4R2xWCxmpyRS7+y+UOHMFpNkbiIi0iSpx5mIyCGsvnIALFFxJmciIiJiru0fPMAd3hc4IWoEp/cbZ3Y6Ig3C6SsFwBGbbHImItIUqXAmInIIh78MAGtUgsmZiIiImKiqhIxNrwNQ3uMSop02kxMSaRjvO0fjqDyOvqldzU5FRJogFc5ERA7hCFQAYFPhTEREWrH8T/9LllHFj8G2nDL2IrPTEWkwrxins81fwWvpKpyJyOE0x5mIyCFcgdBQTXuMCmciItJKBYPYv3kKgJVZl5CZFG1yQiINx10VWlE9IUorqovI4dTjTETkEK5gqMeZS4UzERFppUpWv0+adwduI4buYyebnY5IgzECPnI8PxJniSEhSh+PReRwemcQETlEheGi1IjGFZdkdioiIiKmKPn0YRKBT6LHcF6ntmanI9JgKvft4h3H7QQMC96oCWanIyJNkApnIiKHGOe/D48/yOKsXmanIiIi0uiC3iqK9rlpa1iwD7nO7HREGlR5SRExgJtYkrQAhohEoMKZiMhBfIEgHn8QgHiX5rkQEZHW54utpUyo+BOdXW7eGTbY7HREGlRlaTEAZZZYki0Wk7MRkaZIiwOIiByk3OMP34916VtHERFpfZ7/chsAw/v3Icap79mlZfOUFgFQYY01ORMRaar0l1BE5CDle7bzqnMGxZZE7LazzU5HRESkURVtWc036zYACVw+ONfsdEQanLcs1OOsyhZvciYi0lSpx5mIyEG8pbsZaN1Af8sGs1MREWmytmzZwqRJk+jYsSPR0dF07tyZv/71r3i93hpxFovlsNvjjz9eI+b7779n5MiRREdH07ZtW+666y4Mw2jMpyMHKXvrVhY7pnBz+kq6Z2p1aWn5/BX7APDY48xNRESaLNMKZ3W94Nq2bRvjxo0jNjaW1NRUbrrppsNiRETqi6esBIBKS4zJmYiINF0//PADwWCQJ554gjVr1vDQQw/x+OOPc/vttx8WO2fOHPLz88O3q666KnzM7XYzevRosrOzWbZsGQ8//DCzZs3iwQcfbMynI9UCe7eQU/wlTkuAHoNONTsdkUYRrNwHgM+uQrGIRGbaUM2DL7i6dOnC6tWrmTx5MuXl5cyaNQuAQCDA2WefTVpaGosXL6aoqIirrroKwzB4+OGHzUpdRFowT0WocFZlVeFMRKQ2Z5xxBmeccUZ4u1OnTqxfv57HHnssfB23X1JSEpmZmRHbef7556mqqmLu3Lm4XC569+7Nhg0bePDBB5k2bRoWTdTdqLYt/A8dMfiK3owcokUBpHXYGt2Tr/zjiEsaxHCzkxGRJsm0HmdnnHEGc+bMYcyYMXTq1Ilzzz2XW2+9lddffz0cM3/+fNauXctzzz1Hv379OP3003nggQf4z3/+g9vtNit1EWnB/JWh9xaPTYUzEZFjUVJSQkpKymH7p06dSmpqKoMGDeLxxx8nGAyGjy1dupSRI0ficrnC+8aOHcvOnTvZsmVLxMfxeDy43e4aN6kHwQBJP7wEQF6Hi4lyaIEcaR3WRfXj7/7L2Jo52uxURKSJalJznB16wbV06VJ69+5NdnZ2eN/YsWPxeDysWLGi1nZ0QSUiP1egunDmt2llJRGRuvrpp594+OGHuf7662vs/9vf/sYrr7zCRx99xPjx47nlllu49957w8cLCgrIyMiocc7+7YKCgoiPNXPmTBITE8O3nJycen42rVP5mg9J9u9mrxFHj1MvNzsdkUbjrvIBEB/lMDkTEWmqmkzhLNIFV6SLqeTkZJxOZ60XU6ALKhH5+YJVocKZz6EJYkWk9ZkxY0bECf0Pvi1fvrzGOTt37uSMM87g4osv5tprr61x7I477mDo0KH07duXW265hbvuuov777+/RsyhwzH3LwxQ2zDN6dOnU1JSEr7l5eX90qctwO4v5gKwyHUqPXPSzE1GpBE53VtpZ9lNkjN49GARaZXqvXBW3xdckS6aDMM44pwXuqASkZ/L6wtQZkQRUOFMRFqhqVOnsm7duiPeevfuHY7fuXMno0aNYujQoTz55JNHbX/IkCG43W527doFQGZm5mFfhhYWFgIc9uXpfi6Xi4SEhBo3+YV8VaTv+ix0/4TxmltOWpUrd/6Nxa7f0qV0mdmpiEgTVe+LA0ydOpXx48cfMaZDhw7h+0e64MrMzOSrr76qsa+4uBifz1frxRSELqgOnitDRKSuPk8bz5U/DOY3nTuiaZFFpLVJTU0lNTW1TrE7duxg1KhRDBgwgDlz5mC1Hv372JUrVxIVFUVSUhIAQ4cO5fbbb8fr9eJ0OoHQHLfZ2dk1rhelYW0s9nFx5UOcZv+W20acZnY6Io0qKlAKgCM22eRMRKSpqvfCWX1ecA0dOpR77rmH/Px8srKygNDFlMvlYsCAAfWduogIZZ4AALEuzXMhIlKbnTt3csopp5Cbm8usWbPYvXt3+Nj+FTTfeecdCgoKGDp0KNHR0XzyySf86U9/4rrrrgt/wXn55Zdz5513MnHiRG6//XZ+/PFH7r33Xv7yl7+o11MjenXFDopJYF/Xi0iNjzI7HZFGFRMsB8AVp8KZiERW74WzuqrLBdeYMWPo2bMnEyZM4P7772fv3r3ceuutTJ48Wd3yRaRBVHr9AMQ4tZqYiEht5s+fz8aNG9m4cSPt2rWrcWz/HGUOh4NHH32UadOmEQwG6dSpE3fddRdTpkwJxyYmJrJgwQKmTJnCwIEDSU5OZtq0aUybNq1Rn09rFggEeGPldgAuGtDW5GxEGplhEGeECmfRCW1MTkZEmirTCmd1ueCy2WzMmzePG264geHDhxMdHc3ll1/OrFmzzEhZRFqB0QX/4XzHagLuG4BOZqcjItIkTZw4kYkTJx4x5owzzuCMM844alt9+vThs88+q6fM5Fhte/NO/l31Ps9GX8ip3c80Ox2RxuWrxEHoS9PYRBXORCQy0wpndbngAsjNzeXdd99t+IRERIDcynX0sX3L8kCx2amIiIg0LMMg9odX6WjdwbZcO057va8bJtKkVZXtJQrwG1bi4zWiSUQi019HEZGDOIJVAFhdsSZnIiIi0rCqNn9Jum8H5YaLriMvMzsdkUZX7i4CwE2M5rcVkVqZ1uNMRKQpcgQrAbCrcCYiIi1c/udz6QgsdgxlTMdss9MRaXSlRgyv+M/BZncw2aoFSUQkMhXOREQO4gx6AHBEx5mciYiISAPye0nbOg8A93EXaRVTaZWKban83X857eKjmWx2MiLSZGmopojIQVxGaKimI0qFMxERablK1y0gLlhKoZFEvxHjzE5HxBTuqtDCAAlRGqYpIrVT4UxE5CBRhApnrhgVzkREpOXas/RFAJZGnUyXzCRzkxExSZV7D+0su0l3esxORUSaMA3VFBE5iMUwwAKu6HizUxEREWkwb1Yez/GB7QR7/crsVERMk7rxNRa77ufLstOA081OR0SaKBXORESqef1B+niewkKQVSntzE5HRESkQRSUVPGvgl4YRi++GHGq2emImMdTCkDAoUWhRKR2GqopIlKt0hsAwMBKjEvfK4iISMv07nc7MQwY1CGZtknRZqcjYh6PG4CAU1N0iEjtVDgTEalW4QtNEGu3WnDY9PYoIiItkLcc69KHaWfZzbl925qdjYiprN4yAAynpugQkdqpS4WISDVP0TaedvyDElsScJbZ6YiIiNS7Xcvf4pqKpznNmU5cr/FmpyNiKptPhTMROTp1qRARqeYv3cOptlWcxLdmpyIiItIgyr55GYDvEk+lTXyUydmImMvuDxXOLFEJJmciIk2ZCmciItW8laGLJ49FHyRERKQF8pTSbs8XADiPv9DkZETM5/CXA2CLVuFMRGqnoZoiItX8VdWFM6sKZyIi0vLsWfEmqXjZZGQxaMhIs9MRMd1S5zC+Ls+kbWJHs1MRkSZMPc5ERKoFPKHCmc+qFcZERKTlKVsRGqa5KuE0UuJcJmcjYr5XnOfzJ/8kjPSeZqciIk2YepyJiFTzV4W66/vU40xERGqx4p+XEhflACzhfV/En8HamEEAZPu2cM7e/1fzJMuBf76OP43VcSdhs1q4Ykh7TuyY0jiJe0ppW7QEAOcJFzTOY4o0ceWe0IrqsS59LBaR2ukdQkSkWtATKpz57epxJiIikQ2o+IKEgKXGvrf3dWB+IBeAIdbNzHB+Wuv5HxZnMS/QGYBd7ipe+s3QBsv1YIU/LiPBsJBnZDH4xJMa5TFFmjTDIK5qJwnYiHVqIJaI1E6FMxGRakFvJQABmwpnIiIS2cru04iLDvVMNqr3DU0eQI+EbhgYRFemsmzXbTWOY4TvcXxyP27dF4TvX8KyLwtonMLZ28UdeNDzBGe18zIrQT2rRfBV8Lb//yAKtlo3mp2NiDRhKpyJiFT7OvMyrljdl8t7ZzPQ7GRERMRUHo8Hj8cT3na73QD0u2AaCQlHWoGvPTD4iG1vXPwqXda9xTpP13rItG7eX11ABVH06T+g0R5TpCkLVrqxAgHDQkxsvNnpiEgTpj6pIiLVKnx+AthwRsWYnYqIiJhs5syZJCYmhm85OTn11rbdEZqY32b46q3NIynY62bF1mIAxvbKbJTHFGnqqsr3AVBGdPW8hSIikalwJiJSrdIbACDGaTM5ExERMdv06dMpKSkJ3/Ly8uqtbavdCYDd8Ndbm0fifvVG3nfexrWZG8lM1DBNEYCqsn0AlBFDlEMfi0WkdhqqKSJSrV/+ywx0fI21dDzQzex0RETERC6XC5fL1SBt252hdu00Qo+zgJ/M/IUkWN3066jeZiL7ecpLAKggGovFcpRoEWnNVFoXEamWU/4d59qWkurdYXYqIiLSgtkcjdfjrPiHT0kw3Ow14uh78lkN/ngizYW3unBWadUUHSJyZCqciYhUswVCk0BbHVpVU0REGs7+HmcOGr5wtuurVwH4JmoobVM0AbrIfr7KUOGsSoUzETkKDdUUEalmD1QBYHVq/hcREQm57bXvOGf7g/gqQh+yd379BqWxBz5oV9ri+Sm2b3i7W9nXOIKeQ5sBwGOLZWNsfyx7yhlNqHC2dcmr2CN8le2zRLEh7sAaz53KvyU6WBqxXb/FwYb4Ayt5ti//ntiAG4wgaXkfAFCc1JsdX75G0GLjh/ih4djcijXE+Ytrff7rEk4K329b+QMJ/qJaY9fHDyFoCc0TmlX5I4m+wlpjf4w7kaA1NCF7RtVPJHl31Rq7KW4APmuo2JhWtZWUI/QM3xLXF68t9PNpU5VHG8+hc9MdGJK3Le54qmxxAKR4dpDq2Vpru9tjelFpTwQg0VtAetXmWmN3xnSn3J4MQIKvkIzKn2qNLYg5jjJHGwDifEVkVvxYa+yu6M6UOtMAiPUXk1WxvtbY3VEdcTtDQ3Oj/SVkV6yrNbYoKpd9rmwAXP4y2pWvrjW22NWO4qh2ADgCFeSUfV9r7D5XFnujcgGwB6vILf02dMACpWUVACx76nfERjvZEdeH9Smjwu2evOOpWtstiO3OujajAbAaPkZuf7zW2MKYLuztdAFXDGmP3VbzF22fqy0v+E+lIrYzJ9bagoiICmciImG2oBdQjzMRETngzVU7+L31IxxeNwDZnk0k2A98wVJgJLPO3Sm8PdS2mQRLRcS2iowE1rq7EPQlMttzL1U4eLdyI9E247DYEiOWtaXHhbePt20m0xK5wFVhuHijtEd4u4dtC20te6goLiDGKKbMiKJ/2xjaVm3AZ9h5rbRnOLaLdSttrQW1Pv9XyvqE7+dat9LWWnvR6o3SHvgJFc6yrdtoZ629EDWvtCuVhIphqdY82lk31Rr7YWlHSgkVw06y5tHOuqHW2IWlOeytnjtuiHU77aw/1Br7eWkWu6p7/Q2w7KCvrfbi0lJ3OjsI/ZyOt+ygv21trbHLS5PZYoQKdD0tOxlwhNhvS+P50Qi9Zl0t+Qy0rak1dk1pNOuMULGxg2UXg44Qu8Ht5Dsj9Pq2s+zmRFvtxbDNbgsrjdC1TwZ7GWKvPTbPHeQbIxaAFNwMtddeOCso9fBNMNTLMYFyhh0U6y4PfVk5qPAVElwWnvWP5kl/ewDaUMLvo56vtd1X/CN4wt8ZgGiq+OMRYt8NDGbGmm50zYhneJfUGsd2Jvbjdv+1DE1sw7W1tiAiosKZiEjY/h4CNpcKZyIiR9OhQwe2bq1ZGPnjH//I3//+9/D2tm3bmDJlCgsXLiQ6OprLL7+cWbNm4XQ6wzHff/89U6dO5euvvyYlJYXf/OY3/PnPf24yk3XfOqYb67b9H55yN/APdiQNwh0XGz7us0VzUtyBD+TFpQNwV38RcyifNYrh8an4A0H+tagDAJsTOhPvPPy5BiwOhiccaLei9ATyApELckGLnWEHxXrKjyfPX0bJumfoDXxl7UvXnP7kWSxgsdaIDZT3Js+fU+vzH5bYJnzfUt6TPF92rbEnJqRiWEK9emyV3cnzptUa2y8+naA19FHEVXkced6UWmOPj08nUN3jLLayC3neQ4acHlR37Bmfic8a+jseX9WZPE/tw/COi8uigy30s4z3dCSvylFrbOfYLNrZQ48b5/GTV1X7jDftY9qS7gj1Tov1BMmrDNYa2zYmhyRHqHdajA/yKiL/3wHIiM4hzhl6naJ9VvIq+tYa2yYqh4GuUGyU305eee2xia524VhnwEle2Qm1xsZFZTPAFcrXEYwir7T22GhXJgOiQrH2YAx5pceHj5US+r/8dfolxEY7iYnrw3UpoQK03V/O0p2X19quLaYH16Z0DN0Pelm647JaY5fvSQUflFYdPiy63BPaF+vSR2IROTK9S4iIVHMYocKZXT3ORETq5K677mLy5Mnh7bi4uPD9QCDA2WefTVpaGosXL6aoqIirrroKwzB4+OGHAXC73YwePZpRo0axbNkyNmzYwMSJE4mNjeWWW25p9OcTybUndwL+hNvtht/8g7Z9RpCQkFAjpmONrZM4ko6AYRhYLGAYkNb9JNLiI6/e2aHG1tCIMfu1r7EVGrb5zopNbAgUEeg2jtx+p4eP1iyT1V6wOjy2TS1RTTm2T+TAiLG96hibCvSMHBgxtvsxxB4XOTBibJdjiO0UOTBibIc6xgLk1hp7uHbhe253qAfniZMePOz3KWRghH0H/KrGVu1DNR96fCmU78UwDu/V6SvbSwLlxDsjnCgichAVzkREqtmN0Le8NlfsUSJFRAQgPj6ezMzMiMfmz5/P2rVrycvLIzs71EvpgQceYOLEidxzzz0kJCTw/PPPU1VVxdy5c3G5XPTu3ZsNGzbw4IMPMm3atCbT66y+WQJebrS/hdXw4fWNACIXzn4JXyDI43m5uH038PLwIxfdRFqqSe5/83vnBvyFdwDn1Tg2aN1Mvov6gHmlN3K0Qp2ItG5aVVNEpNqlttkcX/Uf/Fl9zU5FRKRZuO+++2jTpg19+/blnnvuwes9MMxs6dKl9O7dO1w0Axg7diwej4cVK1aEY0aOHInL5aoRs3PnTrZs2RLxMT0eD263u8at2QkGmGZ7iZvtr+OvqmyQh1i2eS/uKj8psU4GtE9ukMcQaepy/VsYZN2Aw3v4/IA2X1nojjPusGMiIgdTjzMRkWplARtuYolyaVVNEZGj+e1vf0v//v1JTk7m66+/Zvr06WzevJn//ve/ABQUFJCRkVHjnOTkZJxOJwUFBeGYDh061IjZf05BQQEdO9YcBAkwc+ZM7rzzzgZ4Ro3IdmBsmM9X1SAPUfD5M/SyRNGj23Bs1pbZc0/k6EL/9w3j8Hnm7NWFM4sr0lBREZED1ONMRKRalS8AQJRDb40i0jrNmDEDi8VyxNvy5csB+N3vfsfIkSM5/vjjufbaa3n88cd56qmnKCoqCrcXaahlaH4vS60x++ciqm2Y5vTp0ykpKQnf8vLyfvHzbnRWG8HqD/Q+b+2Twf9cRpWbcVvvZZ7rds7LbZgebSLNgVH9e0aEwpnDXw6ANTr+sGMiIgdTjzMREcAIBplp+Tceu4Oo4BCg9lW4RERaqqlTpzJ+/PgjxhzaQ2y/IUOGALBx40batGlDZmYmX331VY2Y4uJifD5fuFdZZmZmuPfZfoWFhQCH9Vbbz+Vy1Rja2SxZLPiw48KHz+up9+Z3fPM+7fCz1chgQD/N3SStmGV/j7PDFwdwBUKFM1t0YqOmJCLNjwpnIiKAx1PJr2yLASi1a0iLiLROqamppKam/qxzV65cCUBWVhYAQ4cO5Z577iE/Pz+8b/78+bhcLgYMGBCOuf322/F6vTidznBMdnZ2rQW6lsK/v3DWAEM1S76dRzvgx8RhtHc56r19kWYneHiPM1cwVDizx2iopogcmcYjiYgAnsqK8P2oaPU2ExE5kqVLl/LQQw+xatUqNm/ezMsvv8xvfvMbzj33XHJzcwEYM2YMPXv2ZMKECaxcuZKPP/6YW2+9lcmTJ5OQEPqgevnll+NyuZg4cSKrV6/mjTfe4N57723RK2ru57eEClqB+u5xZhhkFn4OgL37GfXbtkgzc2Co5uE9zqKDoWs/Z4x6nInIkanHmYgI4K0KfesYMCw4HM18CJCISANzuVy89NJL3HnnnXg8Htq3b8/kyZP5wx/+EI6x2WzMmzePG264geHDhxMdHc3ll1/OrFmzwjGJiYksWLCAKVOmMHDgQJKTk5k2bRrTpk0z42k1qoDFDgb4ffU7x9nuH78mzdhLueGi19Cz6rVtkebGa42i1IgmYLHVPBAMMt8xCqPKTWZcijnJiUizocKZiAgHCmcenMS08F4OIiK/VP/+/fnyyy+PGpebm8u77757xJg+ffrw2Wef1VdqzcaslL+yZkcJv4lqV6/t7vj6TdKANVH9OTFZQ9CkdXs4424W/lDIP7KPZ+jBB6xW/mb5Dbt8Ht6NSzIpOxFpLlQ4ExEBfJ7QqmMei0vLAoiISIPbHtOTb43dVBJVr+06874AoLLDafXarkhztP+r0EiLA1R4Qqupx7r0kVhEjkzvEiIigK8qNM+FB6fJmYiISGvgsoemGvb4D5+0/OcqrfJxUdmt9DXWcc/wS+utXZHmylLLFGeG34vV68ZKFLEu2+EniogcpEksDuDxeOjbty8Wi4VVq1bVOLZt2zbGjRtHbGwsqamp3HTTTXi99TsXhIiIzxMqnHktKpyJiEjDG1rxCdfZ3sHl3lJvbX6xcQ8VARv5KYPpWL1Ig0hrdn7xXJ51zCRj95Ia+z15K/jWdS2fOn9HnHqcichRNIl3iT/84Q9kZ2fz7bff1tgfCAQ4++yzSUtLY/HixRQVFXHVVVdhGAYPP/ywSdmKSEtUlHwCfaueoHt6NP8zOxkREWnxTtv3Gu0d6/ig9ERgRL20ufCHQgBGdUuvl/ZEmrv2nh/pY/uepVW7auyvLHcTBZQTTY5DPc5E5MhML5y9//77zJ8/n9dee43333+/xrH58+ezdu1a8vLyyM7OBuCBBx5g4sSJ3HPPPeGlzEVEfqmqgJV9xFPlSjI7FRERaQWCVkfo33paVTPo9zFxzdV0t3elR+e/10ubIs2dER6rWXNItK+8FIAqSzQWLQolIkdhauFs165dTJ48mTfffJOYmMOn4166dCm9e/cOF80Axo4di8fjYcWKFYwaNSpiux6PB4/HE952u931n7yItChVvtAEsVGOJjGCXUREWjijunBm+OuncLZ55Sf0NH4iy1ZITJe29dKmSItxyCRn3srQ50OPtX4X5xCRlsm0T4iGYTBx4kSuv/56Bg4cGDGmoKCAjIyMGvuSk5NxOp0UFBTU2vbMmTNJTEwM33Jycuo1dxFpeWJ3LeNu+1OMrfrA7FRERKQVMGzVPc7qqXBWvOodANbHD8bl1HydIgBYqj/uHlI481WGepx5bFpLXUSOrt4LZzNmzMBisRzxtnz5ch5++GHcbjfTp08/YnuRus4ahnHELrXTp0+npKQkfMvLy/vFz0tEWrbYfeu5wv4xfT3LzU5FRERagaC1urgV8Bw5sI7SChaF2u0ypl7aE2lJjEOGagaqQoUzvwpnIlIH9T5Uc+rUqYwfP/6IMR06dODuu+/myy+/xOVy1Tg2cOBAfv3rX/PMM8+QmZnJV199VeN4cXExPp/vsJ5oB3O5XIe1KyJyJIavCoCATV32RUSk4QXt1X9v/FW/uK29OzbSPrAVv2Gly7DzfnF7Ii1GLZ0tglVlgApnIlI39V44S01NJTU19ahx//rXv7j77rvD2zt37mTs2LG89NJLDB48GIChQ4dyzz33kJ+fT1ZWFhBaMMDlcjFgwID6Tl1EWrPqwlnQpqK7iIg0guq/N5Z6KJxt+fINUoAfHD3onZ75i9sTaSmC2AgYFoI1R2qyO7oTGwJDKIzpbk5iItKsmLY4QG5ubo3tuLg4ADp37ky7du0AGDNmDD179mTChAncf//97N27l1tvvZXJkydrRU0RqV/+SgAMFc5ERKQRrO14FTO2HU/n2OM55xe25fxpAQB7s0/5xXmJtCRz2t3FW6t2ckfbHpx00P51bU7nbl9bzkvNrvVcEZH9mvTycTabjXnz5hEVFcXw4cO55JJLOP/885k1a5bZqYlIS+MPzTFj2KNNTkRERFqDiqTjWBrsRaHl6CM1jsQXCLKyvA07jRRSB5xbT9mJtAzWWoZqlntCq6nHOE3rRyIizUiTeafo0KEDxiGrnUCoZ9q7775rQkYi0ppY9vc4s2uOMxERaXguuw0Ajz94lMgjW7G1mD97ruChmKtZ1vvE+khNpMXYXzYLHvI501NVjoUgcS5b4yclIs1Ok+5xJiLSWKzVPc4sKpyJiEgjSC3/kStsC+hWtuwXtfPJD4UAnNItHZtNl/YiBztl70s84XiQnN2f19h/0brfsjnqCvqWfmpKXiLSvOivq4gI8Fra9Zzk+Sc/5l5sdioiItIKZO75krsdcxhevuDnN2IY7Fz9OVaCjOqeXn/JibQQuZXrGGtbTnzl9hr77YGK0L+uWDPSEpFmpskM1RQRMVNxMIbtRhqWmBSzUxERkVbA4gz1cLYbnp/dRv7GVTxc8Xtud6UQ03ltfaUm0nJY9vcTqTkk2hEITdFhjYpv5IREpDlSjzMREQ7MMeOy621RREQans0RWozGHvT+7DZ2LnsTgHxXZxLjtLiNyKGM/bOcHTLHmau6x5kjWoUzETk69TgTEQFG7nuDYfatpFXEADlmpyMiIi2c1RkqdDmCP7/HWezWjwEoa39qveQk0tJY9q+qadTsceYyQj3OnDEqnInI0alwJiICDK34lO72taysPMPsVEREpBWwOWMAcPzMoZqVJUV0qVoDFmg36Lz6TE2kxdjf46xGhzPDIMqoAsAVk2hCViLS3GhMkogIYKseKmN1OE3OREREWgOHq7rH2c8snG388i3sliCbLe3o2LVnfaYm0nJYIgzV9FViq57zLComwYSkRKS5UY8zERHAbvgAsNo1R4yIiDQ8Z9T+Hme+n3W+/4cPANieejId9xcHRCQi4+DCmRFgPkNwBirJjY0zLykRaTZUOBMR4UDhzOZ0mZyJiIi0Bo6sXlzrvYUSI5YXAkEctroPBDECfjoULwUgtvdZDZWiSLP3Vs50Ls2/nP9r240R1fsMZxzXe24iaMDX0bruE5GjU+FMRIQD3/jbnVEmZyIiIq1BVGIqHwUHAFDhDZAYXffC2frCMqZ7buFUx3dce+KYhkpRpNkzbA68ODAOmqGoyhckWN0BLdalj8MicnR6pxARAexU9zhzqHAmIiINz2mzYrNaCAQNKr0BEqMddT73k/VFrDS6ktRxGNHR+rslUpv9q2oGDxqpWVblBQzAQrTDZkpeItK8qHAmIgI4UY8zERFpPBZ/FZc4v8DwVVHhHXlM537yQyEAp3ZPb4jURFqM/kVvc4Ljc9h7HtANgODGhWxyXcG3dMVqPdvcBEWkWVDhTEQEuDBwD/i9PJ3c3uxURESkNfBXMZN/gwPWVN4G1G2Scveubfxqxz9IsPbjlG6jGjZHkWauXdka+tu+4LOKPuF93ooSrBYDi0W9zUSkbuo+mYKISAv2kz+dH412OKO0qqaIyNF8+umnWCyWiLdly5aF4yIdf/zxx2u09f333zNy5Eiio6Np27Ytd911V80V8FoqZ3z4rqfcXefTNn35BuNtC7kl6h1yUmIaIjORlsNS/XH3oPcUX2UZAB6rrvlEpG7U40xEWj1/4MAksc5jWNVMRKS1GjZsGPn5+TX2/fnPf+ajjz5i4MCBNfbPmTOHM844I7ydmJgYvu92uxk9ejSjRo1i2bJlbNiwgYkTJxIbG8stt9zSsE/CbDY7Hpy48OKtKKn7aT/OB2B31kh6NFRuIi2FpfpfIxje5a8qBcBrU+FZROpGhTMRafW83ir+YP8fXuw4LaeanY6ISJPndDrJzMwMb/t8Pt5++22mTp0anox7v6SkpBqxB3v++eepqqpi7ty5uFwuevfuzYYNG3jwwQeZNm3aYW21NFWWaFyGF19l3XqcBb1VdCpbDkDSCec0ZGoiLcT+L0QP9DgLVBfO/CqciUgdqWuFiLR6vsoybrC/zc3213Ha9bYoInKs3n77bfbs2cPEiRMPOzZ16lRSU1MZNGgQjz/+OMHggZ4fS5cuZeTIkbhcrvC+sWPHsnPnTrZs2RLxsTweD263u8atuaqyhj64+ytL6xS/acUCYqlit5FEj/4nNWRqIi3D/tr7QctqGlWhoZoBuwpnIlI3+oQoIq2ez1MFQNCwYLc7TM5GRKT5eeqppxg7diw5OTk19v/tb3/jlVde4aOPPmL8+PHccsst3HvvveHjBQUFZGRk1Dhn/3ZBQUHEx5o5cyaJiYnh26GPWV8ao0C3f6hYoKpubZd8Nw+AHxOH4rBr4IjIUVkO73FmeKsLZ466LcghIqLCmYi0el5PZehf7FiselsUkdZrxowZtU76v/+2fPnyGuds376dDz/8kEmTJh3W3h133MHQoUPp27cvt9xyC3fddRf3339/jZhDh2PuXxigtmGa06dPp6SkJHzLy8v7JU+5Vo1RoPNVF86C1T1gjiZz16LQna5j6j0XkZbMOKhwttuZy2eBPpTEaiV1EakbfVUlIq2e3xfqcea1OIgyORcRETNNnTqV8ePHHzGmQ4cONbbnzJlDmzZtOPfcc4/a/pAhQ3C73ezatYuMjAwyMzMP61lWWFgIcFhPtP1cLleNoZ0NZfr06UybNi287Xa767149knWtfz9hy0MjO591Njduwuo8ht4LTa6Dh1Xr3mItFSftb+Rq7eO5eKMroys3rc09QKeWHMC12Z0NDU3EWk+VDgTkVbPXz1U04eGaYpI65aamkpqamqd4w3DYM6cOVx55ZU4HEd/D125ciVRUVEkJSUBMHToUG6//Xa8Xi9OpxOA+fPnk52dfViBrrE1RoGuMG0w89emkUPyUWM/2erjD94HGJnl55nUtAbNS6SlCNhjKCEOv/XA73K5xw9ArEsfhUWkbjQmSURaPb/XA6hwJiJyrBYuXMjmzZsjDtN85513+M9//sPq1av56aef+O9//8uf/vQnrrvuunBB6vLLL8flcjFx4kRWr17NG2+8wb333tsqVtQESIwO/d0pqfQdNfbT9aGeeCf07NGgOYm0JAfWBjgwVLPcEwAgToUzEakjvVuISKsXqB6q6beocCYiciyeeuophg0bRo8ehxdzHA4Hjz76KNOmTSMYDNKpUyfuuusupkyZEo5JTExkwYIFTJkyhYEDB5KcnMy0adNqDJFsyXJ8WznX+gXJxT2BE2qN83mr+HJDPmBhVDf1NhOpq+OKPuZe+wIsxacBoSHRv910HXe6tvF12cNAJ1PzE5HmQYUzEWn19iV25yzPveS0ieUJs5MREWlGXnjhhVqPnXHGGZxxxhlHbaNPnz589tln9ZlWs9Ftz3zGOR/ng33jgCtqjdv0xet8zs28E30qJ7Q7q/ESFGnmsku/4xz7QhaVZ4X3RQVKSbBU4nJpZlsRqRsVzkSk1asiirVGB6yuBLNTERGRVsQWkwSA0+c+Ylzl2veItXjITorBam35Q1hF6o1l/8xEB4ZqOoOh1dQd0fEmJCQizZHmOBORVs/jDwLgtOktUUREGo8jLrQQQ4y/pPagYJCcPYsBcPY4szHSEmlBQoVmixEM74kKhqbocMboC1MRqRv1OBORVs+19wem2N7E6esMDDc7HRERaSWcCaH5yuKCtfc4K1j/JZlGMWVGFD2GqHAmciwOW2QkGCSGUI8zV6wKZyJSN+peISKtXtze1fze8TKjKheYnYqIiLQiMYmhwlmiUYo/EIwYs2v5mwCsjhpAYkJcY6Um0iIY+9fV3N/jzFcRPhYdm2hCRiLSHKlwJiKtnuH3ABCwOk3OREREWpOYpHQAkixluKv8EWMSt30MQEWH0Y2Wl0iLcUiPM8NbBkDAsBAbq0K0iNSNhmqKSKu3v3BmWB0mZyIiIq2JPa4NAPGWSja6S0mJbVPjeOnubXTwbSRoWOg49AIzUhRp1vYP1TSM0OIAHn+QrwN9sBLkBJc+CotI3ejdQkRaPcPvBSCoHmciItKYopKY5ZrKD6UuJpV66ZJV8/CSzW5W+cbTI6aYczt0MCVFkeZsVe7V/N+GAZya1pGRQLmjDVf6pgOwyamPwiJSNxqqKSKyv3BmU+FMREQakdXKN6nj+Cg4gF3lh89x9u5PPh4LnMua/neakJxI8+d3JlBAG6qs8QCUewIAxDhtWK2WI50qIhKmwpmItHpGoHqops1lciYiItLapMeH/vbsclfV2O/1B/n0h0IAxvTMbPS8RFqC/VOcBauHapZ5QnMJxmqYpogcA71jiIhU9zgz1ONMREQaWW/7drAuwbLLB3QO71/71QJO833Kytih9MtJMi0/keasXdES/mx/F4t7CNAP54Z3+M51K98E+wKnm5ydiDQXKpyJSKv3VeoFzNrSkTFZxzPE7GRERKRVGV78Jtc6X+X9glLg/PB+69ePM9u5kEUpxVit55qWn0hzllHyLWfY3+ezilDPTn/FPhIsFcRYfSZnJiLNiYZqikirt8eWxgqjGxWxuWanIiIirYwtOQcAZ/nO8D5PZSldS74AIHnAJabkJdIS7B+qiRGaQzBQVQaAzxZjUkYi0hypcCYirZ7XH7qYctr1ligiIo0rIaNj6N+qfIzqeZh++PwNovGwk3R6DTrFxOxEmjmLLfRP9WawqhQAv12FMxGpO31KFJFWr8u+xVxje5+syh/NTkVERFqZlPY9AejIdgr3LxDw7f8A2JIxGptNl+siv1h1j7OgtxyAgD3WzGxEpJnRHGci0uoN3PcB/R2f8VVpO+BMs9MREZFWxJnVmwBWUi1uFm/6EWtGAr3KloIF0k6+xuz0RJo3S3Xhubo3p8UbGqppOFQ4E5G6M/0rrHnz5jF48GCio6NJTU3lV7/6VY3j27ZtY9y4ccTGxpKamspNN92E1+s1KVsRaYmswdAEsRa7y+RMRESk1XFEs8cVmuesYO0SNrz3MHZLkHWOXnTtPdDk5ESauQOTnIU2q3uc4VThTETqztQeZ6+99hqTJ0/m3nvv5dRTT8UwDL7//vvw8UAgwNlnn01aWhqLFy+mqKiIq666CsMwePjhh03MXERaEmswVIxX4UxERMxQnj0cNm/F98MHbAewgaf/tWanJdLsWahZONttz2RlsAtVsdnmJSUizY5phTO/389vf/tb7r//fiZNmhTe361bt/D9+fPns3btWvLy8sjODr25PfDAA0ycOJF77rmHhISERs9bRFoeW3XhzOpQ4UxERBpf9ulTuPmpXN6v6o4HJ8syLuX+sVpNU+SX2tj+Yn63uj19c9pzMvBu8pW8vuU0prftbnZqItKMmDZU85tvvmHHjh1YrVb69etHVlYWZ555JmvWrAnHLF26lN69e4eLZgBjx47F4/GwYsWKWtv2eDy43e4aNxGR2tirh2pa1eNMRERMENW2NxdfPpkOGW0Y1S2NP171KyxWm9lpiTR7flcym4xs3PZkAMq9fgBiXZrqW0TqzrTC2aZNmwCYMWMGd9xxB++++y7JycmMHDmSvXv3AlBQUEBGRkaN85KTk3E6nRQUFNTa9syZM0lMTAzfcnJyGu6JiEizZzOqC2fqcSYiIiYZ3iWVD383gjlXn0havP4eidSH/UM1g6GRmpR7AgDEqXAmIseg3gtnM2bMwGKxHPG2fPlygsHQksB/+tOfuPDCCxkwYABz5szBYrHwyiuvhNuzhCd0PMAwjIj795s+fTolJSXhW15eXn0/TRFpQWxG6NtHm91pciYiIiIiUl9Si7/hFvvLDChbBMCdBTfwhetGMis2mJyZiDQn9V5qnzp1KuPHjz9iTIcOHSgtLQWgZ8+e4f0ul4tOnTqxbds2ADIzM/nqq69qnFtcXIzP5zusJ9rBXC4XLpe+qRORupkVNYXSfUXcnNrb7FREREREpJ6kFn/LjfY3+aKiDICUwG6SLSXsdurLUhGpu3ovnKWmppKamnrUuAEDBuByuVi/fj0nnXQSAD6fjy1bttC+fXsAhg4dyj333EN+fj5ZWVlAaMEAl8vFgAED6jt1EWmlvqMrecF23BybYnYqIiIiIlJfLPsHWIXGakYblQC4YuNNSkhEmiPTBncnJCRw/fXX89e//pWcnBzat2/P/fffD8DFF18MwJgxY+jZsycTJkzg/vvvZ+/evdx6661MnjxZK2qKSL3x+UMXU06badM+ioiIiEg9OzC7jwHBAFGEVlJ3xSaZlZKINEOmzop4//33Y7fbmTBhApWVlQwePJiFCxeSnBxa9cRmszFv3jxuuOEGhg8fTnR0NJdffjmzZs0yM20RaWHO9H9Ehc2Hy388kGh2OiIiIiJSH/b3ODMMDE8p++toMXHqhCEidWdq4czhcDBr1qwjFsJyc3N59913GzErEWltfhd8hgRHOds8VwBahVdERA5nGKHeyW632+RMRJq//b9H+3+vGkx14cyCgaeilCjAb1iJiYlp2McVkRZF6/CKSKtnN/xgAbsjyuxURESahHvuuYd58+axatUqnE4n+/btOyxm27ZtTJkyhYULF9YYFeA8aNLt77//nqlTp/L111+TkpLCb37zG/785z/XWB190aJFTJs2jTVr1pCdnc0f/vAHrr/++sZ4msdk/8JWOTn6gkWkvhQVFZGY2IC9/fcXzowgVdWFs3KiiHM5Gu4xRaTFUeFMRFo9O34AbA5dRImIAHi9Xi6++GKGDh3KU089ddjxQCDA2WefTVpaGosXL6aoqIirrroKwzB4+OGHgVCPktGjRzNq1CiWLVvGhg0bmDhxIrGxsdxyyy0AbN68mbPOOovJkyfz3HPP8cUXX3DDDTeQlpbGhRde2KjP+Wiys7PJy8sjPj6+RuHv53K73eTk5JCXl9cs5+5V/uZq7vmXlJSQm5tLSkoDL8xU/btqJUilN8CWYCc8ligGW3/577CItB4qnIlIq2YEgzgtAQDsDpfJ2YiINA133nknAHPnzo14fP78+axdu5a8vDyys7MBeOCBB5g4cSL33HMPCQkJPP/881RVVTF37lxcLhe9e/dmw4YNPPjgg0ybNg2LxcLjjz9Obm4us2fPBqBHjx4sX76cWbNmNbnCmdVqpV27dvXebkJCQrMsfOyn/M3V3PO3Wht2YaaCtmdy29fRdEhry9SY9pzvvZvUOBfLG/RRRaSl0RJyItKq+f2+8H27U4UzEZG6WLp0Kb179w4XzQDGjh2Lx+NhxYoV4ZiRI0ficrlqxOzcuZMtW7aEY8aMGVOj7bFjx7J8+XJ8Ph8iIr+EP7oNa4yO7LZlUu4JjTCIddlMzkpEmhsVzkSkVfN5q8L3HQ7nESJFRGS/goICMjIyauxLTk7G6XRSUFBQa8z+7aPF+P1+9uzZE/GxPR4Pbre7xk1EJJL9o6qDhkG5NzTCINapQVcicmxUOBORVu3gHg0OpxYHEJGWa8aMGVgsliPeli+v+wCmSPN8GYZRY/+hMftX0DvWmIPNnDmTxMTE8K25Ttbvcrn461//WqNHXnOi/M2l/Osm3v0jN9jeYnjlpyT++DqLXTdxk+eJBn1MEWl5VG4XkVbNZ3UxyXsLdgI8btfiACLSck2dOpXx48cfMaZDhw51aiszM5Ovvvqqxr7i4mJ8Pl+4B1lmZma4Z9l+hYWFAEeNsdvttGnTJuJjT58+nWnTpoW390+S3ty4XC5mzJhhdho/m/I3l/Kvm4R96/iD4yVWVfaD8tG0s+whj/IGf1wRaVlUOBORVs2HnY+DA3DYLFgaeIJaEREzpaamkpqaWi9tDR06lHvuuYf8/HyysrKA0IIBLpeLAQMGhGNuv/12vF4vTqczHJOdnR0u0A0dOpR33nmnRtvz589n4MCBOGpZ6djlcjXbXjYi0sgsofnMrATxe8oACDhizMxIRJohfUoUkVbNHwgNCXLY9HYoIrLftm3bWLVqFdu2bSMQCLBq1SpWrVpFWVnog+eYMWPo2bMnEyZMYOXKlXz88cfceuutTJ48ObzC3+WXX47L5WLixImsXr2aN954g3vvvTe8oibA9ddfz9atW5k2bRrr1q3j6aef5qmnnuLWW2817bmLSAtS/aWohSB4Qz3NgvZYMzMSkWZIPc5EpFXzVxTzK+tnGNZY4Ayz0xERaRL+8pe/8Mwzz4S3+/XrB8Ann3zCKaecgs1mY968edxwww0MHz6c6OhoLr/8cmbNmhU+JzExkQULFjBlyhQGDhxIcnIy06ZNqzHMsmPHjrz33nv87ne/45FHHiE7O5t//etfXHjhhY33ZEWk5bJW9zgzguANFf4NZ5yZGYlIM6TCmYi0biU7eND5OEUkAn8xOxsRkSZh7ty5zJ0794gxubm5vPvuu0eM6dOnD5999tkRY0aOHMk333xzrCmKiBxd9VBNC0Gs1T3OcKrHmYgcG41NEpFWLeD3AuDX9wgiIvIzRFqtNDMz84jnLFq0iAEDBhAVFUWnTp14/PHHD4t57bXX6NmzJy6Xi549e/LGG280ifxff/11Ro8eTVpaGgkJCQwdOpQPP/ywRszcuXMjrtpaVVVlev6ffvppxNx++OGHGnFN9fWfOHFixPx79eoVjmnM1x9gx44dXHHFFbRp04aYmBj69u3LihUrjnhOo/0OWEIfd61GEKs/VDizuNTjTESOjQpnItKq+X0eAAIWFc5EROTn6dWrF/n5+eHb999/X2vs5s2bOeusszj55JNZuXIlt99+OzfddBOvvfZaOGbp0qVceumlTJgwgW+//ZYJEyZwySWXHLaSqRn5f/bZZ4wePZr33nuPFStWMGrUKMaNG8fKlStrxCUkJNRoMz8/n6ioKNPz32/9+vU1zunatWv4WFN+/f/5z3/WiM3LyyMlJYWLL764Rlxjvf7FxcUMHz4ch8PB+++/z9q1a3nggQdISkqq9ZzG/B2wWA8sDrDXksLGYDbB2LSf9VxFpPXSJ0URadWCfh8AfhXORETkZ7Lb7UftZbbf448/Tm5uLrNnzwagR48eLF++nFmzZoXndps9ezajR49m+vTpAEyfPp1FixYxe/ZsXnzxRVPz35/3fvfeey9vvfUW77zzTnguPKBOPe/qy7Hkv196enqtxZ2m/PonJiaSmJgY3n7zzTcpLi7m6quvrhHXWK//fffdR05ODnPmzAnv279qbm0a83egNK0/F3v+QmpqKmWJ3fm8cA8P5Z5wbE9SRFo99TgTkVYtuL/Hmb5HEBGRn+nHH38kOzubjh07Mn78eDZt2lRr7NKlSxkzZkyNfWPHjmX58uX4fL4jxixZsqT+k+fY8j9UMBiktLSUlJSUGvvLyspo37497dq145xzzjmsR1p9+jn59+vXj6ysLE477TQ++eSTGsea0+v/1FNPcfrpp9O+ffsa+xvr9X/77bcZOHAgF198Menp6fTr14///Oc/RzynMX8HglHJLDO6s9nWkTKPH4BYp675ROTYqHAmIq1asHqOMw3VFBGRn2Pw4ME8++yzfPjhh/znP/+hoKCAYcOGUVRUFDG+oKCAjIyMGvsyMjLw+/3s2bPniDEFBQWm53+oBx54gPLyci655JLwvu7duzN37lzefvttXnzxRaKiohg+fDg//vij6flnZWXx5JNP8tprr/H666/TrVs3TjvttBqLWDSX1z8/P5/333+fa6+9tsb+xnz9N23axGOPPUbXrl358MMPuf7667npppt49tlnaz2nMX8HLNX/Bg2DCk8AgFiXrvlE5NjoXUNEWrX9QzVVOBMRkZ/jzDPPDN/v06cPQ4cOpXPnzjzzzDNMmzYt4jkWi6XGtmEYh+2PFHPovvrwc/Lf78UXX2TGjBm89dZbpKenh/cPGTKEIUOGhLeHDx9O//79efjhh/nXv/5lav7dunWjW7du4e2hQ4eSl5fHrFmzGDFiRHh/c3j9586dS1JSEueff36N/Y35+geDQQYOHMi9994LhHryrVmzhscee4wrr7yy1vMa63fAVbWLibYPiPIkc77nXQynF8PzApB6TO2ISOumHmci0qoVJfbkRu9UXo2fYHYqIiLSAsTGxtKnT59ae/dkZmYe1mumsLAQu91OmzZtjhhzaA+chnC0/Pd76aWXmDRpEi+//DKnn376EWOtViuDBg1qkB5Ph6pr/gcbMmRIjfjm8PobhsHTTz/NhAkTcDqdR4xtyNc/KyuLnj171tjXo0cPtm3bVus5jfk7EFuexwzHs1zueYWOxjZ6WPOIiXIcUxsiIiqciUirVuZM453gMNbGDjI7FRERaQE8Hg/r1q0jKysr4vGhQ4eyYMGCGvvmz5/PwIEDcTgcR4wZNmxYwyR9kKPlD6GeZhMnTuSFF17g7LPPPmqbhmGwatWqI7ZZX+qS/6FWrlxZI76pv/4AixYtYuPGjUyaNOmobTbk6z98+HDWr19fY9+GDRsOm3PtYI35O2C1hkYUOPDhIjTKICou8UiniIgcRmOTRKRV8wVCQwPsVn2PICIix+7WW29l3Lhx5ObmUlhYyN13343b7eaqq64CQqsB7tixIzzn0/XXX8+///1vpk2bxuTJk1m6dClPPfVUjZUCf/vb3zJixAjuu+8+zjvvPN566y0++ugjFi9ebHr+L774IldeeSX//Oc/GTJkSLhXUHR0dHi1xzvvvJMhQ4bQtWtX3G43//rXv1i1ahWPPPKI6fnPnj2bDh060KtXL7xeL8899xyvvfYar732WrjNpvz67/fUU08xePBgevfufVibjfn6/+53v2PYsGHce++9XHLJJXz99dc8+eSTPPnkk+EYM38HrDYbADFGZXhfdKwKZyJybFQ4E5FWLcq9lTOsX5Pj7wqcaHY6IiLSzGzfvp3LLruMPXv2kJaWxpAhQ/jyyy/DPW7y8/NrDFvr2LEj7733Hr/73e945JFHyM7O5l//+hcXXnhhOGbYsGH873//44477uDPf/4znTt35qWXXmLw4MGm5//EE0/g9/uZMmUKU6ZMCe+/6qqrmDt3LgD79u3juuuuo6CggMTERPr168dnn33GiSfW/9/ZY83f6/Vy6623smPHDqKjo+nVqxfz5s3jrLPOCsc05dcfoKSkhNdee41//vOfEdtszNd/0KBBvPHGG0yfPp277rqLjh07Mnv2bH7961+HY8z8HbBVF87ijHKwgNewERsd/QuftYi0NhZj/0yMLZjb7SYxMZGSkhISEhLMTkdEmpCvXrqPwevu5ZvYEfT//TtmpyMiTYiuH5oH/ZxEpDbbVi8h99UDCzDsM+JIunOHiRmJSFNxLNcPGpskIq2aEfACELRqolgRERGRlmT/UM39Ki1RJmUiIs2ZCmci0rr5Q4Uzw6qR6yIiIiItic124IvRn4JZFFgzTcxGRJorfVIUkVbNCIZWWDLU40xERESkRTGScrnK+0c8OPgy2JNeqQnMMzspEWl2VDgTkVbNEqgunNmcJmciIiIiIvXJHhXHouAJ4e04lz7+isix01BNEWnVjP2FMw3VFBEREWlRbFZLjW0VzkTk59A7h4i0apbqxQHQUE0RERGRFsXur+BS2ydcaPuMOKrYUnYqMMjstESkmVHhTERate+TTuP17XEcnzqUIWYnIyIiIiL1xu4t4T7Hf8LbpcZeE7MRkeZKhTMRadW2RHXn5UAM2UldzU5FREREROqRzWarucMRa04iItKsaY4zEWnV/AEDAIdNb4ciIiIiLYndUXPxJ8MZb1ImItKc6ZOiiLRq6eU/MNL6LcnefLNTEREREZF6ZHO4au6ISjAnERFp1jRUU0RatZF7XuQW50K+3GsAJ5udjoiIiIjUE4sjusa2NSrRpExEpDlTjzMRadWsQR8AFptW1RQRERFpUWw1h2raYlQ4E5Fjp8KZiLRqBwpnzqNEioiIiEizYrHgMQ58OWqLTTExGRFprjRUU0RaNavhD/1rV48zERERkZZmuvW3uD0Gi4O9eaH9cLPTEZFmSIUzEWnVbPt7nNnV40xERESkpfnKNZwdlZUAJMfoek9Ejp2GaopIq2Y1QoUzq+Y4ExEREWlxnPYDH3mTonW9JyLHztTC2YYNGzjvvPNITU0lISGB4cOH88knn9SI2bZtG+PGjSM2NpbU1FRuuukmvF6vSRmLSEtjCw/VdB0lUkSk9bjnnnsYNmwYMTExJCUlHXb822+/5bLLLiMnJ4fo6Gh69OjBP//5zxoxW7ZswWKxHHb74IMPasQtWrSIAQMGEBUVRadOnXj88ccb8qmJSCszwrOILVGX87HzFhJc6jciIsfO1KGaZ599NscddxwLFy4kOjqa2bNnc8455/DTTz+RmZlJIBDg7LPPJi0tjcWLF1NUVMRVV12FYRg8/PDDZqYuIi3Eq65f4avcwRkp3cxORUSkyfB6vVx88cUMHTqUp5566rDjK1asIC0tjeeee46cnByWLFnCddddh81mY+rUqTViP/roI3r16hXeTkk5MDn35s2bOeuss5g8eTLPPfccX3zxBTfccANpaWlceOGFDfcERaTV+LNvNgCdrflg10xFInLsTHvn2LNnDxs3buTpp5/m+OOPB+Dvf/87jz76KGvWrCEzM5P58+ezdu1a8vLyyM7OBuCBBx5g4sSJ3HPPPSQkJJiVvoi0EJ/ah7EhUMboxFyzUxERaTLuvPNOAObOnRvx+DXXXFNju1OnTixdupTXX3/9sMJZmzZtyMzMjNjO448/Tm5uLrNnzwagR48eLF++nFmzZqlwJiL1wk7Q7BREpJkzrXDWpk0bevTowbPPPkv//v1xuVw88cQTZGRkMGDAAACWLl1K7969w0UzgLFjx+LxeFixYgWjRo2K2LbH48Hj8YS33W53gz2PCq+fy55YwqySabXGrLH34qnYyeHtf5Tcgr16eNihNtq78EjcgQvOe0puJ9Yojxi7xdaeh+IOPO6M0r+SHNwXMTbfmsXMuNvC238uu5v04K6IsUWWNvw1fgYAhmFwW/n95Aa2RYx1WxO4Le6e8Pa0itl09f90WJwBeCwubo6bFd53U+Uj9PSvi9iuAVwff6BX4fWV/6W/f1X42KGmxD6EzxKas+CaqmcY6v86YrsAv429j3JLHIZhcKXnRU7xL6419vfRd7HH2gaA8Z7XOMP/ceRAA6ZH/4V8a+iDwQXedzjf995hz2m/v0bdxhZbewDO8X3IJd43a83hbtfvWG/rCsAY3ydc6Xu51tj7XFP5zhb6Vn+k7wuu8/2/WmNnO6/jK1s/AIYFlnGT9/AeBfs94riKB9eidAAAwrlJREFUz2xDARgQ+I4/+h6t9bn9134Z820jAegd/IG/+h6qtd1nbRfyjn0MAMcFf2Km9z4i/4Thf7Zzedl+DgC5we3M9t1Va7tv2MbyjC30gSvTKOQJ359qjT03MIJZXIzTpq77IiK/RElJSY3eZPude+65VFVV0bVrV373u99x0UUXhY8tXbqUMWPG1IgfO3YsTz31FD6fD4fj8PmIGvM6T0SavxJ7Kon+PWanISLNmGmFM4vFwoIFCzjvvPOIj4/HarWSkZHBBx98EJ5Lo6CggIyMjBrnJScn43Q6KSgoqLXtmTNnhr8pbWhBA77bUULXqB9rjdnqieP7fSXh7U6un3BZfBFjd3udrC45cAGY69pEsqUsYmypz8Kagy4Ws11baGspihjr93lZW3ogNt25NdRdOQJHsIx1+Qdi2zi30cm6JXK+gUR+KCgNbyc6d9CxltgyI4r1uw7Exjl20tG2NWJs0LCwYdeB5x3tyKeDLXLxDuCn3aV4CK2S43TsooMtr9bYrbtL2Vd9327fTXv79lpjtxeVsZMoACz2PeTad9QaW1DsZpMRH8rfXkSOfWetsbv3udlcXRD12/aS46g9trjEzRajAgCPbR/tHJF/bhD68LA1GIqttJXQzlH770lZqZu8YGiFoQqrm7bOyIVUgKoyN9urY7tZ3WQfIdZb4WZHIBSbay0j21lYa6y/8kBsuqWcTNfuWmODVW52BqoAiLdUkOk6wgWQx02BPxTrsFSS4Yr8ewEQZ5TjtFvJbRNTe3siInJES5cu5eWXX2bevHnhfXFxcTz44IMMHz4cq9XK22+/zaWXXsozzzzDFVdcAUS+1svIyMDv97Nnzx6ysrIOe6zGvM4TkeYvOOwm+Owv7Op3ExlHDxcROYzFMIzI3Tt+phkzZhz1YmbZsmUMGDCA888/H5/Px5/+9Ceio6P573//y9tvv82yZcvIysriuuuuY+vWrXz44Yc1znc6nTz77LOMHz8+YvuRvonMycmhpKSk3od3+gNBPt+wm5T8RbXG+KLaUJrSByyh7ZSdn1Fbrxq/M5HS1L7h7eSCL7AEAzWDqtsJOOJxp/UP707c9SXWgPfQsOrYWNxpA8LbCYXLsQUqawZVC9qicKcPOhC7ZxU2X+Reb0GrA3fGYCzV7cQVfYfNWxox1rDYKM0cEt6O2bsWu3dfxFgAd9awA7HF67F79h703Gom7k4/Eaw2AKJLNuKoDBVVLBGeX2n6AAxr6BvsKPcmnBW1F2vK0vpi2FxYLBZc7q04K2ovRFWkHU/QHg2As2w7zvLIxTALUJHSk6AjDgBH+U5cZTsOD6pWmdyNoDP0/9ZeUYirNO/woGpVSV0IuBJDsZW7iSqrvdhYldCJQFRyKLZqLy73llpjvfHtCcSkAmDzlOAq2VTrc/PGt8MfnQaA1euOGLs/c19cFv6Y0CWM1VeOa9/Gg2JqPj9fTAb+2FCPPou/kqjiDRH//wL4o9Pxx4U+bFkCHlzFG2p9boGoNqS27USbOC0OICI1ud1uEhMTG+T6wQx1vUYbOHBgeHvu3LncfPPN7Nu3r9Zz1qxZw6hRo7jpppu44447jtj+jTfeyKJFi/juu+8AOO6447j66quZPn16OOaLL77gpJNOIj8/P+IQz8a8zhORFiDgh8I1kNEHrBphICIhx3KdV+89zqZOnVprQWu/Dh06sHDhQt59912Ki4vDST766KMsWLCAZ555httuu43MzEy++uqrGucWFxfj8/kO+3byYC6XC5ercT4E221WRvXIgB6X1P2kbhcdPSYce0HdY48bdwyxZ9Y9tuvousd2ObXusYw4htjUY4ht00Cxhw8/OXLs8ccQ2/sYYrvXMTYZOO4YYjvXMTYJaP//2bvv8KjK9P/j7zM1vZCEFAgQekeKSlEBC4qKutixgLqurhXRXUV3XWQVfjZ01VVXVwXXun7VtSvYQAQUEJQqnYQSQiCkZ2Yyc35/hAwEEopkcibJ53Vdo5lz7jnnngmTeeY+TzmK2COdOywBaHWEsfHQrvb5cmrVpuWRx4qINFFH2kY7GitXruTUU0/l+uuvP2zRDGDgwIH8+9//Dt5PS0s7aBRBXl4eDoeDpKTaP6Mbsp0nIk2A3QHpfazOQkQasXovnCUnJ5OcfPgiR1lZ1XAy2wFVf5vNRiBQNYHjoEGDeOihh9i+fXuwq/7MmTNxu93BedBERERE5PCOtI12pFasWMGpp57K2LFjeeihhw7/AGDJkiU1hl8OGjSIjz76qEbMzJkzGTBgQK3zm4mIiIg0NMvmOBs0aBCJiYmMHTuW+++/n8jISF588UU2btzIOeecA8CIESPo3r07V111FY8++ii7d+/mrrvu4vrrr1dXfBEREZEQyc7OZvfu3WRnZ+P3+1m6dCkAHTt2JCYmJjg8c8SIEUyYMCHYa8xut5OSUjVcf8aMGTidTvr27YvNZuOjjz7iqaee4uGHHw6e58Ybb+SZZ55hwoQJXH/99cyfP5+XXnqJN998s8Gfs4iIiEhtLCucJScn8/nnn3Pfffdx6qmn4vP56NGjBx988AF9+lR1pbXb7XzyySfcdNNNDBkyhMjISMaMGcNjjz12mKOLiIiIyG91//33M2PGjOD9vn2rVmH+5ptvGDZsGO+88w47d+7k9ddf5/XXXw/GtW3blk2bNgXvP/jgg2zevBm73U7nzp15+eWXgwsDAGRlZfHpp59yxx138M9//pOMjAyeeuopLrzwwtA/SREREZEjUO+LA4Sjpja5r4iIiISe2g+Ng35PIiIicrSOpv2gZUVERERERERERERqocKZiIiIiIiIiIhILVQ4ExERERERERERqYUKZyIiIiIiIiIiIrVQ4UxERERERERERKQWDqsTaAjVC4cWFRVZnImIiIg0FtXthmawAHmjpnaeiIiIHK2jaec1i8JZcXExAJmZmRZnIiIiIo1NcXEx8fHxVqchdVA7T0RERH6rI2nnGWYzuIwaCATYtm0bsbGxGIZR78cvKioiMzOTnJwc4uLi6v34cmh6/a2l199aev2tpdffWqF+/U3TpLi4mIyMDGw2zW4RrtTOa9r0+ltLr7+19PpbS6+/tcKpndcsepzZbDZat24d8vPExcXpDWUhvf7W0utvLb3+1tLrb61Qvv7qaRb+1M5rHvT6W0uvv7X0+ltLr7+1wqGdp8unIiIiIiIiIiIitVDhTEREREREREREpBYqnNUDt9vN3/72N9xut9WpNEt6/a2l199aev2tpdffWnr9pSHo35m19PpbS6+/tfT6W0uvv7XC6fVvFosDiIiIiIiIiIiIHC31OBMREREREREREamFCmciIiIiIiIiIiK1UOFMRERERERERESkFiqciYiIiIiIiIiI1EKFs2P07LPPkpWVRUREBP379+e7776zOqVmY+rUqRx//PHExsbSsmVLLrjgAn799Ver02qWpk6dimEYjB8/3upUmpWtW7dy5ZVXkpSURFRUFMcddxyLFy+2Oq1mobKykr/85S9kZWURGRlJ+/btmTx5MoFAwOrUmqQ5c+YwatQoMjIyMAyD//3vfzX2m6bJpEmTyMjIIDIykmHDhrFixQprkpUmR209a6idFz7UzrOG2nnWUTuvYTWGdp4KZ8fg7bffZvz48dx3330sWbKEk08+mZEjR5KdnW11as3C7Nmzufnmm1mwYAGzZs2isrKSESNGUFpaanVqzcrChQt54YUX6N27t9WpNCsFBQUMGTIEp9PJZ599xsqVK3n88cdJSEiwOrVm4eGHH+b555/nmWeeYdWqVTzyyCM8+uijPP3001an1iSVlpbSp08fnnnmmVr3P/LII0ybNo1nnnmGhQsXkpaWxhlnnEFxcXEDZypNjdp61lE7LzyonWcNtfOspXZew2oM7TzDNE2zwc7WxJx44on069eP5557LritW7duXHDBBUydOtXCzJqnnTt30rJlS2bPns0pp5xidTrNQklJCf369ePZZ5/lwQcf5LjjjuPJJ5+0Oq1m4Z577uH7779XzweLnHvuuaSmpvLSSy8Ft1144YVERUXxn//8x8LMmj7DMHj//fe54IILgKqrkBkZGYwfP567774bAI/HQ2pqKg8//DA33HCDhdlKY6e2XvhQO6/hqZ1nHbXzrKV2nnXCtZ2nHme/kdfrZfHixYwYMaLG9hEjRjBv3jyLsmreCgsLAWjRooXFmTQfN998M+eccw6nn3661ak0Ox9++CEDBgzg4osvpmXLlvTt25cXX3zR6rSajZNOOomvvvqKNWvWAPDzzz8zd+5czj77bIsza342btxIbm5ujc9jt9vN0KFD9Xksx0RtvfCidl7DUzvPOmrnWUvtvPARLu08R4OdqYnJz8/H7/eTmppaY3tqaiq5ubkWZdV8mabJhAkTOOmkk+jZs6fV6TQLb731Fj/99BMLFy60OpVmacOGDTz33HNMmDCBe++9lx9//JHbbrsNt9vN1VdfbXV6Td7dd99NYWEhXbt2xW634/f7eeihh7j88sutTq3Zqf7Mre3zePPmzVakJE2E2nrhQ+28hqd2nrXUzrOW2nnhI1zaeSqcHSPDMGrcN03zoG0Serfccgu//PILc+fOtTqVZiEnJ4fbb7+dmTNnEhERYXU6zVIgEGDAgAFMmTIFgL59+7JixQqee+45NagawNtvv81rr73GG2+8QY8ePVi6dCnjx48nIyODsWPHWp1es6TPYwkV/duyntp5DUvtPOupnWcttfPCj9WfxSqc/UbJycnY7faDrjjm5eUdVA2V0Lr11lv58MMPmTNnDq1bt7Y6nWZh8eLF5OXl0b9//+A2v9/PnDlzeOaZZ/B4PNjtdgszbPrS09Pp3r17jW3dunXj3XfftSij5uVPf/oT99xzD5dddhkAvXr1YvPmzUydOlUNqgaWlpYGVF2RTE9PD27X57EcK7X1woPaeQ1P7TzrqZ1nLbXzwke4tPM0x9lv5HK56N+/P7NmzaqxfdasWQwePNiirJoX0zS55ZZbeO+99/j666/JysqyOqVm47TTTmPZsmUsXbo0eBswYABXXHEFS5cuVWOqAQwZMoRff/21xrY1a9bQtm1bizJqXsrKyrDZan6E2u12LVNugaysLNLS0mp8Hnu9XmbPnq3PYzkmautZS+0866idZz2186yldl74CJd2nnqcHYMJEyZw1VVXMWDAAAYNGsQLL7xAdnY2N954o9WpNQs333wzb7zxBh988AGxsbHBK8Lx8fFERkZanF3TFhsbe9AcI9HR0SQlJWnukQZyxx13MHjwYKZMmcIll1zCjz/+yAsvvMALL7xgdWrNwqhRo3jooYdo06YNPXr0YMmSJUybNo1rr73W6tSapJKSEtatWxe8v3HjRpYuXUqLFi1o06YN48ePZ8qUKXTq1IlOnToxZcoUoqKiGDNmjIVZS1Ogtp511M6zjtp51lM7z1pq5zWsRtHOM+WY/POf/zTbtm1rulwus1+/fubs2bOtTqnZAGq9vfLKK1an1iwNHTrUvP32261Oo1n56KOPzJ49e5put9vs2rWr+cILL1idUrNRVFRk3n777WabNm3MiIgIs3379uZ9991nejweq1Nrkr755pta/96PHTvWNE3TDAQC5t/+9jczLS3NdLvd5imnnGIuW7bM2qSlyVBbzxpq54UXtfMantp51lE7r2E1hnaeYZqm2XBlOhERERERERERkcZBc5yJiIiIiIiIiIjUQoUzERERERERERGRWqhwJiIiIiIiIiIiUgsVzkRERERERERERGqhwpmIiIiIiIiIiEgtVDgTERERERERERGphQpnIiIiIiIiIiIitVDhTEREREREREREpBYqnImI7PXrr7+SlpZGcXFxyM/18ccf07dvXwKBQMjPJSIiItKcnHLKKbzxxhshP4/H46FNmzYsXrw45OcSEeuocCYilhk1ahSnn356rfvmz5+PYRj89NNPx3SOYcOGMX78+COKve+++7j55puJjY39zefr1asXv//972vd9+abb+J0OtmxYwfnnnsuhmE0SKNOREREJJyEsg348ccfk5uby2WXXfab83v88ceJj4+nrKzsoH0VFRUkJCQwbdo03G43d911F3ffffdvPpeIhD8VzkTEMtdddx1ff/01mzdvPmjfyy+/zHHHHUe/fv0aJJctW7bw4Ycfcs011xzTca677jr++9//1trQevnllzn33HNJTU0F4JprruHpp58+pvOJiIiINDahbAM+9dRTXHPNNdhsv/2r7tVXX015eTnvvvvuQfveffddysrKuOqqqwC44oor+O6771i1atVvPp+IhDcVzkTEMueeey4tW7Zk+vTpNbaXlZXx9ttvc9111wEwb948TjnlFCIjI8nMzOS2226jtLQ0GP/ss8/SqVMnIiIiSE1N5aKLLgJg3LhxzJ49m3/84x8YhoFhGGzatKnWXP773//Sp08fWrduHdw2ffp0EhIS+Pjjj+nSpQtRUVFcdNFFlJaWMmPGDNq1a0diYiK33norfr8fgKuuugqPx8M777xT4/jZ2dl8/fXXwecEcN555/Hjjz+yYcOG3/waioiIiDQ2R9oGfPfdd+nRowdut5t27drx+OOPH/K4+fn5fPnll5x33nk1thuGwb/+9S/OPfdcoqKi6NatG/Pnz2fdunUMGzaM6OhoBg0axPr16wFISUlh1KhRvPzyywed4+WXX+a8884jJSUFgKSkJAYPHsybb775W18OEQlzKpyJiGUcDgdXX30106dPxzTN4PZ33nkHr9fLFVdcwbJlyzjzzDMZPXo0v/zyC2+//TZz587llltuAWDRokXcdtttTJ48mV9//ZXPP/+cU045BYB//OMfDBo0iOuvv57t27ezfft2MjMza81lzpw5DBgw4KDtZWVlPPXUU7z11lt8/vnnfPvtt4wePZpPP/2UTz/9lP/85z+88MIL/N///R9Q1Xg6//zzeeWVV2oc55VXXiE1NZWRI0cGt7Vt25aWLVvy3XffHdsLKSIiItKIHEkbcPHixVxyySVcdtllLFu2jEmTJvHXv/71oGLb/ubOnRssjB3o73//O1dffTVLly6la9eujBkzhhtuuIGJEyeyaNEigGD7Eqp6xc2ePZuNGzcGt23atIlvvvmmxoVQgBNOOEHtOZEmTIUzEbHUtddey6ZNm/j222+D215++WVGjx5NYmIijz76KGPGjGH8+PF06tSJwYMH89RTT/Hqq69SUVFBdnY20dHRnHvuubRt25a+ffty2223ARAfH4/L5SIqKoq0tDTS0tKw2+215rFp0yYyMjIO2u7z+Xjuuefo27cvp5xyChdddBFz587lpZdeonv37px77rkMHz6cb775psZzmjNnTrAnmWmaTJ8+nXHjxh10/latWtXZC05ERESkqTpcG3DatGmcdtpp/PWvf6Vz586MGzeOW265hUcffbTOY27atInU1NRah2lec801XHLJJXTu3Jm7776bTZs2ccUVV3DmmWfSrVs3br/99hq5nHnmmWRkZNQo1L3yyitkZGQwYsSIGsdWe06kaVPhTEQs1bVrVwYPHhzsCr9+/Xq+++47rr32WgAWL17M9OnTiYmJCd7OPPNMAoEAGzdu5IwzzqBt27a0b9+eq666itdff73W+cUOp7y8nIiIiIO2R0VF0aFDh+D91NRU2rVrR0xMTI1teXl5wfsjRoygdevWwV5nX3/9NZs2bap1/rTIyMjflK+IiIhIY3a4NuCqVasYMmRIjccMGTKEtWvXBqfIOFBd7TmA3r17B3+unm+2V69eNbZVVFRQVFQEgN1uZ+zYsUyfPp1AIIBpmsyYMaPWC6Fqz4k0bSqciYjlrrvuOt59912Kiop45ZVXaNu2LaeddhoAgUCAG264gaVLlwZvP//8M2vXrqVDhw7Exsby008/8eabb5Kens79999Pnz592LNnz1HlkJycTEFBwUHbnU5njfuGYdS6LRAIBO/bbDbGjRvHjBkzCAQCvPLKK5xyyil06tTpoOPv3r07OEeGiIiISHNyqDagaZoYhlEjfv9hnbWpqz0HNdt01cetbdv+bbprr72WnJwcvv76a7766iuys7NrvRCq9pxI06bCmYhY7pJLLsFut/PGG28wY8YMrrnmmmDjpV+/fqxYsYKOHTsedHO5XEDVPBmnn346jzzyCL/88gubNm3i66+/BsDlctV5VXJ/ffv2ZeXKlfX2nK655hq2bNnCe++9x3vvvXfQXBhQtZz5+vXr6du3b72dV0RERKSxOFQbsHv37sydO7dG/Lx58+jcuXOdU2/07duX3NzcOotnR6tDhw4MHTqUV155hZdffplhw4bVGIlQbfny5WrPiTRhKpyJiOViYmK49NJLuffee9m2bRvjxo0L7rv77ruZP38+N998M0uXLmXt2rV8+OGH3HrrrQB8/PHHPPXUUyxdupTNmzfz6quvEggE6NKlCwDt2rXjhx9+YNOmTeTn59e4iri/M888k/nz5x9Rke1IZGVlceqpp/KHP/wBp9MZXOlzfwsWLMDtdjNo0KB6OaeIiIhIY3KoNuCdd97JV199xd///nfWrFnDjBkzeOaZZ7jrrrvqPF7fvn1JSUnh+++/r7ccr7vuOt577z3ef//9Wi+EAnz33XcHzXsmIk2HCmciEhauu+46CgoKOP3002nTpk1we+/evZk9ezZr167l5JNPpm/fvvz1r38lPT0dgISEBN577z1OPfVUunXrxvPPP8+bb75Jjx49ALjrrruw2+10796dlJQUsrOzaz3/2WefjdPp5Msvv6z353TZZZcRFRV10P4333yTK664otZ9IiIiIs1BXW3Afv368d///pe33nqLnj17cv/99zN58uQaxbUD2e12rr32Wl5//fV6y+/CCy/E7XbjdrsZPXr0Qfvnz59PYWFhrRdJRaRpMMzDDRQXEWkmnn32WT744AO++OKLkJ9r586ddO3alUWLFpGVlRXy84mIiIg0Bzt27KBHjx4sXryYtm3bhvx8F198MX379uXee+8N+blExBoOqxMQEQkXf/jDHygoKKC4uJjY2NiQnmvjxo08++yzKpqJiIiI1KPU1FReeuklsrOzQ14483g89OnThzvuuCOk5xERa6nHmYiIiIiIiIiISC00x5mIiIiIiIiIiEgtVDgTERERERERERGphQpnIiIiIiIiIiIitVDhTEREREREREREpBYqnImIiIiIiIiIiNRChTMREREREREREZFaqHAmIiIiIiIiIiJSCxXOREREREREREREaqHCmYiIiIiIiIiISC0cVifQEAKBANu2bSM2NhbDMKxOR0RERBoB0zQpLi4mIyMDm03XGsOV2nkiIiJytI6mndcsCmfbtm0jMzPT6jRERESkEcrJyaF169ZWpyF1UDtPREREfqsjaec1i8JZbGwsUPWCxMXFWZyNiIiINAZFRUVkZmYG2xESntTOExERkaN1NO28ZlE4q+62HxcXpwaViIiIHBUN/wtvaueJiIjIb3Uk7TxN2CEiIiIiIiIiIlILFc5ERERERERERERqocKZiIiIiIiIiIhILVQ4ExERERERERERqYUKZyIiIiIiIiIiIrVQ4UxERERERERERKQWKpyJiIiIiIiIiIjUQoUzERERERERERGRWjisTkBExGqbc3JY8tGz9D7nBtq3bWd1OiIiIiISxjZv28HCz6bjLy3A0eUMRp02HJdDfVJEmiq9u0Wk2fv1nUlckPcs216/yepURERERCSMrVm5FPu/hnBRzhQu3f0cv5t3If+ddjuFZV6rUxOREFHhTESaPXfBGgBO8n5vcSYiIiIiEq7Ky8txvnMlrY2d7LSlkJNwAjbD5MqyV/n0uT/h8wesTlFEQkCFMxFp9qb7zwTgl0CWxZmIiIiISLj697wtTPSMZa5tABE3fUvm+FnsGHQ/AJcWzeD/Pvnc4gxFJBQ0x5mINHslRgwAiZRYnImIiIiIhCNvZYAZ8zeTH+jOZaPGEJvcCoDUM+9kfe46/r0mkv/7weT4gSV0bBljcbYiUp/U40xEmj3DVvWnMN5Q4UxEREREDjZr5Q7ySzykxLo5p3d6jX3tr36WvE6X4/PDw5+vtihDEQkVFc5EpNl7yTYFgDijHDOguSlEREREpKbEmbdyj+NNru0didNe82u0YRhMPLsbdpvBnJU5LPp1s0VZikgoqHAmIs2eaRjBnysqyi3MRERERETCTXlxAccXf82Njo84o0N0rTEdW8bwly5b+cp9F1s/eqiBMxSRUFLhTESaPS8uAC7wTKbMb7c4GxEREREJJ2vnfYjT8LPZyKBDtz51xp3fM5nWRj6nFn/IL2s3NVyCIhJSKpyJSLNnp2p4ZikRlPk0VFNERERE9qn8tWq1zC3JJ2PsN1LhQC36ns92d3tijXI2fPpEQ6UnIiGmwpmINHs2/AAEMCj3+S3ORkRERETCSdqenwBwdT790IE2G8YpdwEwdPf/sW5LXqhTE5EGoMKZiDR7NrOql9mjzn/h37nW4mxEREREJFwU528hI5BLwDRo13fYYePTBl3GTkc6iUYJv3z2QsjzE5HQU+FMRJq96qGa/Wzr8O/ZYnE2IiIiIhIuNi/9GoCNtjakJLc8/ANsdsqOuxaAXlveZE+pJ5TpiUgDUOFMRJq9L8wTgz9XlpdYmImIiIiIhJMtuTvJNRPJTah7UYADtTntD5QRSSdjC99+9UkIsxORhqDCmYg0e3dV/pHv/T0A8HtUOBMRERGRKu9UnsJAzzNs6P/XI36MEZnAsj5/4XeeB3h0ZQKVfi0+JdKYqXAmIs2aaZr4AyZlRAAQ8BRbnJGISOMwadIkDMOocUtLSwvuN02TSZMmkZGRQWRkJMOGDWPFihU1juHxeLj11ltJTk4mOjqa8847jy1bNGReRMLHqu1FgEGXVslH9bg+5/6RzVE92LqnnC9X7QhNciLSIEJaOJszZw6jRo0iIyMDwzD43//+V2P/uHHjDmpwDRw4sEaMGlQiEkqBgImDSspxVd33lFqckYhI49GjRw+2b98evC1btiy475FHHmHatGk888wzLFy4kLS0NM444wyKi/ddoBg/fjzvv/8+b731FnPnzqWkpIRzzz0Xv18rHIuI9faUethWWAFA1/TYo3pshNPO5SdkAjBjrhafEmnMQlo4Ky0tpU+fPjzzzDN1xpx11lk1Glyffvppjf1qUIlIKFVWelgXcTXn2ecDYHrLLM5IRKTxcDgcpKWlBW8pKSlAVW+zJ598kvvuu4/Ro0fTs2dPZsyYQVlZGW+88QYAhYWFvPTSSzz++OOcfvrp9O3bl9dee41ly5bx5ZdfWvm0REQAyF34AQvdf+SJ6FeJi3Ae9eOv7J/C352v8NT2K1m1MTsEGYpIQwhp4WzkyJE8+OCDjB49us4Yt9tdo8HVokWL4D41qEQk1AKVNYvwhlc9zkREjtTatWvJyMggKyuLyy67jA0bNgCwceNGcnNzGTFiRDDW7XYzdOhQ5s2bB8DixYvx+Xw1YjIyMujZs2cwpjYej4eioqIaNxGRUCjNXkqKUUhaZOVvenx6UguGR64nxShk/efP1nN2ItJQLJ/j7Ntvv6Vly5Z07tyZ66+/nry8vOA+NahEJNQqK73Bn4dU/INZqddYmI2ISONx4okn8uqrr/LFF1/w4osvkpuby+DBg9m1axe5ubkApKam1nhMampqcF9ubi4ul4vExMQ6Y2ozdepU4uPjg7fMzMx6fmYiIlUc+SsB8CV1/20HMAwqT7gRgP65b5O3R3PpijRGlhbORo4cyeuvv87XX3/N448/zsKFCzn11FPxeDyAGlQiEnqB/VY5yiORkkqHhdmIiDQeI0eO5MILL6RXr16cfvrpfPLJJwDMmDEjGGMYRo3HmKZ50LYDHS5m4sSJFBYWBm85OTnH8CxEROrWonQdAO7WvX7zMdoNHcseI4F0YzcLP51eT5mJSEOytHB26aWXcs4559CzZ09GjRrFZ599xpo1a4INr7qoQSUi9cW/X4+zSmyUeTV/oojIbxEdHU2vXr1Yu3ZtcHXNAy905uXlBXuhpaWl4fV6KSgoqDOmNm63m7i4uBo3EZF6F/CT6t8OQGKb39jjDMAZQV7XKwFou2Y6Fd7fNuxTRKxj+VDN/aWnp9O2bVvWrq1adUQNKhEJNX9gX+PlAccMhux828JsREQaL4/Hw6pVq0hPTycrK4u0tDRmzZoV3O/1epk9ezaDBw8GoH///jidzhox27dvZ/ny5cEYERGrFOVuwEUlHtNBqzadjulY7UfehgcnPVnH3K8P3UlERMJPWBXOdu3aRU5ODunp6YAaVCISegH/vsLZ1Y5Z9Cr53sJsREQaj7vuuovZs2ezceNGfvjhBy666CKKiooYO3YshmEwfvx4pkyZwvvvv8/y5csZN24cUVFRjBkzBoD4+Hiuu+467rzzTr766iuWLFnClVdeGRz6KSJipbxNKwDYZqQRHek+pmM54lLZlHEOAM5F/8I0zWPOT0QaTkgn8ykpKWHdunXB+xs3bmTp0qW0aNGCFi1aMGnSJC688ELS09PZtGkT9957L8nJyfzud78DajaokpKSaNGiBXfddZcaVCJSb/yGk1n+/gy1LcVl+HEGyq1OSUSkUdiyZQuXX345+fn5pKSkMHDgQBYsWEDbtm0B+POf/0x5eTk33XQTBQUFnHjiicycOZPY2NjgMZ544gkcDgeXXHIJ5eXlnHbaaUyfPh273W7V0xIRAWB7iZ/8QDfKYtqQVQ/HazXyLl74N7xUcjqPrM1naOeUejiqiDQEwwxhufvbb79l+PDhB20fO3Yszz33HBdccAFLlixhz549pKenM3z4cP7+97/XmMy/oqKCP/3pT7zxxhvBBtWzzz57VBP+FxUVER8fT2FhoYZtikgNm3eVMvTRbxlkW8GbrofYbMuk7f3LrU5LRMKA2g+Ng35PIhIK02b+ylNfr+PyEzKZOrp3vRxz8kcrefn7jQzpmMTrvx9YL8cUkd/maNoPIe1xNmzYsEN2Q/3iiy8Oe4yIiAiefvppnn766fpMTUQEAH+g6m9UqRkBQISpHmciIiIizd2G/FIAspKj6+2Y157Ujlfnb+L7dbtYvHEH/bPqnrdbRMJHWM1xJiLS0KoLZ2VUzV3hNiusTEdEREREwsC2/D0AtEuqv8JZ68Qobutexn+cU9j97l31dlwRCa2Q9jgTEQl3tvzVrHFfhcvwAxBpeizOSEREREQsFfDz1u6LyXfHURL5db0e+rJe8bRctxxP8WqWrVpJr27d6/X4IlL/VDg7Bh6PB49n35fsQCDA7t27SUpKwjAMCzMTafxM06S4uJiMjAxsttB1jjX9lbgMP17Tjsvw4zZ8mH4fht0ZsnOKiEjjEwgE2LZtG7GxsWrniRyjhmrn/VblBVuJpJIUColJS6/XY7fsfTobvuhD+7Kf2fHxQ/Tq9nq9Hl9E6p8KZ8dg6tSpPPDAA1anIdKk5eTk0Lp165Ad3wxU9TTbY8Rxked+yk03cyoNIrWgm4iI7Gfbtm1HtTiViBxeqNt5v1X+lg1kAjtoQeuoiPo9uGEQfeb98P6FDCv5lB9+nMeJJwyu33OISL1S4ewYTJw4kQkTJgTvFxYW0qZNG3JycuplVaflS+ZT8el9FDpbctqf3zrm4x2NnK3baDXjRGyGSdkNPxLVIqNBzy9SVFREZmYmsbGxIT6Tufe/NrLNqglay3x+It368ygiIvtUfx7VVztPpDlruHbeb1O8YwMAux0tCUVZL7XP6fz6zSl02TMHZv4FX/8vcdrDr+ediFTRN8Nj4Ha7cbvdB22Pi4urlwZVvNPP4Mi1bLaVN3gDrXtsLGsi29PF3EDO6jmknfWHBj2/SLVQD4cJBPYVziKcNip8Acq8fpJCelYREWlsqj+P6qudJyKhb+f9Vp5dmwEoiajfYZr7y7j4UXwvDuHEysV8+fFrnH7+1SE7l4gcG5W1w5hpBvb+1PAfKIZhsD2lqstw5ZqvGvz8Ig3GrBqqaQI3Oz5kkmM6vt3Z1uYkIiIiIpYx9+QA4ItpFbJzxLbqypqsK6vu/PQftu0pD9m5ROTYqHAWzszqnjDWiOp6OgCZBQuCuYg0NWb1+8wwuIBvGOeYSWVBjsVZiYiIiIhVXCXbADASQjv/WtfLpvBizB+5wXMr976/LNguFZHwosJZONvb48y06NfU5fgzKDXdtDD3kPvrAktyEAk1vzOG7/09WGHriteomvzVV15icVYiIiIiYpXVtGNBoBv2ll1Deh67O5rhV/8Fu8PJt7/u5LUFm0N6PhH5bVQ4C2P7rjhYM/Y/PjaGZZHHA5D7w7uW5CASauXxHbnCdx8PRkzAa6sqnFVWFFuclYiIiByLwnIf67bvYsWva/hhxXq+/TWPeevyWbhpN8tyCti2pxxPpd/qNCVMTau8mMu8fyWy08khP1fHljH8+cwuuPDh+3QiK5YtDvk5ReToaHGAcBbscWadivZnwcq5JORonjNpmqrfXzbDwGePhErwe0otzUlERESOjD9gsnJjDgU/fUBg+89EF2+ipW8LyeZuOhoeAN6oPJV7K38PQAQeVrqvpZBocsw4tttSKYzMxBfXFlp2J679AHp2aENqXISVT0ssVOkPkFtUAUDrhMgGOed1J2XRYdEDDC/8lOx3l7Al4QtaZ7ZtkHOLyOGpcBbGAoaNctOF1zh45c6G0mHIhdy5dAuzvX2ZVeolMdplWS4ioVC9qqYB+OxRVdtUOBMREQlbnopSvvt5LR9tNJm9ZifRZdv4PuIvNYP2DtgIYBAb6aJHbByVfpMEXxm2MpNESkg0SujINihfAuXADvh46Ymc6LudtLgI+mTGc0ZyAd17H0/X9HhstvBcAVLq186iUgzTj8PmIDmmYb6HGYbB8Vc9SO4/59HG3M7al89jxx8+JjU9s0HOX5uA30/hnl2UF+ZT7EyizHRR7vPDnmyiCtYAJiYGGEbV//f+XNqiB/7IJGyGQYR3FxEl2dhsDmw2O9js2OwODJsNw2bHjEkHdyx2G9j8FTi9xRg2Bza7DZvdsTfWjs1mx+50Ydjs2G0GdsPAMMBuM7AZBjYjfFdolaZBhbMwtjt1MN080+mRFMcnFuWQ2SqDFS3PIT+3mK9X53Fh/9BOkCnS0KLzFvOz+/dsLWtNSXTVlT0VzkRERMJPzupFbPvyWbrlf0alvwcf+O4AIBCRxpLIgZDYDndaN2JadSWlVXsi41OwueMZZbMxqvoggQCUnoJZvpvSXdso3r6Wstx1sHsdCYWryY3ohq0QcosqcKzM5l/u8exeEMM3Rg/yWg4hqtc5DD6uFymx1l3YltAqW/0Na91Xs9TWHZvt7AY7b0xyJp5xH7Br+kg6mZvY8q/TWHvJm3Tq3j8k5/MHTDbvKmXTrlIq1nxLyuZPiCjdQrx3B7GBIuLMYhINk0TgUs9f+cHsBsDV9i+Y7JxR53Gv9d7F14F+AFxom8PjrufrjL3VewsfBQYDMNL2A8+5/lFn7J98f+Ad/zAATrH9zIvOafiB2gZcTw1cxZvmCAAGsIpX7FPqPO4TgUt5OXAuAD3YwNuO++uMfS7wO/4ZuBCA9mzhQ8c9dcZOD4zk0cAYADLYySzHHXXGvhU4jcn+awBIpIh5zpvqjP1f4CTu8d8IVPWgXeq8rs7YLwLHc1vlbcH7v7quwqhjPNvsQB9+X/mn4P2fXdcRTUWtsT+a3Rjj23ex4kfXH2lBUa2xy80sLvA9GLz/rWs8meysNXadmcGZvkeD9z9z3s17gZOJGnYH40/vXOtjGooKZ+Fs779pq4vnI3qksTq3mJkrc1U4kybH8PuIN8rYQxlFjqru+KZXhTMREZFwsf6nr6n4cgo9yhZS3f+mm2MrNwxqx+nd0+mbmYDDftaRHcxmg9hUjNhUYlp2I6bbaTV2/z4QYExlgOVbi8hf8jEVyyJoQQmn8QPk/QBfTeOXWVl8EzsYf8+LGXj8CWQlR9fvExZLle/eis0wMRwNXxxNatud3Cs/ZNtrF9Pa3EH522cxv8sdnHDJn7E7fvtX94C3gq1rfmLnuh/xb11K/J6V3FlxPct8GQCMs89lkvODmg/a+x20zHSTEGmntTuSKJedyEAr1pZ3wsSgqq/ZfjcTUlNS6OmIwx+ABE8C28rTsBHAhr/q/2Zg7/0A7sgoknARME2iAjYCpoHNqL2wY+4377cDP27DV+fzNQN+vP6qaY/8RgC348hiK40ALqOy7hfSPJrYAD5/1XOpxMTlrHtORcMMUBnYF+s06o61EcC/N9Z/BLGB/V7Ow8Xuv6irnQD2On4XNrNmrIFZZ6xhmjVibaaJzVZXLAcdN1wWmjXMZrDmbVFREfHx8RQWFhIXF9dozvP16h1cO30RvVvH8+EtJ9VDhr/N8i0F/O+5+zjT8RM9x/+PyMQ0y3KR5qOh3rfL535Ezy+vZJMtk3e7P8NHi9cx+qS+3HZOaK7uiUjj0VB/h+TYNNZ2nhzezg1L2fXun+ha+iMAlaaNn6OH4DrxWrqfdD52uz30Sfh9+HIWs2PpF9jXzSS1ZAW2vVe3/+i9nc8CJ9I5NYbze7bk3L6ZtE2OCX1OTUA4v5+WvP5X+q59iu9jRjDkrncsyaEofxs5L15OD89StppJ3BD/ItcM7cLIXmlEuQ5dQDNNk827yti8/Hvil88gfs8qWvs2HVQ0udN7I5/ah9MuOZpTYrZwSuBHnEntcCW1JbpFOglJaSQktcTpbph53vZ7AlVzfQf8YPoh4McMVBKwR+C3VRXZAt4yAiX5BKiadiVg7r0FIGCamBEJmK6978XKCmzlu+o+nSsO0x1b1VnF78VWVntvqKrYWEz33n+vfh/2svy6Y53RmBHxVXcCldjKdta55J/pjNov1o+tNC+478DHmM5IzIiEvXcCNWIPfJBpd2NGJgY320pyazniXgfEGqU7qKMWhml3Yka22C82jzpnZjccmFFJ++6W5Qfncj841n5A7C5MZwRR0XFEu+u/z9fR/B1Sj7MwFrPzZ15xPkxRSRZgXeGsR6sEHK4FdDXXs2L2a/S44C7LchGpf/tWr62MSWOTWcqeQAM3EERERCTIHzB5ae4GvF8+xS22H/GZdhYlnEnauffRv1PPhk3G7sTZbiCt2w0E/gYleRT8/DFFv3xCpWMYjo0VrNlRQln+a/i/m8PbMcNw9r6IkwafTEstMNA4lewAwBfV0rIU4pIz6Pbnr1n47mO8u7KY5XkV3PnOzzz6/ve8Hfn/KIztTCA6BbvDSaDSh6NiF87S7bzhHM27hV0orqhkuG0Jr7g+rjqgAXvMGDa7O1Gc2B1n677c0nM4j7TtgD04d9/llj3fGgwDjKr50IKbAPveGwDOWIiOPcIDRgKJh40KxraIP4rYoyj6Jh5FUT0h68hj49sdeWzs0cS2OYrYo5iLL7bVUcRmHHlsiKlwFsacnl0Mt//Mr5W1jy1uKIZhsDVzFF2zn8S96j1Q4UyaEHNv/2UTG1HOqo/jMu8hul2LiIhIyKzL3cOf3lvBkuw9uBlF58QK2oy6m0Hd+1idWpWYliQOuZbEIdfyIlBY7mPmilz6zXyA9t5c2pe9BQveYuW8tnyReBYtBl7B8P49DttLSMKHo6yqcEaMtaNsbHY7x19yN53LfWQu2MxbC7PpuGcJbX0bYPcG2H3wYxIr21Fc2QGXw0Yg9Ti+dYzFkdmP9C4DaZvViT6OBuilKdIE6S94OAtUd6e1foWQtkOvIPDqP+joWUHRtnXEZXS0OiWRemHu7SpsAq0rfuUux39J3NkV6G1pXiIiIs2Kv5LV//0rpau/YpnnPmLdEfz13N6cMeCCsF4tLz7SycUDMqHXVxT9/BF7Fr5N+s65dLdtpnvhv6j8/EW+/PxEvun5MBcdn8mAtolh/XwEIiqqhuo54sNjepr4SCc3D+/ITcM6sG5zR+b+kkHFjnU4yvMJ+H0Ydhc+dwK2hNb0a92Hz9ofR4eUGFwOG3Cu1emLNAkqnIWx6tnnzDAonHXs0Jklzt70rfyZjd/OoM+Yv1udkkg92dvjzDBI92zgd44PWFa4DbjX2rRERESaCW/xbnJeuJiuxYvAgFszfuXiq28lI6ERTZ3gjiXuhDHEnTAGynZT8MObeH56nbTiFZT7bby9eAtvL95Cu6QobuhSxtCTh5ORGGV11lKLGF/VfFgRieEzTAyqRgF1ateGTu2utToVkWZHhbOwtrcnTJhcldrT4Xz49WdabPgfmJOtX+5TpB74HDH8FOhIgSuTOHfVqlhOf7nFWYmIiDQPJdtWU/jyhXSo3EKp6ebbzvdxy+W37TfvUiMU1YLE4TfD8Jsx81bTfmsxF69z8cmy7bh3r+byn+5h3aIM3k48i4SBVzJ0wHFEODWELlz8aPYgxd+CpNSjmGdKRJo0Fc7CWXC1ifBoOHQ59Uo8q6eSWZnNzjU/kNJloNUpiRyzoqTjuMY7mZ4pcUx2bwPAGbB2XkEREZHmYPfquTjfvpRWZgnbzSQ2j3iJc4YMtzqtemW07EqfltCnL0w6rwfLPv83niVuOtq20bHwZQKfv8KCL3qRk3kBnYZdTt/26RrKaSF/wOSOiusImPBjenur0xGRMKHCWRgzzepJy8PjwzMjNZXvok6mvKSQnat3c0UXqzMSOXbm3qGaNsPAEVG1Oo8roB5nIiIioZS37Cti3h1DFBUsoxPOK99kYKdOVqcVUtFuBwPPvxHOHEP+wv9SsfA1WhctYTC/QM4vFL/6KNdHTeG440/md/1a06oxDVVtInaVeAiYYDMgKcZtdToiEiZsVicgh1A9yVkYXXXaPeIp/uC7k6dXuKn0Bw7/AJEwF3ybAc7IqmWi3aZ6nImINKRnn32WrKwsIiIi6N+/P999953VKUkIbSko488fb8Jr2lls603iHz+naxMvmtUQEUfyyb+n9YRvCdy6lOzet7PLmY4XJ98WJPHYzDWc9PDXPPTM83wxd4FW+25AO/cU46CS5Bh34x4uLCL1SoWzMLat1Vm0q3iDyUmPWJ1K0Fm9MmgR7SK3qIKvV+dZnY7IMUvY/j3z3bdwX9FkXHsLZxEqnImINJi3336b8ePHc99997FkyRJOPvlkRo4cSXZ2ttWpSQhs21PO5S8u4NvCNMZH/z9a3/IRrVOTrU7LMrakLNqMnkzSxJVE3PQtUy/qx4lZLcAMMHbno5z55Zksf+hk3n5hKgt/3UwgYFqdcpNmrvgfa9xj+QePWp2KiIQRFc7CWGBvVxjDCJ9fk9th5+IBrck0dpA/64l93XVEGilbZRnpxm7iA3twR1UVziJVOBMRaTDTpk3juuuu4/e//z3dunXjySefJDMzk+eee87q1KSeFW/+mYdefJ2c3eW0TYri/91wCaktEqxOKzzYbESnduDiAZm8fcMg5t7Sh0BiewIYnGCs5NJt/48ebxzPrIfO593/+w+b8oqszrhJ8hVux2aY4NKKpyKyj+Y4awRs4VM3A+CKvsncvuAeovZ4yF1xNmk9h1qdksgxM7HhTsjgXM+DlBtuvgwEMMLtzSci0sR4vV4WL17MPffcU2P7iBEjmDdvXq2P8Xg8eDye4P2iIhUQGgPPzg34ZlzAw/4yKmLuZ/L115IWH2F1WmGrVes2MH4W5p4ccmZPJ2LF26R4czjTPxuWz+ZfSz/j49SbOLd3Ouf0Tqd1ogo99aKkakSNL6L59oIUkYPpW2EYa5G/iH86n+SCojesTqWGNmkpLIoZBkDBrMetTUbkGJnVq9caBlGRESw327M+0IqKSvWmFBEJtfz8fPx+P6mpqTW2p6amkpubW+tjpk6dSnx8fPCWmZnZEKnKMTAritj94u9oEdjNNlry5ytHaeL7I2QkZJJ5/l9JmbiMirFfsLHdJRTbYvnaHMCyrYVM/Ww1tzzyIh8/fDUfffw/dhRqgaNjYZTvAsAfpcKZiOyjHmdhLKJ0Gyfbf+QXr9/qVA4SNfwO+PgLuuyZQ2HOSuIzu1udkshvY+5b5CLKZccwqkYgF3t8RLrsFiYmItJ8GAcshGSa5kHbqk2cOJEJEyYE7xcVFal4Fs4CATb9+yqyvJvYYSZQMPoNTmzXxuqsGh/DICJrIFlZA8Hv49mySj5bkcfHv2zjnJzvOLd8Fiz6gK0Lk/ksejBml5H0GHQ2bVsmWJ15o+Ks2A2ALVqFMxHZR4WzcBb8Qh9+K7r07z+QBV+cyEDfD2R//DC9/jjD6pREfpu98/QFsGEYBje4ZxJVuYfy3d0hNsvi5EREmrbk5GTsdvtBvcvy8vIO6oVWze1243a7GyI9qQfZ799PVv63eEwHPw16hpF9elmdUuNnd5IU6+TKgW25cmBbCpZdz/rvnWTs+IZW5NOq7ENY8iFFP0XxjWsAv/R9gGG92tOrVTw2rRR5SBG+AgAcsSkWZyIi4URDNcNa9VCx8PuAMwyDykG3AdBlx8eU795qcUYiv41ZvcDF3p4N1/Ihtzn+h7dgi4VZiYg0Dy6Xi/79+zNr1qwa22fNmsXgwYMtykrqS/5PH9Jm2dMA/K/VnzjrzHMtzqhpSux1Jh1ufJPIezeya9QM1mT8jkJbAnFGGZ28K3lizjbO/+f3DPp/XzHj5WeYPedrCkq0EFJtoiv3ABARX3vhXkSaJ/U4C2PVX+jNOoYqWG3g0LNZNrcrvQKrWfHeZHr8/l9WpyRy1HyOWFYFMtlhT6c7UGGLgsBuKkoKrU5NRKRZmDBhAldddRUDBgxg0KBBvPDCC2RnZ3PjjTdanZocg0p/gPVfPEcy8FHEuZx/zZ/qHH4r9cQZSVL/C0jqfwEEAhSvn0/O2vWcXZDO7F93srOonAs8DxGfXUb+V3HMjuhHWetTSOs7kp7duuK0q0/Fj/Qk0Z9CUlJrq1MRkTCiwlkYM8J4qCaAw24j//g7KVlwK99uNWhT4SM2wml1WiJHJS91CJd5H2ZQ6ySGAxX2aAiAr2yP1amJiDQLl156Kbt27WLy5Mls376dnj178umnn9K2bVurU5Nj8Ny363my8I9c4+7K2GvvJ8KpeUMblM1GbKchDOo0hEGAp9LP4pVr2fFVX1yFi0k2ihjq+RbWfwvrJ7PZTOX7hFHs6HkDJ2S14LjMBKLdzeuromma3OW5Hq8/wNw0TdchIvs0r7+GjUywx1mYFs4ATh5xEaNXRPFLvoH3u43ccUZnq1MSOSrV77Pqi+Aeewz4oLJMPc5ERBrKTTfdxE033WR1GlJPlmQX8ORXa/Fjp8cFd5LZMtHqlJo9t8PO4N5dofenUOll16/fk7f0UyJzvqNNxWraGjt4f9du/vHVWgBSbMU8FTOdspTjiGw3gFbdBpKZ0apJz5FW4qnE66/quJAUrXkURWQfFc7CmEnNuZfCkcNu48Yz+3PT6z/x7+82cPWgtiTF6INGGo/qKc5se99nPkcMAIFyFc5ERESOVlnOz6z4zz9wBEZxTp92XHBcK6tTkgM5XCT1GE5Sj+EABMr2kLN8DlnFCZy/M5ZFmwroUbSQQd75sHU+bAW+hxwzlS2RnSlL6gVdz6Ftlz60TYpuMkM8dxeXYcePy+nSyuoiUoMKZ2FsQ8b5jFvYhuHt0njW6mQOYWTPNHq1iid6+3zWvvImSbdMD+tin8j+Urd/xdeuh8gp6AP8l0pnLABmhQpnIiIiR8VfyZ43rudK36+4oysYccEMzWvWCNiiEsg84TwygfP3bsvdlM6KhTYCW5eSXLSS9MB2Mo0dZFbsgK3fcdtGgw8/KcRpNzgzYSuX277Cl9SZiNTOJGd2Jr1dV6Jj4qx8WkfNt/Zr1keMY6nRHTjL6nREJIyocBbGAjY75URQaQvvHlyGYfDA6Wl0f+thInb52DTnNdoNvcrqtESOiMNbQntbLiX+qiviAVdV4czwFFuZloiISKOz5dOHaV3+K3vMaNqe/xfiIzX3bWOV1q4bae3uD973lexm26oFFK7/EVvuzwRsvYnKt1Pm9dNyz88McX4GxZ/BJuCHqsfkk0C+I42P027B12oAmYlRZLmLyLDvwR7VwpLndSgVe/KqfnC4rE1ERMKOCmdhLBD+IzWD+nXryOctr+Ssna8Q++39+E84H3tk47rKJM1TcEj03rkEV2VexpTs7gxs0ZuB1qUlIiLSqHhzV9Ny8RMAfNb6di7v3d3ijKQ+OWNa0Pb4s+H4swF4BggETLYXVZC7MoKf1kbh2L2G6NItpFRuI45SktlDcuUe7l6/m5/XbQDgWvtn3O/8D0Ue8xBns0Zl8U4Ayp2ak09EagrpgPQ5c+YwatQoMjIyMAyD//3vfzX2m6bJpEmTyMjIIDIykmHDhrFixYoaMR6Ph1tvvZXk5GSio6M577zz2LJlSyjTDhtp+Qt4zPk8wws/sDqVI9J/zANkk0qSuZs1r99pdToiR+aA1WsDCW35xezAdn+8dTmJiIg0JqZJ3ht/xIWPufTlrMvHW52RNACbzaBVQiT9B59Gv7GP0PuO/9HhL4uIm7SNovHr2DD6U5YO+gejR5zKuMHtOL1bS1LiIsmjBZVm+M2LFiitKpz5IsKvN5yIWCukf7FKS0vp06cPzzzzTK37H3nkEaZNm8YzzzzDwoULSUtL44wzzqC4eN8QqfHjx/P+++/z1ltvMXfuXEpKSjj33HPx+/2hTD0sxJZs5CL7HDpXLLU6lSOSkhjPmgF/B6Dblv+yddHHFmckcgSqV6/d27Uzdu/S6yUVPstSEhERaUx2zHuN1kU/UW66KBvxCIlaKKrZi0tIoX3vIRx35jjGDu/FpPN68O+xx/PHux+l5aSN+O9aZ3WKBzHKdgFgRiZZnImIhJuQFs5GjhzJgw8+yOjRow/aZ5omTz75JPfddx+jR4+mZ8+ezJgxg7KyMt544w0ACgsLeemll3j88cc5/fTT6du3L6+99hrLli3jyy+/DGXq4eGAnjCNwWnnXMKsmKppRSM+uQ1fyS6LMxI5nJpDNVv6c/mD/SMGFnxoXUoiIiKNRSAA30wB4JOEMZwx6HiLE5LGwO0Kv3nEnBW7q36ITrY2EREJO5b1kd24cSO5ubmMGDEiuM3tdjN06FDmzZsHwOLFi/H5fDViMjIy6NmzZzCmNh6Ph6Kiohq3xqm6J0z4dWWui2EY9L7mSTaSQZK5i6//7zmrUxI5tAMK1C1827nX+SZnlfzPspREREQai2/W5jO65G7+4x9Bv8vv1yqa0mi5vQUAOGJTLM5ERMKNZRWZ3NxcAFJTU2tsT01NDe7Lzc3F5XKRmJhYZ0xtpk6dSnx8fPCWmZlZz9lXCXmBzqzZE6axSE1qwdZTn2Ki7zpuWN2XT5dttzolkTp57dFsDrSk0FHVLd8VnQBAZKDMwqxERETCn88f4MGPV7KVFDaf+ADt0zTETRqvZbauzPH3wpGUZXUqIhJmLO/KdOBVKdM0D3ul6nAxEydOpLCwMHjLycmpl1wPFPIC3d6eMI2px1m1k045g5jBvwfgrnd+5tfc4sM8QsQam9PPZKj3SV5LmQBAZEzVogDRlFqZloiISNj74Ks5rN9ZSlK0i1tP62R1OiLHZJpxFVf7JuJsfZzVqYhImLGsIpOWlgZwUM+xvLy8YC+0tLQ0vF4vBQUFdcbUxu12ExcXV+MWCqEv0IXfMs1H4+6zujK4QxIObxG7/zWK/NXfW52SyEGqO3ZW1+IjY6tWUoqmAtNfaVFWIiJitaYz9UdoFP36HRfNO58nnc9w5xmdiI90Wp2SyDEpLKtaGCpB/5ZF5ACWFc6ysrJIS0tj1qxZwW1er5fZs2czePBgAPr374/T6awRs337dpYvXx6MsVKoC3RmIx2qWc1ht/HMmH5Miv0fg8wlON++lKKcFVanJVJD9fvMtrdyFh23bwny8tJCS3ISERHrNdTUH42SaVL00UQAXJGxXHpCW4sTEjk2lZWVlHk8ACREhd/CBSJirZAWzkpKSli6dClLly4FqhYEWLp0KdnZ2RiGwfjx45kyZQrvv/8+y5cvZ9y4cURFRTFmzBgA4uPjue6667jzzjv56quvWLJkCVdeeSW9evXi9NNPD2XqYWFFxsX0q3ie99LHW53Kb9Yi2sWJv/8HK4xOxJvF+F4+l8LNy6xOSySoTe4XfOy6l9/tegGAqKgoPGbVlcaSQq0KKyLSXDXU1B+NUcFP79O6ZBnlpou4kX/BbmucF3lFqpXkrmN9xFXMc99CXITD6nREJMyE9K/CokWLGD58ePD+hAlVcwiNHTuW6dOn8+c//5ny8nJuuukmCgoKOPHEE5k5cyaxsbHBxzzxxBM4HA4uueQSysvLOe2005g+fTp2uz2UqYeFSnsEu4nDY489fHAYa5WaQvnYd1k3/Rw6mjnsmX42BVe8R2JHLVcu1ovw7KanbRNeX9XVcsMwKDGicFNI8Z4CWqqDgYhIs+R2u3G73VanEX78lfhmTgLgk5jRXHhcL2vzEakHpXt2kgCADYe98c0vLSKhFdLC2bBhw/YbbngwwzCYNGkSkyZNqjMmIiKCp59+mqeffjoEGYa3wN7Xrims6t2xXVvWX/MpK6dfQHdzPSWvnceWs5+n9QnnW52aNHPVf6PM/YZEPxA5kc17vEx0ZdDBqsRERETCUP68GbT0bGa3GUO7UfccdlEvkcagvCgfgBJb4+6wICKhoXJ6GGu9ax6THa/Qv3Cm1anUiw5t2xB9/acssfUghjL8n/yZr5dr2INYbO/qtftXqLfG9eFnsyMFXnXVFxERCfJXYs55DIAvEscwoGuWxQmJ1A9PUdX0HOWNfKSPiISGCmdhLKVkNVc7ZtGhdInVqdSbthlptBs/k8+jz+N67x1c9/ovTP1sFd7KgNWpSbNV3eNs35/DxKiqOc72lPssyUhERCQcbV67DMNbwi4zll7nT7A6HZF6U1laVTircMZbnImIhCMVzsJZoLqY1LS6wCfGxXDqHTM44cQhmCb8a/YG/jXtL2z98X9WpybNUfVw8v16nPUNrOQP9o+I3LbAoqRERETCzz9+MTjJ8w+ea/0wPbPSrU5HpN74y/YA4HOpcCYiB1PhLKwd/IW+qXA5bDx4QS+ev7I/J0Ru44bS52n16VhW/+N8yvI2WZ2eNCfmwQXq/uXfc6/zTVJ3zLYmJxERkTCTs7uMD5ZuowI3o846x+p0ROpXeQEAfneCtXmISFhS4SycBRdWaHqFs2pn9Uzj6Vsu4puE0VSaNroWfIvt2eNZ/p8/4SvZbXV60gz47JHkmQlU2GOC28zIRAAcFQVWpSUiIhI+An6+/uhVAgE/J3dKpk9mgtUZidSrbfZWzPb3piROy0KJyMFUOAtn1av9GU3715SalMiZd/ybxSM/ZKmtOxF46bn+BTyP9WDF6/fgKSu0OkVpwlZkXMQJnmd5P/2O4DZbVBIATu8ei7ISEREJHwWL32Psxrt5y/UgtwxTYUGanq9iRjHWdw9b25xvdSoiEoaadkWm0Wv6Pc72d+LAk+l6z3d83ftx1tKGGMpou+YVRjz+LdNmrSGvuMLqFKUJqmWKM+wxVYUzt09FWxERaeZMk7JvpwGQE9uXEzskW5yQSP3bU+YFICHSZXEmIhKOHFYnIHUzqudeaoJznNUlwuXg1NG/p+ycq5n54SusXr2CzaUOnvpqLc9+s5bXEl8iuvPJdBp+FRFxarjJsTP3Fqj3f5e5Yqv+bUVVqnAmIiLNW8ma72hVuhKP6SR9xO1WpyMSEoVlHgDi966sLiKyP/U4C2ML08dwkucfzM74vdWpNLgot4sRF9/AH+99kmfG9KV/20S6m+sZWPoVvZZMwj6tM8seO4efP36e0oI8q9OVRqxT7ie845rE8Pw3gtsi41MAiAkUW5WWiEjYa9euHYZh1Ljdc889NWKys7MZNWoU0dHRJCcnc9ttt+H1emvELFu2jKFDhxIZGUmrVq2YPHkyZnCeV7HazpmPAfCV+1QG9+5qcTYiofFi/lX84v496Z5NVqciImFIPc7CWIUjhi1mCmWOBKtTsYzTbuPc3hmc2zuDDRvT+eabPFrnfEgnczO9SubCorn4F97DrxE92NzrNtqfcA4dUqIxmlEvPTk2MRXbOd62hh+9nYPbohOqCmexZnHVWE79exIRqdXkyZO5/vrrg/djYvYttOL3+znnnHNISUlh7ty57Nq1i7Fjx2KaJk8//TQARUVFnHHGGQwfPpyFCxeyZs0axo0bR3R0NHfeeWeDPx+pybfjV7J2zSZgGtiG3Kr2lTRNpkmcWYzTqCQ6LtHqbEQkDKlwFsZqm3upOWuflUX7rAfxB/7OL0u+p2jRO6Tt+JaOgU108Sxn0rzNzJ87m9Q4N5dm5HNK7DZa9T2TtHbdMGzqXCl1qOWNFpOcwdXeuykwY3nH5yfCpT+VIiK1iY2NJS0trdZ9M2fOZOXKleTk5JCRkQHA448/zrhx43jooYeIi4vj9ddfp6KigunTp+N2u+nZsydr1qxh2rRpTJgwQYUai2397FHaAXNsAxg+ZLDV6YiEhOktwUklADGJmgpGRA6mb4NhrF3B90x0fEV84VCgh9XphA27zaB3/5Og/0mYpsmG9avJWfA+9tLBuHKK2VHkIaHsfQY4PodlD5BLEjkxvfClDyChy0m07zmQiIhIq5+GhIvgaKB9X85io6KYbxyHL2Cyu8xHhgpnIiK1evjhh/n73/9OZmYmF198MX/6059wuaom154/fz49e/YMFs0AzjzzTDweD4sXL2b48OHMnz+foUOH4na7a8RMnDiRTZs2kZWVddA5PR4PHo8neL+oqCiEz7D5MgN+yrYsByC/1w24HXaLMxIJjdI9+cQAHtNBfGy81emISBjSt8Ewllm0hEscn7CgONbqVMKWYRi079iN9h27MRSo8PlZvLmAknm/sCJnC528q0gzdpFW8i2s/RbWPkbFR07GJs+gQ7ss+mTG0zs9inYtE3RVu9mqWoTDNPb1SjQMg6RoN7lFFeSXeMhIUKFVRORAt99+O/369SMxMZEff/yRiRMnsnHjRv79738DkJubS2pqao3HJCYm4nK5yM3NDca0a9euRkz1Y3Jzc2stnE2dOpUHHnggBM9I9vfjpj1cWvIXjnds4F8jzrM6HZGQKdmzkxigkBha6mKpiNRCfxnCWHBVTVTQOVIRTjtDOiZDx4nARCrKilm99FuK1s4jMncxbcpXUIaL2VtNZm/dCMCLzsdw2XPYEtmVspQ+RLc7nsyeQ0hLSVYxrTkITkBd83d9lvtnIuy/UrY1AVoPafC0RESsMGnSpMMWpRYuXMiAAQO44447gtt69+5NYmIiF110EQ8//DBJSUkAtX6OmqZZY/uBMdULA9T1GTxx4kQmTJgQvF9UVERmZuZhnpkcrZfmbgQMOvYbTosY92HjRRqr8qJdAJTYYmhpcS4iEp5UOAtjZvUYMkPzc/1WEVGxdB08CgaPAqqGHRRvyebJXS6WZBfwy5Y9HJe3nhQKaVW+E7K/g2wIzDbYYLRic2w/funzV3q3jqd36wSS1XBscoIF6gO+oP3O9yl9nAtZuLU7oMKZiDQPt9xyC5dddtkhYw7sIVZt4MCBAKxbt46kpCTS0tL44YcfasQUFBTg8/mCvcrS0tKCvc+q5eVVrZZ9YG+1am63u8bQTql/uZtXM3/VJiCSa4e0szgbkdCq2Fs4K7PFWZyJiIQrFc7CWXDScmvTaEoMm53WbbJo3QYu6NsKAF/ZL2xcMZ/CdT9gz/2J1OKVtAzspANbyC+M4ckv1wYf/1LUM9hjUvCnH0dip4F06NaP+OgIq56O1INKm5NiMxK/4aqx3RORAhXgL9phUWYiIg0vOTmZ5OTfNjn2kiVLAEhPTwdg0KBBPPTQQ2zfvj24bebMmbjdbvr37x+Muffee/F6vcG50WbOnElGRkadBToJPc97tzLPtYznk/5Mp9RzrE5HJKQKzSjm+HuxJ7ozPa1ORkTCkgpnjYCJJmMNJWdUAlnHj4TjRwa3VRRsZ8uK7ync5WG0pxW/bClkx848hvvnYysyoegD+BVKPopgqb0ju+J74GkzlBZ9zqJHRhyxEU4Ln5EcjQWtruXKNacwLrMd+68XVhmVAnvAKFXhTETkQPPnz2fBggUMHz6c+Ph4Fi5cyB133MF5551HmzZtABgxYgTdu3fnqquu4tFHH2X37t3cddddXH/99cTFVfXsGDNmDA888ADjxo3j3nvvZe3atUyZMoX7779f0yVYxLfjV9oW/kgAg37Hn2x1OiIhtyF2APf6JnJ6y1Q0m5+I1EaFszBWPYRM7caGF5GYTseTLqIjMGLvtuLiQtYtfIryjT8Slf8zrcrXEGNUcFxgORQs5787c7nphwQMAzomRTAh4kPsrfuR0mUQndu3J9qtt1s4CgTn0qm53YiumuXCWbGroVMSEQl7brebt99+mwceeACPx0Pbtm25/vrr+fOf/xyMsdvtfPLJJ9x0000MGTKEyMhIxowZw2OPPRaMiY+PZ9asWdx8880MGDCAxMREJkyYUGMOM2lYW2Y+TRYw19afk4/vb3U6IiFXVOEDIC5SbXURqZ3+OoSz4FBNVc7CQWxsPLGnXg1cXbUh4Kcwezm5q+bhzVnEbn9PWhVGsnVPOY5dvzLSPR3yp8NS2GIms9jZmaIWPXG27kdq10F0aZdJpEu9Ca22b2mAmu8zR3waAJGe/AbOSEQk/PXr148FCxYcNq5NmzZ8/PHHh4zp1asXc+bMqa/U5Fh4Skjd8C4AeV2vwuXQPLvS9BWXewGI04gREamDCmdhbG7aVfx1ywB+l9aLgVYnIwez2Ylv14f4dn0A6AXcCOwq8bB+5SJWLTqH+IJlpPlyaG3k07oyH/LmQR48+sMljA5cQOfUWI5PNTg5dhtpXU6kc7tMIpwqpjWkXnkf8qrzQ4rzRwLdg9sjEqvm44mp3G1RZiIiIg0rb/5rtDTL2BRIZdCIi61OR6RBnL5mEje6Z/PDnluBHlanIyJhSIWzMFbkaMFaszUV7iSrU5GjkBTjJumEIXDC3pUYKwopWP8ju9b8QGDrEhL2rCDb3plAKazOLaZd3o+McD0Ji2CTmcZmVydKknrhyuxPWtcT6dw2A7dDxbRQSSzPYZB9GQsqajaUopOqFo9ICBRYkZaIiEiD8/04A4Afky/gksRoi7MRaRhObxGxRjlup+vwwSLSLKlwFsbM4BgyDdVs1CLiSexxBok9zghuehr4S1EFv2wpxLdkHXkb02hZmUs7I5d2vlzI/Q5ygYVwQ+VdbE0dRq9WCfRPgR4ZcXRom6nhE/XGrHVrfFoWV3vvJt+M5wN/AKddr7eIiDRdnp0bSS9bhc+0k3HKNVanI9JgnL4SAGxR8RZnIiLhSoWzMNa5cC7jHT/QqnAE0NnqdKSepcZFcEb3COh+O3A7Zukudq9byK61P8C2JSQWriTFv4PV/gw2by1i+dYiEuwfcpHzLbabLdjiak9RfBeM1J7EZ/WlbefeJMfp6vDR2zfL2f4S4+KYbxyHL2CSV+yhVUJkw6cmIiLSQD7f6uJRz5OcGrOZv/XuanU6Ig3G7S8GwBmVYG0iIhK2VDgLY52L5nOi438sKEoGLrc6HQkxIzqJpD5nkdTnrOA2s2Qnr3ujWLa1iF+2FtJ3WTmUQrqxm3TfbshfBPnACvCYTi50PEZkeje6pMXSN76EDi3jycrqQIRLb/W6GGbtPc5sNoP0+Eiyd5exbU+5CmciItKkvbNoC1vMFBJPGIzdptEO0nxE+ksBcMUkWJuIiIQtfZsOZ3u/0JuGhog1V0ZMCq2B1i2iGdkrHc56FbN8DzvWLWHXhp/wb1tO9J7VZHg24MDHstIEvOvymbsun0cc/6KbYzbFZiQb7K0oiGyHJ74DjtQuxGf2IL1DL1LiojA0FLhKLa/DiIiVuOw/U7bRBu1GWpCUiIhI6G3ZVcz366tWkb6of2uLsxFpWJFmVeHMHdPC4kxEJFypcBbOzABw4AAyae6MyATSeg0nrdfwfRsDAcp3ZfNORQKrc4tYnVtM5gqTygobsUY53QProHQdlH4J24Al0LXiFZwR0bRPieEi13wy3eW4UtoTl96JlpmdSGmR0OyLamf6Z3O88wsWZKcAKpyJiEjT5H39cl50lPBF2o1ktoiyOh2RhhMIEEMZAFGxiRYnIyLhSoWzMGZUz72kHmdyODYbkSnt6AP0yUyo2jbqI0xfBbu3riF/4zLKtq/GtmsNMcUbMX0VeA03FRWV/Jyzh3td73CibTVs2HfIHWYieY50CiNaMbPT32iTFE1GQiStbbtJTkokJTkVZxNY7dM0DPymQW0lal9MBhSCrXhLwycmIiLSAAJ7ttB29/e0twfw98q0Oh2RBhWo9PBdoBdxlNE6LsnqdEQkTKlwFtaqh2o2714/8tsZzghatOtNi3a9D9q30udn864yNuwsIbD4NJbtbkls2VaSK7cTQxmpRgGp/gK2l+Ty6oLs4OPedk0mw7aaCtPJdiOJQkcype6WeKPSMGMzyO02lhbRblpEu0ixFRMfn0BcbGzY9l77uvXNXL7xbG5o056BB+yzJbSBrRBRus2S3EREREJty7cv04YAC81unDLwwE9CkaatJOBgrPceAFbHxlqcjYiEKxXOwtneOc4M1ONM6l+E006XtFi6pMVCryn7dpgmvpJd5OesoXDbWgqKS/hjZAeyd5exfU85cTsrwYQIw0cbcqEyFyqBUtiW14KxK/sFD/V/rkm0sa2hxIyg0Iij2J6AxxFLpTOWiogUZre/k1i3g9gIB+2LFxNj9+KKTiAiJpFKs2H+3Zu1L6oJQERyWwDiPDsaJBcREZEGZZpErHwbgI2Zv+N4V+PvSS5yNIrKfQC4HDYinPr3LyK1U+EsnFV/ow/TnjrSRBkGzthk0rsnk959MACDagQsJuAtZ09eNntyN1OWn4O3YAtm0Tb2VDoZFpVCQamX3WVeEkqr5oyIMSqIoQL8eeAHPLC1OIkrtvwueNT3XVPpa1sXvF/kqX21y4YUl5YFQFIgz+JMRETEKn98bTFXb59CZXkhAAueuIzoCGfweksAG7OjR7Iqog8A3SuWMKz0s5rXY/beMbHxffQZLI8cgN1mcGX6VrrFlBwYBoDHiOSX6H2fwN3KFhEdKK41x0rDydLok4L3u5QvIda/p9bYgGFnSfQpYEBk3lJO826hzHSTlJzK9u9frxFrAItj982p2r5iBQm+nbUeF2BpzCkEjKriQ7uKVbSozK0z9pfoIVTaXABkVqwhxVd37+4V0SfitVWtbt3Ks56W3pw6Y1dHH0+FLRqANO8m0jyb6oxdE92PMnscAC09OWR4NtQZuz6qDyWOBACSvVtpVbGuzomIN0b2osiRhAEk+nLJrFhd53E3R3an0NkSgHhfHm3LV9YSVXWinIguFLjSAIjz7aJd+fI6j7s1ohO7XRkARFfuoX3Zz3XG5ka0Z6eraphulL+YDqU/1Rmb525LXkRV+yjCX0rHkkW1BxqQ78pkR0R7AJyBcjqX/BjcXby3jfjzs1cTE+FkXcwAfmpRNZ+s21/KeVufqDOHTdG9WZh0PgD2gJfRWx+uM3ZLVHc2t7+CG4d1wGk/+KJscUUlAHER+losInXTX4gwNjNlLA/mDebitBMOGkImYiWbK5IWrbvQonWXg/adtv8dczkVJQUU7dpO8e5cKvbswFNSgLd0D2V+G9cnZlFcUUlxRSWF2zqy1mMjIlBKdKAEj1kO1P4FoT71yv+E551f4Nt5NtCtxr7kVlWNvVjKKSvaRZTmvhARaXa++TWPB20/4PQWATCwYi5xZs2KyRdFbZjrbwVApa2Ye13f1nm8bwtTme2v6tHsyffx+oDai0BFZhTry/YV1Y63byPNKKg1tsx014jta99OulF7gctnOli3N7bXL1W9zebZ+nJa/HYM38Hxa/P2HbeTbTvptq11Prd3dxZTSVXhLMuWS7ptc52xH5b1ohw3AK1tuaTbNtUZ+1lZN4qoKnC0tO04ZOyssk7spmqBrcTDxH5b1p4de4tSMUYe6faNdcZ+X57JFrPqufU2djLgELE/lGWwyXQC0N3YyQmHiP2prCVrzQgAOhn5DDxE7LKyFqwyqxZvaGfsYpC97kLfqrJ4VpoxALQ2djHkELHryqJZacYDkMpuTnLUHbu53M2KQNXqky0o4mTH+jpjt5bZWR6oajvFUcbQ/WKjKyoA6FP4NXEVBsvyTd5c1xOAJAqZFPFFncfdsKucN9f3BSCSCh48ROy2/D08vv54jmuTwMmdUg7ab1s3i1/cN7PC7AGcUedxRKR5U+EsjO1ypvOLGWBUREurUxH5bQyDiNgWRMS2oGW7HgftPrXGvbdr3CsqKoKH40OaHkBq+ToG2heyoKLbQfvi4hLYTRwtKGLHptVk9R4S8nxERCS8TD6/J2tyJlJeUgTcy3ctLiI6whXcbxo20hIGc3lUJqYJaaUlfFN4WdW+AzpPm4ZBXNxJjC6rIHnLLFyVSWxpee7+EcGfAoaTU2P3tQErSk5ki7+81hwDhp1T4/bFVpYOYEtlWa2xpmEwPK4lBHy0/f4bAHa1Oo2tLWv/jBu+33HtZX3ZUtnh4GPuTfvkuJaYe3ucucp6s8XXtmbcfs9vYGwaplH1VSSqvCc5vla1nh9gQEw6/r2906IrupPjqbttfFxMa/x2NyYQVdGNHE/dF716RGfSxV7Vky3SAzmeutsdXaLa0N5RVYiK9NrIqYiuGbDf77pDVDsynVXzZUV47eSUuw8MCWoblUmas+q8bp+T7LK6v55lRLaihbNq5UdXpZvssrp756dGZDDElbQ3NpLs0sF1xraISGOIOxkAR2UU2aWD6oyNc6cyuDo2EEN2Sd2x0a4UBkdUxdoDnr2xVTkXO0sBmN/uJqIj3cRFd+auxM5VsZUVzN0+vs7jOqPaM6FFVawtUMl3226vM3ZOTiT4oMzrr3W/r2Q3cUY5UbZaqsYiInupcHYMPB4PHo8neL+oqKhej29Wz3GmkZoioXOYEaHTEu5jwQ6DO81MshomIxERCSOXDMiEATfvbefdy8k3PElcXFyNmOE17vUGLq3zeKcBy79+k5473mS1rwutuz9UZ2ybGvf6HjLPmrHHHTI2E9ixew+Pe0cz3LaEweffQOuU2otGrWvcSzjscX9bbGIIYw/uHV93bOejiO14FLEHFxtr1wKOuLXRAmh72Kh9sUe6YmoLDvytH1rGUcSmB3+q/t406PJ7D3o/Vel1FMedXOeeJ5+bByUFBxWxq1WW7wHAa485ivOJSHOjWeePwdSpU4mPjw/eMjPrdwnv7iXzuMH+EclFK+r1uCKyv0NXzsoyBrLObM2G3d4GykdERJo6u6NqGJ/NrLQsh49W7OY//jP4Z8ZUMusomok0dnfs+X9867qD5Nw5te4PlFcV8HxOragpInVT4ewYTJw4kcLCwuAtJ6fuiUp/i36FXzHR+SZphUvr9bgisr9DF87aJ1cNxdiws7QhkhERkWbA4awadmi3sHD24c9Vk/Gff9zR9BgSaVySAvm0s+3AVtfQ5b09zvwuFc5EpG4aqnkM3G43brc7hGeoHqqpsZoiIVfH+6xrdCl/tH9Im80O4J8Nm5OIiDRJDoe1hbPtP31Kr+2z2GobyDm90g//AJFGygwuaVv7hVLDU7UQlemqbbioiEgVFc7CmGEGqn+yNA+RJq2uSS/2yorxcbrzLYpLo8B8RpMOiojIMbNX9zij9gnLQ83z3VM85JzP8fE+kmLqno9NpNHb226rq7ln37tarhmh4coiUjfLh2pOmjQJwzBq3NLS0oL7TdNk0qRJZGRkEBkZybBhw1ixornM+bX3L7xh+a9JpBmovSCWkdUDv2kQSxkFefU7HFtERJqn6sKZzWz4wplZspPMgh8AiBpweYOfX6Qh7bdOba37t9nTWRroQGXc0SyIICLNTVhUZHr06MH27duDt2XLlgX3PfLII0ybNo1nnnmGhQsXkpaWxhlnnEFxcbGFGTeQ6ksj6uEiEjIzW99K54oZzGvzh1r3R0ZFkWNrBcC21T82ZGoiItJEOZ1ViwM4aPihmlvn/xc7AZab7RlywokNfn6RhnXooZpvxozlAu/fKWhzZgPmJCKNTVgUzhwOB2lpacFbSkoKUNXb7Mknn+S+++5j9OjR9OzZkxkzZlBWVsYbb7xhcdahZ6jHmUjI+bHjxYlp1D1yfWdM1VL2pZt+aqi0RESkCTOSOnC59z5u9I3HPMyUAfXN+8t7AKxPOZ1ot2ZtkaZu71DNOhaDKir3ARAbofeCiNQtLCoya9euJSMjg6ysLC677DI2bNgAwMaNG8nNzWXEiBHBWLfbzdChQ5k3b16dx/N4PBQVFdW4NUqmFgcQaSiHepd5U3oC4Ny5vGGSERERy4WyPemMjGN+oAdLAp3wBxqucBYozqNtcdVFoKQTLmmw84pYZZc9hQ2BNCrtUbXuL66oKpzFRTgbMi0RaWQsL5ydeOKJvPrqq3zxxRe8+OKL5ObmMnjwYHbt2kVubi4AqampNR6Tmpoa3FebqVOnEh8fH7xlZmaG9DmEygctruEy71/Y2nKY1amINFl9dn3KE85/0mnX13XGxLTrB0DL0l8bKi0REbFYKNuTLse+JrjP33CFsy17h2muMNszoG+/BjuviFX+lfQnTvVOY0fasFr3v1F8DXPdt9GickfDJiYijYrlhbORI0dy4YUX0qtXL04//XQ++eQTAGbMmBGMObDHlWmah+yFNXHiRAoLC4O3nJzGOaH3Vmc7FgS644lMPXywiPwmrcpW8Tv796SUrq0zpnW3qjlgEgMFlBQXNlRqIiJioVC2J53+Mq60z+Jq+xd4K2uftDwUcjasptK0sb7l6UQ47Q12XhGrGBxiVU1/JansprWRT3R0TMMmJiKNiuWFswNFR0fTq1cv1q5dG1xd88DeZXl5eQf1Qtuf2+0mLi6uxq0xMoNDNS1ORKQZMA/xRktKSec61yMc53mBpbm+BsxKRMQaDz30EIMHDyYqKoqEhIRaY7Kzsxk1ahTR0dEkJydz22234fV6a8QsW7aMoUOHEhkZSatWrZg8efJBc3rNnj2b/v37ExERQfv27Xn++edD9bSOSijbkw5fKQ86X+Fvjlfx+humcGaaJn/eM5rjPc8SPfj3DXJOEatVN+9qm+PMV75v+HV0bGJDpSQijVDYFc48Hg+rVq0iPT2drKws0tLSmDVrVnC/1+tl9uzZDB482MIsG0avsgVcZZ9JXPEGq1MRabKqG1KHq0/HdzgRL04WbNgV+qRERCzm9Xq5+OKL+eMf/1jrfr/fzznnnENpaSlz587lrbfe4t133+XOO+8MxhQVFXHGGWeQkZHBwoULefrpp3nssceYNm1aMGbjxo2cffbZnHzyySxZsoR7772X2267jXfffTfkz9FKht0FgN0w8VU2zMqav2wpZOuecjyuRIb07Ngg5xSx2rjdT/GZ625St39z0L6ykj0AeEwHMTHRDZyZiDQmli8fctdddzFq1CjatGlDXl4eDz74IEVFRYwdOxbDMBg/fjxTpkyhU6dOdOrUiSlTphAVFcWYMWOsTj3khhV/xHHOH1i4pz0wzOp0RJokY2/PB/MwpbOB7ZN4b8lWftiowpmINH0PPPAAANOnT691/8yZM1m5ciU5OTlkZGQA8PjjjzNu3Dgeeugh4uLieP3116moqGD69Om43W569uzJmjVrmDZtGhMmTMAwDJ5//nnatGnDk08+CUC3bt1YtGgRjz32GBdeeGFDPFVr2Pc1wb1eDxD6L+1fL1kNwKldW2qYpjQbLf3b6WbLYaH34MU9yooLiQfKiCTRHnb9SUQkjFj+F2LLli1cfvnldOnShdGjR+NyuViwYAFt27YF4M9//jPjx4/npptuYsCAAWzdupWZM2cSGxtrceahZ5h7u+7bLP81iTR9hxkTfWLbWCY7XmHy9hso3bOzgZISEQlP8+fPp2fPnsGiGcCZZ56Jx+Nh8eLFwZihQ4fidrtrxGzbto1NmzYFY/ZfPb06ZtGiRfh8tQ+NbxKrp9v2reBX6fMeIrB+mCV53PrT2bztmsy53TUkTZqPfRdGDx6q6Smrmre21IhswIxEpDGyvCLz1ltvsW3bNrxeL1u3buXdd9+le/fuwf2GYTBp0iS2b99ORUUFs2fPpmfPnhZmbAVNciYSaod7l7VJiWeIcw3djGzWzm3aQ4hERA4nNzf3oPlmExMTcblcwblpa4upvn+4mMrKSvLz82s9d5NYPd2+r3Dm83lCfrptC97BgZ9ow8sp3Rvh6yXym1UvDnBw4cy7d6hmhRHVkAmJSCNkeeFM6mZUz72k1QFEQu5wQzUNw2B7+mlVd1Z/0gAZiYjUr0mTJmEYxiFvixYtOuLj1dY+OXDl89pWRj9w+5HE7K9JrJ5u2zdUs9Ib+kVnvMs+AGBt8qlEuSyfqUWk4dVSOCsNOFka6EC2s13D5yMijYo+OcNYcKimofqmSKh8mn4zf9h6Nje07s6gw8QmHz8aPniZLsULqCguIEIrMIlII3LLLbdw2WWXHTKmXbt2R3SstLQ0fvjhhxrbCgoK8Pl8wR5kaWlpta6MDhw2xuFwkJSUVOu53W53jeGfjZJh4MeGnQCVlaEtnJnle2hdWDV8Nr7v6JCeSyTcmMHvUQcXzrbF9+Nm7985IaMFpzZsWiLSyKhwFtbU40wk1Ly2SAqJodIecdjYzr2HsPHDTLLI4acvXqTfRX9ugAxFROpHcnIyycnJ9XKsQYMG8dBDD7F9+3bS09OBqgUD3G43/fv3D8bce++9eL1eXC5XMCYjIyNYoBs0aBAfffRRjWPPnDmTAQMG4HQ6acoeiP4Lmws83OCIC+l5ti78iNZUst7M4ITjTwzpuUTCTXBEQS09zko8VUXrmAh9JRaRQ1NXpjBWPVRTPc5EQmlvgfoIIm12G1s7VPXWSFr1H8yAP4R5iYhYJzs7m6VLl5KdnY3f72fp0qUsXbqUkpISAEaMGEH37t256qqrWLJkCV999RV33XUX119/PXFxVYWgMWPG4Ha7GTduHMuXL+f9999nypQpwRU1AW688UY2b97MhAkTWLVqFS+//DIvvfQSd911l2XPvaEsiTiR2YE+eMzQFghLfq4apvlr4lBi3CoQSPNSao8j10ys9QJpiaeqHRet94WIHIYqMmHsrdhxXOP9E7uTj7c6FZEm67iCL5ji+Ddtd889ovgeI2+gxIykrT+blV+9FuLsRESscf/999O3b1/+9re/UVJSQt++fenbt29wDjS73c4nn3xCREQEQ4YM4ZJLLuGCCy7gscceCx4jPj6eWbNmsWXLFgYMGMBNN93EhAkTmDBhQjAmKyuLTz/9lG+//ZbjjjuOv//97zz11FNceOGFDf6cG5rTXlU89PoDoTtJpYfMXVWfbxE9zwvdeUTC1CspdzPQ8082pZ990L7ua59nrvs2zi58y4LMRKQxUXk9jK11duWHQEsujEo9fLCI/CbtSn7mRMfXzC/tckTxiUkpfNP6arZuXsenv8Tx6qkBHHZdgxCRpmX69OlMnz79kDFt2rTh448/PmRMr169mDNnziFjhg4dyk8//XS0KTZ6p/jm0sm+E8raAWkhOUfO7lKe8I5lsH0lpw7ULE7S/FTPeGPWMseZqzyP1kY+G23eBs5KRBobfdsLY9V/3m2a40wkZIKr1x7RYM0qfa94kEedNzJvp4unv14XqtRERKQJu7roBR52voizeGvIzvHl2kLeC5zCf1vfS4uYw8/lKdLUVLfuapniDJuvtGqfK6bhEhKRRkmFszDWp+JHLrLPJrI0dA0qEal25IWzhCgXk8/vAcCzX69m/uzPQpWUiIg0UX6jauCHvzJ0vV1mrdwBwIjuGr0gzdPo3f/mPdf9tN7x1UH77L6qORuNiNAu0CEijZ8KZ2FsdMnbPOb8Fwl7lludikgTVsslyCNw/nGtuGpAKs86nuD4r8ew5P0n6jkvERFpygLVhTO/LyTHL978Cz03v0obYwdnqHAmzVSqL4d+tnVEePIP2uesrOpxZo+Ibei0RKSRUeEsrO39Qm+zW5uGSDNg/oYR0ZN+15foxFQcRoC+P09iyRMXUpi/vf6TExGRJqe6cBbwhaZwtn3uDO51vM7kmPdomxQdknOIhL/gJGcHcflVOBORI6PCWRgzgoPxNceZSMiY1XOcHT273c6Jt7/Bd5k34DcN+hZ+if2Zvsx/+U8U7j74yqaIiEi1YOHMH5qhmnGbZgJQ3G5ESI4v0ijsnSvaNA9evdblLwfAGR3foCmJSOOjwllY2/uFXosDiISc+RsL1Ha7jZOve4RfR73POlt7YihnUPYLOP/Rnef+8yYrthXWc6YiItIUmLaqwplZWf89zry5q0jzZeM17bQdeEG9H1+ksdjXvju4y9kWI5X1gXRcMUkNm5SINDoqnIWx6tX+MPRrEgmVj1JvYlDF06xodckxHaf7gOFk3beIRSc8wUZbW8px8eSKCM55ai6/e/Z7Pp/9HeXl5fWUtYiINHaBvYWzQAgWB8hZ8B4AP9l60jMrs96PL9Lo1DJU81bjXk7zPo4ttUfD5yMijYrD6gSkbvsKZ+pxJhIq5Y44tpOEz3HsS5Hb7XYGnH0t5lnjWLp8GWcs9/PFilyWZu+mS+6dlH1dzqKW59By2A107n6cepOKiDRjc1rdwNzl6+kR1a3ej21bWzVMMy/jVGw2fdZIM1bdAcE8uHJW4qnq7Rkboa/EInJo+isRxozgUE2LExFpwswQ1KcNm42+vfvwTG/YWezhs7k/EvOjjySziJN3vgnvvMnPjl4UdruCPmdcSXycJqUVEWlutrU4gc8DSWTYkuv1uIHSAjJLfwEgpd+oej22SGPjtUVSaEbhN2p+7a30B6jwVc17Fu3WV2IROTSNAQxjMyLHcrP3NkoS1X1YJFT6Fn7JXxz/oVXBwpAcPyXWzdUjTybpvjWsGvovlkcPxG8a9KlcxinL7iHweFde/dejzFufTyBQyzgCERFpkpz2qma41++v1+NuXPkDftPOerMV/focV6/HFmls3kr/M308/2ZVq4tqbC/btZW57tv42HUv0W67RdmJSGOh8noYW+o6juWBIi6KSrU6FZEmq0vJDxzv+IIFxZ1Deh6bw0m34ZfB8MsozN3IhpnP03rj/5FCPh9vMvjxxR9o0yKKa3u7OKtXJmmt2oQ0HxERsVZm2QpG2RYRX2oCvertuB8Vtud5zwtc0gkmO1QQkOateloM84BJzspLCmht5BNLOW69T0TkMFQ4ExFpYPFpWfS9+mFM/0OsX/g5Hbe1YeXP28neXYZt7j9pOf9Llju7sytzBBn9zqZD9wHY7OogLCLSlPTb8hoXub7k3SIHcG69HfebX3dSgZseveuvGCfSWAXX1DygU7+nZA8AZUYk8Q2akYg0RiqchbHjvD/R1paPu7wd0NLqdESaKOsW4TDsDjoMPJcpwF/O7c4nv2yn3awSbB6TnpUrYOMK2PgEu9+NY13UcXjTB1B5wh/pnBpLenyEFhcQEWnETLur6oeAp96OmV9czi9b9gAwrIvajiKn7nqD0c65lOWNBToEt1eUFgFQbkRalJmINCYqnIWxa8peoYNrI78U9gU0z5lIaFlbhIpyObh4QCYM+Jzd2zawee5buDd+SVbZMloYRZxQNoc1a9czYsUAAGLdDp5zPUm0w8QTlYotMgHccRARjy0iFjM6hYLUwUDVMIUWud9j9xVjVnowK70E9t7MSi+l9jiWp5yDtzKAzx/gxJyXiPLtwghUYvh92EwfRqASm+ljjy2RVxJvxx8I4POb3FTwCGmV2/YtZrLfUIgiI44H4h4I3r+t5EmyKjfU+vwrjAjuiX84eP/GkufoWrm61li/YedPCU8E748rfYlevmV1vrZ3xz8WnBR4TNlr9PUurjP2b/FTKLdFAXBh2duc4FlQZ+z/S/gbhbYEAM4p+x9DKr7bt/OAf05PxN9Dvr3qS+wZZZ8yrOKrOo/7TNwdbHe0BmBo+ZeMKP+sztgXYm9hszMLgMEVczin7IM6Y1+J/QPrnF0AGFCxgN+VvVNn7OsxY1np6g1AH89iLil9o87Yd6LHsNTdH4Bu3uVcWfJKnbH/i7qIhRGDAOjo+5Vril+oM/aTqPOYFzEUgDa+jdxQ/Ewd57+c40+/hLN6ptV5LJFwZDrcABiV3no75s4PJ/Gp8xM+jL2M1Lhz6u24Io1VmmcjA+wrmFexvcZ2X1lV4azCFm1FWiLSyKhwFsb2fQFVrxKRUDFqWZ7cai0y2tPiknuBe6n0VrD+l+8oWPUtG4tMOnpi2JRfSrHHx3H8RIyvAsoPPsaSQEf+4J0cvD/PfScZxu5az7cq0IZrvZ2C9y91fUR7W26tsZsCqczZuTN4f5JrPV1tm2uN3WEmsGxrYfB+omszHW3ra40tMiNZvrUoeD/OmU1He+2xPtPOL1v2HTfamU0n+9paYwGWbS3Et/fj7hrnZjrb19QZu2Lrboqo+hJ7iSObLo5f64xduWU3O/b+fI4jh66OVXXGrtmaz0bTCcBwRzZdHSvrjN24bScrzKqG/In2HLo6647dvH0Hi80EAHrbtxwydltuLosDVcW7Tvath4zN25HL4kAmAK1s2+nqqjs2P28biwPtAWhxmNjC/K0s9hcAEGnbccjYd/L7BWNNI4+u7tpjd+dtI7+k/nrsiDSYvT3O7PXY4ywm+ysybTlsSlMvGhEAo/p7lBmosd1XXtXm8NqjGjolEWmEVDgLY0ZwBJkKZyKhU91TKjw5XBF0GHAGDDiDAcDFgLcywMadJaxb9TTe3dkE9mwlUFGEw1eC01eM01/Gdlsr+qUlYFI1r8eWwk4UmCX4DScBm5PA3v+bNieF7nQuz2iDy27gctjYlHcZef5isDsx7S4MuwNsLgy7k0p3HI+17oPTbuCw2SjJ/ztL/aV7R7rufRUNAwwI2Fy8knZ88LkYux7kZ29x7cNiDRvT94uN3jWJnz179gswa/z4Ssa+2Jjd9/FzRf7BcXv9K/0EMGyYJsQU/Jml5TtqBuz3kCdSBweHT8XsiWZJ2aW1/2JMk4dSBxOwR1TFFsawpOTcOjKA+1IH4XdUFcOii+JZUjyi9uMCE1JOoNIVB0BUcSJLik6pM/bm5AH43IlVsSXJLCk8sc7Ya5P6cmVEMgARpaks2XNcnbGXt+jN6MiqhWkiyjJYUtCtztjRiT05OyodAFd5Jkt2t68zdmRCV4ZFVxXkXBXtWLKrVZ2xw+I7c0JMWwCcnvYsyU+uNe6CxB6075BS53FEwpVR3eMsUD89zir3bCXTs5aAaZBx/Hn1ckyRxs6so4EXqKgqnPkc6nEmIoenwlljoMKZSMiZjeh95nLY6JIeB+mX1BnTEzi7xpaZhzzm6TXuPVBHVG3OP4rYM48i9vTDhwSdehSxR7NK8dHEHs0wwTTgpKOIHXwUsXUXzg6OHXAUsX2PIrb3UcQe6TQEaUDdxTuRRmlv4czu99XL4XJ++IAsYLnRkZ6dO9bLMUUav72rah7Q46zUdLE+kE6R62g+60WkudIybcfA4/FQVFRU41a/wrsnjEhT8EHLP3Kq5zHWpuvqvIiINBzDXr89znyrPwdgS/LJ2G1qPYoA+zogHDA1x9IW53Ca93FmZd5uQVIi0tiocHYMpk6dSnx8fPCWmZlZr8c3LFztT6S5KHIkscHMwOtKsDoVERFpRna3HcEE743MdB5Nr9k6VHrILPgBgKieZx8mWKQ5qf17VIm3EoBotwZgicjhqXB2DCZOnEhhYWHwlpOTU89nUOFMJNTCcG0AERFpBrzJPXgvcAorjE6HDz6M/BXfEEkFO8wE+gyoe15EkeYmYNjxmnbMAwpopR4VzkTkyOkvxTFwu9243e6QHf9l91WUFe3isjjNUyESKscVfUMnx8+03PM7IMvqdEREpJlwO6quX3v9gcNEHt7CHSZl/pOxx6ZyQUzo2qYijc0HmXdzybbLGd+6U43ZRc/c9BhXuH5iS9HtQGer0hORRkKFszD2veNE1vtLuSi6pdWpiDRZvUvmMsDxFQuKOgEXWJ2OiIg0E5EVeZxmW4zD2wIYfkzHend7Ml/6/shdA1QAENlfHVOc0aIih262bAoMT8MnJSKNjoZqhjGNIBNpCHsX4dCIaBERaUDxuQt4yfU411T+95iOU+Hz8/26XQAM76qLrSL7M6pX1Txgu8tfCoAjMq6BMxKRxkiFszDWx7eM4bYlODx7rE5FpMmqXoTjwLkvRESas4ceeojBgwcTFRVFQkJCrTGGYRx0e/7552vELFu2jKFDhxIZGUmrVq2YPHky5gFdP2bPnk3//v2JiIigffv2Bx2jqbK7qoZUOsxjW1Vz+ZIFtK9cT2qMk+7pKgKI7O+E/Pd40fkYnXfOrLHdHSgDwBEZa0VaItLIaKhmGJvgeZZM1zZWFh4PdLA6HZEmqfrrm8pmIiL7eL1eLr74YgYNGsRLL71UZ9wrr7zCWWedFbwfHx8f/LmoqIgzzjiD4cOHs3DhQtasWcO4ceOIjo7mzjvvBGDjxo2cffbZXH/99bz22mt8//333HTTTaSkpHDhhReG7gmGAbszAgCn6Tum47gWPMUn7s+Z2eIaDGNEfaQm0mSkl69jgP0nvi8bUGN7deHMGaVis4gcngpnYcwIDiHTV3qRUDFMrV4rInKgBx54AIDp06cfMi4hIYG0tLRa973++utUVFQwffp03G43PXv2ZM2aNUybNo0JEyYEe6i1adOGJ598EoBu3bqxaNEiHnvsMRXOjoRp0rpgAQCxXYbVQ1YiTUywfVezp2uEWQGAW0M1ReQIaKhmY6Av9CIhY2g2QRGR3+yWW24hOTmZ448/nueff55AYN8KkfPnz2fo0KE1ViA/88wz2bZtG5s2bQrGjBhRs5fUmWeeyaJFi/D5ai8oeTweioqKatwaI4erqnDmwkcg8Ns+i/LX/0QLcw+lppuuA06rz/REmojaVweIpKpw5orWUE0ROTwVzsLa3h5nFmch0jzonSYicjT+/ve/88477/Dll19y2WWXceeddzJlypTg/tzcXFJTU2s8pvp+bm7uIWMqKyvJz8+v9bxTp04lPj4+eMvMzKzPp9Vgquc4c+HD6w8cJrp2Wxd/AsBKV28S41UAEDmQWUuPM9NfyTYzmR1mApHR8bU/UERkPyqchbFgTxj1OBMJmfeTr+ccz0NsTtO8MCLStE2aNKnWCf33vy1atOiIj/eXv/yFQYMGcdxxx3HnnXcyefJkHn300RoxB043Ub0wwP7bjyRmfxMnTqSwsDB4y8nJOeKcw4lj71BNt+HD9xsLZ67NswEobn1KveUl0jTtK5x5TYNhnmmc6HmWyPgkC3MSkcZCc5yFsWBzUYUzkZDJd2SwwrThcavhJCJN2y233MJll112yJh27dr95uMPHDiQoqIiduzYQWpqKmlpacGeZdXy8vKAfT3P6opxOBwkJdX+d9ntdtcY/tlYOVu05S++ayg2I7m/8ugLZwFPGe3LfgYguc/I+k5PpIk4eKhmmccf/DnKaW/ohESkEVLhLKxVD9VU4UxERESOTXJyMsnJySE7/pIlS4iIiCAhIQGAQYMGce+99+L1enG5XADMnDmTjIyMYIFu0KBBfPTRRzWOM3PmTAYMGIDT6QxZruHAFpPEW+YIKgMmE/1HP8fZ5iVfkYWP7WYS3Xr2C0GGIk3A3g4I+7/DSr2VALgdNhx2DcASkcNrNH8pnn32WbKysoiIiKB///589913VqcUcv92XM5ffePwxTXOuTtEGoM+xXO4yf4BLYpWWZ2KiEjYyM7OZunSpWRnZ+P3+1m6dClLly6lpKQEgI8++ogXX3yR5cuXs379ev79739z33338Yc//CHYG2zMmDG43W7GjRvH8uXLef/995kyZUpwRU2AG2+8kc2bNzNhwgRWrVrFyy+/zEsvvcRdd91l2XOv1hCLEDj3fmn/LUM1Py/twOXe+3g/9RacDvWaEanNl23H07HiVeZkXBfcVrl9OZ+4JvJPxxMWZiYijUmj6HH29ttvM378eJ599lmGDBnCv/71L0aOHMnKlStp06aN1emFzBf2YWz1l3NRZIrVqYg0WSeUfEU/53f8UNgR0FAXERGA+++/nxkzZgTv9+3bF4BvvvmGYcOG4XQ6efbZZ5kwYQKBQID27dszefJkbr755uBj4uPjmTVrFjfffDMDBgwgMTGRCRMmMGHChGBMVlYWn376KXfccQf//Oc/ycjI4KmnnuLCCy9suCdbh6lTp/LAAw+E7gT+SgbbV+H3l+LxnnTUD/92XSE/BHpwdt8eIUhOpIkwHFTiIMC+4nJlyS562DYTZfgP8UARkX0aReFs2rRpXHfddfz+978H4Mknn+SLL77gueeeY+rUqRZnFzqm+duWJheRI2eg95mIyIGmT5/O9OnT69x/1llncdZZZx32OL169WLOnDmHjBk6dCg//fTT0aYYchMnTqxR5CsqKqrfFTwDPl5iErjgV8+1wJGv7lfiqeSn7AIATu6kC6widTFqGarpKysGwGOLtCAjEWmMwr5w5vV6Wbx4Mffcc0+N7SNGjGDevHm1Psbj8eDxeIL3Q9G1viH0DKymra0Uu68PkGB1OiJNWl2rt4mISPMU8kUIHBEEMLBh4veUHNVD13/3DhON/7Eo/hTaJkWFKEGRxq9H/mc85fwC366zgO4A+CqqCmc+Fc5E5AiF/Rxn+fn5+P3+4OpL1VJTUw9ahana1KlTiY+PD97q9epgA3rQ9xhvuh7CXbTJ6lREmq69PTtNLcIhIiINyTDwUFWYq/SUHd1DV7zHtY7PuSR+lS78iBxCWumvnGefT2rZ2uA2f0UpAD67CmcicmTCvnBW7cBGgWmadTYUJk6cSGFhYfCWk5PTECmGjBpEIqGnd5mIiDS0CiMCgMry0iN/UCBA6z0/ABDV9fRQpCXSdAS/R+0brBnY28Oz0q7emiJyZMJ+qGZycjJ2u/2g3mV5eXkH9UKrFvKu9Q1NhTOREFKPMxERsYbXcIMJvoojH6q5Y91iUs1CSk03XY5X4Uzk0Pa27/abO9rcWzjzO9TjTESOTNj3OHO5XPTv359Zs2bV2D5r1iwGDx5sUVYNo3rScn2dFwk99ewUEZGG5rVV9Tg7msLZtp8+BWCVuw/xsTEhyUukyailx1l5wM5OMw6vK8GSlESk8Qn7HmcAEyZM4KqrrmLAgAEMGjSIF154gezsbG688UarUwup4Gp/RtjXN0UarfcSr2NKwemMaTnU6lRERKSZqbRHQiVUeo58qGbE5tkAlLQ+OVRpiTQd1YWz/ZbVnJs6hqtWD+T3bbNQ609EjkSjKJxdeuml7Nq1i8mTJ7N9+3Z69uzJp59+Stu2ba1OrUGoJ4xI6Gx3tWWhGcmFESlWpyIiIs3Mdy0uYkZ2Nt3c7Y4o3u8po335LwAk9xkZwsxEmorq71GB4JYyjx+AKHej+CosImGg0fy1uOmmm7jpppusTqNBBYdqqm4mEnJ6n4mISENb3fIs3tiYzR229COK/3X1cuLNOGwGdO3RL8TZiTQBB4/UpNRbCUC0y97w+YhIo9RoCmfN0YvGRZjeMi6Oqn0RBBE5dr1L59Pavpa44kigjdXpiIhIM1L9xb1s7xf5w5m1M5EnPE9xSdcIHnHoS7/I4fyQ+QeuXXcKv0vP4qS9287d+g8uda2kuPgOoIOV6YlII6HCWRj7r20ku/1eLoxKsjoVkSbrlOJPOM65gIV7OgKnWp2OiIg0I2mBPAYYq3EVO4Buh43/bu1OwOC4bp1DnptIUxBwRFBMFD7DFdyWUbGWrv+/vTuPi6re/wf+mn3Y91VBQU1FTBG6hlpkLphL17LMa5mY2vWmmZKVWr9Qu4oVeisrvXpNLNM29ZuaJZapuSVupWnuCIq4IiDIADOf3x/IyZEBQRjOzPB6Ph7zkHPmPWfec3DgzXs+5/NR/oldqPncgkTUuHHWeRsmblk2mYisQwG+z4iISB735yzDN7oZaH9p3R1j8wsL8VvWVQDAA618rZ0akUOQrtS8pdzTGG8AANQ6rkpLRDXDEWc2rLU4hRsKA5Rl9wFwkzsdIodUUUcJTnJGREQNTesCAFCU3rhj6JnNn2G35i2s0/ZFiHc/a2dG5BBaXN2Mt9XrYMp9EMC9AACdqfz9pnHm31dEVDNsnNmw/4oZ8NAV4sz1BwH4y50OkUPiiDMiIpKLUuNc/m/ZnRtnxuM/wUtxHc289dZOi8hh+BUcQV/1Zmwv8pb26cTNxpkTG2dEVDO8VNOGKSx8RUTWwvcZERE1LIXeHQCgMd5hriWTCaHXfgUAOLftbe20iByHovKymnpRDADQsXFGRDXExpkdUCj5Bz2R1XAuQSIikonCyQMAoDNerzYu+1g6vJGHQqFDm7/1aIjUiByCouKD0Yp6Twg43Wyc6Z3dZcqKiOwNG2e27OYPeLbNiKxPwTnOiIiogamdPQEATndonJ3ftx4A8Ke+I1ydna2dFpHjuK2+M5UacA2uuCG00LtyxBkR1QznOLNh0txLCvY3iaxllWcC5mQ+jKd8Y+VOhYiIGhmda/m8S86m6htnTllbAQCFIQ9aPScix1Ix4swEALgh1IgxLAAAHHHzrupBRERm2JGxYQrpX46EIbKW09p7sNXUAcVOAXKnQkREjYw+sBVSSp/Ef0v7wmSyPHVAafF1tCz6HQAQ0LFPQ6ZHZP9ujjir+GuqsKRM2q3X8E9hIqoZjjizYRUjzjjHGZH1iIpLovk2IyKiBuYW0BwfGh8DALxhKIOHk6ZSzMEzl/CLcQA6qLPwQNtODZ0ikV37a2mA8nrvRokRAOCiVXOaDiKqMTbObNhCMRAKYwme0HnKnQqRw7r3xm4Eq87A5bongKZyp0NERI2ITq2Cs1aFohIj8opKLTbONp8pwQdlT6J/RBAeUnGEDFFtHAp9Bv860h7d/ZqhK4Cy83/gC+1buKgMAhAvd3pEZCfYOLNhC8VjuFFmxCAnL7lTIXJY8ddX417NXuy51gJAV7nTISKiRqaTLhuG0lzkFUQDPpUn/t9y/DIA4MF7/Bo6NSK7V6ZxxUV44YbStXw7/wLuVx7BaRTKnBkR2RM2zmxYxZBiIrIeheD7jIiI5LOwdCqcdTew+8qDQPNAs/vyLp1FQPZGuKIdHmjlK1OGRPbrr0s1y5UWFwAADEonWfIhIvvExpkNCxdnUaowAcYSAFx6nMg6KkopznNBREQNr0jlCmfjDRQXXK1035kdK7FQ8x8c1EcgyONJGbIjsm9Nru5Ekvr/oMiLARCFshvlK9iWqNg4I6Ka40QJdWAwGJCfn292q0+rVVOwUfcq1EUX6/W4RFQZ54clIiqXkZGBkSNHIiwsDE5OTmjRogWSkpJQUlJiFpeZmYkBAwbAxcUFvr6+GD9+fKWYgwcPIi4uDk5OTmjSpAlmzJghLcpSYcuWLYiOjoZer0d4eDgWLFhg9ddoSwrV5VNylOXlVLpPcfInAMDVgC4NmhORo/DNP4wR6g1oXbQXAGA0lDfOSlUclEBENccRZ3WQnJyM6dOnW/15FEr2N4mspWL1WsERZ0REAIA///wTJpMJ//3vf9GyZUscOnQIo0ePRmFhIVJSUgAARqMR/fr1g5+fH7Zt24YrV65g+PDhEEJg3rx5AID8/Hz06tUL3bt3R3p6Oo4dO4aEhAS4uLjg5ZdfBgCcPn0affv2xejRo7Fs2TJs374dL7zwAvz8/DBo0CDZzkFDKtL6AoZjMBWYN86EsRTN89MBAK7tesuRGpHdk1bOvNmwN91snBnVbJwRUc2xcVYHU6ZMQWJiorSdn5+PkJCQeju+gnOcERERUQPr06cP+vTpI22Hh4fj6NGjmD9/vtQ4S0tLw+HDh5GVlYXg4GAAwJw5c5CQkICZM2fC3d0dn3/+OYqLi5GamgqdTofIyEgcO3YMc+fORWJiIhQKBRYsWIDQ0FC89957AIC2bdtiz549SElJaTSNM6OzP1AAiIILZvtP/7YV4SjCNeGCdjHdZcqOyN7d9sGooXxRAKOal2oSUc1xKFMd6HQ6uLu7m93qV3njTMFryIisj+8zIqIq5eXlwdvbW9reuXMnIiMjpaYZAMTHx8NgMGDv3r1STFxcHHQ6nVlMdnY2MjIypJjevc1HU8XHx2PPnj0oLS21mIu1p8poaAq38gUBlIXmU3Nc2r8eAHDc9T7oddoGz4vIEYjbRpyVlZXBIDQQahcZsyIie8PGmQ2r+DNeoeC3ichavnF9Bv8smYCrPtFyp0JEZJNOnjyJefPmYcyYMdK+nJwcBAQEmMV5eXlBq9UiJyenypiK7TvFlJWV4fLlyxbzSU5OhoeHh3Srz9H+ctB6ljfO9Abz1+ufXT6/mbFFzwbPichR/DUAobxx9mPgSLQ2LMUv4RNky4mI7A87MjZMwRFnRFZ3VNceG0x/Q7FzkNypEBFZ1bRp06BQKKq97dmzx+wx2dnZ6NOnD5588kmMGjXK7D5L9YkQwmz/7TEVCwPUNuZWU6ZMQV5ennTLysq600u3adqwWMwtfQJflj4g7bt87hTCjKdRJpRo0bVxXLJKZB3mP0eKSsoAAM5azlhERDXHnxg2TGqccdJyIqsR0vuMiMixjRs3DkOGDKk2pnnz5tLX2dnZ6N69O2JjY7Fw4UKzuMDAQPz6669m+3Jzc1FaWiqNIAsMDJRGllW4eLH8csQ7xajVavj4+FjMUafTmV3+ae+8W0TjA+MVwAj8u7gU7noNNpxVYb7hfTzqex6vBgTf+SBEZFnFlTs3G/KFBiMAwFnHP4OJqOb4E8OGLTL2hwImPK7lqi9E1hJp2A9/5Tk4FQUA4B8nROS4fH194evrW6PYc+fOoXv37oiOjsaSJUugvG2F79jYWMycORPnz59HUFD5iN20tDTodDpER0dLMVOnTkVJSQm0Wq0UExwcLDXoYmNjsXbtWrNjp6WlISYmBhqNpi4v12646TUIdNcjJ78Yxy8UILqZN77dn42zwg8eMQ/c+QBEVKXTIQMx8bdgRIeGIBbAIznzMUBzHMrr4wGEyZ0eEdkJXqppw2aX/QPJZU8DuvpedICIKjxWsAIfaD+EV+5vcqdCRGQTsrOz8dBDDyEkJAQpKSm4dOkScnJyzEaG9e7dGxERERg2bBj279+Pn376CZMmTcLo0aOlxZKGDh0KnU6HhIQEHDp0CKtXr8asWbOkFTUBYMyYMThz5gwSExNx5MgRfPLJJ1i8eDEmTZoky2uXS6zvDcQpf8OZ08dx9mohdmdchUIBPNqRH+gQ1UWZ1gNnRCDylF4AgPAbh9BLtQ/upjyZMyMie8IRZ0TUyPGSaCKiW6WlpeHEiRM4ceIEmjZtanZfxfxjKpUK3333HV544QV07doVTk5OGDp0KFJSUqRYDw8PbNy4EWPHjkVMTAy8vLyQmJiIxMREKSYsLAzr16/HxIkT8dFHHyE4OBgffPABBg1qXPN6vVA0H6202/DdnwXIOPE1lmh2Y4v/MwjycJI7NSK7VlHfiZvbGuMNAIDayU2mjIjIHrFxZqOEyYSmivJ5QBTCKHM2RI7rr7WW2DgjIgKAhIQEJCQk3DEuNDQU69atqzamffv22Lp1a7UxcXFx2LdvX21SdDjKsAeA/dvgn/0TWiqy4aUqgFfL4XKnRWT3fK4dwKvqr6C63g7AfdCZigAAaj0bZ0RUc7xU00YJkwnbdBOwTTcByuJrcqdD5LhufgTJxWuJiEguIZ0fBQDcpzwKL0UBziqD0b7XszJnRWT/vK4dxgvqNYgq2g4A0AlD+b/OrnKmRUR2ho0zGyVu+Vqh5LeJyHrEnUOIiIisSBsYgeyQ/gCAUqhh6jcXKnXjWByByKpu/h2luHmZuRPKL9XUOnMOaSKqOV6qaaOEMElfcyAMkfUopDnOiIiI5BOckArj8Z+g8A5HqP89cqdD5BAUiooBCCZACDgJA6AA9GycEVEtsHFmoyom3wUgrTxFRNYj+D4jIiI5qTRQtekjdxZEjuVm40wJE0oNhQCUUMIIJxc2zoio5tg4s1G3Ns6g4KWaRNbyjcs/cPXiOfzdK1LuVIiIiIioPilU5f8IE4qEDh0Mn0GDMvzhwsUBiKjm2JGxUbdeqslZy4ms5zddNFabHkCxS1O5UyEiIiKi+nTz7ygFBIpKysr3qTTQalQyJkVE9oaNMxvFSzWJGgaXBiAiIiJyTOLWEWclRgCAE5tmRFRLvFTTVilU+LSsFwDgMRVXVSKyljaGg/BSXoCuOARAoNzpEBGRjTAYDDAYDNJ2fn6+jNkQ0d24EPQQHjEko5V/MMblHMFCzRxcUQUDiJc7NSKyI2yc1aOKUWL1UVgVlxrxRuFTAICexWUQgsUaNS4V7yOz+f6sYNj1JWijPYL9V8MB3GvV5yIiIvuRnJyM6dOnV9rPBhpR3TVUnWfUeeKIaAYPtTeMeefQW7UXJ8Vlqz4nETkeNs7qUUFBAQAgJCSkXo/b5L16PRyRXbly5Qo8PDysdnwFL9YkIiILpkyZgsTERGn73LlziIiIqPc6j6gxs36dVz7ljUkAZYZCAECp0slqz0dEjomNs3oUHByMrKwsuLm51cu8ZPn5+QgJCUFWVhbc3e1vyWTmLy97zz8vLw+hoaHw9vaWOxUiImqEdDoddDqdtO3q6so67xbMX172nn9D1XmuBacwTrUaTkWhKLtRPiVHiVJv1eckIscja+OsefPmOHPmjNm+1157DbNnz5a2MzMzMXbsWGzatAlOTk4YOnQoUlJSoNVqGzrdO1IqlWjatP5X5nN3d7fLX4gVmL+87D1/pdLaa5iUjzhTKLhWChERVY11nmXMX172nr+16zy3ghOYpPkaR4raodDwBACgTOVs1eckIscj+4izGTNmYPTo0dK2q6ur9LXRaES/fv3g5+eHbdu24cqVKxg+fDiEEJg3b54c6RIREREREZEdUChvrqoJE4ThOgDAqOalmkRUO7I3ztzc3BAYaHklu7S0NBw+fBhZWVkIDg4GAMyZMwcJCQmYOXOmXX+6QkS2QZrjrB4uuyEiIiIi21FxRYFCmCBKigAARjVHnBFR7ch+bdLbb78NHx8fdOzYETNnzkRJSYl0386dOxEZGSk1zQAgPj4eBoMBe/furfKYBoMB+fn5Zjd7pNPpkJSUZDa/hj1h/vJi/rXExhkRETUg/p6WF/OXV0Plr1DdbJxBAKXljTMTG2dEVEsKYe01gKvxn//8B506dYKXlxd2796NKVOm4O9//zv+97//AQCef/55ZGRkIC0tzexxOp0Oqamp+Mc//mHxuNOmTbO4fHheXh5HqRGRmeQ576Dgag76PfYMusZ0kjsdIrIh+fn58PDwYP1g4/h9IqKq/P7z17h3yygcV7XEFx0/xbLtxzD6gTBM6tdR7tSISGa1qR/qfcTZtGnToFAoqr3t2bMHADBx4kTExcXh3nvvxahRo7BgwQIsXrwYV65ckY5nadUiIUS1qxlNmTIFeXl50i0rK6u+XyYROYidui5YbuwBg2sTuVMhIiIionqkUFaMODOhqNQEA7TQ6l1kzoqI7E29z3E2btw4DBkypNqY5s2bW9x///33AwBOnDgBHx8fBAYG4tdffzWLyc3NRWlpKQICAqo8/u3LhxMREREREVHjolSW/7mrECYUlZQBAJy1KjlTIiI7VO+NM19fX/j6+t7VY/fv3w8ACAoKAgDExsZi5syZOH/+vLQvLS0NOp0O0dHR9ZMwETVqrUr+hJvyMrSGFgCqbsgTERERkX0p8rsXjxumwcfLC30vfIo4zTG4Fv4TQLjcqRGRHZFtVc2dO3di165d6N69Ozw8PJCeno6JEyfi0UcfRWhoKACgd+/eiIiIwLBhw/Duu+/i6tWrmDRpEkaPHs05LIioXowpnI9W2hP47UoLAG3kToeIiIiI6oveA/vEPQhTuqB14WJEqH7H7rLH5M6KiOyMbKtq6nQ6fPnll3jooYcQERGBN998E6NHj8aKFSukGJVKhe+++w56vR5du3bF4MGDMXDgQKSkpMiV9l2xNO9bYGBgtY/ZsmULoqOjodfrER4ejgULFlSKWblyJSIiIqDT6RAREYHVq1fbRP6rVq1Cr1694OfnB3d3d8TGxmLDhg1mMampqRbnvysuLpY9/82bN1vM7c8//zSLs9Xzn5CQYDH/du3aSTENef4B4Ny5c3jmmWfg4+MDZ2dndOzYsdqVcYGGew8oICq+ICIiqjXWeazzGjJ/1nm1o7w5L7bRJKA1la+qqda71vo4RNS4yTbirFOnTti1a9cd40JDQ7Fu3boGyMi62rVrhx9//FHaVqmqvrb+9OnT6Nu3L0aPHo1ly5Zh+/bteOGFF+Dn54dBgwYBKB+x99RTT+Gtt97CY489htWrV2Pw4MHYtm0bOnfuLGv+W7duRa9evTBr1ix4enpiyZIlGDBgAH799VdERUVJce7u7jh69KjZY/V6fb3nDtQu/wpHjx41G9no5+cnfW3L5//999/H7Nmzpe2ysjJ06NABTz75pFlcQ53/3NxcdO3aFd27d8f3338Pf39/nDx5Ep6enlU+Rp73ADtnRER0d1jnsc6rC9Z51nsPaG9cwEjVeqhLPKA1lTcONWycEVFtiUYgLy9PABB5eXmyPH9SUpLo0KFDjeNfffVV0aZNG7N9//znP8X9998vbQ8ePFj06dPHLCY+Pl4MGTKkTrlaUtv8LYmIiBDTp0+XtpcsWSI8PDzqllgN1Tb/n3/+WQAQubm5VcbY0/lfvXq1UCgUIiMjQ9rXkOf/tddeE926davVYxryPXB8RpQQSe7it01f1+pxROT45K4fqGbk/j6xzmOdVxes88pZrc7b86MQSe4iK6mVyJkWLkSSuzic/nOtjkFEjqk29YNsl2o2NsePH0dwcDDCwsIwZMgQnDp1qsrYnTt3onfv3mb74uPjsWfPHpSWllYbs2PHjvpPHrXL/3YmkwkFBQXw9vY223/9+nU0a9YMTZs2Rf/+/aXFIazhbvKPiopCUFAQevTogZ9//tnsPns6/4sXL0bPnj3RrFkzs/0Ndf7XrFmDmJgYPPnkk/D390dUVBQWLVpU7WNkeQ8oOOKMiIjuDus81nl1wTrPeu8BhbJ89J4SAjpRPuJM5+JWq2MQEbFx1gA6d+6MTz/9FBs2bMCiRYuQk5ODLl264MqVKxbjc3JyEBBgvrpfQEAAysrKcPny5WpjcnJyZM//dnPmzEFhYSEGDx4s7WvTpg1SU1OxZs0arFixQprH7vjx47LnHxQUhIULF2LlypVYtWoVWrdujR49emDr1q1SjL2c//Pnz+P777/HqFGjzPY35Pk/deoU5s+fj1atWmHDhg0YM2YMxo8fj08//bTKxzTke0Ca44yIiAAAGRkZGDlyJMLCwuDk5IQWLVogKSkJJSUlZnGW5lC6fZ6igwcPIi4uDk5OTmjSpAlmzJgBIcx/7tZkriNbxjqPdV5D5n8r1nl3plSW/7mrhAnOFY0zJzbOiKiWrD8ATn5yD+G/3fXr10VAQICYM2eOxftbtWolZs2aZbZv27ZtAoA4f/68EEIIjUYjli9fbhazbNkyodPprJP0Le6U/62WL18unJ2dxcaNG6uNMxqNokOHDuLFF1+srzSrVJv8K/Tv318MGDBA2raX8z9r1izh4+MjDAZDtXHWPP8ajUbExsaa7XvxxRfNhuPfriHfAydmdBQiyV38vnllrR5HRI7P1uqHhvL999+LhIQEsWHDBnHy5Enx7bffCn9/f/Hyyy+bxQEQS5YsEefPn5duRUVF0v15eXkiICBADBkyRBw8eFCsXLlSuLm5iZSUFCnm1KlTwtnZWbz00kvi8OHDYtGiRUKj0Yhvvvmmxvna2veJdV5lrPNqjnVe/b4HMg5uFyLJXVxKChEiyV2IJHdx+WJ2rY5BRI6pNvWDbIsDNGYuLi5o3759lZ/6BAYGVvo05eLFi1Cr1fDx8ak25vZPZqzhTvlX+PLLLzFy5Eh8/fXX6NmzZ7WxSqUS9913n1U+CbtdTfO/1f33349ly5ZJ2/Zw/oUQ+OSTTzBs2DBotdpqY615/oOCghAREWG2r23btli5cmWVj2nI98Aq3UAU511Ab7ewWj2OiMhR9enTB3369JG2w8PDcfToUcyfP7/Syuaenp5VrgD4+eefo7i4GKmpqdDpdIiMjMSxY8cwd+5cJCYmSiPUQkND8d577wEo//2wZ88epKSkSJOE2xvWeZWxzqs51nn1+x5Q3BxxZhIKtDEsgTMM2ObuU6tjEBHxUk0ZGAwGHDlyBEFBQRbvj42NxcaNG832paWlISYmBhqNptqYLl26WCfpW9wpfwBYsWIFEhISsHz5cvTr1++OxxRC4MCBA9Ues77UJP/b7d+/3yze1s8/UH7py4kTJzBy5Mg7HtOa579r166VVnU6duxYpbk4btWQ74GftN2x2NgPJW5Na/U4IqLGJC8vr9IcVgAwbtw4+Pr64r777sOCBQtgMpmk+3bu3Im4uDjodDppX3x8PLKzs5GRkSHF3Gmuo9sZDAbk5+eb3WwJ67zKWOfVHOu8+n0PKBXlf+4qYEIxdLgKd+hvPgcRUY1ZdeybjZB7CP/LL78sNm/eLE6dOiV27dol+vfvL9zc3KTVbyZPniyGDRsmxVdctjBx4kRx+PBhsXjx4kqXLWzfvl2oVCoxe/ZsceTIETF79myhVqvFrl27ZM9/+fLlQq1Wi48++sjs8o1r165JMdOmTRM//PCDOHnypNi/f78YMWKEUKvV4tdff5U9///85z9i9erV4tixY+LQoUNi8uTJAoBYufKvS/ls+fxXeOaZZ0Tnzp0tHrMhz//u3buFWq0WM2fOFMePHxeff/65cHZ2FsuWLZNi5HwPxP9ni2j22jqx9djFur9YInIoctcPtuLEiRPC3d1dLFq0yGz/W2+9JXbs2CH2798vUlJShLOzs3jrrbek+3v16iVGjx5t9phz584JAGLHjh1CiPJLtmbOnGkWs337dgFAZGdbvpwqKSlJAKh0Y53XMPmzzpM3/wqs82rm3NG95ZdnvtlENHttnWj7/76v+4smIodQmzqPjbMG8NRTT4mgoCCh0WhEcHCwePzxx8Uff/wh3T98+HARFxdn9pjNmzeLqKgoodVqRfPmzcX8+fMrHffrr78WrVu3FhqNRrRp08bsF76c+cfFxVksaIcPHy7FTJgwQYSGhgqtViv8/PxE7969pSJa7vzffvtt0aJFC6HX64WXl5fo1q2b+O677yod11bPvxBCXLt2TTg5OYmFCxdaPGZDnn8hhFi7dq2IjIwUOp1OtGnTplJecr4HxqSkiscmzxU7Dp2q9WOJyLHJXT/Ut6oaTrfe0tPTzR5z7tw50bJlSzFy5Mg7Hj8lJUW4u7tL27169RLPP/+8WczZs2cFALFz504hRM3mOrpdcXGxyMvLk25ZWVms8xowf9Z58uYvBOu82sg6f1E8PSVZvDz1FfHNG/3E4mkJtT4GETmm2tR5CiFuW9rIAeXn58PDwwN5eXlwd3eXOx0isiGnZnRAuCkDh3p8isgH/i53OkRkQxytfrh8+bK0Yl1VmjdvDr1eDwDIzs5G9+7d0blzZ6Smpkqr01Vl+/bt6Natm7Qa3rPPPou8vDx8++23Usz+/fvRqVMnnDp1CmFhYXjwwQcRFRWF999/X4pZvXo1Bg8ejKKiIumyreo42veJiOpP9rUb6DJ7E+5T/ImvdTOQpQhCSNKfcqdFRDagNvUDFwcgokZNAYf/7ICICADg6+sLX1/fGsWeO3cO3bt3R3R0NJYsWXLHphlQ3hTT6/Xw9PQEUD5H0dSpU1FSUiJNXp6Wlobg4GA0b95cilm7dq3ZcW6f64iI6G6plAoAgLPCAAAwKJ3kTIeI7BQXByCiRk1qnCkU8iZCRGQjsrOz8dBDDyEkJAQpKSm4dOkScnJyzFa4W7t2LRYtWoRDhw7h5MmT+N///ofXX38dzz//vLQYwNChQ6HT6ZCQkIBDhw5h9erVmDVrlrSiJgCMGTMGZ86cQWJiIo4cOYJPPvkEixcvxqRJk2R57UTkWFSlhfiH6ieMUP0AAChh44yI7gJHnBERERGRJC0tDSdOnMCJEyfQtKn5isMVM3xoNBp8/PHHSExMhMlkQnh4OGbMmIGxY8dKsR4eHti4cSPGjh2LmJgYeHl5ITExEYmJiVJMWFgY1q9fj4kTJ+Kjjz5CcHAwPvjgAwwaNKhhXiwROTS1IRfJmsXSdhkbZ0R0F9g4I6JGjiPOiIhulZCQgISEhGpj+vTpgz59+tzxWO3bt8fWrVurjYmLi8O+fftqkyIRUY0olCqz7VIVG2dEVHu8VJOIGjW2y4iIiIgck1Jl3jgzqp1lyoSI7BkbZ0REABT8cUhERETkUJQq8wusTBqOOCOi2uOlmkTUqK3S9IPx+hU87Nr0zsFEREREZDdUap309f3F8zAopBXulzEfIrJPbJwRUaO2TvsIThoL0c2NjTMiIiIiR6JUa6Wv8+AClYuPjNkQkb3itUlE1KjdXBqAc50RERERORiV5q8RZxqUwU3HcSNEVHtsnBFRoxZiOos2ikwoS4vkToWIiIiI6pFKrcG/SifiF2MkJqu/QGjxUblTIiI7xMYZETVqbxXNwg+6yXDJ/UPuVIiIiIioPikU2KK6H1pFGYaqN8Gn7LzcGRGRHWLjjIgaNYV0sSYRERERORqdWglX3AAAaJzcZc6GiOwRG2dERAAUCs5yRkRERORo4pXpaKc8AwDQunjInA0R2SM2zoiIiIiIiMghTTIukr7WubJxRkS1x8YZETVqFZdqKriuJhEREZHDKYNG+trJxVO+RIjIbrFxRkSN3M05ztg3IyIiInI4t5Z4zm6ecqVBRHaMjTMiIgDsnBERERE5Hg1KpK9d2DgjorvAxhkRNWpr1PH4uOxRlLoEyp0KEREREdWzC8IbAPDv0qeh1mhlzoaI7JFa7gSIiOT0heYxZBYUobNrU7lTISIiIqJ6dhVu5f8KN5kzISJ7xcZZHRWXGjFxxT6MvjC9ypgzutbY4DUUACAgMDrnLahQZjH2nLY51nqPkLZHXEiG3lRkMfaCpilW+vxT2h52KQVuxjyLsVfUAfjCd5y0PfTy+/Aqu2QxNl/phU/9Xpa2n7ryEfxLsy3GFildsdh/srQ96OoiNCk5bTG2RKHDfP8kaXtg7hI0KzluMVYAeD9glrTd/9oytDL8YTlWAB/4T4dRUT7xZ5+8r9CueJ/FWAD40O//oVjpAgDolb8KHW78WmXsf30nI1/pBQB4qGAd7rvxS5Wxi71fxlW1PwSAbtc3oGvhT1XGLvUejxxNeaOm8/VN6F64vsrYzz3HIFPbAgAQU7QNva5/W2Xslx4jcUrXBgDQ4cZu9Cv4SprC63YrPZ7Fn7p7AQDtivfjsfzPqjzut+5D8Lv+PgDAPYY/8FTe4ipj17s+gT1OXQAAYSXH8WzefLP7b01no/MA7HDuDgBoWpqBUdfer/K4m1z6YItzbwBAQFk2xua+U2XsL049kOYyAADgZbyMl3P/XWVs3+IYLEBfKHilJhEREZHDUbv6AtcBtcIodypEZKfYOKujMpPAD4dzMF9fdUPlav51/JD9sLT9gW47dIpSi7E38q9iw/m+0vZs3S54Ka5bjN1vaom0Cxek7STdbjRRXLEY+6cpBBsv/hU7WZuOFsrzFmMzTX748fJfsS9p96G9MsNi7CXhgR+vXJS2R2t/w73KPy3GXhd6/PTnX7HPag7iXtXvFmNNQoFNt8Q+qfkD7VXpFmMB4JdjF2FA+dDr/pojiFTtrTJ21/ELuHbzk6de6mOIVFfdZNt7IgfZN5uc3dTHq439/XQ2Tory7ku0+iTaqfdXGXs44xwOCR0AoJ3qNCI0v1UZezwzG7tF+dLZ4aoz1cZmnD2HX0y+AIBAVSbaaiyfXwA4e+4stpmCAQBeyiy00R6qMnZh9llsN4UDAPTKc2ijtdzEBIDPLnTGDmP5/0OhPI/W1cR+U9gRO2/GRily0Fp3uMrY7y5FYJfxKgCgteIi7tFZ/n8GAD/daIVfL5bHhiguoVU1sYEiFADg76arMoaIiIiI7FNA2y5440AUug0ccedgIiILFEKIKsajOI78/Hx4eHggLy8P7u7u9XrskjITvkrPRKusr6uMKXQKQrbfA9J2y6xvoKhiGNANnR/OBTwkbYed/RYqk+Umm0HnjbMBPaTtZtnroTbeKN+4bfRMidodZ4N6Sdsh59OgKfurIXdreKnaGVlBfaTtJhc2QVtiPpKtYnSOUalDZpO/Gn1BF7dCb7DUvFNAKFQ40/RRaU/ApR3QGyyPegOAMyF/l772u7wbzsWWG30AkNmkP6BQAQB8ru6DS9HZKmPPBvWBUJc3Sbxzf4dLYWaVsdmBD8OodoYCgOe1w3C7fqrK2PMBcSjTlDfkPPKPwr3gRJWxF/y7olTrCQBwKzgBj/xjVcZe8uuMEp0PAMDl+hl45h2pMvaKTwwMej8AgHPhWXheK2+GWRpNddW7I4qdyuf1cirKhmfuwSqPe80rEjecmwAAdMWX4H31gNn9tx4+z7MtilxCAABawxV4X6ncbKyIL3BvhULX5gAATck1+FzeU2UO193DUehW3rxTl16Hz6WqRwoWujZDoXsrAICqrAg+l3ZVGXvDuQm8w6MQ2cSjyhgiapysWT9Q/eH3iYiIiGqrNvUDG2dEREREFrB+sA/8PhEREVFt1aZ+4KqaREREREREREREFrBxRkREREREREREZAEbZ0RERERERERERBawcUZERERERERERGQBG2dEREREZObRRx9FaGgo9Ho9goKCMGzYMGRnZ5vFZGZmYsCAAXBxcYGvry/Gjx+PkpISs5iDBw8iLi4OTk5OaNKkCWbMmIHb16XasmULoqOjodfrER4ejgULFlj99RERERHVlFUbZzNnzkSXLl3g7OwMT09PizH1VXQRERERUf3o3r07vvrqKxw9ehQrV67EyZMn8cQTT0j3G41G9OvXD4WFhdi2bRu++OILrFy5Ei+//LIUk5+fj169eiE4OBjp6emYN28eUlJSMHfuXCnm9OnT6Nu3Lx544AHs378fU6dOxfjx47Fy5coGfb1EREREVVFb8+AlJSV48sknERsbi8WLF1e6v6Lo8vPzw7Zt23DlyhUMHz4cQgjMmzcPwF9FV/fu3ZGeno5jx44hISEBLi4uZsUZEREREdWPiRMnSl83a9YMkydPxsCBA1FaWgqNRoO0tDQcPnwYWVlZCA4OBgDMmTMHCQkJmDlzJtzd3fH555+juLgYqamp0Ol0iIyMxLFjxzB37lwkJiZCoVBgwYIFCA0NxXvvvQcAaNu2Lfbs2YOUlBQMGjRIjpdOREREZMaqI86mT5+OiRMnon379hbvryi6li1bhqioKPTs2RNz5szBokWLkJ+fDwBmRVdkZCQef/xxTJ06FXPnzuWoMyIiIiIru3r1Kj7//HN06dIFGo0GALBz505ERkZKTTMAiI+Ph8FgwN69e6WYuLg46HQ6s5js7GxkZGRIMb179zZ7vvj4eOzZswelpaUW8zEYDMjPzze7EREREVmLrHOc1VfRdTsWVERERER189prr8HFxQU+Pj7IzMzEt99+K92Xk5ODgIAAs3gvLy9otVrk5ORUGVOxfaeYsrIyXL582WJeycnJ8PDwkG4hISF1e6FERERE1bDqpZp3UtOiq3nz5mYxtxZdYWFhlY6bnJyM6dOnV9rPBhoRERHVVEXd4Cgj3KdNm2axPrpVeno6YmJiAACvvPIKRo4ciTNnzmD69Ol49tlnsW7dOigUCgCQ/r2VEMJs/+0xFeeytjG3mjJlChITE6XtvLw8hIaGss4jIiKiGqtNnVfrxllti647qa+i61a3F1Tnzp1DREQEP5EkIiKiWisoKICHh4fcadTZuHHjMGTIkGpjbv2w0tfXF76+vrjnnnvQtm1bhISEYNeuXYiNjUVgYCB+/fVXs8fm5uaitLRU+oAzMDBQ+iC0wsWLFwHgjjFqtRo+Pj4Wc9TpdGZXIlQUvqzziIiIqLZqUufVunFW26KrOvVVdN3u9oLK1dUVWVlZcHNzq7LZVhf5+fkICQlBVlYW3N3d6/34VD2ef3nx/MuL519ePP/ysvb5F0KgoKDAbEoJe1bRCLsbFR9aGgwGAEBsbCxmzpyJ8+fPIygoCED53LU6nQ7R0dFSzNSpU1FSUgKtVivFBAcHS7VibGws1q5da/ZcaWlpiImJkeZTu5Pg4GDWeQ6M519ePP/y4vmXF8+/vGypzqt146wuRdft6qvouhOlUommTZvWS87VcXd35xtKRjz/8uL5lxfPv7x4/uVlzfPvCCPNamv37t3YvXs3unXrBi8vL5w6dQpvvvkmWrRogdjYWABA7969ERERgWHDhuHdd9/F1atXMWnSJIwePVr6XgwdOhTTp09HQkICpk6diuPHj2PWrFl48803pQbXmDFj8OGHHyIxMRGjR4/Gzp07sXjxYqxYsaLG+bLOaxx4/uXF8y8vnn958fzLyxbqPKsuDpCZmYkDBw4gMzMTRqMRBw4cwIEDB3D9+nUA5kXX/v378dNPP1ksunQ6HRISEnDo0CGsXr0as2bNkpYxJyIiIqL64+TkhFWrVqFHjx5o3bo1nnvuOURGRmLLli3SiH6VSoXvvvsOer0eXbt2xeDBgzFw4ECkpKRIx/Hw8MDGjRtx9uxZxMTE4IUXXkBiYqLZdBphYWFYv349Nm/ejI4dO+Ktt97CBx98gEGDBjX46yYiIiKyxKqLA7z55ptYunSptB0VFQUA+Pnnn/HQQw9JRdcLL7yArl27wsnJCUOHDrVYdI0dOxYxMTHw8vKqVHQRERERUf1o3749Nm3adMe40NBQrFu37o7H2rp1a7UxcXFx2LdvX61yJCIiImooVm2cpaamIjU1tdqY+iq65KTT6ZCUlGQ2rxo1HJ5/efH8y4vnX148//Li+aeGwP9n8uL5lxfPv7x4/uXF8y8vWzr/CuEoa6wTERERERERERHVI6vOcUZERERERERERGSv2DgjIiIiIiIiIiKygI0zIiIiIiIiIiIiC9g4IyIiIiIiIiIisoCNszr6+OOPERYWBr1ej+joaPzyyy9yp9RoJCcn47777oObmxv8/f0xcOBAHD16VO60GqXk5GQoFApMmDBB7lQalXPnzuGZZ56Bj48PnJ2d0bFjR+zdu1futBqFsrIyvPHGGwgLC4OTkxPCw8MxY8YMmEwmuVNzSFu3bsWAAQMQHBwMhUKB//u//zO7XwiBadOmITg4GE5OTnjooYfwxx9/yJMsORzWevJgnWc7WOfJg3WefFjnNSx7qPPYOKuDL7/8EhMmTMDrr7+O/fv344EHHsAjjzyCzMxMuVNrFLZs2YKxY8di165d2LhxI8rKytC7d28UFhbKnVqjkp6ejoULF+Lee++VO5VGJTc3F127doVGo8H333+Pw4cPY86cOfD09JQ7tUbh7bffxoIFC/Dhhx/iyJEjeOedd/Duu+9i3rx5cqfmkAoLC9GhQwd8+OGHFu9/5513MHfuXHz44YdIT09HYGAgevXqhYKCggbOlBwNaz35sM6zDazz5ME6T16s8xqWPdR5CiGEaLBnczCdO3dGp06dMH/+fGlf27ZtMXDgQCQnJ8uYWeN06dIl+Pv7Y8uWLXjwwQflTqdRuH79Ojp16oSPP/4Y//73v9GxY0e89957cqfVKEyePBnbt2/nyAeZ9O/fHwEBAVi8eLG0b9CgQXB2dsZnn30mY2aOT6FQYPXq1Rg4cCCA8k8hg4ODMWHCBLz22msAAIPBgICAALz99tv45z//KWO2ZO9Y69kO1nkNj3WefFjnyYt1nnxstc7jiLO7VFJSgr1796J3795m+3v37o0dO3bIlFXjlpeXBwDw9vaWOZPGY+zYsejXrx969uwpdyqNzpo1axATE4Mnn3wS/v7+iIqKwqJFi+ROq9Ho1q0bfvrpJxw7dgwA8Ntvv2Hbtm3o27evzJk1PqdPn0ZOTo7Z72OdToe4uDj+PqY6Ya1nW1jnNTzWefJhnScv1nm2w1bqPHWDPZODuXz5MoxGIwICAsz2BwQEICcnR6asGi8hBBITE9GtWzdERkbKnU6j8MUXX2Dfvn1IT0+XO5VG6dSpU5g/fz4SExMxdepU7N69G+PHj4dOp8Ozzz4rd3oO77XXXkNeXh7atGkDlUoFo9GImTNn4h//+IfcqTU6Fb9zLf0+PnPmjBwpkYNgrWc7WOc1PNZ58mKdJy/WebbDVuo8Ns7qSKFQmG0LISrtI+sbN24cfv/9d2zbtk3uVBqFrKwsvPTSS0hLS4Ner5c7nUbJZDIhJiYGs2bNAgBERUXhjz/+wPz581lQNYAvv/wSy5Ytw/Lly9GuXTscOHAAEyZMQHBwMIYPHy53eo0Sfx+TtfD/lvxY5zUs1nnyY50nL9Z5tkfu38VsnN0lX19fqFSqSp84Xrx4sVI3lKzrxRdfxJo1a7B161Y0bdpU7nQahb179+LixYuIjo6W9hmNRmzduhUffvghDAYDVCqVjBk6vqCgIERERJjta9u2LVauXClTRo3LK6+8gsmTJ2PIkCEAgPbt2+PMmTNITk5mQdXAAgMDAZR/IhkUFCTt5+9jqivWeraBdV7DY50nP9Z58mKdZztspc7jHGd3SavVIjo6Ghs3bjTbv3HjRnTp0kWmrBoXIQTGjRuHVatWYdOmTQgLC5M7pUajR48eOHjwIA4cOCDdYmJi8PTTT+PAgQMsphpA165dcfToUbN9x44dQ7NmzWTKqHEpKiqCUmn+K1SlUnGZchmEhYUhMDDQ7PdxSUkJtmzZwt/HVCes9eTFOk8+rPPkxzpPXqzzbIet1HkccVYHiYmJGDZsGGJiYhAbG4uFCxciMzMTY8aMkTu1RmHs2LFYvnw5vv32W7i5uUmfCHt4eMDJyUnm7Bybm5tbpTlGXFxc4OPjw7lHGsjEiRPRpUsXzJo1C4MHD8bu3buxcOFCLFy4UO7UGoUBAwZg5syZCA0NRbt27bB//37MnTsXzz33nNypOaTr16/jxIkT0vbp06dx4MABeHt7IzQ0FBMmTMCsWbPQqlUrtGrVCrNmzYKzszOGDh0qY9bkCFjryYd1nnxY58mPdZ68WOc1LLuo8wTVyUcffSSaNWsmtFqt6NSpk9iyZYvcKTUaACzelixZIndqjVJcXJx46aWX5E6jUVm7dq2IjIwUOp1OtGnTRixcuFDulBqN/Px88dJLL4nQ0FCh1+tFeHi4eP3114XBYJA7NYf0888/W/x5P3z4cCGEECaTSSQlJYnAwECh0+nEgw8+KA4ePChv0uQwWOvJg3WebWGd1/BY58mHdV7Dsoc6TyGEEA3XpiMiIiIiIiIiIrIPnOOMiIiIiIiIiIjIAjbOiIiIiIiIiIiILGDjjIiIiIiIiIiIyAI2zoiIiIiIiIiIiCxg44yIiIiIiIiIiMgCNs6IiIiIiIiIiIgsYOOMiIiIiIiIiIjIAjbOiIiIiIiIiIiILGDjjIgc3vbt29G+fXtoNBoMHDiwyrijR48iMDAQBQUFVs9p3bp1iIqKgslksvpzEREREdmLzZs3Q6FQ4Nq1a/V6XNZ5RHS32DgjIlkNGDAAPXv2tHjfzp07oVAosG/fvjo9R2JiIjp27IjTp08jNTW1yrjXX38dY8eOhZub210/V/v27TFq1CiL961YsQIajQYXLlxA//79oVAosHz58rt+LiIiIiJbl5CQUO0Hlw2FdR4R3S02zohIViNHjsSmTZtw5syZSvd98skn6NixIzp16lTpPiEEysrKavQcJ0+exMMPP4ymTZvC09PTYszZs2exZs0ajBgxolb5327kyJH46quvUFRUVOm+Tz75BP3790dAQAAAYMSIEZg3b16dno+IiIiIqsc6j4jqgo0zIpJV//794e/vX2kkWFFREb788kuMHDkSwF/D9jds2ICYmBjodDr88ssvEELgnXfeQXh4OJycnNChQwd88803AICMjAwoFApcuXIFzz33HBQKRZUjzr766it06NABTZs2lfalpqbC09MT69atQ+vWreHs7IwnnngChYWFWLp0KZo3bw4vLy+8+OKLMBqNAIBhw4bBYDDg66+/Njt+ZmYmNm3aJL0eAHj00Uexe/dunDp1qq6nkYiIiMguGAwGjB8/Hv7+/tDr9ejWrRvS09MrxW3fvh0dOnSAXq9H586dcfDgQem+M2fOYMCAAfDy8oKLiwvatWuH9evXV/mcrPOIqC7YOCMiWanVajz77LNITU2FEELa//XXX6OkpARPP/20Wfyrr76K5ORkHDlyBPfeey/eeOMNLFmyBPPnz8cff/yBiRMn4plnnsGWLVsQEhKC8+fPw93dHe+99x7Onz+Pp556ymIeW7duRUxMTKX9RUVF+OCDD/DFF1/ghx9+wObNm/H4449j/fr1WL9+PT777DMsXLhQatb5+Pjg73//O5YsWWJ2nCVLliAgIACPPPKItK9Zs2bw9/fHL7/8ctfnj4iIiMievPrqq1i5ciWWLl2Kffv2oWXLloiPj8fVq1fN4l555RWkpKQgPT0d/v7+ePTRR1FaWgoAGDt2LAwGA7Zu3YqDBw/i7bffhqura5XPyTqPiOpCLXcCRETPPfcc3n33XWzevBndu3cHUD7c/fHHH4eXl5dZ7IwZM9CrVy8AQGFhIebOnYtNmzYhNjYWABAeHo5t27bhv//9L+Li4hAYGAiFQgEPDw8EBgZWmUNGRgaio6Mr7S8tLcX8+fPRokULAMATTzyBzz77DBcuXICrqysiIiLQvXt3/Pzzz1JT7rnnnkPfvn1x6tQphIeHQwiB1NRUJCQkQKVSmR2/SZMmyMjIuLsTR0RERGRHCgsLMX/+fKSmpkpNpkWLFmHjxo1YvHgxXnnlFSk2KSlJqvmWLl2Kpk2bYvXq1Rg8eDAyMzMxaNAgtG/fHkB5/Vcd1nlEVBcccUZEsmvTpg26dOmCTz75BED5nGS//PILnnvuuUqxt35aePjwYRQXF6NXr15wdXWVbp9++ilOnjxZqxxu3LgBvV5fab+zs7NUTAFAQEAAmjdvbvapZkBAAC5evCht9+7dG02bNpU+jdy0aRMyMjIszqvh5ORkcZ4MIiIiIkdz8uRJlJaWomvXrtI+jUaDv/3tbzhy5IhZbMWHogDg7e2N1q1bSzHjx4/Hv//9b3Tt2hVJSUn4/fffq31e1nlEVBdsnBGRTRg5ciRWrlyJ/Px8LFmyBM2aNUOPHj0qxbm4uEhfVyzx/d133+HAgQPS7fDhw9KQ+pry9fVFbm5upf0ajcZsW6FQWNx363LjSqUSCQkJWLp0KUwmE5YsWYIHH3wQrVq1qnT8q1evws/Pr1a5EhEREdmjimk5FApFpf2377OkImbUqFE4deoUhg0bhoMHDyImJqbaifhZ5xFRXbBxRkQ2YfDgwVCpVFi+fDmWLl2KESNG3LGAioiIgE6nQ2ZmJlq2bGl2CwkJqdXzR0VF4fDhw3V5CWZGjBiBs2fPYtWqVVi1apXZZLEViouLcfLkSURFRdXb8xIRERHZqpYtW0Kr1WLbtm3SvtLSUuzZswdt27Y1i921a5f0dW5uLo4dO4Y2bdpI+0JCQjBmzBisWrUKL7/8MhYtWlTl87LOI6K64BxnRGQTXF1d8dRTT2Hq1KnIy8tDQkLCHR/j5uaGSZMmYeLEiTCZTOjWrRvy8/OxY8cOuLq6Yvjw4TV+/vj4eIwaNQpGo7HS/BR3IywsDA8//DCef/55aDQaPPHEE5Vidu3aBZ1OZ3YpAhEREZGjcnFxwb/+9S+88sor8Pb2RmhoKN555x0UFRVVaj7NmDEDPj4+CAgIwOuvvw5fX18MHDgQADBhwgQ88sgjuOeee5Cbm4tNmzZVarzdinUeEdUFR5wRkc0YOXIkcnNz0bNnT4SGhtboMW+99RbefPNNJCcno23btoiPj8fatWsRFhZWq+fu27cvNBoNfvzxx7tJ3aKK1zNkyBA4OztXun/FihV4+umnLd5HRERE5Ihmz56NQYMGYdiwYejUqRNOnDiBDRs2VFoQavbs2XjppZcQHR2N8+fPY82aNdBqtQAAo9GIsWPHom3btujTpw9at26Njz/+uMrnZJ1HRHWhEBUXmhMRNXIff/wxvv32W2zYsMHqz3Xp0iW0adMGe/bsqXWTj4iIiIhqh3UeEd0tXqpJRHTT888/j9zcXBQUFMDNzc2qz3X69Gl8/PHHLKaIiIiIGgDrPCK6WxxxRkREREREREREZAHnOCMiIiIiIiIiIrKAjTMiIiIiIiIiIiIL2DgjIiIiIiIiIiKygI0zIiIiIiIiIiIiC9g4IyIiIiIiIiIisoCNMyIiIiIiIiIiIgvYOCMiIiIiIiIiIrKAjTMiIiIiIiIiIiIL2DgjIiIiIiIiIiKy4P8D98zCbBzxAuoAAAAASUVORK5CYII=", "text/plain": [ "
" ] @@ -388,9 +388,9 @@ "dAs = sAs.run(t0)\n", "\n", "tz = 0.05\n", - "ax = plot(dA, t1, t2, label='A')\n", - "ax = plot(dAs, t1, t2, ax, label='As', ls='--')\n", - "ax[0].legend()\n", + "ax = plot(dA, t1, t2, label='Original')\n", + "ax = plot(dAs, t1, t2, ax, label=r'Without $\\tau_{amp}$', ls='--')\n", + "ax[0].legend(loc='lower right')\n", "plt.show()" ] }, @@ -548,7 +548,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -562,8 +562,9 @@ "sBs.pre(t1)\n", "dAs = sAs.run(t0)\n", "dBs = sBs.run(t0)\n", - "ax = plot(dAs, t1, t2)\n", - "ax = plot(dBs, t1, t2, ls='--', axes=ax)\n", + "ax = plot(dAs, t1, t2, label=r'Without $\\tau_{amp}$')\n", + "ax = plot(dBs, t1, t2, ls='--', axes=ax, label=r'Without $\\tau_{amp}$ or $C_p$')\n", + "ax[0].legend(loc='lower right')\n", "plt.show()" ] }, @@ -643,7 +644,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -653,9 +654,10 @@ } ], "source": [ - "ax = plot(dAs, t1, t2)\n", - "ax = plot(dBs, t1, t2, ls='--', axes=ax)\n", - "ax = plot(dCs, t1, t2, ls=':', axes=ax)\n", + "ax = plot(dAs, t1, t2, label=r'Without $\\tau_{amp}$')\n", + "ax = plot(dBs, t1, t2, ls='--', axes=ax, label=r'Without $\\tau_{amp}$ or $C_p$')\n", + "ax = plot(dCs, t1, t2, ls=':', axes=ax, label=r'Without $\\tau_{amp}$ or $C_p$ or $C_f$ spike')\n", + "ax[0].legend(loc='lower right')\n", "plt.show()" ] }, @@ -933,11 +935,10 @@ "If we want to use capacitance-normalised currents $\\tilde{I}_x = I_x / C'_m$, we get the following equations:\n", "\n", "\\begin{align}\n", - "\\tilde{I}_\\text{leak} = \\frac{V_m - E_\\text{leak}}{R_\\text{leak} C'_m}\n", - "\\end{align}\n", + "\\tilde{I}_\\text{leak} = \\frac{V_m - E_\\text{leak}}{R_\\text{leak} C'_m} \\end{align}\n", "\n", "\\begin{align}\n", - "\\dot{V}_m = \\frac{V_\\text{ref} +E_\\text{off}^\\dagger - V_m}{R_s C'_m} - \\tilde{I} - \\tilde{I}_\\text{leak}\n", + "\\dot{V}_m = \\frac{V_\\text{ref} +E_\\text{off}^\\dagger - V_m}{R_s C_m} - \\frac{C_m'}{C_m} (\\tilde{I} + \\tilde{I}_\\text{leak})\n", "\\end{align}\n", "\n", "\\begin{align}\n", @@ -955,9 +956,7 @@ "Where we have differentiated between\n", "1. $C_m$: The true, unknown, capacitance\n", "2. $C_m^*$: The estimate of $C_m$ used in capacitance correction\n", - "3. $C'_m$: The estimate of $C_m$ used in normalisation.\n", - "\n", - "Occasionally we may equate all three, which simplifies the final two equations. " + "3. $C'_m$: The estimate of $C_m$ used in normalisation.\n" ] }, { @@ -993,7 +992,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.3" + "version": "3.12.7" } }, "nbformat": 4, diff --git a/artefacts/artefacts-5-summary.ipynb b/artefacts/artefacts-5-summary.ipynb new file mode 100644 index 0000000..3722772 --- /dev/null +++ b/artefacts/artefacts-5-summary.ipynb @@ -0,0 +1,386 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "cf0eda98", + "metadata": {}, + "source": [ + "# Summary\n", + "\n", + "Finally, we present two simplified models to play with." + ] + }, + { + "cell_type": "markdown", + "id": "77e8c0a2-cab3-4b65-a752-32f3292aca25", + "metadata": {}, + "source": [ + "## Model with current in pA" + ] + }, + { + "cell_type": "markdown", + "id": "4689178a-987c-4e93-806f-66a74ca6c156", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "I_\\text{leak} = \\frac{V_m - E_\\text{leak}}{R_\\text{leak}}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "C_m\\dot{V}_m = \\frac{V_\\text{ref} +E_\\text{off}^\\dagger - V_m}{R_s} - I - I_\\text{leak}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\tau_f \\dot{I}_\\text{obs} &= I + I_\\text{leak} + C_m\\dot{V}_m - C_m^* \\dot{V}_\\text{est} - I_\\text{obs}\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "9e5bc640-904c-4b4a-89a5-f661e0acb927", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "9bd1e978-5a29-47d4-8511-d5dcb94e396e", + "metadata": {}, + "outputs": [], + "source": [ + "m1 = myokit.parse_model('''\n", + "[[model]]\n", + "desc: \"\"\"\n", + " Simplified model without fast capacitance or amplifier speed.\n", + " Written in the Lei et al. style, using dot(I_obs).\n", + "\"\"\"\n", + "amp.Vm = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "amp.I_obs = 0\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = alpha\n", + "Rs = 6e-3 [GOhm] in [GOhm]\n", + "Rs_est = 6e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 25 [pF] in [pF]\n", + "tau_f = 0.075 [ms] in [ms]\n", + "tau_sum = 0.01 [ms] in [ms]\n", + "E_leak = 0 [mV] in [mV]\n", + "R_leak = 1 [GOhm] in [GOhm]\n", + "E_off = 0 [mV] in [mV]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "I_leak = 0 * (Vm - E_leak) / R_leak\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vr + E_off - Vm) / (Rs * Cm) - (I + I_leak) / Cm\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "dot(I_obs) = (I + I_leak + Cm * dot(Vm) - Cm_est * dot(Ve) - I_obs) / tau_f\n", + " in [pA]\n", + "''')\n", + "m1.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "markdown", + "id": "96d21515-f53b-4b32-84dc-73dee48f3dd5", + "metadata": {}, + "source": [ + "With a user defined $I=I(V_m)$, an input $V_c$, and the following parameters:\n", + "\n", + "| Parameter | Meaning | Source | Approximation | Chosen value |\n", + "|:-----------------------|:----------------------------|:-------------|-----------------|--------------|\n", + "| $C_m$ | Membrane capacitance | Unknown | $C_m^*$ | 25 pF |\n", + "| $C_m^*$ | Estimated $C_m$ | User setting | | 25 pF |\n", + "| $R_s$ | Series resistance | Unknown | $R_s^*$ | 6 MOhm |\n", + "| $R_s^*$ | Estimated $R_s$ | User setting | | 6 MOhm |\n", + "| $\\tau_f$ | Feedback time constant | Hardware | | 0.075 ms |\n", + "| $\\tau_\\text{sum}$ | $R_s$ compensation lag | User setting | | 0.01 ms |\n", + "| $\\alpha$ | $R_s$ compensation fraction | User setting | | 0.7 |\n", + "| $\\beta$ | $R_s$ prediction fraction | User setting | | alpha |\n", + "| $E_\\text{off}^\\dagger$ | Remaining voltage offset | Unknown | 0 | 0 mV |\n", + "| $E_\\text{leak}$ | Leak offset | Unknown | 0 | 0 mV |\n", + "| $R_\\text{leak}$ | Leak resistance | Unknown | $R_\\text{seal}$ | 1 GOhm |\n", + "\n", + "See [Appendix C3](appendix-C3-parameter-values) for more common values." + ] + }, + { + "cell_type": "markdown", + "id": "14f621fd-637d-4cf4-8c65-7d83e07ab1ba", + "metadata": {}, + "source": [ + "## Model with current in A/F" + ] + }, + { + "cell_type": "markdown", + "id": "30f5fe3f-6c3c-4f57-bf16-be434aa56f8e", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "\\bar{I}_\\text{leak} = = \\frac{1}{C'_m R_\\text{leak}} (V_m - E_\\text{leak}) = \n", + " \\tilde{g}_\\text{leak} (V_m - E_\\text{leak})\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_m = \\frac{V_\\text{ref} +E_\\text{off}^\\dagger - V_m}{R_s C_m} - \\frac{C_m'}{C_m}(\\tilde{I} + \\tilde{I}_\\text{leak})\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha R_s^* C_m' \\tilde{I}_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\tau_f \\dot{\\tilde{I}}_\\text{obs} &= \\tilde{I} + \\tilde{I}_\\text{leak} + \\frac{C_m}{C_m'}\\dot{V}_m - \\frac{C_m^*}{C_m'} \\dot{V}_\\text{est} - \\tilde{I}_\\text{obs}\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "0141a269-2c67-4866-b6c2-759a0fad9254", + "metadata": {}, + "outputs": [], + "source": [ + "m2 = myokit.parse_model('''\n", + "[[model]]\n", + "desc: \"\"\"\n", + " Simplified model without fast capacitance or amplifier speed.\n", + " Written in the Lei et al. style, using dot(I_obs).\n", + " With normalised currents.\n", + "\"\"\"\n", + "amp.Vm = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "amp.I_obs = 0\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = alpha\n", + "Rs = 6e-3 [GOhm] in [GOhm]\n", + "Rs_est = 6e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 25 [pF] in [pF]\n", + "Cm_nor = Cm_est in [pF]\n", + "tau_f = 0.075 [ms] in [ms]\n", + "tau_sum = 0.01 [ms] in [ms]\n", + "E_leak = 0 [mV] in [mV]\n", + "g_leak = 0.04 [nS/pF] in [nS/pF]\n", + "E_off = 0 [mV] in [mV]\n", + "I = 0.4 [nS/pF] * Vm\n", + " in [A/F]\n", + "I_leak = g_leak * (Vm - E_leak)\n", + " in [A/F]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vr + E_off - Vm) / (Rs * Cm) - (I + I_leak) * (Cm_nor / Cm)\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * Cm_nor * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "dot(I_obs) = (I + I_leak + Cm / Cm_nor * dot(Vm) - Cm_est / Cm_nor * dot(Ve) - I_obs) / tau_f\n", + " in [A/F]\n", + "''')\n", + "m2.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "markdown", + "id": "cdeefcc8-b0ab-4801-8b19-d1e3c04199c0", + "metadata": {}, + "source": [ + "With additional parameters:\n", + "\n", + "| Parameter | Meaning | Source | Chosen value |\n", + "|:------------------------|:----------------------------|:-------------|--------------|\n", + "| $C_m' $ | $C_m$ used in normalisation | User setting | 25 pF |\n", + "| $\\tilde{g}_\\text{leak}$ | Normalised leak conductance | Unknown | 0.04 nS/pF |\n" + ] + }, + { + "cell_type": "markdown", + "id": "1c26daa9-c7e5-446f-8a11-75285f245acd", + "metadata": {}, + "source": [ + "## Simulations" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "6df3901e-c711-4a3e-8a27-35c190a95ad6", + "metadata": {}, + "outputs": [], + "source": [ + "vlo, vhi = -80, 20\n", + "p = myokit.Protocol()\n", + "p.add_step(level=vlo, duration=5)\n", + "p.add_step(level=vhi, duration=15)" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "c7f51c04-3322-4994-b194-7cbb64ae3b2a", + "metadata": {}, + "outputs": [], + "source": [ + "tol = 1e-8\n", + "\n", + "t0 = 10\n", + "t1 = 4.9\n", + "t2 = 6\n", + "\n", + "s1 = myokit.Simulation(m1, p)\n", + "s1.set_tolerance(tol, tol)\n", + "s1.pre(t1)\n", + "d1 = s1.run(t0)\n", + "\n", + "s2 = myokit.Simulation(m2, p)\n", + "s2.set_tolerance(tol, tol)\n", + "s2.pre(t1)\n", + "d2 = s2.run(t0)" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "61b4254d-2283-4127-8f0e-17404079d702", + "metadata": {}, + "outputs": [], + "source": [ + "def axs(fig, sub=(1, 1, 1), xlabel='Time (ms)', ylabel=''):\n", + " ax = fig.add_subplot(*sub)\n", + " ax.set_xlabel(xlabel)\n", + " ax.set_ylabel(ylabel)\n", + " return ax\n", + "\n", + "def ins(ax, loc=(0.05, 0.20, 0.40, 0.65)):\n", + " ins = ax.inset_axes(loc)\n", + " ins.set_yticklabels([])\n", + " ins.set_xlim(t1, t2)\n", + " ins.patch.set_alpha(0.5) \n", + " return ins\n", + "\n", + "def plot(d, label='ff', ls='-'):\n", + " fig = plt.figure(figsize=(15, 12))\n", + " \n", + " ax1 = axs(fig, (2, 2, 1), 'V (mV)')\n", + " ax2 = axs(fig, (2, 2, 2), 'Iobs (mV)')\n", + " in1 = ins(ax1)\n", + " in1.set_xlim(4.9, 7)\n", + " in1.set_ylim(12, 28)\n", + " \n", + " ax1.plot(d.time(), d['amp.Vm'], label='Vm')\n", + " ax1.plot(d.time(), d['amp.Ve'], label='Vest')\n", + " ax1.plot(d.time(), d['amp.Vr'], label='Vref')\n", + " ax1.legend()\n", + " in1.plot(d.time(), d['amp.Vm'])\n", + " in1.plot(d.time(), d['amp.Ve'])\n", + " in1.plot(d.time(), d['amp.Vr'])\n", + " \n", + " ax2.plot(d.time(), d['amp.I_obs'], ls=ls)" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "efce586a-4403-4c18-803b-948e5ecbefaf", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "plot(d1)" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "913d801f-993b-4cda-a57e-d500b5baed8f", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "plot(d2)" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.12.7" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} From 4793d3d82a380b093b7de19c4286ebd604e497d4 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 22 Nov 2024 17:39:34 +0000 Subject: [PATCH 69/77] Added lei-style check to book 4 --- artefacts/artefacts-4-simplified.ipynb | 106 +++++++++++++++++++++++-- 1 file changed, 99 insertions(+), 7 deletions(-) diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-4-simplified.ipynb index ba49c99..545b77a 100644 --- a/artefacts/artefacts-4-simplified.ipynb +++ b/artefacts/artefacts-4-simplified.ipynb @@ -254,7 +254,7 @@ " ax.set_ylabel(ylabel)\n", " return ax\n", "\n", - "def ins(ax, loc=(0.05, 0.20, 0.40, 0.65)):\n", + "def ins(ax, loc=(0.05, 0.28, 0.40, 0.65)):\n", " ins = ax.inset_axes(loc)\n", " ins.set_yticklabels([])\n", " ins.set_xlim(t1, t2)\n", @@ -276,6 +276,9 @@ " in1.set_xlim(5, 10)\n", " in1.set_ylim(10, 23)\n", " in5.set_ylim(-30, 60)\n", + "\n", + " ax1.axhline(-80, color='#999', ls='--', lw=1)\n", + " ax1.axhline(20, color='#999', ls='--', lw=1)\n", " else:\n", " [ax1, ax2, ax3, ax4, ax5, ax6, in1, in2, in4, in5, in6] = axes\n", " \n", @@ -324,7 +327,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -366,7 +369,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -412,7 +415,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -548,7 +551,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -644,7 +647,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -661,6 +664,14 @@ "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "81ca2de9-e4e3-4c8e-806a-804b4e3f8178", + "metadata": {}, + "source": [ + "This has a noticeable difference, **so we won't apply this simplication below**." + ] + }, { "cell_type": "markdown", "id": "285ac42e-e2e4-402c-ab56-3c04383544f3", @@ -747,6 +758,87 @@ "2. We can account for clever electronics tricks that reduce the _apparent_ $\\tau_f$ by using a smaller value." ] }, + { + "cell_type": "code", + "execution_count": 16, + "id": "8a9c6d1e-9658-4215-a54d-b209405d1526", + "metadata": {}, + "outputs": [], + "source": [ + "mDs = myokit.parse_model('''\n", + "[[model]]\n", + "desc: Simplified model written in the style of Lei et al.\n", + "amp.Vm = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "amp.I_obs = 0\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 15e-3 [GOhm] in [GOhm]\n", + "Rs_est = 14e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 24 [pF] in [pF]\n", + "tau_f = 0.5 [GOhm] * 0.15 [pF] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "Vc = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vm) = (Vr - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est * I_obs + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "dot(I_obs) = (I + Cm * dot(Vm) - Cm_est * dot(Ve) - I_obs) / tau_f\n", + " in [pA]\n", + "''')\n", + "mDs.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "id": "1c817762-34bb-4a16-971d-14597757c57a", + "metadata": {}, + "outputs": [], + "source": [ + "sDs = myokit.Simulation(mDs, p)\n", + "sDs.set_tolerance(tol, tol)\n", + "sDs.pre(t1)\n", + "dDs = sDs.run(t0)" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "id": "55f74333-81d1-4d7c-aa52-66b778501227", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "ax = plot(dBs, t1, t2, ls='-', label=r'Vo notation')\n", + "ax = plot(dDs, t1, t2, ls='--', axes=ax, label=r'I_obs notation')\n", + "ax[0].legend(loc='lower right')\n", + "plt.show()" + ] + }, { "cell_type": "markdown", "id": "8bd659d0-055a-4f7d-893f-c833795ef596", @@ -806,7 +898,7 @@ }, { "cell_type": "code", - "execution_count": 16, + "execution_count": 19, "id": "0d9374b8-2839-4e0f-98c0-eb60662543e3", "metadata": {}, "outputs": [ From 6aa7bd035763a7cc3349ca83e8057b59c95ce94b Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 28 Nov 2024 15:14:32 +0000 Subject: [PATCH 70/77] Parameter updates --- artefacts/appendix-C3-parameter-values.ipynb | 9 ++++++--- 1 file changed, 6 insertions(+), 3 deletions(-) diff --git a/artefacts/appendix-C3-parameter-values.ipynb b/artefacts/appendix-C3-parameter-values.ipynb index b7399aa..4acfda6 100644 --- a/artefacts/appendix-C3-parameter-values.ipynb +++ b/artefacts/appendix-C3-parameter-values.ipynb @@ -47,8 +47,6 @@ "| Sutter IPA | 1-100 MOhm |\n", "| Warner PC-505B | 0-10 MOhm |\n", "\n", - "To-do: https://www.npielectronic.com/product-category/electrophysiology-amplifiers\n", - "\n", "### Origin and definition\n", "\n", "Consists _at least_ of the pipette resistance, followed by the resistance of the connection to the cell.\n", @@ -213,6 +211,11 @@ "| Warner LC-202B, high gain | 50 G$\\Omega$ | | |\n", "| Weerakoon et al. 2009 | 25 M$\\Omega$ | 0.3 pF | 7.5 $\\mu$s |\n", "\n", + "Some other devices not (yet) listed:\n", + " - [NPI Electronic](https://www.npielectronic.com/product-category/electrophysiology-amplifiers) (succeeds Dagan 8900?)\n", + " - Biologic RK-300 and RK-400 (discontinued, it seems)\n", + " - ESF Electronic WPC 100 (nothing online)\n", + "\n", "Names are typically of headstages rather than amplifiers.\n", "\n", "### Origin and definition\n", @@ -322,7 +325,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.3" + "version": "3.12.7" } }, "nbformat": 4, From 0fc150da15342d044eb63a35667628ae74c81ff1 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Fri, 29 Nov 2024 17:50:11 +0000 Subject: [PATCH 71/77] Working on bessel filter notebook --- artefacts/appendix-A3-bessel-filters.ipynb | 811 +++++++++++++++++++++ artefacts/library.py | 96 ++- 2 files changed, 878 insertions(+), 29 deletions(-) create mode 100644 artefacts/appendix-A3-bessel-filters.ipynb diff --git a/artefacts/appendix-A3-bessel-filters.ipynb b/artefacts/appendix-A3-bessel-filters.ipynb new file mode 100644 index 0000000..76b8fd5 --- /dev/null +++ b/artefacts/appendix-A3-bessel-filters.ipynb @@ -0,0 +1,811 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "044506d5-71e4-43da-8603-ce5103279e28", + "metadata": {}, + "source": [ + "# Appendix A3: Bessel low-pass filters\n", + "**Appendix A provides extra background for path clamp electronics.**" + ] + }, + { + "cell_type": "markdown", + "id": "8da3b3fe-426a-4710-a21a-d01126734998", + "metadata": {}, + "source": [ + "[Bessel filters](https://en.wikipedia.org/wiki/Bessel_filter) are popular for low-pass filtering in patch clamp hardware and software." + ] + }, + { + "cell_type": "markdown", + "id": "7dc08042-9c0d-4720-84b5-50b9a641506b", + "metadata": {}, + "source": [ + "## Transfer function\n", + "\n", + "The transfer function for a Bessel low-pass filter has a [reverse Bessel polynomial](https://en.wikipedia.org/wiki/Bessel_polynomials) as its denominator, and a numerator which acts as a scaling term to achieve unity gain for $s = 0$.\n", + "\n", + "In mathematical notation, a Bessel low-pass filter of **order $n$** has transfer function:\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{\\theta_n(0)}{\\theta_n(s)}\n", + "\\end{align}\n", + "where\n", + "\\begin{align}\n", + "\\theta_n(x) = \\sum_{k=0}^{n} \\frac{(2n - k)!}{2^{n-k}(n - k)!k!} x^k\n", + " = \\sum_{k=0}^{n} \\alpha_{n,k} x^{n-k}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "a5462b51-2435-4546-b479-d08c1f420ec0", + "metadata": {}, + "source": [ + "For example, a third order Bessel filter has transfer function\n", + "\\begin{align}\n", + "H(s) = \\frac{\\alpha_{3, 0}}{\\alpha_{3, 3} s^3 + \\alpha_{3, 2} s^2 + \\alpha_{3, 1} s + \\alpha_{3, 0}}\n", + "\\end{align}\n", + "where $\\alpha_{3, 0}=15$, $\\alpha_{3, 1}=15$, $\\alpha_{3, 2}=6$, and $\\alpha_{3, 0}=1$ for\n", + "\\begin{align}\n", + "H(s) = \\frac{15}{s^3 + 6s^2 + 15s + 15}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "44124d98-3824-483a-8bf6-25ebffb637b5", + "metadata": {}, + "source": [ + "### Example transfer functions\n", + "\n", + "Finding $\\alpha_{n,k}$ is easiest with a script:" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "7dab85ed-4d69-4449-9a7f-373d039d4759", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[15, 15, 6, 1]\n" + ] + } + ], + "source": [ + "import numpy as np\n", + "\n", + "def revbes(n):\n", + " \"\"\" Returns the coefficients for a reverse Bessel polynomial. \"\"\"\n", + " f = np.math.factorial\n", + " return [int(f(2 * n - k) / (2**(n - k)*f(n - k) * f(k)))\n", + " for k in range(n + 1)]\n", + " \n", + "print(revbes(3))" + ] + }, + { + "cell_type": "markdown", + "id": "98824302-c10f-482f-8235-7de9c3f59f4f", + "metadata": {}, + "source": [ + "This lets us find the first six filters as:" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "d13540a2-8104-43b9-b343-94642879d99a", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "1 [1, 1]\n", + "2 [3, 3, 1]\n", + "3 [15, 15, 6, 1]\n", + "4 [105, 105, 45, 10, 1]\n", + "5 [945, 945, 420, 105, 15, 1]\n", + "6 [10395, 10395, 4725, 1260, 210, 21, 1]\n" + ] + } + ], + "source": [ + "for i in range(1, 7):\n", + " print(i, revbes(i))" + ] + }, + { + "cell_type": "markdown", + "id": "c11e6622-ce8c-4df1-ab43-ef0ecc12b2fe", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "H_1(s) &= \\frac{1}{s + 1} \\\\\n", + "H_2(s) &= \\frac{3}{s^2 + 3s + 3} \\\\\n", + "H_3(s) &= \\frac{15}{s^3 + 6s^2 + 15s + 15} \\\\\n", + "H_4(s) &= \\frac{105}{s^4 + 10s^3 + 45s^2 + 105s + 105} \\\\\n", + "H_5(s) &= \\frac{945}{s^5 + 15s^4 + 105s^3 + 420s^2 + 945s + 945} \\\\\n", + "H_6(s) &= \\frac{10395}{s^6 + 21s^5 + 210s^4 + 1260s^3 + 4725s^2 + 10395s + 10395}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "0030ade1-f10f-476f-b600-14ade199f916", + "metadata": {}, + "source": [ + "## The cut-off frequency\n", + "\n", + "To design a Bessel filter with a particular cut-off frequency, we:\n", + "\n", + "1. Decide on an _attenuation level_, for example the famous \"3[dB](https://en.wikipedia.org/wiki/Decibel) point\": $^{10}\\log(1/2) \\approx -3.01$.\n", + "2. Using $|H(i\\omega)|$ to find a frequency $\\omega$ where the filter has the desired attenuation (typically numerically, e.g. with root-finding).\n", + "3. Scale $s$." + ] + }, + { + "cell_type": "markdown", + "id": "d8eeb98a-4a9d-4c0a-b2c1-f979433a57dd", + "metadata": {}, + "source": [ + "## Poles\n", + "**Note**: Some sources say the poles lie on the unit circle: they do not.\n", + "\n", + "To find the poles of a Bessel filter, we can use [partial fraction decomposition](https://en.wikipedia.org/wiki/Partial_fraction_decomposition) on the equations shown above.\n", + "We can also make life easier, and ask SciPy to approximate them numerically with the function [`scipy.signal.bessel`](https://docs.scipy.org/doc/scipy/reference/generated/scipy.signal.bessel.html).\n", + "\n", + "Unfortunately the function to do this has a lot of arguments. The main ones are:\n", + "- `N`: The order of the filter\n", + "- `Wn`: When `norm=phase` and `analog=True` this is the angular \"critical frequency\". To get solutions to the equatiosn above, we use `Wn=1`\n", + "- `btype`: Filter type, we leave this at the default of `lowpass`.\n", + "- `analog`: In the above, we're assuming an analog filter, that is, a filter that operates on a continuous signal. Later we will set this to `digital` to create filters we can use on a sampled filter.\n", + "- `output`: Set this to `zpk` to obtain the zeroes (z), poles (p), and a gain factor (k).\n", + "- `delay`: Set this to `delay`, to get \"the natural type obtained by solving Bessel polynomials\".\n", + "\n", + "Let's try for a filter with n=1:" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "85441146-22fc-46fb-ad07-b3b8915a94a2", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[]\n", + "[-1.-0.j]\n", + "1.0\n" + ] + } + ], + "source": [ + "import scipy.signal\n", + "\n", + "z, p, k = scipy.signal.bessel(1, 1, output='zpk', analog=True, norm='delay')\n", + "print(z)\n", + "print(p)\n", + "print(k)" + ] + }, + { + "cell_type": "markdown", + "id": "89c5c51a-5caf-48a9-b8a6-e924aa9e5b56", + "metadata": {}, + "source": [ + "So no zeroes, a pole at $s = -1$ and a gain factor $1$\n", + "\n", + "For this filter we had\n", + "\\begin{align}\n", + "H(s) &= \\frac{1}{s + 1}\n", + "\\end{align}\n", + "so this checks out!\n", + "\n", + "Note that the lack of zeroes makes sense as well: we can see this directly from the original transfer function.\n", + "\n", + "Now we can try for $n=2$:" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "8c416b40-a547-4538-9622-c1bed96972a6", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[-1.5+0.8660254j -1.5-0.8660254j]\n", + "3.0\n" + ] + } + ], + "source": [ + "z, p, k = scipy.signal.bessel(2, 1, output='zpk', analog=True, norm='delay')\n", + "print(p)\n", + "print(k)" + ] + }, + { + "cell_type": "markdown", + "id": "e0b70a53-f740-46ad-8de4-7a21fea25c4c", + "metadata": {}, + "source": [ + "So no zeroes, a gain of 3, and poles at $-1.5 \\pm i\\sqrt{3}/2$ (where we did a bit of guesswork to equate 0.8660254 with $\\sqrt{3}/2$).\n", + "\n", + "Filling in, and using $(a - bi)(a + bi) = a^2 + b^2$, we find:\n", + "\\begin{align}\n", + "H(s) &= \\frac{3}{(s + 1.5 - i\\sqrt{3}/2)(s + 1.5 + i\\sqrt{3}/2)} \\\\\n", + " &= \\frac{3}{(s + 3/2)^2 + 3/4} \\\\\n", + " &= \\frac{3}{s^2 + 3s + 3} \\\\\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "b42eb170-bf86-4b9d-ba42-5ae814a687d7", + "metadata": {}, + "source": [ + "We can go crazy and do it for the next one up:" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "f1e99df8-a848-4d33-abc2-0facf04ba449", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[-1.83890732+1.75438096j -2.32218535-0.j -1.83890732-1.75438096j]\n", + "15.0\n" + ] + } + ], + "source": [ + "z, p, k = scipy.signal.bessel(3, 1, output='zpk', analog=True, norm='delay')\n", + "print(p)\n", + "print(k)" + ] + }, + { + "cell_type": "markdown", + "id": "05de9ed1-3f6d-4c77-8ea4-4199fa1c6d32", + "metadata": {}, + "source": [ + "Comparing with \n", + "\\begin{align}\n", + "H(s) &= \\frac{15}{s^3 + 6s^2 + 15s + 15}\n", + "\\end{align}\n", + "we can immediately see that the \"gain\" of 15 is correct.\n", + "\n", + "Guessing what fractions 1.83890732, 1.75438096, and 2.32218535 approximate is harder, so instead we write out the equation for denominator $D$ symbolically, using $a\\approx1.83890732$, $b\\approx1.75438096$, and $c\\approx2.32218535$:\n", + "\n", + "\\begin{align}\n", + "D &= (s + a - bi)(s + a + bi)(s + c) \\\\\n", + "&= ((s + a)^2 + b^2))(s + c) \\\\\n", + "&= (s^2 + a^2 + 2as + b^2)(s + c) \\\\\n", + "&= (s^2 + a^2 + 2as + b^2)(s + c) \\\\\n", + "&= s^3 + a^2s + 2as^2 + b^2s + cs^2 + a^2c + 2acs + b^2c \\\\\n", + "&= s^3 + (2a + c)s^2 + (a^2 + b^2 + 2ac)s + c(a^2 + b^2)\n", + "\\end{align}\n" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "3cb05f37-23c9-4980-9b3b-cae1b70f9360", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "6.0\n", + "14.999999999999996\n", + "14.999999999999995\n" + ] + } + ], + "source": [ + "a = -p[0].real\n", + "b = p[0].imag\n", + "c = -p[1].real\n", + "\n", + "print(2*a + c)\n", + "print(a**2 + b**2 + 2*a*c)\n", + "print(c*(a**2 + b**2))" + ] + }, + { + "cell_type": "markdown", + "id": "e9df9356-ca8e-456b-a9bd-d3399715ca31", + "metadata": {}, + "source": [ + "So these coefficients are within machine precision of 6, 15, 15 and this one again checks out!" + ] + }, + { + "cell_type": "markdown", + "id": "897fcbd3-fcbb-45d4-8c22-04d80f939366", + "metadata": {}, + "source": [ + "## Frequency response and Bode plots" + ] + }, + { + "cell_type": "markdown", + "id": "204a662d-d130-4dd9-a1a8-b11afcbe6419", + "metadata": {}, + "source": [ + "To work out a Bessel filter's frequency response we need to evaluate $|H(i\\omega)|$ and $\\angle H(i\\omega)$.\n", + "\n", + "Luckily, Python can do this for us." + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "982b4f7c-0553-4589-b9f3-f878e8a76eca", + "metadata": {}, + "outputs": [], + "source": [ + "def mag(w):\n", + " x = 0 + 1j * w\n", + " return np.abs(15 / (x**3 + 6*x**2 + 15*x + 15))\n", + " \n", + "def arg(w):\n", + " x = 0 + 1j * w\n", + " return -np.angle(15 / (x**3 + 6*x**2 + 15*x + 15))" + ] + }, + { + "cell_type": "markdown", + "id": "b37ae7b1-a52a-4994-a1fc-27ce4472d556", + "metadata": {}, + "source": [ + "We can then use the method from a previous appendix to create a Bode plot:" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "eb07de6e-b0e4-4498-89d7-9d6670174b75", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "import matplotlib.pyplot as plt\n", + "from library import bode\n", + "axes = bode(mag, arg)\n", + "plt.show() " + ] + }, + { + "cell_type": "markdown", + "id": "2729e681-e32b-4f86-a9f3-406a4703ee3f", + "metadata": {}, + "source": [ + "If we're not worried about performance, we can even write these methods as a function of the order $n$:" + ] + }, + { + "cell_type": "code", + "execution_count": 27, + "id": "c3feea59-fd15-48a3-a991-c5bd319961e4", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def bes(w, n):\n", + " x = 0 + 1j * w\n", + " a = revbes(n)\n", + " b = np.array([x**i for i in range(1 + n)])\n", + " return a[0] / np.sum(a * b.T, axis=1)\n", + "\n", + "def mag(w, n):\n", + " return np.abs(bes(w, n))\n", + " \n", + "def arg(w, n):\n", + " return -np.angle(bes(w, n))\n", + "\n", + "axes = bode(mag, arg, n=3)\n", + "axes = bode(mag, arg, n=4, axes=axes)\n", + "axes = bode(mag, arg, n=5, axes=axes)\n", + "axes = bode(mag, arg, n=6, axes=axes)\n", + "plt.show() " + ] + }, + { + "cell_type": "markdown", + "id": "cb1ca230-1103-4d85-858b-650f88a811a6", + "metadata": {}, + "source": [ + "We can compare the top plot with the scipy version:" + ] + }, + { + "cell_type": "code", + "execution_count": 55, + "id": "103374b6-0219-48a9-a5d2-992c9fcf154b", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "axes = bode(mag, arg, n=3)\n", + "axes = bode(mag, arg, n=6, axes=axes)\n", + "ax0, ax1 = axes\n", + "\n", + "b, a = scipy.signal.bessel(3, 1, analog=True, norm='delay')\n", + "w, h = scipy.signal.freqs(b, a)\n", + "ax0.plot(w, np.abs(h), 'k--')\n", + "ax1.plot(w, -np.angle(h) * 180 / np.pi, 'k--')\n", + "\n", + "b, a = scipy.signal.bessel(6, 1, analog=True, norm='delay')\n", + "w, h = scipy.signal.freqs(b, a)\n", + "ax1.plot(w, -np.angle(h) * 180 / np.pi, 'k-.')\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "84f64705-d646-475f-a7a1-5cfc9f8a9ce8", + "metadata": {}, + "source": [ + "This confirms that the SciPy `bessel` function with `Wn=1`, `analog=True`, and `norm='delay' is the canonical \"Bessel filter\" described by the transfer functions above." + ] + }, + { + "cell_type": "markdown", + "id": "8c58a7e8-c2f2-4424-a7a2-6c9fa7be2815", + "metadata": {}, + "source": [ + "## Emulating an analog filter\n", + "\n", + "We can also use SciPy to emulate the effects of an analog filter on an analog signal, although we represent it here as a digital (sampled) signal!\n", + "\n", + "For this, we use the method [lsim](https://docs.scipy.org/doc/scipy/reference/generated/scipy.signal.lsim.html)." + ] + }, + { + "cell_type": "code", + "execution_count": 77, + "id": "2e70c20b-4388-48d7-ba48-931946997fb3", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "t = np.linspace(0, 1, 10001)\n", + "f = 1 / t[1] # Sampling frequency, in Hz\n", + "\n", + "def sin(x, w):\n", + " \"\"\" Return a sine wave with frequency w (in Hz). \"\"\"\n", + " return np.sin(2 * np.pi * w * x)\n", + "\n", + "def low_pass(time, data, w, n=3):\n", + " \"\"\"\n", + " Emulate an analog Bessel low-pass filter with cut-off w (in Hz).\n", + " \n", + " Returns a tuple ``(t, y)``.\n", + " \"\"\"\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * w, btype='lowpass', analog=True)\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "x = sin(t, 2)\n", + "y = x + sin(t, 50)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, x, label='Original (2 Hz)')\n", + "ax.plot(t, y, label='Noisy (+50Hz)')\n", + "ax.plot(*low_pass(t, y, w=5, n=3), label='Filtered 5Hz, n=3')\n", + "ax.plot(*low_pass(t, y, w=10, n=6), label='Filtered 10Hz, n=6')\n", + "ax.legend(ncol=4, framealpha=1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "68f6dc22-219f-4fba-a7bc-ef6055de1201", + "metadata": {}, + "source": [ + "Both filters cause quite a phase shift, but otherwise work well." + ] + }, + { + "cell_type": "markdown", + "id": "3a3c0e89-4181-47c0-9a4d-44e443600e36", + "metadata": {}, + "source": [ + "## Digital filter\n", + "\n", + "We can also apply a digital filter.\n", + "\n", + "This time we use:\n", + "\n", + "- Order `n`\n", + "- A frequency, _expressed as a fraction of the Nyquist frequency_, which means it's a fraction of _half the sampling frequency_. Yup.\n", + "- Analog `False`\n", + "\n", + "And for the filtering we use [lfilter](https://docs.scipy.org/doc/scipy/reference/generated/scipy.signal.lfilter.html#scipy.signal.lfilter)." + ] + }, + { + "cell_type": "code", + "execution_count": 78, + "id": "b6111cd7-539b-4041-8235-a566660ec5e7", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "t = np.linspace(0, 1, 10001)\n", + "f = 1 / t[1] # Sampling frequency, in Hz\n", + "w = 50 # Cut-off frequency, in Hz\n", + "\n", + "def sin(x, f):\n", + " \"\"\" Draw a sine wave with frequency f. \"\"\"\n", + " return np.sin(2 * np.pi * f * x)\n", + "\n", + "def low_pass(data, w, f, n=3):\n", + " \"\"\" Apply a Bessel low-pass filter with cut-off w (in Hz). \"\"\"\n", + " # Convert the frequency to a fraction of the Nyquist\n", + " # frequency f/2\n", + " w = w / (f / 2)\n", + " b, a = scipy.signal.bessel(n, w)\n", + " return scipy.signal.lfilter(b, a, data)\n", + "\n", + "x = sin(t, 2)\n", + "y = x + sin(t, 50)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, x, label='Original')\n", + "ax.plot(t, y, label='Noisy')\n", + "ax.plot(t, low_pass(x, 5, f, n=3), label='Filtered 5Hz, n=3')\n", + "ax.plot(t, low_pass(x, 10, f, n=6), label='Filtered 10Hz, n=6')\n", + "ax.legend(ncol=4, framealpha=1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "4e2115e8-d481-4326-b9f2-2589ee6cf3c7", + "metadata": {}, + "source": [ + "If we're interested in getting the best result (instead of emulating real filters), we can use the method [filtfilt](https://docs.scipy.org/doc/scipy/reference/generated/scipy.signal.filtfilt.html) instead. This applies a digital filter \"forwards\" and then \"backwards\", meaning it is twice as slow but has (A) zero phase shift and (B) twice the order of the original." + ] + }, + { + "cell_type": "code", + "execution_count": 79, + "id": "9ece8f08-5faf-4b1d-bd58-0f828845e416", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def low_pass(data, w, f, n=3):\n", + " \"\"\" Apply a Bessel low-pass filter with cut-off w (in Hz) twice. \"\"\"\n", + " w = w / (f / 2)\n", + " b, a = scipy.signal.bessel(n, w)\n", + " return scipy.signal.filtfilt(b, a, data)\n", + "\n", + "x = sin(t, 2)\n", + "y = x + sin(t, 50)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, x, label='Original')\n", + "ax.plot(t, y, label='Noisy')\n", + "ax.plot(t, low_pass(x, 5, f, n=3), label='Filtered 5Hz, n=2*3')\n", + "ax.plot(t, low_pass(x, 10, f, n=6), label='Filtered 10Hz, n=2*6')\n", + "ax.legend(ncol=4, framealpha=1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "fb456a90-1ad0-47ba-97be-1c9112572250", + "metadata": {}, + "source": [ + "## ODE for Bessel filters" + ] + }, + { + "cell_type": "markdown", + "id": "0e09209d-b9c9-4412-abb6-0529ceeac46b", + "metadata": {}, + "source": [ + "Trying to derive an ODE form for the second order filter.\n", + "\n", + "\\begin{align}\n", + "H(s) &= \\frac{3}{s^2 + 3s + 3}\n", + "\\end{align}\n", + "\n", + "but\n", + "\n", + "\\begin{align}\n", + "y_i = 1 - \\frac{2i + 1}{2} = \\pm \\frac{1}{2} &&\n", + "x_i = \\sqrt{1 - y_i^2} = -\\sqrt{3/4} = -\\sqrt{3}/2\n", + "\\end{align}\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "e5e35bbd-1647-454d-9c21-cc0b72c36919", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "5bd67150-5477-40aa-a4c1-f9fabcdafbfe", + "metadata": {}, + "outputs": [], + "source": [ + "n = 2\n", + "y = np.array([1 - (2 * i + 1) / n for i in range(n)])\n", + "x = -np.sqrt(1 - y**2) \n", + "\n", + "print(x)\n", + "print(y)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "3f744b01-086f-4761-a9a8-f66a5022444a", + "metadata": {}, + "outputs": [], + "source": [ + "import scipy.signal\n", + "\n", + "print(scipy.signal.bessel(2, 0.5, output='ba'))" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "b0f0db66-c2dc-4969-9e6c-24a968305287", + "metadata": {}, + "outputs": [], + "source": [ + "print(scipy.signal.bessel(2, 0.5, output='zpk'))" + ] + }, + { + "cell_type": "markdown", + "id": "80f059c0-4689-44b9-acc8-bb89e32d9594", + "metadata": {}, + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "bd25d749-ee14-480e-af9d-9319efdd7176", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "a800bd1d-4a81-4dbd-ad2d-fd500c919b7c", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "8623c83a-fb61-4575-833e-c0ae9a85691f", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "ba4bc3a2-b4d9-46f7-885e-59a0e55d7665", + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.12.7" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/library.py b/artefacts/library.py index dbd6088..77594c2 100755 --- a/artefacts/library.py +++ b/artefacts/library.py @@ -8,13 +8,13 @@ def _fit_exponential(t, I, Iss, i1, i2, cutoff, invert, plot=False): """ Fits a single exponential to ``I - Iss`` on the segment ``i1:i2``. - + The exponential is assumed to be decreasing. For an increasing exponential, set ``invert=True``. - + If the signal on ``i1:i2`` dips below ``cutoff``, the upper bound ``i2`` will be reduced. - + Returns ``tau, I0``. """ @@ -60,12 +60,12 @@ def _fit_exponential(t, I, Iss, i1, i2, cutoff, invert, plot=False): plt.show() return tau, I0 - - + + def _integrate_current(t, I, Iss, i0, i3, cutoff, dt, invert): """ Integrates the I[i0:i3] and returns the result. - + If the initial points are below cutoff, ``i0`` will be increased. """ # Get segment containing transient @@ -73,24 +73,24 @@ def _integrate_current(t, I, Iss, i0, i3, cutoff, dt, invert): if invert: iup = -iup - # Increase i0 if necessary + # Increase i0 if necessary i = np.where(iup > cutoff)[0][0] - + iup = iup[i:] i0 += i - + # Integrate if dt is None: return np.trapz(iup, t[i0:i3]) return np.trapz(iup, dx=dt) - + def estimate_cell_parameters( t, I, T, dV, dt=None, f1=0.1, f2=0.8, f3=0.8, f4=1): """ - + Arguments: - + ``t`` A time vector, starting at 0 and going up to and/or including time 2T. ``I`` @@ -106,7 +106,7 @@ def estimate_cell_parameters( The start of the segment where an exponential is fit, as a fraction of ``T``. ``f2=0.8`` - The end of the segment where an exponential is fit, as a fraction of + The end of the segment where an exponential is fit, as a fraction of ``T``. If the given signal is noisy, a shorter interval may be used. ``f3=0.8`` The start of the segment used to estimate the steady-state current, as @@ -116,7 +116,7 @@ def estimate_cell_parameters( a fraction of ``T``. Returns: - + ``Rs`` The estimated series (or access) resistance. ``Rm`` @@ -129,7 +129,7 @@ def estimate_cell_parameters( steady-state values, ``I0`` are initial values for the fitted transients, and where the remaining numbers give array indices suitable for drawing fitted transients and steady states. - + """ # Get indices f = np.array((f1, f2, f3, f4, 1, 1 + f1, 1 + f2, 1 + f3, 1 + f4)) * T @@ -137,21 +137,21 @@ def estimate_cell_parameters( i = np.searchsorted(t, f) else: i = np.rint(f / dt).astype(int) - i1, i2, i3, i4, iT, i5, i6, i7, i8 = i - + i1, i2, i3, i4, iT, i5, i6, i7, i8 = i + # Estimate I1 and I2 I1 = np.mean(I[i3:i4]) I2 = np.mean(I[i7:i8]) dI = I1 - I2 - + # Estimate the noise cutoff = np.std(I[i3:i4]) + np.std(I[i7:i8]) - + # Estimate tau and I0 tau1, I01 = _fit_exponential(t, I, I1, i1, i2, cutoff, False) tau2, I02 = _fit_exponential(t - T, I, I2, i5, i6, cutoff, True) tau = 0.5 * (tau1 + tau2) - + # Estimate charge Q11 = _integrate_current(t, I, I1, 0, i3, cutoff, dt, False) Q12 = _integrate_current(t, I, I2, iT, i7, cutoff, dt, True) @@ -161,10 +161,10 @@ def estimate_cell_parameters( Rs = tau * dV / Qm Rm = dV / dI - Rs Cm = Qm * (Rm + Rs) / (Rm * dV) - + # Gather points for drawing points = (tau, I01, i1, i2, I1, i3, i4, I02, i5, i6, I2, i7, i8) - + return Rs, Rm, Cm, points @@ -173,7 +173,7 @@ def _test_one_shot(): import myokit import matplotlib.pyplot as plt - + m = myokit.parse_model(''' [[model]] amp.Vm = -70 @@ -203,7 +203,7 @@ def _test_one_shot(): p = myokit.Protocol() p.schedule(start=0, level=V1, duration=T, period=2*T) p.schedule(start=T, level=V2, duration=T, period=2*T) - + if True: N = 2000 dt = (2 * T) / N @@ -211,21 +211,21 @@ def _test_one_shot(): else: dt=None print('Using adaptive time steps') - + s = myokit.Simulation(m, p) s.set_tolerance(1e-12, 1e-12) s.pre(2 * T) s.reset() d = s.run(2 * T, log_interval=dt).npview() t, I = d.time(), d['amp.I_obs'] - + #I += np.random.normal(0, 5, size=t.shape) - + Rs, Rm, Cm, points = estimate_cell_parameters(t, I, T, dV, dt) print(f'Estimated Rs {1e3 * Rs:>5.1f} MOhm') print(f'Estimated Rm {1e3 * Rm:>5.1f} MOhm') print(f'Estimated Cm {Cm:>5.2f} pF') - + fig = plt.figure(figsize=(12, 5)) ax = fig.add_subplot() ax.plot(t, I, label='$Iobs$') @@ -240,6 +240,44 @@ def _test_one_shot(): plt.show() - +def bode(magnitude, argument, axes=None, lo=1e-2, hi=1e5, **kwargs): + """ + Creates a bode plot for the given ``magnitude`` and ``argument`` functions. + + Returns a tuple ``(ax0, ax1)``. + """ + + lo, hi = np.log10(lo), np.log10(hi) + w = np.logspace(lo, hi, 1001, base=np.e) + + if axes is None: + import matplotlib.pyplot as plt + fig = plt.figure(figsize=(9, 6)) + fig.subplots_adjust(hspace=0.2) + ax0 = fig.add_subplot(2, 1, 1) + ax0.set_xscale('log') + ax0.set_yscale('log') + ax0.set_ylabel('Gain') + ax0.grid() + + ax1 = fig.add_subplot(2, 1, 2) + ax1.set_xscale('log') + ax1.set_xlabel('Angular frequency') + ax1.set_ylabel('Phase shift (degrees)') + ax1.grid() + else: + ax0, ax1 = axes + + label=None + if kwargs: + label=','.join(f'{k}={v}' for k, v in kwargs.items()) + + ax0.plot(w, magnitude(w, **kwargs), label=None) + ax1.plot(w, argument(w, **kwargs) * 180 / np.pi, label=label) + if label is not None: + ax1.legend() + + return ax0, ax1 + if __name__ == '__main__': _test_one_shot() From 7322ae50c1b68ae5769f2f8df6517f856fd195ad Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 4 Dec 2024 17:28:12 +0000 Subject: [PATCH 72/77] Working on Bessel filter appendix. --- .../appendix-A2-laplace-and-filters.ipynb | 39 +- ...ipynb => appendix-A4-bessel-filters.ipynb} | 1082 ++++++++++++++++- .../resources/block-diagram-1-series.png | Bin 0 -> 2079 bytes .../resources/block-diagram-2-parallel.png | Bin 0 -> 3124 bytes .../block-diagram-3-negative-feedback.png | Bin 0 -> 2862 bytes artefacts/resources/rise_time_20us.zip | Bin 0 -> 1324 bytes .../resources/rise_time_20us_digitised.csv | 15 + 7 files changed, 1074 insertions(+), 62 deletions(-) rename artefacts/{appendix-A3-bessel-filters.ipynb => appendix-A4-bessel-filters.ipynb} (53%) create mode 100644 artefacts/resources/block-diagram-1-series.png create mode 100644 artefacts/resources/block-diagram-2-parallel.png create mode 100644 artefacts/resources/block-diagram-3-negative-feedback.png create mode 100644 artefacts/resources/rise_time_20us.zip create mode 100644 artefacts/resources/rise_time_20us_digitised.csv diff --git a/artefacts/appendix-A2-laplace-and-filters.ipynb b/artefacts/appendix-A2-laplace-and-filters.ipynb index 234beb2..e3df9ee 100644 --- a/artefacts/appendix-A2-laplace-and-filters.ipynb +++ b/artefacts/appendix-A2-laplace-and-filters.ipynb @@ -423,6 +423,43 @@ "The second term is called the **forced response**." ] }, + { + "cell_type": "markdown", + "id": "c79d79e0-d6aa-4c7e-87de-2e5a7342f4a3", + "metadata": {}, + "source": [] + }, + { + "cell_type": "markdown", + "id": "e8e8fa9a-1889-4ac5-8b32-8c1dcdfd07fb", + "metadata": {}, + "source": [ + "## Block diagrams\n", + "\n", + "Long transfer functions can sometimes be broken up into _block diagrams_.\n", + "\n", + "In particular, two components **in series** corresponds to a simple multiplication:\n", + "\\begin{align}\n", + "Y(s) = G_2(s) G_1(s) U(s)\n", + "\\end{align}\n", + "\n", + "\n", + "\n", + "For blocks **in parallel** we find\n", + "\\begin{align}\n", + "Y(s) = \\left[G_1(s) + G_2(s)\\right] U(s)\n", + "\\end{align}\n", + "\n", + "\n", + "\n", + "And **negative feedback** reduces to\n", + "\\begin{align}\n", + "Y(s) = \\frac{G_1(s)}{1 + G_1(s)G_2(s)} U(s)\n", + "\\end{align}\n", + "\n", + "" + ] + }, { "cell_type": "markdown", "id": "a120e9cb", @@ -1955,7 +1992,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.7" } }, "nbformat": 4, diff --git a/artefacts/appendix-A3-bessel-filters.ipynb b/artefacts/appendix-A4-bessel-filters.ipynb similarity index 53% rename from artefacts/appendix-A3-bessel-filters.ipynb rename to artefacts/appendix-A4-bessel-filters.ipynb index 76b8fd5..e87cd32 100644 --- a/artefacts/appendix-A3-bessel-filters.ipynb +++ b/artefacts/appendix-A4-bessel-filters.ipynb @@ -5,7 +5,7 @@ "id": "044506d5-71e4-43da-8603-ce5103279e28", "metadata": {}, "source": [ - "# Appendix A3: Bessel low-pass filters\n", + "# Appendix A4: Bessel low-pass filters\n", "**Appendix A provides extra background for path clamp electronics.**" ] }, @@ -14,7 +14,13 @@ "id": "8da3b3fe-426a-4710-a21a-d01126734998", "metadata": {}, "source": [ - "[Bessel filters](https://en.wikipedia.org/wiki/Bessel_filter) are popular for low-pass filtering in patch clamp hardware and software." + "[Bessel filters](https://en.wikipedia.org/wiki/Bessel_filter) are popular for low-pass filtering in patch clamp hardware and software.\n", + "\n", + "For example,\n", + "\n", + "- The HEKA EPC-10 uses a 6-pole analog Bessel filter as part of the voltage-clamp circuitry (filter1), an additional 4-pole analog Bessel as optional output filtering (filter2, run in series with filter1 for a 10-pole combined filter), and a 2-pole analog Bessel filter over the command voltage to reduce capacitative transients.\n", + "- The HEKA EPC-9 uses a 3-pole Bessel filter (filter1), a 4-pole Bessel filter (filter2), and a 2-pole analog Bessel filter on the command voltage.\n", + "- The Axon Axopatch 200B uses a 4-pole Bessel filter over voltage (presumably also used in clamping) and a 3-pole Bessel filter over current output." ] }, { @@ -376,7 +382,7 @@ "id": "b37ae7b1-a52a-4994-a1fc-27ce4472d556", "metadata": {}, "source": [ - "We can then use the method from a previous appendix to create a Bode plot:" + "We can then use the method from [Appendix A2](./appendix-A2-laplace-and-filters) to create a Bode plot:" ] }, { @@ -413,13 +419,13 @@ }, { "cell_type": "code", - "execution_count": 27, + "execution_count": 9, "id": "c3feea59-fd15-48a3-a991-c5bd319961e4", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -441,10 +447,13 @@ "def arg(w, n):\n", " return -np.angle(bes(w, n))\n", "\n", - "axes = bode(mag, arg, n=3)\n", + "axes = bode(mag, arg, n=1)\n", + "axes = bode(mag, arg, n=2, axes=axes)\n", + "axes = bode(mag, arg, n=3, axes=axes)\n", "axes = bode(mag, arg, n=4, axes=axes)\n", "axes = bode(mag, arg, n=5, axes=axes)\n", "axes = bode(mag, arg, n=6, axes=axes)\n", + "axes[1].legend(loc='lower left', ncols=2)\n", "plt.show() " ] }, @@ -458,7 +467,7 @@ }, { "cell_type": "code", - "execution_count": 55, + "execution_count": 10, "id": "103374b6-0219-48a9-a5d2-992c9fcf154b", "metadata": {}, "outputs": [ @@ -512,7 +521,7 @@ }, { "cell_type": "code", - "execution_count": 77, + "execution_count": 11, "id": "2e70c20b-4388-48d7-ba48-931946997fb3", "metadata": {}, "outputs": [ @@ -529,7 +538,6 @@ ], "source": [ "t = np.linspace(0, 1, 10001)\n", - "f = 1 / t[1] # Sampling frequency, in Hz\n", "\n", "def sin(x, w):\n", " \"\"\" Return a sine wave with frequency w (in Hz). \"\"\"\n", @@ -571,7 +579,7 @@ "id": "3a3c0e89-4181-47c0-9a4d-44e443600e36", "metadata": {}, "source": [ - "## Digital filter\n", + "## Applying a digital filter\n", "\n", "We can also apply a digital filter.\n", "\n", @@ -586,7 +594,7 @@ }, { "cell_type": "code", - "execution_count": 78, + "execution_count": 12, "id": "b6111cd7-539b-4041-8235-a566660ec5e7", "metadata": {}, "outputs": [ @@ -641,7 +649,7 @@ }, { "cell_type": "code", - "execution_count": 79, + "execution_count": 13, "id": "9ece8f08-5faf-4b1d-bd58-0f828845e416", "metadata": {}, "outputs": [ @@ -678,113 +686,1065 @@ }, { "cell_type": "markdown", - "id": "fb456a90-1ad0-47ba-97be-1c9112572250", + "id": "b473031f-6a1b-4177-b1b8-18ff14f37ae2", "metadata": {}, "source": [ - "## ODE for Bessel filters" + "## Second-order bessel filter\n", + "\n", + "The HEKA EPC-10 uses a second order Bessel filter in its \"stimulus filter\", which is applied to voltage steps to reduce capacitative transients.\n", + "So we'll have a look at this filter in a bit more detail.\n", + "\n", + "First, we'll look at it the conventional way: as a low-pass filter over a _periodic_ signal, analysed in terms of its _frequency response_." ] }, { "cell_type": "markdown", - "id": "0e09209d-b9c9-4412-abb6-0529ceeac46b", + "id": "025a8499-c97e-4296-ba5f-99f6210fabaf", "metadata": {}, "source": [ - "Trying to derive an ODE form for the second order filter.\n", + "### Frequency response\n", "\n", + "The standard equation for a second order Bessel is\n", "\\begin{align}\n", - "H(s) &= \\frac{3}{s^2 + 3s + 3}\n", + "H(s) = \\frac{3}{s^2 + 3s + 3}\n", "\\end{align}\n", "\n", - "but\n", - "\n", + "For the _magnitude_ of its frequency response, we find\n", "\\begin{align}\n", - "y_i = 1 - \\frac{2i + 1}{2} = \\pm \\frac{1}{2} &&\n", - "x_i = \\sqrt{1 - y_i^2} = -\\sqrt{3/4} = -\\sqrt{3}/2\n", - "\\end{align}\n" + "|H(i\\phi)| = \\left| \\frac{3}{(i\\phi)^2 + 3i\\phi + 3} \\right| \n", + " = 3 \\left| \\frac{1}{3 - \\phi^2 + 3\\phi i} \\right|\n", + "\\end{align}\n", + "To calculate this, we use\n", + "\\begin{align}\n", + "\\left| \\frac{1}{a + bi} \\right|\n", + " = \\left| \\frac{a - bi}{a^2 + b^2} \\right|\n", + " = \\sqrt{\\frac{a^2 + b^2}{(a^2 + b^2)^2}}\n", + " = \\frac{1}{\\sqrt{a^2 + b^2}}\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "|H(i\\phi)| = \\frac{3}{\\sqrt{(3 - \\phi^2)^2 + 9\\phi^2}}\n", + " = \\frac{3}{\\sqrt{\\phi^4 + 3\\phi^2 + 9}}\n", + "\\end{align}" ] }, { - "cell_type": "code", - "execution_count": null, - "id": "e5e35bbd-1647-454d-9c21-cc0b72c36919", + "cell_type": "markdown", + "id": "be6603e6-b235-4c0e-b07f-0cf8670dca35", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "The frequency at which this filter's gain is $1/\\sqrt(2)$ (the 3dB point) is found from:\n", + "\\begin{align}\n", + "\\frac{3}{\\sqrt{\\phi^4 + 3\\phi^2 + 9}} &= \\frac{1}{\\sqrt{2}} \\\\\n", + "\\sqrt{\\phi^4 + 3\\phi^2 + 9} &= 3\\sqrt{2}\\\\\n", + "\\phi^4 + 3\\phi^2 - 9 &= 0 \\\\\n", + "\\phi^2 = \\frac{-3 \\pm \\sqrt{9 + 4\\cdot9}}{2} &= \\frac{3}{2}\\left(-1 \\pm \\sqrt{5} \\right) \\\\\n", + "\\phi = \\pm \\sqrt{\\frac{3}{2}\\left(-1 \\pm \\sqrt{5} \\right)}\n", + "\\end{align}\n", + "By only allowing real and positive numbers, this becomes a single solution, which we'll call $\\omega_0$:\n", + "\\begin{align}\n", + "\\omega_0 = \\sqrt{\\frac{3}{2}\\left(-1 + \\sqrt{5} \\right)} \\approx 1.36\n", + "\\end{align}\n", + "\n" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "5bd67150-5477-40aa-a4c1-f9fabcdafbfe", + "execution_count": 14, + "id": "7a77efcb-d58d-4ce0-b55a-8f04aebaab4d", "metadata": {}, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ - "n = 2\n", - "y = np.array([1 - (2 * i + 1) / n for i in range(n)])\n", - "x = -np.sqrt(1 - y**2) \n", + "w = np.linspace(0, 10, 100)\n", + "\n", + "fig = plt.figure(figsize=(12, 3))\n", + "fig.subplots_adjust(hspace=0.2)\n", "\n", - "print(x)\n", - "print(y)" + "# Make a log-log plot, as used in a Bode diagram\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.set_xscale('log')\n", + "ax.set_yscale('log')\n", + "ax.set_ylabel('Gain')\n", + "ax.set_xlabel('Angular frequency (rad/s)')\n", + "ax.grid()\n", + " \n", + "# Plot, letting Numpy work out the absolute value\n", + "ax.plot(w, np.abs(3 / ((w*1j)**2 + 3*(w*1j) + 3)))\n", + " \n", + "# Plot, using the equation we derived\n", + "ax.plot(w, 3 / np.sqrt(w**4 + 3*w**2 + 9), '--')\n", + "\n", + "# Draw lines for w=w0 and gain=1/sqrt(2)\n", + "kw = dict(color='k', lw=1, ls='--')\n", + "ax.axhline(1 / np.sqrt(2), **kw)\n", + "ax.axvline(np.sqrt(3/2 * (np.sqrt(5) - 1)), **kw)\n", + "\n", + "# Show \"negative frequencies\", in an unscaled plot\n", + "w = np.linspace(-10, 10, 100)\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Positive and negative frequencies')\n", + "ax.grid()\n", + "ax.plot(w, 3 / np.sqrt(w**4 + 3*w**2 + 9))\n", + "ax.axhline(1 / np.sqrt(2), **kw)\n", + "ax.axvline(np.sqrt(3/2 * (np.sqrt(5) - 1)), **kw)\n", + "ax.axvline(-np.sqrt(3/2 * (np.sqrt(5) - 1)), **kw)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "2bbd7916-2d02-4982-aa38-6cd7d7869e15", + "metadata": {}, + "source": [ + "Now, we scale the filter to place this point at a user-defined cut-off frequency $\\omega_c=10\\,\\text{kHz}=2\\cdot10^4/\\pi\\,\\text{rad}/\\text{s}$:" ] }, { "cell_type": "code", - "execution_count": null, - "id": "3f744b01-086f-4761-a9a8-f66a5022444a", + "execution_count": 15, + "id": "5bf77ab3-a043-4225-adf5-8e6efdf74877", "metadata": {}, - "outputs": [], + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ - "import scipy.signal\n", + "w = np.logspace(3, 5.2, 1000)\n", + "w0 = np.sqrt(3 / 2 * (np.sqrt(5) - 1))\n", + "z = w * w0 / (2e4 * np.pi)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xscale('log')\n", + "ax.set_yscale('log')\n", + "ax.set_ylabel('Gain')\n", + "ax.set_xlabel('Angular frequency (rad/s)')\n", + "ax.grid()\n", + "ax.plot(w, 3 / np.sqrt(z**4 + 3*z**2 + 9))\n", + "kw = dict(color='k', lw=1, ls='--')\n", + "ax.axhline(1 / np.sqrt(2), **kw)\n", + "ax.axvline(2e4 * np.pi, color='r', label='10 kHz')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "5d97160d-1561-4a32-bb22-329ec34121d4", + "metadata": {}, + "source": [ + "#### Intermezzo: General magnitude for second order equation" + ] + }, + { + "cell_type": "markdown", + "id": "da94518d-5c22-4761-8aca-89f09d6769fe", + "metadata": {}, + "source": [ + "For the general form\n", + "\\begin{align}\n", + "H(s) = \\frac{\\omega^2}{s^2 + 2 \\zeta \\omega s + \\omega^2}\n", + "\\end{align}\n", + "\n", + "We obtain\n", + "\\begin{align}\n", + "|H(i\\phi)| = \\left| \\frac{\\omega^2}{(i\\phi)^2 + 2 \\zeta \\omega i\\phi + \\omega^2} \\right|\n", + " = \\omega^2 \\left| \\frac{1}{(\\omega^2 - \\phi^2) + (2 \\zeta \\omega \\phi) i} \\right|\n", + "\\end{align}\n", + "\n", + "so\n", + "\\begin{align}\n", + "|H(i\\phi)| = \\frac{\\omega^2}{\\sqrt{(\\omega^2 - \\phi^2)^2 + (2 \\zeta \\omega \\phi)^2}}\n", + "\\end{align}\n", + "or\n", + "\\begin{align}\n", + "M(\\phi) = \\frac{\\omega^2}{\\sqrt{(\\omega^2 + \\phi^2)^2 - (2 \\zeta \\omega \\phi)^2}}\n", + "\\end{align}\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "231f9c00-e071-4504-b2cb-ec68da005540", + "metadata": {}, + "source": [ + "Filling in, we find\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{3}{s^2 + 3s + 3}\n", + " = \\frac{\\omega^2}{s^2 + 2 \\zeta \\omega s + \\omega^2}\n", + "\\end{align}\n", + "gives\n", + "\\begin{align}\n", + "\\omega = \\sqrt{3} && \\zeta = \\frac{\\sqrt{3}}{2}\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "|H(i\\phi)| = \\frac{3}{\\sqrt{(3 - \\phi^2)^2 + (3 \\phi)^2}}\n", + " = \\frac{3}{\\sqrt{\\phi^4 + 3\\phi^2 + 9}}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "282f4725-b77b-4d25-87a4-a10d6d442716", + "metadata": {}, + "source": [ + "### Step response and rise time" + ] + }, + { + "cell_type": "markdown", + "id": "58b0cc02-af3d-4433-a6f8-bf21812bfa51", + "metadata": {}, + "source": [ + "The HEKA stimulus filter is described as having a [rise time](https://en.wikipedia.org/wiki/Rise_time) of either 20$\\mu$s or 2$\\mu$s.\n", + "See e.g. the EPC-10 hardware manual:\n", + "\n", + "> Two degrees of filtering, specified as the rise times (time from 10% to 90% of the amplitude of a step change) are available in the\n", + "software: 2 µs, which is the minimum required to avoid non-linearity in the internal circuitry, and 20 µs, which is preferable for all but the fastest measurements, to reduce the capacitive transients\n", + "\n", + "To find the transfer function scalings for these settings, we start by working out the _step response_:\n" + ] + }, + { + "cell_type": "markdown", + "id": "1250c164-88d3-4c0b-8204-db88b9d38816", + "metadata": {}, + "source": [ + "From [Appendix A2](./appendix-A2-laplace-and-filters) we get the step function and its Laplace transform\n", + "\\begin{align}\n", + "u(t) = \\delta(t) && U(s) = \\frac{1}{s}\n", + "\\end{align}\n", + "for output\n", + "\\begin{align}\n", + "Y(s) = H(s)U(s) = \\frac{3}{s(s^2 + 3s + 3)}\n", + "\\end{align}\n", + "\n", + "To solve this, we split into partial coefficients while keeping the second-order term together:\n", + "\n", + "\\begin{align}\n", + "Y(s) = \\frac{3}{s(s^2 + 3s + 3)} \n", + " = \\frac{A}{s} + \\frac{B + Cs}{s^2 + 3s + 3}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3 &= A(s^2 + 3s + 3) + Bs + Cs^2 \\\\\n", + " &= (A + C)s^2 + (3A + B)s + 3A\n", + "\\end{align}\n", + "\\begin{align}\n", + "A = 1 && B = -3 && C = -1\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "Y(s) = \\frac{1}{s} + \\frac{-3 - s}{s^2 + 3s + 3}\n", + " = \\frac{1}{s} - \\frac{3 + s}{s^2 + 3s + 3}\n", + "\\end{align}\n", "\n", - "print(scipy.signal.bessel(2, 0.5, output='ba'))" + "To save time, we could also have [asked a website](https://www.wolframalpha.com/input?i=partial+fractions+3%2F%28s%28s%5E2+%2B+3s+%2B+3%29%29) or used [SymPy](https://docs.sympy.org/latest/modules/polys/reference.html#sympy.polys.partfrac.apart):" ] }, { "cell_type": "code", - "execution_count": null, - "id": "b0f0db66-c2dc-4969-9e6c-24a968305287", + "execution_count": 16, + "id": "f2272c3f-1f19-4018-bf08-e27819d5eb2f", "metadata": {}, - "outputs": [], + "outputs": [ + { + "data": { + "text/latex": [ + "$\\displaystyle - \\frac{s + 3}{s^{2} + 3 s + 3} + \\frac{1}{s}$" + ], + "text/plain": [ + "-(s + 3)/(s**2 + 3*s + 3) + 1/s" + ] + }, + "execution_count": 16, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ - "print(scipy.signal.bessel(2, 0.5, output='zpk'))" + "from sympy.polys.partfrac import apart\n", + "from sympy.abc import s\n", + "\n", + "apart(3 / (s * (s**2 + 3 * s + 3)))" ] }, { "cell_type": "markdown", - "id": "80f059c0-4689-44b9-acc8-bb89e32d9594", + "id": "cf198535-bb93-4ef0-8a0a-5ff3b2a4fc6e", "metadata": {}, - "source": [] + "source": [ + "To get the inverse transform, we can write this in pole-zero form:\n", + "\\begin{align}\n", + "Y(s) = \\frac{1}{s} - \\frac{3 + s}{(s + \\sigma - i\\omega)(s + \\sigma + i\\omega)},\n", + "&& \\sigma = 3/2,\n", + "&& \\omega = \\sqrt{3}/2\n", + "\\end{align}\n", + "\n", + "This has the advantage that we can look up the standard solution, e.g. in [Appendix A2](./appendix-A2-laplace-and-filters)\n", + "\\begin{align}\n", + "F(s) &= \\frac{C_1 + C_2 s}{(s + \\sigma - i\\omega)(s + \\sigma + i\\omega)} \\\\\n", + "f(t) &= \\left( \\frac{C_1 - C_2\\sigma}{\\omega}e^{-\\sigma t} \\sin(\\omega t) + C_2 e^{-\\sigma t} \\cos(\\omega t) \\right) \\theta(t)\n", + "\\end{align}\n", + "\n", + "to find\n", + "\\begin{align}\n", + "y(t) &= \\theta(t) - \\left( \\frac{C_1 - C_2\\sigma}{\\omega}e^{-\\sigma t} \\sin(\\omega t) + C_2 e^{-\\sigma t} \\cos(\\omega t) \\right) \\theta(t) \\\\\n", + "&= \\theta(t) \\left[1 - \\frac{3 - 3/2}{\\sqrt{3}/2}e^{-3/2t} \\sin(\\sqrt{3}/2 t) + e^{-3/2 t} \\cos(\\sqrt{3}/2 t) \\right] \\\\\n", + "&= \\theta(t) \\left[1 - (\\sqrt{3} \\sin(\\sqrt{3}/2 t) + \\cos(\\sqrt{3}/2 t))e^{-3/2t} \\right]\n", + "\\end{align}\n", + "\n", + "Let's plot it:" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "bd25d749-ee14-480e-af9d-9319efdd7176", + "execution_count": 17, + "id": "5cf0d88e-a6cf-4abb-b24c-2092a60bd581", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "t = np.linspace(-5, 10, 1000)\n", + "\n", + "def bessel2_step(t):\n", + " theta = 1 * (t >= 0)\n", + " s = np.sqrt(3)\n", + " return theta * (1 - (s * np.sin(s/2*t) + np.cos(s/2*t)) * np.exp(-3/2*t))\n", + "\n", + "y = bessel2_step(t)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "fig.subplots_adjust(hspace=0.2)\n", + "ax = fig.add_subplot(1, 2, 1)\n", + "ax.update(dict(xlabel='Time', ylabel='Stimulus'))\n", + "ax.plot(t, y)\n", + "ax = fig.add_subplot(1, 2, 2)\n", + "ax.set_xlabel('Time')\n", + "ax.axhline(1, color='grey', ls='--', lw=1)\n", + "ax.plot(t, y)\n", + "ax.set_xlim(0, 8)\n", + "ax.set_ylim(0.98, 1.02)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "41011a4c-fe8f-41a9-8654-876546e323f6", + "metadata": {}, + "source": [ + "This looks quite nice, although it has a slight overshoot.\n", + "\n", + "We can use the equations above to work out the rise time, but it's probably not a lovely expression so we'll just do it numerically:" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "a800bd1d-4a81-4dbd-ad2d-fd500c919b7c", + "execution_count": 18, + "id": "cb51e2bd-e386-4f81-8c78-c6560157c24d", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.2999218750000007 0.10001114060500294\n", + "1.8783203124999999 0.8999978202201413\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "from scipy.optimize import fmin\n", + "t10 = fmin(lambda x: (bessel2_step(x) - 0.1)**2, 0.1, disp=False)[0]\n", + "t90 = fmin(lambda x: (bessel2_step(x) - 0.9)**2, 2, disp=False)[0]\n", + "print(t10, bessel2_step(t10))\n", + "print(t90, bessel2_step(t90))\n", + "fig = plt.figure(figsize=(5, 3))\n", + "ax = fig.add_subplot()\n", + "ax.update(dict(xlabel='Time', ylabel='Stimulus'))\n", + "ax.axvline(t10, color='grey', ls='--', lw=1)\n", + "ax.axvline(t90, color='grey', ls='--', lw=1)\n", + "ax.plot(t, y)\n", + "ax.text(4, 0.4, f'Rise time: {t90 - t10:.4}s')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "3f4b2b36-dfb5-4147-b978-b8f3a0f2d251", + "metadata": {}, + "source": [ + "To emulate a rise time of 20$\\mu$s, we scale $t$:" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "8623c83a-fb61-4575-833e-c0ae9a85691f", + "execution_count": 19, + "id": "27ab72c2-4304-4024-9dc1-6434d26ce6b3", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.0038124999999999843 0.10029671636557969\n", + "0.0238125 0.8996055239892486\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "t = np.linspace(-0.05, 0.1, 1000)\n", + "\n", + "def bessel2_step(t, x):\n", + " theta = 1 * (t >= 0)\n", + " s = np.sqrt(3)\n", + " t = t / 2 * x\n", + " return theta * (1 - (s * np.sin(s*t) + np.cos(s*t)) * np.exp(-3*t))\n", + "\n", + "f = 78.8\n", + "y = bessel2_step(t, f)\n", + "\n", + "t10 = fmin(lambda x: (bessel2_step(x, f) - 0.1)**2, 0.01, disp=False)[0]\n", + "t90 = fmin(lambda x: (bessel2_step(x, f) - 0.9)**2, 0.02, disp=False)[0]\n", + "print(t10, bessel2_step(t10, f))\n", + "print(t90, bessel2_step(t90, f))\n", + "\n", + "fig = plt.figure(figsize=(5, 3))\n", + "ax = fig.add_subplot()\n", + "ax.update(dict(xlabel='Time (ms)', ylabel='Stimulus'))\n", + "ax.axvline(t10, color='grey', ls='--', lw=1)\n", + "ax.axvline(t90, color='grey', ls='--', lw=1)\n", + "ax.plot(t, y)\n", + "ax.text(0.04, 0.4, f'Rise time: {t90 - t10:.4}ms')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "ec352afe-15bf-47c6-b807-08dda17e8432", + "metadata": {}, + "source": [ + "### Does this match what we measure?\n", + "\n", + "The HEKA EPC-9 (not 10!) has a [paper describing its hardware](), which contains a nice block diagram (Fig 1).\n", + "This shows us that\n", + "\n", + "1. The stimulus filter is applied to the command potential before any other additions (e.g. Cm and Rs compensation)\n", + "2. The \"V monitor\" is read directly from the stimulus filter output, again without any interference from other components.\n", + "\n", + "As a result, we should be able to see the effects of the stimulus filter on a recorded \"V monitor\" signal.\n", + "Here's one we made earlier:" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "ba4bc3a2-b4d9-46f7-885e-59a0e55d7665", + "execution_count": 20, + "id": "28bc68e8-3152-428e-b7ae-56f030c1a6bb", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.37.2.dev).\n", + " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "import myokit\n", + "d = myokit.DataLog.load('resources/rise_time_20us.zip')\n", + "d = d.npview()\n", + "\n", + "fig = plt.figure(figsize=(5, 3))\n", + "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Command voltage (mV)')\n", + "\n", + "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", + "\n", + "t = np.linspace(0, 2, 2000)\n", + "y = -100 + 135 * bessel2_step(t - 1, 78.8)\n", + "ax.plot(t, y, label='Rise time 20ms')\n", + "y = -100 + 135 * bessel2_step(t - 1, 40)\n", + "ax.plot(t, y, label='Tweaked')\n", + "\n", + "ax.legend()\n", + "ax.set_xlim(0.9, 1.15)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "id": "bf5acb58-1e78-45f5-87f3-ec480feb2cd1", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.03943750000000005\n" + ] + } + ], + "source": [ + "f = 40\n", + "t10 = fmin(lambda x: (bessel2_step(x, f) - 0.1)**2, 0.01, disp=False)[0]\n", + "t90 = fmin(lambda x: (bessel2_step(x, f) - 0.9)**2, 0.02, disp=False)[0]\n", + "assert abs(bessel2_step(t10, f) - 0.1) < 1e-2\n", + "assert abs(bessel2_step(t90, f) - 0.9) < 1e-2\n", + "print(t90 - t10)" + ] + }, + { + "cell_type": "markdown", + "id": "ca357231-a3f2-45e0-9b7e-75f55db23f4e", + "metadata": {}, + "source": [ + "It seems the rise time is roughly twice what is advertised." + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "id": "26159d13-7a92-44d0-8a59-35556e2042af", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(5, 3))\n", + "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Command voltage (mV)')\n", + "\n", + "ax.axvline(1 + t10, color='grey', lw=1, ls='--')\n", + "ax.axvline(1 + t90, color='grey', lw=1, ls='--')\n", + "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", + "\n", + "t = np.linspace(0, 2, 2000)\n", + "y = -100 + 135 * bessel2_step(t - 1, 78.8)\n", + "ax.plot(t, y, label='Rise time 20ms')\n", + "\n", + "f = fmin(lambda f: np.sum((bessel2_step(d.time() - 1, f) - d['vfiltered'])**2), 40, disp=False)[0]\n", + "y = -100 + 135 * bessel2_step(t - 1, f)\n", + "ax.plot(t, y, label=f'Tweaked f={f:.4}')\n", + "\n", + "ax.legend(loc=(1.1, 0.5))\n", + "ax.set_xlim(0.99, 1.1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "45802f78-7844-4c8c-a910-d3387ef0398d", + "metadata": {}, + "source": [ + "There is no \"official\" definition of \"rise time\", so we can try with other numbers:" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "id": "80bb6e6f-444f-40bd-9fda-c8a90dbe0ead", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.02631250000000002\n", + "0.021187500000000015\n", + "0.01656250000000001\n" + ] + } + ], + "source": [ + "f = 40\n", + "def rise(p1, p2):\n", + " t1 = fmin(lambda x: (bessel2_step(x, f) - p1)**2, 0.01, disp=False)[0]\n", + " t2 = fmin(lambda x: (bessel2_step(x, f) - p2)**2, 0.02, disp=False)[0]\n", + " assert abs(bessel2_step(t1, f) - p1) < 1e-2\n", + " assert abs(bessel2_step(t2, f) - p2) < 1e-2\n", + " return t2 - t1\n", + "\n", + "print(rise(0.2, 0.8))\n", + "print(rise(0.25, 0.75))\n", + "print(rise(0.30, 0.7))" + ] + }, + { + "cell_type": "markdown", + "id": "e7563f9c-dd99-43ca-9a98-d8b9c93c723e", + "metadata": {}, + "source": [ + "So none of the obvious choices match, and it looks more like the rise time is just twice what it's said to be." + ] + }, + { + "cell_type": "markdown", + "id": "e3a94057-262f-4645-a459-dd9d21ef64a9", + "metadata": {}, + "source": [ + "#### First order approximation" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "id": "b20cbe38-3107-4f0a-86a2-c0cb4a15588c", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def y(t, tau):\n", + " t[t < 0] = 0\n", + " return 35 + (-100 - 35) * np.exp(-t / tau)\n", + "\n", + "tau = fmin(lambda tau: np.sum((y(d.time() - 1, tau) - d['vfiltered'])**2), 0.02, disp=False)[0]\n", + "\n", + "fig = plt.figure(figsize=(5, 3))\n", + "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Command voltage (mV)')\n", + "\n", + "ax.axvline(1 + t10, color='grey', lw=1, ls='--')\n", + "ax.axvline(1 + t90, color='grey', lw=1, ls='--')\n", + "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", + "\n", + "t = np.linspace(0, 2, 2000)\n", + "ax.plot(t, y(t - 1, tau), label=f'tau={tau:.4}')\n", + "ax.legend(loc=(1.1, 0.5))\n", + "ax.set_xlim(0.99, 1.15)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "04e40e45-9ef2-4938-9fe8-82443e65690d", + "metadata": {}, + "source": [ + "#### Double filtering?\n", + "\n", + "Although the EPC-9 diagram shows Filter2 is only applied to the _current_ monitor, the manual for the EPC-10 (and for recent versions of Patchmaster) describe it as applying to the voltage monitor as well.\n", + "This _might_ explain the discrepancy above.\n", + "We can test by disabling filter 2.\n", + "\n", + "# TODO" + ] + }, + { + "cell_type": "markdown", + "id": "091ff35c-c953-4220-969f-2814e1142ac2", + "metadata": {}, + "source": [ + "Or by emulating Filter 2" + ] + }, + { + "cell_type": "code", + "execution_count": 25, + "id": "433620bc-d869-45c8-97f8-11aa5bd3f8f9", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "t = np.linspace(0, 1, 10001)\n", + "\n", + "def low_pass(time, data, w, n=3):\n", + " \"\"\"\n", + " Emulate an analog Bessel low-pass filter with cut-off w (in Hz).\n", + " \n", + " Returns a tuple ``(t, y)``.\n", + " \"\"\"\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * w, btype='lowpass', analog=True)\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "fig = plt.figure(figsize=(5, 3))\n", + "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Command voltage (mV)')\n", + "\n", + "ax.axvline(1 + t10, color='grey', lw=1, ls='--')\n", + "ax.axvline(1 + t90, color='grey', lw=1, ls='--')\n", + "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", + "\n", + "t = np.linspace(0, 2, 2000)\n", + "y = -100 + 135 * bessel2_step(t - 1, 78.8)\n", + "ax.plot(t, y, label='Rise time 20ms')\n", + "\n", + "#ax.plot(*low_pass(t, y, w=3, n=4), label='Low-pass filtered 3kHz, n=4')\n", + "#ax.plot(*low_pass(t, y, w=10, n=4), label='Low-pass filtered 10kHz, n=4')\n", + "tt, vv = low_pass(t, y, w=18.22, n=4)\n", + "ax.plot(tt, vv, label='Low-pass filtered 18.22kHz, n=4')\n", + "ax.plot(tt - 0.015, vv, label='Low-pass filtered and left-shifted')\n", + "\n", + "ax.legend(loc=(1.1, 0.5))\n", + "ax.set_xlim(0.99, 1.1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "fb456a90-1ad0-47ba-97be-1c9112572250", + "metadata": {}, + "source": [ + "## Translating to ODEs" + ] + }, + { + "cell_type": "markdown", + "id": "0e09209d-b9c9-4412-abb6-0529ceeac46b", + "metadata": {}, + "source": [ + "We can find an ODE form for the 2-pole Bessel filter by guessing:\n", + "\\begin{align}\n", + "\\frac{1}{3} \\ddot{y}(t) + \\dot{y}(t) + y(t) = u(t)\n", + "\\end{align}\n", + "to find\n", + "\\begin{align}\n", + "\\frac{1}{3}s^2Y(s) - \\frac{1}{3}sy(0) - \\frac{1}{3}\\dot{y}(0) + sY(s) - y(0) + Y(s) &= U(s) \\\\\n", + "Y(s)\\left( s^2 + 3s + 3 \\right) - (s + 3)y(0) - \\dot{y}(0) &= 3U(s)\n", + "\\end{align}\n", + "Setting\n", + "\\begin{align}\n", + "y(0) = 0, && \\dot{y}(0) = 0\n", + "\\end{align}\n", + "then gives us\n", + "\\begin{align}\n", + "H(s) = \\frac{Y(s)}{U(s)} = \\frac{3}{s^2 + 3s + 3}\n", + "\\end{align}\n", + "\n", + "We can convert this to a system of first-order ODEs by choosing $y_1 = y$ and $y_2 = \\dot{y}$ to find\n", + "\\begin{align}\n", + "\\dot{y_1} &= y_2 \\\\\n", + "\\dot{y_2} &= 3(u(t) - y_1 - y_2)\n", + "\\end{align}\n", + "Note that $y_1(t)$ here is the final variable of interest, representing the filtered input $u(t)$.\n", + "\n", + "Let's try it out!" + ] + }, + { + "cell_type": "code", + "execution_count": 79, + "id": "bd25d749-ee14-480e-af9d-9319efdd7176", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "\n", + "[f]\n", + "pace = 0 bind pace\n", + "time = 0 bind time\n", + "dot(y1) = y2\n", + "dot(y2) = 3 * (pace - y1 - y2)\n", + "\"\"\")\n", + "\n", + "p = myokit.Protocol()\n", + "p.schedule(start=1, duration=10, level=1)\n", + "\n", + "s = myokit.Simulation(m, p)\n", + "e = s.run(10)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time')\n", + "ax.plot(e.time(), e['f.pace'], 'x--', label='u')\n", + "ax.plot(e.time(), e['f.y1'], label='y1')\n", + "ax.plot(e.time(), e['f.y2'], label='y2')\n", + "ax.legend(loc='right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "c5000893-6a52-4e11-998d-19aef6087219", + "metadata": {}, + "source": [ + "Next, we make it scalable by adding a multiplier to $s$:\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{3}{(\\alpha s)^2 + 3\\alpha s + 3} = \\frac{3}{\\alpha^2 s^2 + 3\\alpha s + 3}\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "\\frac{1}{3} \\alpha^2 \\ddot{y}(t) + \\alpha \\dot{y}(t) + y(t) = u(t) \\\\\n", + "\\ddot{y}(t) = \\frac{3}{\\alpha^2} u(t) - \\frac{3}{\\alpha^2} y(t) - \\frac{3}{\\alpha} \\dot{y}(t)\n", + "\\end{align}\n", + "\n", + "Adding this to the model gives us something we can compare with the recorded data:" + ] + }, + { + "cell_type": "code", + "execution_count": 82, + "id": "28287c71-5fb1-41ed-b73b-9e99e664ec6f", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "\n", + "[f]\n", + "pace = 0 bind pace\n", + "time = 0 bind time\n", + "dot(y1) = y2\n", + "dot(y2) = 3 * (pace/tau^2 - y1/tau^2 - y2/tau)\n", + "tau = 1\n", + "\"\"\")\n", + "\n", + "tau = 0.024\n", + "s = myokit.Simulation(m, p)\n", + "s.set_constant('f.tau', tau)\n", + "e = s.run(2).npview()\n", + "\n", + "fig = plt.figure(figsize=(5, 3))\n", + "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Command voltage (mV)')\n", + "\n", + "ax.axvline(1 + t10, color='grey', lw=1, ls='--')\n", + "ax.axvline(1 + t90, color='grey', lw=1, ls='--')\n", + "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", + "ax.plot(e.time(), -100 + 135 * e['f.y1'], label=f'Simulation, tau={tau}')\n", + "ax.legend()\n", + "ax.set_xlim(0.99, 1.15)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "feeca2f6-b0b5-4b09-a40f-69bf84effebd", + "metadata": {}, + "source": [ + "### Third-order Bessel" + ] + }, + { + "cell_type": "markdown", + "id": "09e48a9f-1a27-4475-90c2-55a2dc260bc9", + "metadata": {}, + "source": [ + "The third order Bessel filter is given by\n", + "\\begin{align}\n", + "H_3(s) &= \\frac{15}{s^3 + 6s^2 + 15s + 15}\n", + "\\end{align}\n", + "and has poles $-1.8389 \\pm 1.7544i=-\\sigma_1 \\pm \\omega_1 i$ and $-2.3222=-\\sigma_2$.\n", + "This means we can write it as\n", + "\\begin{align}\n", + "H_3(s) &= \\frac{1}{1 + \\sigma_2} \\frac{15}{(s + \\sigma_1 - \\omega_1 i)(s + \\sigma_1 + \\omega_1 i)} \\\\\n", + " &= \\frac{1}{1 + \\sigma_2} \\frac{15}{s^2 + 2 \\sigma_1 s + \\sigma_1^2 + \\omega_1^2} \\\\\n", + " &\\approx \\frac{1}{1 + 2.32} \\, \\frac{1}{s^2 + 3.68s + 2.32}\n", + "\\end{align}\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": 83, + "id": "8623c83a-fb61-4575-833e-c0ae9a85691f", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[-1.83890732+1.75438096j -2.32218535-0.j -1.83890732-1.75438096j]\n", + "15.0\n" + ] + } + ], "source": [] + }, + { + "cell_type": "code", + "execution_count": 96, + "id": "ba4bc3a2-b4d9-46f7-885e-59a0e55d7665", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "3.677814645373914\n", + "6.459432693483363\n", + "2.3221853546260856\n" + ] + } + ], + "source": [ + "_, p, _ = scipy.signal.bessel(3, 1, output='zpk', analog=True, norm='delay')\n", + "sigma1, omega1 = -p[0].real, p[0].imag\n", + "sigma2 = -p[1].real\n", + "\n", + "print(2*sigma1)\n", + "print(sigma1**2 + omega1**2)\n", + "print(sigma2)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "7d0a30cf-8b7e-472c-a9ea-d1068219b392", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "129f1090-ab46-4ead-9c72-89e0e5b2ed6f", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "0df91a57-bbb6-4733-a5d2-9da9429da3bd", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "f44bc12a-0330-4dca-99b9-8750a96b26a1", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "H_1(s) &= \\frac{1}{s + 1} \\\\\n", + "H_2(s) &= \\frac{3}{s^2 + 3s + 3} \\\\\n", + "H_3(s) &= \\frac{15}{s^3 + 6s^2 + 15s + 15} \\\\\n", + "H_4(s) &= \\frac{105}{s^4 + 10s^3 + 45s^2 + 105s + 105} \\\\\n", + "H_5(s) &= \\frac{945}{s^5 + 15s^4 + 105s^3 + 420s^2 + 945s + 945} \\\\\n", + "H_6(s) &= \\frac{10395}{s^6 + 21s^5 + 210s^4 + 1260s^3 + 4725s^2 + 10395s + 10395}\n", + "\\end{align}" + ] } ], "metadata": { diff --git a/artefacts/resources/block-diagram-1-series.png b/artefacts/resources/block-diagram-1-series.png new file mode 100644 index 0000000000000000000000000000000000000000..157431348f488a344902cef3a8b7487fe0a450e5 GIT binary patch literal 2079 zcmV+)2;ldLP)0018d1^@s6U{l7800009a7bBm000a~ z000a~0snN!xBvhE8FWQhbW?9;ba!ELWdL_~cP?peYja~^aAhuUa%Y?FJQ@H12dqg% zK~#90?VEp0RM{2BKX+yrsExA)H7?y)6+-GFw9cq8Vbs_~q+&3}O;%HtT5CnIwXtb7 z>K~1XmeqtBZ8cKU7#mIfQCAbqxP}lGG{Hs|iyGIJf?cz?X#i1vxJ(&%r+*AH9bpCs zn8z#I_eowR@7z1*o}2m3dFP#ZqeKJ~6Qi&g9e~OIH#VaK7!FFQqrjVEu+(D_d0)>3 zlv3XU{-oy`AzTxYlVh~juozbYv{)=F>~{O~$&)8*dacpi+-&jre0TNoAHp|)cO4Fg z=5RQ4tAm=FnykQ=diftUA~J3ctJV5>US6K)iQDZKrPSZ`-V2ZnNO5touKNK5_`Tly z8R=oxfF_1w3edz*OaYo0iYY)7Loo$tVkkiYDy6=ylyVQ8pp^QiQfjqQDq(Cx8$M^w zoMFk5B_t;&Gj-}zmM>pUWo4z&VT}l{*GqA6F)o*jDO08}ZQ3*n3JPd!Y&1Hwh$y9g zrj+`vQc8sxJD`;M#Xx!uD4&!$Q@FDOVv2*86)~#E| zjT<-ccsyigW|EtmOI1~sk)aJ6uh+}s#fv$6_AI-0?c(Omo18v2_N^5iOpu~0t#c% z8v@<|tOM-=FFMW z)z$TiUDGs~J$ts4mX=1nA7b1G1l|VT8e;sHKo^kOe?A3h1~!D9AM(bi1qj5)$HzZ( zyWKr?b#>Cx(juj$r4knx*9)wT!6rWiJ_B+>?U4kyY11ZIy?S-n55Vj74ze{hHA#1O zci8zl3GiRQ9l#Z0izvXfv@|(%=ulMq@p`>dUtcfn?d@Ub>ma}apdC02Ob9b>0X_z< z_n%(?{u;^ONPmj^p~YfJy?XU(Tw-D(0ELBxJbn7qT2fN7UnzBKgnmQ$F7Own)Fa@> zA`-FqdHC=l%a$z*p7-_jQCC+NJhxaZIGs)ao;-QNf&~kxsHh-4Jw1}kIHlC|80;ZH zH{b+5Qc8V-|H~d0pU+2QV|pEGt>N5GjNyJJ0}fz2uu3WQ0dS&U?1+e_l==y9Pbu|BzzuvaqPGy`4krV?pP8BY z)xd9?$Kw&J)f)KL#q#I^z8@(AW@Tl`?%lhC=k4w7l9-q%)2C0DM2DAb$!el_7&nH%^RXiR~(02X$bxBG}l9ZGb zNlZ+XoSYmH5plU(Qe9mwA|kD=t>SPvq^GCn6@NPJBlNR58b&u;`^WQ!%BZ0ku!ryu zCrk(#5Aaz-Lxb)4^XCLIAi%9#x3Jsojosbd*!Fzf{-JyHg&t*w=~xH!3d`SPH#lP6CGGhhIx(>Y`Y)Tsvi1aOAfBG!OM zj~G_RPYOjd&Dy5QvUjrTy2|fZPB0Wl}+}hgOKhK#n=LZ0Bad9tudwYK+A{T~K ze02OAs1lLBXePT{E)E|)%-XeUS+!~v3l}a#(=^J<%ei*#8r!#Tk3mSIV!eozM>~1j zwr$kZ)G%+}JT`3DKt@IeFJ8Rh;>C+Rdi026$BqT(c64-*pP$eC`SaPcXOAwy51EgN5B@KRVnoa&;)bKly&)puQt_}6k&0-= z7oe!9h&y-gFk{9H%F44kbSFJModX9B7#&8;$j;6tJ3DeYy>a74Qc_ZIxm=W& z`!&93(IVpGsD{ z{(Iq>J9qB?gaD&uTs@K>D-Iq!XmkjpX5z$&T(}U6a@$zUr(sMC#T1~4p_l?RF%(mP zCWfN=yVm0ZtJV6?vHD-IrfE*S)&S^l@_#u-{|o+ui0sg7jnOc!?t(Q<^LV}9H=CN8 z^j_+*-V5OW+4IAWjt)9HI`m$v?)6iQVO(WEldm6U4QOI0{{ap957g1FaL51v002ov JPDHLkV1kCq)@T3# literal 0 HcmV?d00001 diff --git a/artefacts/resources/block-diagram-2-parallel.png b/artefacts/resources/block-diagram-2-parallel.png new file mode 100644 index 0000000000000000000000000000000000000000..93d7b6fd1eaf5f83e79b7f2de6739a13cd3f0538 GIT binary patch literal 3124 zcmV-449oM0P)E z5XgRHv-g=f1NYu@&VA3`U(P*W?>(ZbSXe|7BiaU7#2>?=Z9p^;k?#UaB6PdosA_eD z?lq{1YtaE;1|CjMPWBpx5tepFXJ@ChwY3?*cEA!HmgoRDa^#4Sk&zLWyh}?<$;-1&LZMiqO*uNmgxH6-_QK$5lkMp`qcLG=Vs9;D7=O%#Zf(Fjzvo z)O5)}m5A&DR;udfeMN3)XrQ8^f)giBAR@i4WoBm5)YOF2>FhqIs&sU8FmmL`aM(WN zh^IE)=a}=XH6rq?hy*Qrb#*lkhlAKB2S$< z6}Q_>U0oehrc41~)22;q-MSTk*|TQ@P*qh$dU`q~B_))XU)Q{!1OFL78G^Aq40(o? zSP@-~s#e@=Vi8HhEbD)P$5r*;zViX&-+lMpWM*bkR8++4ufL9nFl?ClQ+d5!+-^4j zE|&|J%f;^9yZd8C)j-M+3=w%4xHZ)IhLczk-9YI8egf=P)qX8u+OcB?_uY3N^XJcJ z$&w{FolZ`jI>qMAn>l~}Jeir9;k9@O6BnXW)n;JVjii||V+K`KRcze2kqsL*(9+Vv z`0?Y(%gdvnpn#N=lrUL4^oa}6-7HS0llAM@vwr>hXqz&m81%i@SYXtsQC7`&16Va( z2*}IJvsMS+09H*mgb|VZMdXLkw!Jbq+4vbUPHv?RMBMN3+7fW=p&r;0g_%TEGkco(-ipV$pBZ$ao5qU^N9FaB6qAx^b zn$2eW?T8U0_Kh4la;ME^+ae;fqF~44BJy&emDnOu>}vw_rps9Zb1C;}eL@;BI21lckbmiYu0E{QITe6XLpK-*D#Et zNZNuqWYg~djDW|1R$#(4X?jGuA<+S84u|82@0!|dw#&fs5EkY~n0^gi-c(h^S1;#Bpth&&H$0&=vE z0qLHi=_K$F%7`A%y$T?3nYrTY+_5g6qLCzkdVM zqk8-va0wXhS8YCsS+&}FoEvVp+iP4dS7%jKmA1CF>b7m$y4rM)h2S-kOW-zLBk|p) z6T98sR9ILT^z~X?TpaN>U5|LPe9vlZpZWDjFxr>#i-6%fmjWCG_6E2?n#18Z%on}a zOTf=USkT3oUGXl_eLx>QsCWs$Lc=gTJ9q9>fA;U+-_?8laft8PXYaK~yncyJe31bV zk?&*9MP3Ci0%@2I!VjuyujMj5(%c5zhUuHy87R)pA|k^u=MD>1b#o~5j%7sT8N)D& z=ggUNH76%$xXotc?YG~)a_G>ZVIorG@pxX0l3f|VP9R%V+k?jIDLP+52j()FB;c&7 z)`Zj0>meea08gvxo@m-J*btGs48wTB;c$Ed5Vza?p4aRBy{di?ZQHKLH5~_4bpuDD zV2i~KpsKaNGa_<3W;?ew+BWqUYr;SF`6DnEm>X?F`itcw5yOax3$yW_AtDb2xV|9Z zgnN{U>zYnP78{1KL4tirCq#J7&v`CX)qjO5Pv5GJt(YSW0Bc2LzxH0pISsfYRQC)O zaVwCSg9uI!y1d@$~ivyNo%w3-BGx@y@7Xi7p0F zbDZlj01?>?+^VVzdqff6wbj5Ks=74tRtCrFNLWN1tEL;;RAPFB4Js_r4PjLE@6om) z5Z3FpAGqCa8XFtCzcp+zFf7saK}AIcSy@>mCMJ@ep3c~@V_C3Z0T(V@h_-1ljU~Du zl$Di{las^z`SZDW@gf}^9aL6U(%jt40}nia|3V3i{u39X6Oj}Vd9=SX52{K*K>;gQ zu4MJ<)r=W42E#CzK7BfS_Uxg(y`8gX&xYIVAxm6{4s*%4p{)~s0+6cn&!%NFL%n-?hCg(5PA z-o7UOwEOG~#%Gvbay_{n(@QR9h!xSj;0vMPHed|!20`|gNK8z`?RMk!dNB<1Wl&aD z#=d>~062N_Bx~2MB_}6`ii!&A>gq5IgM03|hmw+#V50jLFw^({k(ggv3dSgc>|5p| ziQdo7+8ZpCsOpynnul+jrgt${WSj>!`a-~EKQm{}#Ow8P^ypEtva$eJwQ3csR;>cQ z*c&W8J)ILLPIL>isi~=#cbp#us``(CmSrdqFKs$7YmaTfG*x|BRsCO6-AtG;f&Bb@ zmM>pUOG`_yYmJSKG&eVQA3Gf8cZCigKFr#+YkA>?P*uo7mqB0Cg@_hlhN_X0LrC%FD}Hym&Et_wMc9HPIqCaUnXNXHrn_ zq(Izq%Po|bmvivoLEd@i9X|T#Bb-hrrKP20XJ>cEJ8<9tD^{%Ft+(FlwJEVhaNL00009a7bBm000a~ z000a~0snN!xBvhE8FWQhbW?9;ba!ELWdL_~cP?peYja~^aAhuUa%Y?FJQ@H13cE=} zK~#90?VW3ERL2#^e`nY0wav>|HP|iU~vm`CvHGJ*N|qQ6e(2cLfni5Rr#O zBx;XZB9ba11tPLnL`p^EMDQdD7C- zT34=I+4=O-PkU2RQrcWDS0nIUo7&6(w4|g&d(+X;p^FzU*7Wpr-MMq8R#sN(-o1M@ zJ3Cvmva;0U@$~tQ6&)QN8tB(z8~e_-`(n}7LEr+A*em}C@Ml1}^MVS)5*;wo<#O$E zxm>qIq%$EQ;XJVD9-K`Dl0%d|B_f^Mw{KUk*V}!=+S=Om#TQ@nIUJ4-VBP>bE7Kw` zr09x^i*@|?@%q(QU-iiMcs!b(p02xh@9y&*E9?>-kO;gEGy*>067bX@*`5N#g(yn~ zt^(VF^40(iz=R%kgDUh}bbz?s?hhwTn$&ja&>=l}@}w3P7Wzb_6IdALh0F))fenB& zDBtOJyX!V?+!*r4ty#0in~;$3=>R+OA3!+&C;LR+FEUIZx88j zFgA+rDE>IHov9ML@y7Z>NfeED*Z zjw~oB=uApVIuquF%mey3?zpnt(&VsbcEcaY;0_#v$N9?xcFb<59#6ZTVMjvioc@@@ed(=7(IG4 zEM2;k`uchRwr<@@O-&76ua{%Tj&bzpQF3#0|6lv>18?1f-&Q;bY{L3JdhpM&20}jm zAr#o!3d&1%yWKbP^Yc4fT3S?9 z_4Mh}I(F>XHiyHpxj&&g$ku%PL+hu&!@+Ghoz9N3va*noZr83|>TsVIpRx@bHgrciRn_|X`u;?^!5m&k0N3aly}P36>w{pw z1pg2GPuzVq<1OIrkmW%Y(*ol9eo&qhC<9Jf7@q5PyT5e1-5u%a=`Db|T&~}TD**hF zZZ$!V)LqHpaBNRWNol)q;X3}YierJ88c?+tXZ@4 z;K74>_Uu{x=%bJH`RAWkr_-q~zx;A{-AIcr;QNDtA5pOI{>UIKp8$ElxB5}9f1(Ri zE(!>*G7vg1;ve$ngfKob5DD{vnS^MM*wAiB(W$E5zI|J_Y}ukSX3WqLBSvUiTAJqNb`PEO|h`Sa0sBjy+~q7#uhz_)>AB9a+xe+>6d)L(51gMIj4^aR)gJOLmg z3xMz8U*`*^PF1%?&OJka84{usk+t|I_g!R*$P!g84}5M7B=D~_dVw1ebkPt7F)un1 zi31AoZ%+yS^{4*;8$_f+RZjpXqUfR_Ok!Sis_Mo6)&e3@2HaHDA4j6?BS(&K<;oRX zYzLM#h9xmCx@b~WRYg@*RkYo>?=YgXKvgdXhV@8b+X(`(iVtU(86u7mogv~F(HSC+5uG987||IbjuD+9;+VzZ5QvB^$G%$N(_yv|Ya*Qm zB9ejs^{7as*CTXUF@uS8cj58JA7}RL*|ykLRo-~x4O?u-I!1JNVfpgqtXsFv7TaF0 zci4)~46lZWV?<|&I7W1ah+{-&h&bj!VgNKWG;sCmRYr{(#gr*iqV3L*!9==y;Nr!L zELyaPw6ru9FJ4S$W+oXK8B|nMMBB9?fe~GpT)TFSM;>{E2@@u8{rYw4>gs52Zf5P; zwdCdHQBzYBZ5Lx6GrWe$=FOX#HES08_U%JN07y(sgsATGtH?Ncf*J- zOx}C%J$CHa(Ji=O4j(?;uKA!CC zY>pp4PEJk^0FOQPSa+n`v}qG(&z=SRLu(gbeDMXNM~@D5O8hQWEgbZVh+)jrYnT)k z7P4c<4o;mq)g!;TxtUKs`J_+%y1F{@^71GyE{>FoG0%{Sbg{td)vKwgsbS&5g)CgS zkgTjMZr;2}MMVW8M~-Cw{{7u`uUxr8PEHO*MMXUG%rjB+I<_#8?jCsMl~<^)u4ci4 z1$aCjQd3hYEiL8z`SUDTumFIXni>`@TEuIwy+(e1IRDG#{>MbRdn7Y6lcJ&`%QBzO z$EsDUm^W`89*>7Td-eeE?6c33kdP2bH)9ebIvZ$gY-G-yIRKnGb&BNVWB@ul&6zx4 zL}v>rDJhhemPXr+n8nQL3=zkO&Jb~o=nN6Zh|UmkWSISNdB5n|21`mx()jpz+s*`T zY;1G_zZq`ZVCL!7nr7gT$K%1{@z~wg-|TK6)-aLI5OK_$&Jc0_3nBZD+5cD|&Hw-a M07*qoM6N<$f|1XH6951J literal 0 HcmV?d00001 diff --git a/artefacts/resources/rise_time_20us.zip b/artefacts/resources/rise_time_20us.zip new file mode 100644 index 0000000000000000000000000000000000000000..7a236aabdadc4e7791e6fd946fa65eaaae92e3f8 GIT binary patch literal 1324 zcmWIWW@Zs#U|`??Vnv3_D}Su_VFWT+AtEV>C5d`TnR#n3?DaYvAkpx!LcOqf+oK0b zd%5qkzErUp02*VK}kY6LL^Ycb8FVsUzbgMC!1t0wS3>GQXz6sPye~~ z`ROfLQP09xpId(Smf+l*Pxq*=ntA5Ct9JXM+wP0D^;)OKiR;eooHu84dE@RQu@Bc3 zn(v67b2#S83Nsh&@;7%M&)T8(j`4d(^`Wf=x_6AJOT-oA! zdMRJE?40i6LcMj}uOHdWY5!bc8^iznam}3m*N=bgU=V-66w|?7D5!VHbq8Z!LwBK} z-Z9l3Eapde3!U$9Ouu%8y*q8$ttT4g|K9(eCHHT~^B10pb{nUx&01Hc?AjW<*MHvA zzxnqcURl2E^3R;)bvFO1`M0zdnr5r6&0D+7bj{Y7r!_Z?il%;FwsoGh_czfC@TFclM9Tql}ro_TtE|wQWH~h!P-lM&KAuv z;Mw>CgG&l|7_1nKt0@U$H(+b1NUIoXjfQDU`|F3ZPVdXH?_ zUouZE6xd{UWd-NV*OO`jU;TX-zo|c0@ynL46J8s=c`frgvN@-4xpG;oNlbaH-b~@D7x&csgaZm= zcZYnmNM z?L70FZ@fM%(BM$*kqElC7(vWoNbdiyr=9a0c* z_+H1Fcxj2I+jhTW@dYNw0;-~vT9?TjUG{Q6_mrC!j`ytZZ4_y_u-QQBVe=NXEzUAo z$M$@mY9E?bW0*U0!{p_uGNp^Vf_2^FOoQ?TDi=S{vU*WD!P|k6YjMo_T!!r|9%7!0 zTv#u*M)R+nz~5YtGrqboPMY4%>AyEo@qaO`;l91?=7CbNnU-l zTzrF=h^1V|=9Kg=^Tb|@2Y53wi7?|XDuA8@g9cFX0rxMuF7)IM(Zj&dz*q#-hm!cw swW6nUgw`!Ut%y{Qt`9vb5c)is5e`L-m;i59Hjp|NAbbm?HCaGB0R5W?iU0rr literal 0 HcmV?d00001 diff --git a/artefacts/resources/rise_time_20us_digitised.csv b/artefacts/resources/rise_time_20us_digitised.csv new file mode 100644 index 0000000..0a39c32 --- /dev/null +++ b/artefacts/resources/rise_time_20us_digitised.csv @@ -0,0 +1,15 @@ +"x","y" +-0.013749,-0.0038654 + 0.0048777,-0.0024338 + 0.0098358, 0.066285 + 0.016402, 0.28676 + 0.02136, 0.45426 + 0.026854, 0.61031 + 0.031008, 0.70623 + 0.037441, 0.81933 + 0.044543, 0.89664 + 0.054459, 0.95104 + 0.068797, 0.9854 + 0.081796, 0.99399 + 0.09667, 0.99971 + 0.10913, 0.99971 From 2b42a0130a7585b48beeb1856756813271d64420 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Wed, 4 Dec 2024 21:53:51 +0000 Subject: [PATCH 73/77] Updated bessel filter appendix. --- artefacts/README.md | 1 + .../appendix-A2-laplace-and-filters.ipynb | 246 +++++-- artefacts/appendix-A4-bessel-filters.ipynb | 681 ++++++++++++++---- 3 files changed, 728 insertions(+), 200 deletions(-) diff --git a/artefacts/README.md b/artefacts/README.md index a86f373..cce9c5a 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -32,6 +32,7 @@ Finally, we present two simplified models (with currents in pA and currents in A - A1. Ideal op amps [![github](../img/github.svg)](appendix-A1-op-amp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A1-op-amp.ipynb) - A2. Laplace transforms and filters [![github](../img/github.svg)](appendix-A2-laplace-and-filters.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A2-laplace-and-filters.ipynb) - A3. Non-ideal op amps [![github](../img/github.svg)](appendix-A3-non-ideal-op-amp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A3-non-ideal-op-amp.ipynb) + - A4. Bessel low-pass filters [![github](../img/github.svg)](appendix-A4-bessel-filters.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A4-bessel-filters.ipynb) - Extended models - B1. Models without compensation [![github](../img/github.svg)](appendix-B1-uncompensated-models.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-B1-uncompensated-models.ipynb) - B2. Models with compensation [![github](../img/github.svg)](appendix-B2-compensated-models.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-B2-compensated-models.ipynb) diff --git a/artefacts/appendix-A2-laplace-and-filters.ipynb b/artefacts/appendix-A2-laplace-and-filters.ipynb index e3df9ee..7543e7f 100644 --- a/artefacts/appendix-A2-laplace-and-filters.ipynb +++ b/artefacts/appendix-A2-laplace-and-filters.ipynb @@ -423,12 +423,6 @@ "The second term is called the **forced response**." ] }, - { - "cell_type": "markdown", - "id": "c79d79e0-d6aa-4c7e-87de-2e5a7342f4a3", - "metadata": {}, - "source": [] - }, { "cell_type": "markdown", "id": "e8e8fa9a-1889-4ac5-8b32-8c1dcdfd07fb", @@ -775,16 +769,36 @@ "id": "f59c1829", "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "<>:13: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:15: SyntaxWarning: invalid escape sequence '\\o'\n", + "<>:23: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:25: SyntaxWarning: invalid escape sequence '\\o'\n", + "<>:13: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:15: SyntaxWarning: invalid escape sequence '\\o'\n", + "<>:23: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:25: SyntaxWarning: invalid escape sequence '\\o'\n", + "/tmp/ipykernel_62986/1753818786.py:13: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.set_xlabel('$\\lambda$ = input frequency / filter frequency')\n", + "/tmp/ipykernel_62986/1753818786.py:15: SyntaxWarning: invalid escape sequence '\\o'\n", + " ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n", + "/tmp/ipykernel_62986/1753818786.py:23: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.set_xlabel('$\\lambda$ = input frequency / filter frequency')\n", + "/tmp/ipykernel_62986/1753818786.py:25: SyntaxWarning: invalid escape sequence '\\o'\n", + " ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n" + ] + }, { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -967,16 +981,32 @@ "id": "2ec8fc99", "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "<>:15: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:16: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:17: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:15: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:16: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:17: SyntaxWarning: invalid escape sequence '\\l'\n", + "/tmp/ipykernel_62986/955406108.py:15: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", + "/tmp/ipykernel_62986/955406108.py:16: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", + "/tmp/ipykernel_62986/955406108.py:17: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n" + ] + }, { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAABNIAAAHBCAYAAACsdZZBAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOzdd3QU5dvG8e9uei8kISGFXhNCCL33pqCAKCIgzQ429KeAHQu2V7EAolJEpaggICi9QyiB0EMvISEhvbct8/4xEkUgBMhmUu7POTlMNrPzXLtZJrv3PEWnKIqCEEIIIYQQQgghhBCiWHqtAwghhBBCCCGEEEIIURFIIU0IIYQQQgghhBBCiBKQQpoQQgghhBBCCCGEECUghTQhhBBCCCGEEEIIIUpACmlCCCGEEEIIIYQQQpSAFNKEEEIIIYQQQgghhCgBKaQJIYQQQgghhBBCCFECUkgTQgghhBBCCCGEEKIEpJAmhBBCCCGEEEIIIUQJSCFNCCGEEJXO4cOHGTNmDLVr18be3h5nZ2fCw8P5+OOPSU1NtUibtWrVYvTo0RY59t2aP38+Op2OCxcuaNL+3Tw3H3zwAcuXL7/u9i1btqDT6diyZctdZRNCCCGEuB06RVEUrUMIIYQQQpSW7777jmeeeYaGDRvyzDPP0KRJEwwGA5GRkXz33Xc0a9aM33//vdTbjYqKwtXVlbp165b6se9WUlISZ8+epXnz5tjZ2ZV5+7Vq1aJr167Mnz//tu/r7OzMkCFDrrtvZmYmx48fp0mTJri6upZOUCGEEEKIW7DWOoAQQgghRGmJiIjg6aefplevXixfvvyaolGvXr146aWXWLNmjUXabt68uUWOWxq8vb3x9vbWOkapcnV1pW3btlrHEEIIIUQVI0M7hRBCCFFpfPDBB+h0Or799tsb9ryytbXlvvvuK/rebDbz8ccf06hRI+zs7PDx8eHRRx8lNjb2mvtFRUXRv39/fHx8sLOzo0aNGtx7773X7Pff4YtXhx4uWrSI1157jRo1auDq6krPnj05efLkddk2bNhAjx49cHV1xdHRkQ4dOrBx48ZbPmaz2cx7771Hw4YNcXBwwN3dndDQUL744ouifW40tLNr166EhIQQERFB+/btcXBwoFatWsybNw+A1atXEx4ejqOjI02bNr2uADl69Ghq1ap1XZ63334bnU5XbOb8/HxeeuklwsLCcHNzw9PTk3bt2rFixYpr9tPpdOTk5PDDDz+g0+nQ6XR07doVuPnQzpUrV9KuXTscHR1xcXGhV69eRERE3DDjsWPHGDZsGG5ublSvXp2xY8eSkZFxzb6//vorbdq0wc3NDUdHR+rUqcPYsWOLfXxCCCGEqLykkCaEEEKISsFkMrFp0yZatGhBYGBgie7z9NNP8+qrr9KrVy9WrlzJu+++y5o1a2jfvj3JyckA5OTk0KtXL65cucKMGTNYv34906dPJygoiKysrFu2MWXKFC5evMj333/Pt99+y+nTpxkwYAAmk6lon59++onevXvj6urKDz/8wC+//IKnpyd9+vS5ZTHt448/5u2332bYsGGsXr2aJUuWMG7cONLT02+ZLSEhgTFjxvDYY4+xYsUKmjZtytixY5k6dSqTJ0/mlVdeYenSpTg7OzNw4EAuX758y2OWREFBAampqbz88sssX76cRYsW0bFjRwYPHsyCBQuK9ouIiMDBwYF77rmHiIgIIiIimDlz5k2Pu3DhQu6//35cXV1ZtGgRc+bMIS0tja5du7Jjx47r9n/ggQdo0KABS5cuZdKkSSxcuJAXX3zxmvaHDh1KnTp1WLx4MatXr+bNN9/EaDSWyvMghBBCiApIEUIIIYSoBBISEhRAefjhh0u0f3R0tAIozzzzzDW379mzRwGUKVOmKIqiKJGRkQqgLF++vNjj1axZUxk1alTR95s3b1YA5Z577rlmv19++UUBlIiICEVRFCUnJ0fx9PRUBgwYcM1+JpNJadasmdK6deti2+3fv78SFhZW7D7z5s1TAOX8+fNFt3Xp0kUBlMjIyKLbUlJSFCsrK8XBwUGJi4sruv3gwYMKoHz55ZdFt40aNUqpWbPmdW299dZbyn/fYv73ufkvo9GoGAwGZdy4cUrz5s2v+ZmTk9MN73v1+d28ebOiKOrzVaNGDaVp06aKyWQq2i8rK0vx8fFR2rdvf13Gjz/++JpjPvPMM4q9vb1iNpsVRVGUTz/9VAGU9PT0m2YXQgghRNUiPdKEEEIIUSVt3rwZ4LrVJFu3bk3jxo2LeoLVq1cPDw8PXn31Vb755huOHz9+W+38eygpQGhoKAAXL14EYNeuXaSmpjJq1CiMRmPRl9lspm/fvuzbt4+cnJybHr9169YcOnSIZ555hrVr15KZmVnibH5+frRo0aLoe09PT3x8fAgLC6NGjRpFtzdu3PiazKXh119/pUOHDjg7O2NtbY2NjQ1z5swhOjr6jo538uRJLl++zMiRI9Hr/3mL6+zszAMPPMDu3bvJzc295j43+t3k5+eTmJgIQKtWrQB46KGH+OWXX4iLi7ujbEIIIYSoPKSQJoQQQohKwcvLC0dHR86fP1+i/VNSUgC1mPRfNWrUKPq5m5sbW7duJSwsjClTphAcHEyNGjV46623MBgMt2ynWrVq13x/de62vLw8AK5cuQLAkCFDsLGxuebro48+QlEUUlNTb3r8yZMn8+mnn7J792769etHtWrV6NGjB5GRkbfM5unped1ttra2191ua2sLqHOblYZly5bx0EMP4e/vz08//URERAT79u1j7Nixd9zGrX6fZrOZtLS0a26/1e+mc+fOLF++HKPRyKOPPkpAQAAhISEsWrTojjIKIYQQouKTVTuFEEIIUSlYWVnRo0cP/vrrL2JjYwkICCh2/6tFlPj4+Ov2vXz5Ml5eXkXfN23alMWLF6MoCocPH2b+/PlMnToVBwcHJk2adFe5r7bz1Vdf3XQVyurVq9/0/tbW1kycOJGJEyeSnp7Ohg0bmDJlCn369OHSpUs4OjreVb6bsbe3p6Cg4Lrbr84tV5yffvqJ2rVrs2TJkmsWJrjR8Urq37/P/7p8+TJ6vR4PD4/bPu7999/P/fffT0FBAbt372batGk88sgj1KpVi3bt2t1xXiGEEEJUTNIjTQghhBCVxuTJk1EUhccff5zCwsLrfm4wGPjjjz8A6N69O6AWdf5t3759REdH06NHj+vur9PpaNasGZ9//jnu7u4cOHDgrjN36NABd3d3jh8/TsuWLW/4dbVH2K24u7szZMgQxo8fT2pq6jWrdJa2WrVqkZiYWNSjDqCwsJC1a9fe8r46nQ5bW9trimgJCQnXrdoJai+xqz3EitOwYUP8/f1ZuHAhiqIU3Z6Tk8PSpUuLVvK8U3Z2dnTp0oWPPvoIUFdyFUIIIUTVIz3ShBBCCFFptGvXjlmzZvHMM8/QokULnn76aYKDgzEYDERFRfHtt98SEhLCgAEDaNiwIU888QRfffUVer2efv36ceHCBd544w0CAwOLVm9ctWoVM2fOZODAgdSpUwdFUVi2bBnp6en06tXrrjM7Ozvz1VdfMWrUKFJTUxkyZAg+Pj4kJSVx6NAhkpKSmDVr1k3vP2DAAEJCQmjZsiXe3t5cvHiR6dOnU7NmTerXr3/X+W5m6NChvPnmmzz88MP873//Iz8/ny+//PKa1Uhvpn///ixbtoxnnnmGIUOGcOnSJd599138/Pw4ffr0Nfs2bdqULVu28Mcff+Dn54eLiwsNGza87ph6vZ6PP/6Y4cOH079/f5588kkKCgr45JNPSE9P58MPP7ztx/jmm28SGxtLjx49CAgIID09nS+++AIbGxu6dOly28cTQgghRMUnhTQhhBBCVCqPP/44rVu35vPPP+ejjz4iISEBGxsbGjRowCOPPMKECROK9p01axZ169Zlzpw5zJgxAzc3N/r27cu0adOKhgrWr18fd3d3Pv74Yy5fvoytrS0NGzZk/vz5jBo1qlQyjxgxgqCgID7++GOefPJJsrKyiib9/+9iCP/VrVs3li5dyvfff09mZia+vr706tWLN954Axsbm1LJdyO1a9dmxYoVTJkyhSFDhuDn58fEiRNJSkrinXfeKfa+Y8aMITExkW+++Ya5c+dSp04dJk2aRGxs7HX3/eKLLxg/fjwPP/wwubm5dOnShS1bttzwuI888ghOTk5MmzaNoUOHYmVlRdu2bdm8eTPt27e/7cfYpk0bIiMjefXVV0lKSsLd3Z2WLVuyadMmgoODb/t4QgghhKj4dMq/+74LIYQQQgghhBBCCCFuSOZIE0IIIYQQQgghhBCiBKSQJoQQQgghhBBCCCFECUghTQghhBBCCCGEEEKIEpBCmhBCCCGEEEIIIYQQJSCFNCGEEEIIIYQQQgghSkAKaUIIIYQQQgghhBBClIC11gG0YDabuXz5Mi4uLuh0Oq3jCCGEEEIIIYQQQggNKYpCVlYWNWrUQK+/eb+zKllIu3z5MoGBgVrHEEIIIYQQQgghhBDlyKVLlwgICLjpz6tkIc3FxQVQnxxXV1eN0wghhBBCCCGEEEIILWVmZhIYGFhUM7qZKllIuzqc09XVVQppQgghhBBCCCGEEALgllOAyWIDQgghhBBCCCGEEEKUgBTShBBCCCGEEEIIIYQoASmkCSGEEEIIIYQQQghRAlVyjjQhhBBCCCGEEKXDbDZTWFiodQwhhCiWjY0NVlZWd30cKaQJIYQQQgghhLgjhYWFnD9/HrPZrHUUIYS4JXd3d3x9fW+5oEBxpJAmhBBCCCGEEOK2KYpCfHw8VlZWBAYGotfLzEFCiPJJURRyc3NJTEwEwM/P746PJYU0IYQQQgghhBC3zWg0kpubS40aNXB0dNQ6jhBCFMvBwQGAxMREfHx87niYp1wyEEIIIYQQQghx20wmEwC2trYaJxFCiJK5WvQ3GAx3fAwppAkhhBBCCCGEuGN3M9eQEEKUpdI4X0khTQghhBBCCCGEEEKIErBoIW3btm0MGDCAGjVqoNPpWL58+S3vs3XrVlq0aIG9vT116tThm2++uW6fpUuX0qRJE+zs7GjSpAm///67BdILIYQQQgghhBBCCPEPixbScnJyaNasGV9//XWJ9j9//jz33HMPnTp1IioqiilTpvDcc8+xdOnSon0iIiIYOnQoI0eO5NChQ4wcOZKHHnqIPXv2WOphCCGEEEIIIYQQopx6/fXXsbOz45FHHtE6iviXyvp7sWghrV+/frz33nsMHjy4RPt/8803BAUFMX36dBo3bsxjjz3G2LFj+fTTT4v2mT59Or169WLy5Mk0atSIyZMn06NHD6ZPn26hRyGEEEIIIYQQorKprB/yq6JXXnmFzz77jEWLFnHmzJlSP/6djLYTlv+9TJs2jVatWuHi4oKPjw8DBw7k5MmTpd7Of5WrOdIiIiLo3bv3Nbf16dOHyMjIohUVbrbPrl27yiynEEIIIYQQQoiKrTwVX0aPHs2kSZM0KwxUdK6urowdOxa9Xs+RI0dK/fi3O9pOqCz9e9m6dSvjx49n9+7drF+/HqPRSO/evcnJySn1tv6tXBXSEhISqF69+jW3Va9eHaPRSHJycrH7JCQk3PS4BQUFZGZmXvMlhBBCCCGEEKLqKi/FF7PZzOrVq7n//vs1KwxUBkajEUdHR44ePVrqx77d0XbiH5b8vaxZs4bRo0cTHBxMs2bNmDdvHjExMezfv7/U2/o3a4se/Q78dylSRVGuu/1G+xS3hOm0adN45513SjGlEEIIIYQQQoh/UxSFPINJk7YdbKyK/Ux4M//+kD9o0KBSzdSvXz/69et3y/127tyJXq+nTZs2rFmz5pqfzZs3Dx8fH/bv30/nzp1LNV+xFAUMuWXX3r/ZOMId/C5ff/11srOziy3YfPDBB3zwwQfFHuevv/6iU6dOt91+WVAUhTxjniZtO1g73NH/sbL8vWRkZADg6el52zlvR7kqpPn6+l7XsywxMRFra2uqVatW7D7/7aX2b5MnT2bixIlF32dmZhIYGFiKyYUQQgghhBCiasszmGjy5lpN2j4+tQ+Otrf/8bY8FF9WrlzJgAED0OuvHzBWVoWB6xhy4YMaZdvmVVMug63Tbd1l//79fPPNN9x7773F/i6feuopHnrooWKP5e/vf1ttl6U8Yx5tFrbRpO09j+zB0cbxtu5Tlr8XRVGYOHEiHTt2JCQk5LZy3q5yVUhr164df/zxxzW3rVu3jpYtW2JjY1O0z/r163nxxRev2ad9+/Y3Pa6dnR12dnaWCS2EEEIIIYQQosIpL8WXlStXXrPA3lVlWRioyMxmM08++SQTJkygTZs2DB8+nMLCQmxtba/b19PTs+yLklVUWf9eJkyYwOHDh9mxY8ddHackLFpIy87OvmbSxvPnz3Pw4EE8PT0JCgpi8uTJxMXFsWDBAkA9QX399ddMnDiRxx9/nIiICObMmcOiRYuKjvH888/TuXNnPvroI+6//35WrFjBhg0byuTJEkIIIYQQQghxYw42Vhyf2keztm9HeSm+REdHExsbS8+ePa/7WVkWBq5j46j2DNPCbfZ6+uqrr0hKSmLq1KnExMRgNBo5efIkTZs2vW7fij6008HagT2P7NGs7dtRlr+XZ599lpUrV7Jt2zYCAgJuK+edsGghLTIykm7duhV9f3V45ahRo5g/fz7x8fHExMQU/bx27dr8+eefvPjii8yYMYMaNWrw5Zdf8sADDxTt0759exYvXszrr7/OG2+8Qd26dVmyZAlt2mjTvVEIIYQQQgghhDqX9Z0Mr9RCeSm+rFy5kl69euHgcG2RoqwLA9fR6W57eKUW4uLieOONN1i0aBFOTk7Ur18fOzs7jh49esPfZUUf2qnT6W57eKUWyur3oigKzz77LL///jtbtmyhdu3apfYYimPRs1zXrl2LFgu4kfnz5193W5cuXThw4ECxxx0yZAhDhgy523hCCCGEEEIIIaqY8lR8WbFiBY899ljR91oVBiqq5557jn79+nHvvfcCYG1tTePGjW86VPdOexfearSduFZZ/V7Gjx/PwoULWbFiBS4uLkXz6bu5uV1XnC5NFeNygRBCCCGEEEIIUQrKS/ElMTGRffv2sXz58qJ9tCoMVESrVq1i06ZNREdHX3N706ZNi53z7k7carSd+EdZ/l5mzZoFqJ24/m3evHmMHj26VNv6N51SXJexSiozMxM3NzcyMjJwdXXVOo4QQgghhBBCVDj5+fmcP3+e2rVrY29vr3WcElm1ahUjR44kOjoaX1/fotsfffRRMjIyWLFiRam1tWXLlmuKL1ddLb7MmTOHefPmXTMHmk6nu+GxLF0YEKKqKO68VdJakfRIE0IIIYQQQghRJfTv35+0tLTrbr+6AF5putVURytWrOC+++675rYq2M9FiApHr3UAIYQQQgghhBCiqunYsSPDhg3TOoYQ4jZJjzQhhBBCCCGEEKKMvfLKK1pHEELcAemRJoQQQgghhBBCCCFECUghTQghhBBCCCGEEEKIEpBCmhBCCCGEEEIIIYQQJSCFNCGEEEIIIYQQd0xWmhRCVBRms/mujyGLDQghhBBCCCGEuG02NjbodDqSkpLw9vZGp9NpHUkIIW5IURQKCwtJSkpCr9dja2t7x8eSQpoQQgghhBBCiNtmZWVFQEAAsbGxXLhwQes4QghxS46OjgQFBaHX3/kATSmkCSGEEEIIIYSFGE1m4jPyuZSWy+X0fNJyCknNLSQtp5D0XAMFRhMFRjOFRjMGs4K1Xoe1XoettR5bKz1uDja4Odrg7mCLp5MNfm4O+HuoX672Nlo/PJydnalfvz4Gg0HrKEIIUSwrKyusra3vuvdslS6krV+/HicnJ7p3786+ffvIysrC09OT4OBgtm/fDkDjxo0xm82cPHkSgK5du3Lw4EHS09Nxc3OjefPmbNmyBYAGDRpgbW3N8ePHAejYsSPR0dGkpKTg7OxM27Zt2bBhAwB169bF0dGRI0eOANC+fXvOnDlDYmIiDg4OdOrUiXXr1gFQq1Yt3N3dOXjwIABt2rQhJiaG+Ph4bG1t6d69O+vWrcNsNhMYGIi3tzcHDhwAoGXLliQkJBAbG4uVlRW9evVi48aNGAwGatSoQUBAAHv37gUgLCyMtLQ0Ll68CEDfvn3ZsmUL+fn5VK9enTp16hAREQFAaGgo2dnZnDt3DoCePXsSERFBTk4OXl5eNGrUiB07dgAQHBxMYWEhp0+fBqB79+5ERkaSmZmJh4cHTZs2Zdu2bQA0atQIgBMnTgDQuXNnjhw5QlpaGq6urrRs2ZJNmzYBUL9+fWxtbTl27FjR833ixAmSk5NxcnKiXbt2Rc93nTp1cHZ25vDhwwC0a9eOc+fOceXKFezt7enatStr1qwBoGbNmnh4eBQ9361btyY2NpbLly9jY2NDjx49WL9+PSaTiYCAAHx9fYmMjAQgPDycpKQkLl26hF6vp3fv3mzatInCwkL8/PwICgpiz549Rc93enp60dW73r17s337dvLy8vDx8aFevXrs2rULgKZNm5Kbm8vZs2eLnu/du3eTnZ1NtWrVaNy4cdHz3aRJE4xGI6dOnSp6zUZFRZGRkYG7uzthYWFFr9mGDRui1+uJjo4GoFOnThw7dozU1FRcXFxo1apV0fNdr1497O3tOXr0KAAdOnTg1KlTJCUl4ejoSMeOHYtes7Vr18bV1ZVDhw4B0LZtWy5cuEBCQgJ2dnZ069aNtWvXoigKQUFBeHl5XfOajY+PJy4uDmtra3r27MmGDRswGo34+/vj5+d3zfOdnJxMTEwMOp2OPn36sHnzZgoKCvD19aVWrVrs3r0bgGbNmpGZmcn58+eLnu8dO3aQm5uLt7c3DRo0YOfOnQCEhISQn5/PmTNnil6zco6Qc4ScI+QcIecIOUfIOULOEbc6R+QUmjC5+ROXnElB6mVyC038etmNTu4ZuFqbSCq0Zn+mM3290gE4k+WIFdDUJReA1UnutHPPxtPGSJrBip3prvT3TiMLiMh2xGDW0dw1B4C1ye609cyjppMZe0dHPGsH45B0HBd7GxrWryfnCDlHyDmiHJ4jQN5HlOdzhL+/PyWhU6rgzJCZmZm4ubmRkZGBq6ur1nGEEEIIIYQQFUxOgZH9F9OIvJjGgYtpRMWkkVNouuG+tlZ6tReZuwNezrZ4ONni6WiLu6MNdjZW2P3d+8zaSo/JbMZgUjCazRQYzGTkGUjPM5CeayAlu4DLGXnEpeWRlnvjHmA6HdT3caZ5oAfNg9xpX9eLoGqOlnwqhBCiUihprahK90gTQgghhBBCiJJQFIVjlzPZfjqZbaeSiLyYisF0bZ8EJ1srGvm50tDXhca+LjSo7kLNak74uNih15fuRPw5BUYupeVy6ko2p69kcTIhi+PxmcSm5XHqSjanrmSzJPISAEGejnSs70Wnel50auCNs518DBRCiDslPdKkR5oQQgghhBDiBhRF4eCldFYfjuevownEpedd83N/dwda1/akRU0PWtT0oEF1F6xKuWB2u5KyCoiKSSPqUjr7zqdy8FI6RvM/H/lsrfV0rOdF32BfejapjqfTna9cJ4QQlUlJa0VSSJNCmhBCCCGEEOJviqJwJC6DPw5d5s8j1xbPHGysaF+3Gp0beNO5gTe1qjne9aTVlpZdYGTPuRS2n05my8lELqTkFv1Mr4MuDbx5sGUgPRr7YGdtpWFSIYTQlhTSiiGFNCGEEEIIIcS/ZeYbWHHwMov2xHA8PrPodkdbK3o0rs69TX3p2tAHe5uKW2xSFIXTidmsOZrA2mMJHLv8z+P0cLTh/jB/HmwZQHANNw1TCiGENqSQVgwppAkhhBBCCCEUReFQbAaL9sSw8tBl8gzqYgG21np6N6lO/1C/Cl88K865pGx+2x/L0gOxXMksKLq9iZ8rI9rWZHC4f6V97EII8V9SSCuGFNKEEEIIIYSousxmhfXRV5i99SwHYtKLbq/n48wjrYMYHO6Pu2PVmTvMZFbYdjqJ3yJjWX/8CoUmMwDVnGx5tF0tRrarKXOpCSEqPSmkFUMKaUIIIYQQQlQ9BUYTy6PimL3tHOeScgC191n/pn4MaxNEy5oe5X7OM0tLyylk6YFY5u28UDQ/nL2NniEtAhjXsQ61vZw0TiiEEJYhhbRiSCFNCCGEEEKIqiPfYOKn3Rf5dts5ErPUIYwu9taMbFuT0R1q4eNir3HC8sdoMvPX0QS+3XaOI3EZAOh00DfYlxd7NaBBdReNEwohROmSQloxpJAmhBBCCCFE5Wcwmfk1MpYvN54mITMfAF9Xe8Z1rM2wNkE421lrnLD8UxSF3edS+W77OTadSATUgtr9zWrwQs8G1JIeakKISkIKacWQQpoQQgghhBCVl9ms8Mfhy3y+/hQXUnIB8Hd34Lke9RjUPABba73GCSumkwlZTN9wir+OJgBgpdcxJDyAF3rVx8/NQeN0Qghxd6SQVgwppAkhhBBCCFE57TqTzLuro4mOzwTUCfMndK/HI22CsLOWFShLw9G4DP5v3Uk2n0wC1DnUnupSlyc718XBVp5jIUTFJIW0YkghTQghhBBCiMolLj2P91cf588jam8pFztrnuhch7Eda+MkQzgtYv/FVKb9eYLIi2kA+LnZ82rfRtzXrAZ6fdVetEEIUfFIIa0YUkgTQgghhBCicsg3mJi99Ryztp4h32BGr4MRbWvyYs8GeDjZah2v0lMUhdVH4pn254miVT6bB7nz3sAQgmu4aZxOCCFKTgppxZBCmhBCCCGEEBXfxugrvLXyGLFpagGndW1P3rkvmMZ+8h6/rOUbTMzdeZ4Zm86QU2hCr4MxHWozsVcD6REohKgQpJBWDCmkCSGEEEIIUXGl5hTy9spjrDx0GVCHFE65pzH9Q/3Q6WRIoZauZObz7qrjrDocD6i/m7fvC6ZPsK/GyYQQonhSSCuGFNKEEEIIIYSoeK4OI3xrxTFScgrR6+DxTnV4vmd9HG2l11N5suVkIm+uOEZMqrpqat9gX94bFIKXs53GyYQQ4sakkFYMKaQJIYQQQghRsSRm5vP68qOsO34FgIbVXfh4SCjNAt21DSZuKt9g4qtNp5m99RxGs4Knky1T7w+mf2gNraMJIcR1pJBWDCmkCSGEEEIIUTEoisLSA3FM/eMYmflGrPU6xnerx/hu9bC11msdT5TAscsZvPzrYaLjMwG4t6kfU+8Pppr0ThNClCNSSCuGFNKEEEIIIYQo/9JyCpm07DBrj6m90Jr6u/HxkFBZTKACKjSambH5DDM2n8FoVqjmZMsnD4bSvVF1raMJIQRQ8lpRmVzCmTlzJrVr18be3p4WLVqwffv2m+47evRodDrddV/BwcFF+8yfP/+G++Tn55fFwxFCCCGEEEJY2K6zyfT7Yjtrj13BxkrH//o05Pdn2ksRrYKytdbzYq8GLB/fgUa+LqTkFDJ2fiRvrzxGvsGkdTwhhCgxixfSlixZwgsvvMBrr71GVFQUnTp1ol+/fsTExNxw/y+++IL4+Piir0uXLuHp6cmDDz54zX6urq7X7BcfH4+9vb2lH44QQgghhBDCggqNZj786wTDv99DQmY+dbycWPZ0B8Z3q4e1lQzlrOhC/N1YPr4DYzvUBmD+rgsMnLGTU1eyNE4mhBAlY/GhnW3atCE8PJxZs2YV3da4cWMGDhzItGnTbnn/5cuXM3jwYM6fP0/NmjUBtUfaCy+8QHp6+h1lkqGdQgghhBBClD+XUnOZsPAAh2IzABjWOpA3+jeRFTkrqc0nE/nfr4dIzi7EzlrPmwOa8EjrIHQ6ndbRhBBVULkY2llYWMj+/fvp3bv3Nbf37t2bXbt2legYc+bMoWfPnkVFtKuys7OpWbMmAQEB9O/fn6ioqFLLLYQQQgghhChbG6OvcO+X2zkUm4Gbgw3fjAhn2uBQKaJVYt0a+vDX853p0sCbAqOZ134/ysRfDpFbaNQ6mhBC3JRFC2nJycmYTCaqV792Asnq1auTkJBwy/vHx8fz119/8dhjj11ze6NGjZg/fz4rV65k0aJF2Nvb06FDB06fPn3D4xQUFJCZmXnNlxBCCCGEEEJ7RpOZj9ecYNwPkWTmGwkLdOfP5zvRN8RP62iiDHi72DFvdCsm9WuElV7H71FxDJyxk7NJ2VpHE0KIGyqTSQb+2zVXUZQSddedP38+7u7uDBw48Jrb27Zty4gRI2jWrBmdOnXil19+oUGDBnz11Vc3PM60adNwc3Mr+goMDLzjxyKEEEIIIYQoHUlZBYycs5eZW84CMLp9LX55sh3+7g4aJxNlSa/X8VSXuvz8WBu8Xew4dSWb+77awarDl7WOJoQQ17FoIc3LywsrK6vrep8lJiZe10vtvxRFYe7cuYwcORJbW9ti99Xr9bRq1eqmPdImT55MRkZG0delS5du74EIIYQQQgghStXBS+n0/2o7EedScLK14qthzXn7vmBsrWVBgaqqbZ1qrH6uI23reJJTaGLCwiim/RmNyWzRab2FEOK2WPSvlK2tLS1atGD9+vXX3L5+/Xrat29f7H23bt3KmTNnGDdu3C3bURSFgwcP4ud34+7fdnZ2uLq6XvMlhBBCCCGE0MayA7E8NDuCK5kF1PdxZsWEjgxoVkPrWKIc8HGx56dxbXiqS10AZm87x9j5+8jIM2icTAghVBa/3DNx4kS+//575s6dS3R0NC+++CIxMTE89dRTgNpb7NFHH73ufnPmzKFNmzaEhIRc97N33nmHtWvXcu7cOQ4ePMi4ceM4ePBg0TGFEEIIIYQQ5Y/JrDDtz2gm/nKIQqOZXk2q8/v4DtTzcdY6mihHrK30TOrXiK+GNcfeRs/WU0kMnLGTM4kyb5oQQnsWXwJn6NChpKSkMHXqVOLj4wkJCeHPP/8sWoUzPj6emJiYa+6TkZHB0qVL+eKLL254zPT0dJ544gkSEhJwc3OjefPmbNu2jdatW1v64QghhBBCCCHuQEaegecXR7HlZBIAz3avx4s9G6DX33ruZFE1DWhWg9peTjyxIJLzyTkMmrGTL4aF0b1R8dMECSGEJekURalyA84zMzNxc3MjIyNDhnkKIYQQQghhYTEpuYyZv5ezSTnY2+j59MFm9A+tgkM5FQVKsOiauFZydgHP/HSAvRdS0evgrQHBjGpfS+tYQohKpqS1IimkSSFNCCFEZWQsgLgDELcfkqIh9QLkJEJ+BpiNoNODvTs4eYNnHfBuAP4toUZzsHXUOr0QohI5EJPG4z9EkpJTSA03e759tCUh/m5ax7IcQx7E7lPPv/GHIe0CZMZBQRYYckFvDTaO4OwDrjXApwn4NoWaHcCzttbpy61Co5k3lh9lSaS6cNyYDrV4/d4mWEmPRiFEKZFCWjGuPjm//fYbTk5OdO/enX379pGVlYWnpyfBwcFs374dgMaNG2M2mzl58iQAXbt25eDBg6SnpxcNK92yZQsADRo0wNramuPHjwPQsWNHoqOjSUlJwdnZmbZt27JhwwYA6tati6OjI0eOHAGgffv2nDlzhsTERBwcHOjUqRPr1q0DoFatWri7u3Pw4EEA2rRpQ0xMDPHx8dja2tK9e3fWrVuH2WwmMDAQb29vDhw4AEDLli1JSEggNjYWKysrevXqxcaNGzEYDNSoUYOAgAD27t0LQFhYGGlpaVy8eBGAvn37smXLFvLz86levTp16tQhIiICgNDQULKzszl37hwAPXv2JCIigpycHLy8vGjUqBE7duwAIDg4mMLCwqJVVbt3705kZCSZmZl4eHjQtGlTtm3bBkCjRo0AOHHiBACdO3fmyJEjpKWl4erqSsuWLdm0aRMA9evXx9bWlmPHjhU93ydOnCA5ORknJyfatWtX9HzXqVMHZ2dnDh8+DEC7du04d+4cV65cwd7enq5du7JmzRoAatasiYeHR9Hz3bp1a2JjY7l8+TI2Njb06NGD9evXYzKZCAgIwNfXl8jISADCw8NJSkri0qVL6PV6evfuzaZNmygsLMTPz4+goCD27NlT9Hynp6dz4cIFAHr37s327dvJy8vDx8eHevXqsWvXLgCaNm1Kbm4uZ8+eLXq+d+/eTXZ2NtWqVaNx48ZFz3eTJk0wGo2cOnWq6DUbFRVFRkYG7u7uhIWFFb1mGzZsiF6vJzo6GoBOnTpx7NgxUlNTcXFxoVWrVkXPd7169bC3t+fo0aMAdOjQgVOnTpGUlISjoyMdO3Yses3Wrl0bV1dXDh06BEDbtm25cOECCQkJ2NnZ0a1bN9auXYuiKAQFBeHl5XXNazY+Pp64uDisra3p2bMnGzZswGg04u/vj5+f3zXPd3JyMjExMeh0Ovr06cPmzZspKCjA19eXWrVqsXv3bgCaNWtGZmYm58+fL3q+d+zYQW5uLt7e3jRo0ICdO3cCEBISQn5+PmfOnCl6zco5Qs4RFeIccfokZF+hZ+F6dic7ka13ppohnsY5u9nhPgiAJjm7MepsOeUYrr5m05YQ5dKTDOtquBuTCMvdyRa/x8HJh4Zh7dA7uMo5Qs4Rco6oLOcIDd5HLFnxF0fjMjiS5UB1D2cG1VKwtdZXvnNErRrs3PgnZCUQkrKafMWGMw5h6ms2bRH7XPqSZe2BpyGB4JxdbHcfrJ4jcvZg1llx0rGl+nybIzjo+yDp9kG4+QTSPDxczhH/OUdcyNYzMyqP3l4ZeLvYMrh7W/SKSc4RFfQcIe8j5H1EeXof4e/vT2hoqBTSbkR6pAkhhKhU4g/BntlwdBkY8/653dELgtpC9WCoVh9cqoODh9obwmxUe6dlJUDKWbhyVO1BkRX/z/2tbKHxAGg7HgJalP3jEkJUWIqiMGfHed7/MxpFge6NfPhqWHOc7Cw+RXPZSjoJETPgyK9qb7OrXGpAUBvwC4Nq9cA9UO0FbOOonn8Lc9TzbXoMXDmm9l6Li1R/dlX1EGj1GDR7GGwcyvqRlWurDl8uWrAixN+VuaNa4eNqr3UsIUQFJz3SiiGFNCGEEJVC3H7Y+gmc+uuf27wbQ8hgaNQffBrf3lw8igLJp+Dkn3DkN7W4dlWtTtDtNajZrvTyCyEqJZNZYeofx/ghQu1VMLJtTd4a0ARrK73GyUpRYjRsfh+i//jntmr1IPRhaHTv7Z9/AQqy4dwWOPY7nFj9z4UR5+rQ4XloOQ5spFh01f6LaTyxQB0yHOTpyI/jWlOzmpPWsYQQFZgU0oohhTRxK1n5Bi4k53I+JYeLyTkkZReQnmsgLbeQ3EITRrMCioKjrTXO9ta42Fvjam+Dh6MttbwcqePlTC0vR1zsbbR+KEKIyigjDta/AUeXqt/r9BA8CFo/CYGtS2cia0WB+INqT7cjv/7TS6JBP+jzPlSre/dtCCEqnQKjiYm/HGL1YbV362v3NOaxTrXRVZYJ9nNSYOPbcOBHQAF0auGs3XgIald6CwnkpUHUz7DnG8hQ5wTDPQh6TYUmA2XBgr9dTMnh0bl7uZiSi5ezLfPHtK7c8+8JISxKCmnFkEKa+DdFUTh1JZvd51I4eCmdg5fSOZ+cUyrH9nK2o7GfC61qedKylgfNAz1wsLUqlWMLIaogkxF2z4AtH4EhRy2ghQ6FTi+DVz3LtZsRB9s+gQMLQDGBlR10mggdJ4K1reXaFUJUKNkFRp76cT87ziRjY6Xjs4fCGNCskqzMqShw8GdY+xrkp6u3NR4A3V4Hn0aWa9dYCIcWquf9rMvqbXW7Q//p4FHTcu1WIIlZ+Yyeu4/j8Zk421nz3aMtaVe3mtaxhBAVkBTSiiGFNGE2K+y9kMofhy6zIfoKVzILrtvHy9mOWtUcqVnNCT83e9wd1R5nTnbWWP+9OlBOoZHsAiPZ+eq/VzLzi3qyJWVdf0xrvY4Qfze6NPCmb4gvjXxdKs8VWiGEZaWeg2VPQqw6ISuBbeCeT8CvWdllSD4Nf70CZ9WJgfFtCoNmq3OwCSGqtJTsAsbM38fh2Awcba2YPbIFnep7ax2rdGQnwh/Pq8PeQZ277J5Py3aoe2EO7PwSdnwOpgKwcYK+H0D4KOmdBmTmG3j8h0j2nE/F1krPl8PC6Bvip3UsIUQFI4W0Ykghreo6mZDFL5GXWH04noTM/KLb7W30tKrlSYuaHoQFutMswB0Pp7vrZZGVb+BcUg6HYtPZdyGNfedTr2kTIMjTkT7B1ekb4kd4kLsU1YQQN3ZwIax+We2FZucKfT6AsOGg12C+IUWBY8vUPHmp6oIEfaepc/fIOUyIKik2LZdH5+zlXHIOHo42zB/TmmaB7lrHKh1nNsKyxyE3RT3fdZsC7Z4FK40WTUg+DSufhRh15Tqa3A8DvgQHd23ylCP5BhPPL45i7bEr6HXw/qCmDGsdpHUsIUQFIoW0YkghrWoxmxW2nk5izvbz7DiTXHS7i701fYN9uTfUj7Z1qmFvY9khl4qiEJeeR8TZFNYdv8K2U0kUGM1FP6/r7cSw1kEMDg/A8y6LeEKISsJYCGsmQeQc9fuaHWDQN+o8OVrLToSVz/2z0EHIA3Df12DrqG0uIUSZOpeUzfDv9xCfkY+/uwMLxrWmrrez1rHunqLA9v+DTe8BitoLbdBs8A3ROhmYzbDrS9j0rjp/pWcdeHihusBBFWcyK7y+/AiL9qrzyr3cuwHju9WTi9VCiBKRQloxpJBWNZjMCr9HxTFryxnOJqlznul10LuJL4PD/enS0Bs7a+3mK8spMLLtVBJrjiWw/vgVcgtNANha6ekb4suItjVpVctD/vALUVVlXYFfHoVLuwGd2gui00ugL0fzLCoKRMyADW+pH+b8W8CwxeDso3UyIUQZOJmQxfDv95CcXUA9H2d+GtcGX7dKsKpkYQ4sewJOrFK/Dx8F/T4ufytmxu2HX0apixHYOMGQOdCwn9apNKcoCv+37hRfbz4DwDNd6/K/Pg3lPbUQ4pakkFYMKaRVboqisOVkEh/+dYKTV7IAcLGzZmirQEa1r0WgZ/nrLZGVb2Dlocss2hvD0bjMotvDg9x5pms9ejT2kT/+QlQlyWfgp8GQfhHs3OCB76BBH61T3dzFXbD4EXWVOfcgGP4beDfUOpUQwoKOxmUwYs4e0nMNNPZz5adxranmbKd1rLuXkwwLH1KLVFa26lxoLUZpnermclLgtzFwfivorGDAFxA+UutU5cL328/x3upoAB7rWJvX7m0s76eFEMWSQloxpJBWeR2Ny+C91cfZfS4VAFd7a57uWo8RbYNwsbfROF3JHInNYOHeiyw9EEfh30M/G1Z34emudekf6oe1lQZzIgkhyk5spPohLjcFPGqrRSlLrshZWpLPwM9DIO082LvB0J+hdietUwkhLGD/xTRGz9tLVr6RZoHuLBjTGjfHivE+q1ip5+CnB9R/HTxg2BIIaqN1qlszGdTFEA7+rH7f4011VWUpGrEg4gJvrjgGwKh2NXn7vmAppgkhbkoKacWQQlrlk28w8fmGU3y37RxmBWyt9YxuX4tnutbF3bFizjeWmJXPnB3n+Xl3DNkFRgDqeDvxat9G9G5SXd4ECFEZndsCi4aBIRdqNIdHfgXnCrTqXU6ymj92L+ht4OGfy3dPOiHEbYs4m8K4H/aRW2iidS1P5oxuWWEuVhYr6RT80B+yr6g9a0csA6/6WqcqOUWBjVNhx2fq922egj7TtFmUppxZtDeGKb8fQVFgWOsg3h8Ygl4v76OFENeTQloxpJBWuew+l8KkpYe5kJILwL2hfky5pzH+7g4aJysdGbkGFkRcYO7O86TlGgBoWdODyfc0pkVND43TCSFKzdnNsOhhMOZD3R7w0AKwq4ATdhvyYOlj6txCVrbqBNj1e2mdSghRCnadTWbs/H3kG8x0qu/F7JEtcLTVaPXK0pQYDT8MgJwkdVGBEcvApbrWqe7M7lnqIjUAzUfAgK+kmAb8GnmJV5YeRlFgSIsAPnogFCsppgkh/kMKacWQQlrlUGA08cHqaH6IuAhAdVc73hvYlF5NKugbn1vIzDcwe+tZvt9+vmi1z34hvky5p3G5nPdNCHEbzmxU5xgz5kP9PjD0R7CuwHMNmQzw21iIXglWdn8X03pqnUoIcRcizqYwZv5e8g1mujb05psRLSy+4nmZuHJc7YmWmwK+TeHRleDoqXWqu3P4V/j9CVDM0PpJ6PeRDPMEVhyM48UlBzErMDCsBp8+2EymTBFCXEMKacWQQlrFF5OSy/iFBzgSlwHAI22CmNSvEa6VYWjBLcRn5PH5+lP8tj8WswL2Nnqe7V6fxzvVwdZa3gwIUeFc3AU/DlKLaA36wUM/VOwi2lUmA/w6+u+eaXYwbBHU66F1KiHEHdh9LoUx8/aRZzDRpYE3s0dWkiJa6nmY20cdzukXBiN/r/hFtKsOLoLlT6nbHSdCz7e0zVNOrD4cz3OLozCZFfqH+jF9aJgU04QQRaSQVgwppFVsa48l8PKvh8jKN+LhaMNnQ8Po1tBH61hl7mRCFm+tPFq0sEI9H2fevT+EdnWraZxMCFFiCUdg3r1QkAH1e6sT9FtXzHkdb8hYqBbTTq4Ga3u1p0dFmLhbCFGk0hbRshLUIlraBfAJhjGr1QUGKpN9c2D1RHW7++vQ+X/a5ikn1h5LYMLCAxhMCoOb+/Ppg81kzjQhBCCFtGJJIa1iMpsVPlp7gtlbzwEQHuTO14+EU6OSzIV2JxRFYfnBON5bFU1KTiEAg8P9ebN/kwq7yIIQVUbqOZjTB3ISIaidOiePbSUcpm0shCUj4PRacPCExzZAtbpapxJClMCecymM/ruI1rmBN99WliJaXjrMuwcSj6mrI49dAy6+WqeyjF1fwbrX1e17PoXWj2ubp5xYeyyBZ34+gMms8HCrQD4Y1FSKaUKIEteKpB+rqBDyDSbGLzxQVER7vFNtljzZrkoX0QB0Oh2Dmgew6aWuDG8ThE4Hyw7E0fvzbWw+kah1PCHEzeSmwk9D1CJa9RAYtrhyFtFA7WH34Dx1FdK8VPh5iLq6pxCiXDt4KZ2x8ythEc1kgF8eVYtozr7w6PLKW0QDaP8sdJ2sbv/1CpzeoG2ecqJPsC/Th4ah18HifZd4549jVMH+JUKIOySFNFHupWQXMOy73fx1NAFbKz1fPBzGa/c2wUbmMyji5mjD+4OasvTp9tTxdiIxq4Ax8/cxaelhsguMWscTQvybsVD9EJd6FtwCYcRScHDXOpVl2TrBI7+Ae5DaE2/Rw+rqnkKIculEQiaj5u4lp9BE+7rVKk8RTVHUoY7nt4KNEwz/FTxqaZ3K8rq8Cs0eURcf+G2MukqpYECzGnwypBk6HfwQcZEP/zohxTQhRIlIJUKUa+eSshk8axdRMem4Odjw47jW3B/mr3Wscis8yIPVz3ZibIfagHqFre/0bew+l6JxMiEEoH6IW/UiXNgOti7wyJLK3RPi35x9YPhvYO8Osftg2RNgNmudSgjxH+eSshnx/V4y8gw0D3Lnu0dbVo4iGsCuL+HAAtDpYchc8AvVOlHZ0OlgwBdQswMUZMLChyA7SetU5cIDLQJ4f2BTAGZvO8fnG05rnEgIURFIIU2UWycTsnjwmwgupuQS6OnA0qfb06aOTKR/Kw62Vrw5oAmLHm+Lv7sDsWl5PPLdbqZvOIXJLFfZhNDU7plw8Cf1Q9yD86B6sNaJypZ3Q3h4IVjZQvRK2P6p1omEEP8Sm5bLiO/3kJxdQBM/V+aPbo2TnbXWsUrH6Q2w/u+VK/tMg4Z9tc1T1qxt4aEf1Tnh0mNgyXAw5Gudqlx4pE0Qbw1oAsCXG08zY/MZjRMJIco7KaSJcik6PpNh3+0mJaeQ4BquLHu6A/V8nLWOVaG0q1uNtS92ZkiLAMwKTN9wmhHf7yExU940CaGJ89th3Rvqdp8PoH4vbfNopVYH6D9d3d78AZxer2kcIYQqMSufEd/v4XJGPnW9nVgwrjVujjZaxyodqedg6VhAgfBR0OZJrRNpw6maOszezg0u7YHVL6k9pQVjOtRmcr9GAHyy9iRzdpzXOJEQojyTQpood45dzuCR73aTmlNIaIAbCx9ri7eLndaxKiRnO2s+fbAZnz3UDEdbKyLOpdDvi+1sOyXd+YUoUxmx8OtoUEwQOhTaPKV1Im01Hw4txgAKLH0M0i5onUiIKi0tp5CR3+/lQkouAR4O/PRYG7ycK8l7r8IcWDwC8jMgoBXc84k61LGq8m4AD81Xe0Yf/AkO/KB1onLjyS51ebFnAwDeXXWcpftjNU4khCivpJAmypWjcRkM/34PabkGmgW48eO4NpXnaqiGBocHsHJCRxr5upCSU8ioeXv5bP0pzDLUUwjLu7q4QG4y+DZVe2NV5Q9xV/X7CPxbQH46LBkhiw8IoZGsfAOj5u3l5JUsfFzs+PmxNvi5VZJV0RUF/nhBXaHTyQceWgDWlaRAeDfqdofuf/eQ/vN/ELdf2zzlyHM96vFYR3Wu4VeWHmZj9BWNEwkhyiMppIly42xSNiPn7CE910BYoDs/PtYGNwcpopWWej7OLB/fgeFtglAUdQ6IJ36MJDPfoHU0ISq3DW+rH1Ls3WHoT2DrqHWi8sHaTv1Q6+gFCUdg1UQZYiREGcs3mBj3QySHYzPwcLTh58faULOak9axSk/Uj3DkF9BZwUM/gGsNrROVHx1fhEb9wVQIv41Ve+wJdDodU+5pzOBwf0xmhWd+PsC+C6laxxJClDNSSBPlwpXMfB6ds5e0XAOhAW78OK41rvZSRCtt9jZWvD+oKf/3YDNsrfVsiE5k4IydnEnM1jqaEJXTyb9g9wx1e+As8KilaZxyxy1AXTlPp4dDC+HQYq0TCVFlmMwKzy2KYu/5VFzsrPlxXBvqV3fROlbpuXIc/nxF3e7+OtRsr22e8kang/tngHuQOrz+jxfkYsbf9HodHz0QSo9GPhQYzYydv4/o+EytYwkhyhEppAnNZeYbGD1vH3HpedSq5si80a1wkSKaRT3QIoDfnmqHn5s955JyGDhjJxuOS9d1IUpVRiwsf1rdbvsMNLpH2zzlVZ0u0HWKuv3ny+qk4EIIi1IUhTdWHGXd8SvYWuv5blRLQvzdtI5Vegpz4LcxYMyDuj2gwwtaJyqfHNzhgbmgt4Zjy9QefAIAGys9M4aH06qWB1n5Rh6du5eYlFytYwkhygkppAlNFRhNPLlgP9HxmXg527FgbBuqVZbJbcu50AB3Vk7oSOtanmQXGHlsQSSztpxFkauRQtw9swmWPQF5aeAXBj3f1jpR+dZpIgS1h8JsdfEBkww5F8KSvtp0hoV7YtDp4IuhYbStU03rSKVr3euQdAKcfWHQbNDLR56bCmz1r/nSXoHEaG3zlCP2NlZ8P6oVjXxdSMoqYMScPSRm5WsdSwhRDshfFaEZRVF4+dfDRJxLwdnOmvljWhFUTeYOKkveLnb89FgbRratCcBHa04w5fcjGExmjZMJUcHt+gou7gRbZ3XookxuXTy9FQz+Fuzd1PnktnyodSIhKq3Fe2P4bP0pAKbeF0y/pn4aJyplJ9dA5Fx1e/BscPbWNk9F0P45dQECYx4sfVxdJEcA4OZgw4KxrQnydCQmNZdRc/eRkScXe4So6qSQJjQzc8tZ/jh0GRsrHd+MaFG5hhRUILbWet4dGMJbA5qg18GivZcYO3+fLEIgxJ1KOAKb3lO3+06DanW1zVNRuAeqK5oCbP8/uLBD0zhCVEbrj19hyu9HAJjQrR4j29XSNlBpy06ClRPU7bbjoU5XTeNUGHo9DPwGHDzhyhHYKhcz/s3H1Z4fx7XGy9mO6PhMnvwxkgKjSetYQggNSSFNaGLziUQ+XXcSgHfuC6FjfS+NE4kxHWrz7ciWONhYsf10MkNm7SI2TeaCEOK2GPLVIZ1mg7oaWvORWieqWEIGQ9hwQIHfn4aCLK0TCVFp7L+YyoSFBzAr8FDLAF7q3UDrSKVLUeCP5yEnCXyaQI83tU5UsbhUhwHT1e0dn8OlvZrGKW9qVnPih7GtcLazZve5VF797bBMhyJEFSaFNFHmziVl89ziKBQFHmkTxCNtgrSOJP7Ws0l1fn2qHT4udpy6ks3AGbs4dlmWQxeixLZ+CInHwckHBnyhroombk+/j9RV5DJiYP1bWqcRolI4k5jFuB8iKTCa6d7Ihw8GNUVX2c5PR36Fk6vByhYGfwc29lonqnia3A+hQ0Exw+9PqYs2iCLBNdyYOTwca72O5QcvF3UKEEJUPWVSSJs5cya1a9fG3t6eFi1asH379pvuu2XLFnQ63XVfJ06cuGa/pUuX0qRJE+zs7GjSpAm///67pR+GKAVZ+Qae+HE/WflGWtb04O0BwVpHEv8R4u/G8vEdaOTrQnJ2AQ/P3k3E2RStYwlR/sUfgp1fqtsDpoOT9LS9I3YucN/X6nbkHDi3Vds8QlRwCRn5jJq7j/RcA2GB7nz9SHOsrSrZtfScZPjrVXW7yyvgG6Jtnoqs38fgUgNSz8KGt7VOU+50buDNB4ObAjBj81kW7onROJEQQgsW/yu6ZMkSXnjhBV577TWioqLo1KkT/fr1Iyam+JPOyZMniY+PL/qqX79+0c8iIiIYOnQoI0eO5NChQ4wcOZKHHnqIPXv2WPrhiLugKAov/XKIM4nZ+LraM3NEOLbWt/ESNJshP1N9s1SYo34vLKKGuwNLnmxH69qeZBUYGTVvL2uOxmsdS4jyy2SEFRNAMUHwIGh0r9aJKrY6XaDlWHV75QQoyNY2jxAVVE6BkXE/7CMuPY86Xk7MHd0KR1trrWOVvr9ehbxUqB4CHV7QOk3F5uAO9/99MWPvt3AxQtM45dFDLQN5vof62fT15UfYfCJR40RCiLKmUyw8uLtNmzaEh4cza9asotsaN27MwIEDmTZt2nX7b9myhW7dupGWloa7u/sNjzl06FAyMzP566+/im7r27cvHh4eLFq06JaZMjMzcXNzIyMjA1dX19t/UOKOzN95nrf/OI6tlZ5fnmpHWKD7zXc25MOl3XB+G1yOgqRTkHVZ7Wp+ld5GHf5TrR4EtIKa7SCwLVhVwjeIGsk3mHhuURTrjl9Br4P3BzVlWGsZiivEdXZ8rl65t3eHCfvA2UfrRBVfQRbMbK8O8Wz1ONz7qdaJhKhQTGaFJxZEsvFEItWcbFk+vgOBnpVwdfSTa2DRUNDp4bGN4B+udaLKYcV4iPoJqtWHp3bIUNn/UBSF//12mN/2x+Joa8WSJ9rRNEAWThNVjCG/0p0bSlorsmiPtMLCQvbv30/v3r2vub13797s2rWr2Ps2b94cPz8/evTowebNm6/5WURExHXH7NOnz02PWVBQQGZm5jVfomwdv5zJB3+qw3Nfu7fxjYtoiqJe9Vo+Hj6tDwvuV1duO7sJMmOvLaKBOpl36lk4vRY2vwfz74VP66l/+C/tU48n7oq9jRUzh4cztGUgZgUmLzvCjM1ntI4lRPmScha2/L3CWd9pUkQrLXYucP9X6va+72QVTyFu07urjrPxRCJ21nq+G9WychbR8jNh1YvqdrsJUkQrTb3fA+fqkHIatn2sdZpyR6fTMW1wUzrV9yK30MSY+fu4lCqLdIkqRFHg5yGw9DHIrnq9Mi1aSEtOTsZkMlG9evVrbq9evToJCQk3vI+fnx/ffvstS5cuZdmyZTRs2JAePXqwbdu2on0SEhJu65jTpk3Dzc2t6CswMPAuH5m4HbmFRp5ddIBCk5mejX14tF3Na3dQFPVq4pxeMK8vHPwJCjLBxQ9CH4b+02HsWnjpJLyWAG+mwpTL8MIRGLUK+n4EIQ+AYzXIS1Ovns3pCbM7w7HlMgT0Lllb6fnwgaaM71YXgE/WnuSTtSdkpSIhQD2/rHwOjPlQtzs0G6Z1osqlTldoMVrdXvUiGAu1TCNEhTF/53nm77oAwOdDwwgP8tA2kKVseEsdseBRG7pO1jpN5eLgAff83RN4x3SIP6xpnPLIxkrPzOHhRfMKj563l/Rc+Tslqogjv8KF7RD9BxiqXhG5TMbA/XdVIEVRbrpSUMOGDWnYsGHR9+3atePSpUt8+umndO7c+Y6OOXnyZCZOnFj0fWZmphTTytC7q45zNikHHxc7Ph7S7NrfU8JRWDtZHcIJYGUHoQ9C2HB1mKb+JrVeWyf1yz0IancCnlLnKIqJgIML4dgySDgMv45Sl0Dv8776IVfcEZ1Ox//6NMLV3oZpf51gxuaz5BWaeaN/48q36pcQt+PAD3BxB9g4qUV/+f9Q+nq+DSdWQ/Ip2PUldH5Z60RClGsbo68wddVxAF7t24h7mvppnMhCLuyAyLnq9n1fgm0l7HGntSb3QeP7IHolrHwWHt8EeiutU5UrLvY2zB/TmkEzd3I2KYdnfj7AD2NbY1PZFvQQ4t/y0mHtFHW788vgUUvLNJqw6P9wLy8vrKysrusplpiYeF2PsuK0bduW06dPF33v6+t7W8e0s7PD1dX1mi9RNv48Es+ivZfQ6WD60DA8nWzVHxgLYfMH8G0XtYhmZQftn1N7md0/A2q2v3kR7WasrNWi2qBZMDEaurwKdm6QeBx+HASLh0Pm5dJ/kFXIk13q8s596kqrc3ee57XlRzGbpWeaqKIyL8P6N9XtHm+AR83i9xd3xsED+nygbm/7BFLPa5tHiHLsaFwGzy6KwqzAw60CeapLHa0jWYYhT+0NDBA+Cmp3Ln5/cefu+VR9Px1/EPbP0zpNueTrZs/c0a1wsrVi19kU3lxxTEZuiMpt03uQk6TOodj+Oa3TaMKihTRbW1tatGjB+vXrr7l9/fr1tG/fvsTHiYqKws/vn6tp7dq1u+6Y69atu61jCstLzMxn0lK1G/gzXevSvp6X+oPU8/B9D9j6EZiN0HiAOjl373fBpeQF1mI5ekK3KfDCIWjzNOis4MQqmNFWHfopf9zu2Kj2tfj4gVB0Oli4J4aXfzuE0STDZ0UV9Of/1GHo/i2h9RNap6ncmj6oflA25qvPu5zDhbhOfEYe437YR26hiY71vHh3YEjl7TW+7VN1nlwXP+g1Ves0lZtLdej+urq9cSrkJGubp5xq7OfKl8Oao9PBor0xzNkhF31EJRV3APZ9r27f+39gbadtHo1YvM/pxIkT+f7775k7dy7R0dG8+OKLxMTE8NRTTwHqsMtHH320aP/p06ezfPlyTp8+zbFjx5g8eTJLly5lwoQJRfs8//zzrFu3jo8++ogTJ07w0UcfsWHDBl544QVLPxxRQoqiMOX3o2TmGwkNcOOFng3UH5xcA7O7qMMuHTzhwfkw9CfL9eRw8IB+H6qrDdUIh4IMdTGCpePUCWrFHXmoVSDTh4Zhpdex7EAcL/16CJP0TBNVyen1anFebw33fSVDXSxNp4N7PwMrWzizXh1mJIQokl1gZNz8SK5kFlDfx5mZI8Ir79CylLPqMG+Afh+Dg7umcaqElmPBtynkZ6jz0okb6tG4Oq/d0xiA9/+MZmP0FY0TCVHKzCZYPRFQ1IucdbponUgzFv8LO3ToUKZPn87UqVMJCwtj27Zt/Pnnn9SsqRZO4uPjiYmJKdq/sLCQl19+mdDQUDp16sSOHTtYvXo1gwcPLtqnffv2LF68mHnz5hEaGsr8+fNZsmQJbdq0sfTDESW08tBlNkRfwcZKxydDmqlv5nZ/A4seVotZAa3V4lbwoLIJVL0JjFsPPd5Ue6cdXQrfdoXEE2XTfiV0f5g/Mx5pjrVex4qDl3lZimmiqjD83SsKoM1T6vlFWJ5Xfej49+p8f70KBVna5hGinDCZFZ5fFMXx+Ey8nG2ZO7oVrvY2WseyDEWBv14BUyHU66mOahCWZ2UN9/yfuh31E1zaq22ecmxcx9oMax2IosBzi6KIjpcL96ISiZwLl6PAzhV6v691Gk3plCo4gDszMxM3NzcyMjJkvjQLSMoqoNfnW0nPNTCxVwOe614P1r0OEV+rO7QYo15BtLbVJmDMHvhtLGTGqieBIXOhfi9tslQCa44mMGHhAYxmhcHN/fnkwWZY6SvpUBIhALZ+ApvfA2dfeDYS7Fy0TlR1GPJhZltIOw9tn4G+07ROJITmpv0Vzeyt57Cz1rP4ibY0r6wrdAJEr4Ilw9Xeqc/shmp1tU5UtSwfDwd/UnunPbFVemPfhMFkZtTcvew6m4K/uwPLx3fA26VqDn8TlUh2InzVUu0U0+8TaFM5pzUpaa2okvb5Flp6a+VR0nMNNPFz5ekutWH1S/8U0Xq+A/0/166IBhDUBp7cBkHt1fmNFj4EkTJ56p3qG+LLV8Oaq8M8o+J45bfD0jNNVF7pMbD976vyvd+TIlpZs7FX5+MA2PMNxB/WNo8QGlt2IJbZW88B8PGQ0MpdRCvMhTWT1e32z0oRTQs93wZ7N0g48s+KqeI6NlZ6Zg4Pp7aXE3HpeTzxYyT5BpPWsYS4O+teV4tofs2g1Tit02hOeqRJj7RS9eeReJ75+YA63G98e4IPvP33Cj86uP9raD5C64j/MBbC6hfVLuoA3V5Xl++trBPzWtjqw/E8tzgKk1nhwRYBfPRAKHrpmSYqm8XD1bnRanaE0as0O18UmAo4nnKcE6kn0KPH3toeD3sPwn3CcbZ11iRTmfp1NBz7XfPfg7gzuYZcjiQf4WTqScyKGZ1Oh6+TLy2rt6SaQzWt41UYUTFpDP12N4VGM+O71eV/fRppHcmyNr2nrtzrFgjj94CtkyYx8ox5HEk6wun00+QacjErZoJcg6jnXo967vUq7wIPV+37Xr1IbucGz+4HZ2+tE5Vb55KyGTRzFxl5BgY0q8GXD4dp/vowmU2cSD3BkeQjFJgKMJgNeDl40cy7GbVca2meT5RT57fDD/0BHTy+EfxbaJ3IYkpaK7Iuw0yiksvIM/DmiqMAPN21LsHHP1eLaDo9DJwFzR7WOOF/WNvCfV+rKz5t+3uoljEPur8hH8ruwL2hfigoPL/4IL/uj8XB1op37gsul3+QzYqZ6JRo9iXs40ruFdIL0nG1dcXPyY/w6uGEeIWg10mHXfEfZzaqRTSdFdzzSZmfJxRFYeflncw/Op/9ifsxmo3X7WOls6K5T3NGNB5Bt6Bulfd13OtdOPkXXNwB0X9Ak/u0TiRuQVEU9iTsYe6RuexL2IdRuf71C1Dfoz7DGg1jYN2B2FhV0nm+SkF8Rh5P/LifQqOZXk2q81KvhlpHsqzUc7DzC3W7zweaFNFOpJ5gzpE5bIjZcMPzL0BN15rcW+deHmn0CG52bmWcsIy0GAMHFkD8IXXhgYEztU5UbtXxdmbWiHAenbOXPw5dppGvC+O71dMky4WMC3x/5Hs2XdpEVuGN5xj1tPfkgfoPMLzxcLmoIf5hNsGaSep2yzGVuoh2O6p0j7TffvsNJycnunfvzr59+8jKysLT05Pg4GC2b98OQOPGjTGbzZw8eRKArl27cvDgQdLT03Fzc6N58+Zs2bIFgAYNGmBtbc3x48cB6NixI9HR0aSkpODs7Ezbtm3ZsGEDAHXr1sXR0ZEjR44A6gIKZ86cITExEQcHBzp16sS6desAqFWrFu7u7hw8eBCANm3aEBMTQ3x8PLa2tnTv3p1169ZhNpsJDAzE29ubAwcOANCyZUsSEhKIjY3FysqKXr16sXHjRgwGAzVq1CAgIIC9e9UJQ8PCwkhLS+PixYsA9O3bly1btpCfn0/16tWpU6cOERERAISGhpKdnc25c+pwgp49e/LVoj+JS06n0NqRqSGX2X3kLADBTcMoDOrM6dOnAejevTuRkZFkZmbi4eFB06ZN2bZtGwCNGqlXU0+cUBcB6Ny5M0eOHCEtLQ1XV1datmzJpk2bAKhfvz62trYcO3as6Pk+ceIEycnJODk50a5du6Lnu06dOjg7O3P4sDoMqF27dpw7d44rV65gb29PV/to1uxV26wZGIRHu+FFz3fr1q2JjY3l8uXL2NjY0KNHD9avX4/JZCIgIABfX18iIyMBCA8PJykpiUuXLqHX6+nduzebNm2isLAQPz8/goKC2LNnT9HznZ6ezoULFwDo3bs327dvJy8vDx8fH+rVq8euXbsAaNq0Kbm5uZw9e7bo+d69ezfZ2dlUq1aNxo0bs2PHDgCaNGmC0Wjk1KlTRa/ZqKgoMjIycHd3JywsrOg127BhQ/R6PdHR0QB06tSJY8eOkZqaiouLC61atSp6vuvVq4e9vT1Hj6rF0g4dOnDq1CmSkpJwdHSkY8eOrFu3joTMfJadLiTNYM3Ddc3U9VZf+xcuXCAhIQE7Ozu6devG2rVrURSFoKAgvLy8rnnNxsfHExcXh7W1NT179mTDhg0YjUb8/f3x8/O75vlOTk4mJiYGnU5Hnz592Lx5MwUFBfj6+lKrVi12794NQLNmzUhMTWTP8T3EZcWxXL+cLsYuOOJIoi6RE1Yn6GzsDECMQwydfDsRWBiItc5azhGldI6IiIggJycHLy8vGjVqVPSaDQ4OprCwsHyfIzp1YM13b0NhNjX9fPDoNK5MzxHpBemstFqJd4I3DjhwRXeFRIdEupm7odPpSHBNIDMrE49cdVjXWuu13GN1D6FuodTxq1OuzhEAtWvXxtXVlUOHDgHc2Tni0BasU6LpqexgQ5NPMJqVuz5HZGZmcv78eUA9J+/YsYPc3Fy8vb1p0KABO3fuBCAkJIT8/HzOnDlT9JqVc8TNzxFJWUnsz9nPjrwddDR1BOCi40UaOjfEPdsdBYWdTjvxS/LDCSeSdckkOifygM0DVHesXjHOEV27smbNGgBq1qyJh4eHxc4REbv3EHkhlXUJ9gR7WXNfXRus9LpK8z7ihueIlN+4EJ9EgntL7Op2LNP3EVt2bOF0+mk2GDbgprhRx1wHO70dmbUyCUoJQmfQkaRPYlfhLtoUqgufnbE7wz2B9+CX74cOXeU7RyjJHNi0TH2+uw8iAS95H1HMOeJylomp++HB6ik0C3SnRXB9i54j/v0+IjYxlh1Hd3Al9wqrrVfTzdgNF50LNq42GD2NVLtSjXxjPruUXdiabKlnroeVzgqvUC9a5LcgNye3YpwjyslnjUr5PiLjErUuLsFdn8fBxpPAyqZSf9bw9/cnNDT0lj3SqnQhTYZ2lp7jlzPp/9V2zAr81SudxtufUX/Q8+1/Vlkr73bP+qfa3nUydJ2kbZ4K7Oc9F3ntd/WP4KR+jXiqi7bzmCiKwoqzK/hk3ydkFqqrJzlaO9LGrw213WrjbudORkEGFzMvEhEfQY4hBwAXWxcmhE1gWKNh5bJnnShDe2arK8U5VoNnD4CDe5k0azKbmHVoFt8e/hYFBXsrex5s+CBDGw4lyCXoutdlbFYsy04vY/GJxWQZstChY3jj4bzY4kVsrTScm9ISCrLh65aQFV+x/tZUIWbFzA/HfuDLqC8xmo3YWdkxuP5gRjQeQaBL4HWv37T8NFadW8W8o/NIyksCoF+tfrzR7g1cbGU+QlD/nj23+CB/HLqMp5MtK8Z3INDTUetYlnVuKyy4T+0N/PQu8Cm7Iax/nf+LdyLeIceQg16np0/NPowJGUMjz0bXvX5zDblsjNnIvGPzOJ2mflgL8w7jky6f4OvkW2aZy8yK8er0KAGtYNx6Gc1xC1N+P8LCPTG42FmzfEIH6npbfhqGiMsRTN4+mZT8FAC6BnRlTMgYQr1DsdZfOzDNYDKwNXYrc4/O5UiyWlhp4NGAaZ2m0cCjgcWzinIqPxO+CoecJOgzDdo9o3UiiytprUgKaVJIu2uKovDQ7Aj2XUjjifpZTEl4EQy50OpxTYY/3ZWIGbB2irotH8zuyjdbz/LhX+rVvvcGhjCibU1NcmQVZvFuxLv8deEvAGq71eaJ0CfoXbP3DQsLhaZCNl3axDcHv+FshnrlvoN/B97r8B5eDl5lml2UE7mp8GVzyE+Hez8rswlWU/JSeGXbK+xNUK/SDa4/mGebP1ui12FmYSb/F/l/LDut9hgI9wnni25f4G7vbsnIZe/QYvj9SbB1VgucLtW1TiT+lmvI5aWtL7EjTr0a3KtmL6a0mVKi12++MZ8Fxxcw8+BMTIqJAOcAZvScQR23OpaOXe7N2HyGT9aexFqv46fH2tC2TiUffmU2wezOcOUotH5CfV9ZBoxmI+/veZ/fTv0GqOfQqR2mUtP11u9lTGZT0cW7bEM2bnZufNHtC1pUr2TDobIS4MtwMOTAkLkQ8oDWicq1QqOZ4d/vZt+FNOp4O7F8fAdc7S0zfF1RFGYfns3MgzNRUKjnXo93O7xLiFdIie676dImpkZMJTU/FVu9LR93/pgeNXtYJKso5za8DTs+B8+66krJWi4YWEakkFYMKaSVruVRcbyw5CB+Njlsd38b66w4qNsdHvkVrCrgNHw7v4D1b6rbg76FZkO1zVOBfbL2BDM2n0Wng+lDw7g/zL9M24/JjOGJ9U8Qlx2Hlc6K8WHjGRsyFqsSLNduMptYfHIxn+//nAJTAX5OfnzT8xvquMsHuSrnr1fVFSJ9gtUVf8vgvJaSl8K4teM4m3EWB2sH3mz3Jv3r9L/t42yL3car214l25BNTdeafNfrO/yc/SyQWCNmM8zpCXH7oflIdVEbobmswizGbxxPVGIU9lb2TGo9icH1B992z96DiQd5ddurXM65jKe9J9/1/q5K94xYdyyBJ37cD8AHg5rySJsgjROVgci5sOpFsHeH56LA0dPiTRrMBiZtm8S6i+vQoePx0Md5utnT1/XguZVLmZd4edvLHE85jr2VPZ93+5yO/h0tlFojWz+Gze+DWxBM2KeurCxuKimrgPu+3kF8Rj49Gvnw3aMtS31hLkVR+DTyUxYcXwDAgw0e5JVWr2BvfXu/m+S8ZN7Y+QY74nag1+l5p/07DKw3sFSzinIu7SJ83QpMBfDwImh0j9aJykRJa0WVdBZiUVay8g28/2c0esws8ZqjFtE868KQeRWziAbQ4Xl1WXVQu62f26JpnIrs5d4NGdWuJooCE385xPrjV8qs7QsZFxizZgxx2XH4O/vzQ78feDz08RIV0QCs9FYMbzycJf2XUNO1JvE58Ty65lEOJR2ycHJRrqScVVcoA+jzfpmc11LzU3ls3WOczTiLj6MPi+9dfEdFNIDOAZ1Z0G8BNZxqcDHzIo+vf5zkvORSTqwhvR76fqhuR/2kTn4tNJVRkMHj6x4nKjEKFxsXvuv9HQ80eOCOhseH+YSxuP9iGnk2IjU/lbFrx3I85bgFUpd/JxOyeHHJQQAebVezahTRCrJg8wfqdtdJZVZEe3Xbq6y7uA5rvTXTu03n2ebP3nYRDSDQNZAf+v5A54DO5JvyeXbTs2y9tNUCqTXUbgK41ICMGNgzS+s05Z63ix2zR7bAzlrPxhOJfLb+VKkeX1EUPtjzQVERbXLrybzZ7s3bLqIBeDl48VX3rxhUbxBmxcwbO99g8YnFpZpXlHMb3lKLaLU7Q8N+Wqcpd6SQJu7KlxtPk5RVwOsuqwlK2w3WDjD0xzKbP8hiek5Vu6ibDbB4BCQc0TpRhaTT6XhrQDCDw/0xmRXGLzzAzjOW/xB/LuMcY9aOITEvkXru9fjpnp9o5t3sjo5V170uC/otoKlXUzIKMnh6/dOcTD1ZyolFubVxKpiNUK8X1O1m8eYyCzN5bN1jnEk/g4+DD3P7zL3rXpD1PerzQ78f/immrXucjIKMUkpcDgS2hqYPAgqsmQxVr6N9uWEwGZi4ZSLHUo7hYefBnD5zCPMJu6tjeth78H3v7/85B294mrjsuNIJXEFk5Bl48sdIcgpNtK9bjTf6N9E6UtnY9bU6L49nHWj1mMWbUxSF13a8xvqL67HR2zC963S6B3W/q2PaW9szvet0+tbqi9Fs5OWtL3M46XApJS4HbB2h51vq9vbPIDtJ2zwVQGiAOx8+0BSArzef4c8j8aV27FmHZrH45GJ06Hi73ds80viRuzqetd6ad9q/w6gmowD4YM8HbIrZVBpRRXkXsxuO/Q7o1JWSK9JUTWVECmnijp2+ksW8nRdoqTvBGOMS9cb+n0P1YG2DlQa9HgbOgpodoTALFg6F7EStU1VIer2Ojx8IpU9wdQqNZh5fEMmhS+kWay8xN5HH16q9bhp4NGBOnzl3PbeZp70n3/f+nuY+zckyZPHk+ie5lHmplBKLcuvSPji+HHR66DXV4s2ZzCZe3fYqp9NO4+3gzZw+c0o0H09J+Dr58n2f7/Fx8OFM+hn+t/V/GM3GUjl2udDzbfVCzsWdcGKV1mmqJEVReHf3u+xN2IujtSPf9f6OxtUal8qx3ezc+LbXt0U90yZsnEB2YXapHLu8M5sVXlgcxYWUXPzdHfj6kXBsrKrA2/esBNj1lbrd4y2wssxcUv825+gc/jr/V1FPtC6BXUrluDZWNnzQ6QM6+Hcg35TPhI0TKtd7iKYPgV8YFGTClmlap6kQBjUP4LGOtQF46ZdDRMdn3vUx/zz3J7MOqb0C32z3Jg80KJ0563Q6HS+1fIkhDYagoDBp+ySOJR8rlWOLcspsVi9MAoSPBN+m2uYpp6rAX2JhCYqiMHXVcezNOcxy+g6dYoZmwyBsmNbRSo+1HTz8M1SrD5lx8MujYCzUOlWFZG2l58thzelU34vcQhNj5+/jQnJOqbdTYCrgxc0vkpiXSF23uszpPQdP+9IZCuJo48jXPb6mgUcDUvJTGL9pfJX5IFclKQqsf0PdDnsEqlu+B8jMQzPZEbcDOys7ZvSYQS23WqV6/ECXQGb2nImDtQMR8RF8tv+zUj2+ptwCoN14dXvjVDBVoiJhBfHDsR/4/czv6HV6PunyCQ09G5bq8Z1tnfmq+1d4O3hzJv0Mr25/FZPZVKptlEfTN5xi88kk7Kz1zB7ZAk+nyj/RMwBbPlQnsfdvCU3ut3hz22K38eWBLwGY0mYKnQM6l+rxbfQ2fNblM4KrBZNWkMaLW14k35hfqm1oRq9Xpz4A2D8PEk9om6eCmNSvEZ3qe5FnMPHEj5Gk5dz5Z4zDSYd5Y6f6nmV08GiGNBhSWjEBtZj2WpvX6FCjA3nGPMZvHF+5pokQ1zq6FC4fUBdy6va61mnKLSmkiTuy7XQy208n85btT3gb49VJRvt9pHWs0ufgDsMWgZ0rxETA2slaJ6qw7KytmDWiBSH+rqTkFDJq3l6SswtK7fiKovDe7vc4nHwYF1sXvuz+ZamvUOhq68o3Pb/Bx9GH8xnneX3n61TB9VqqhpN/qv/nrR2g22sWb25jzEa+PfwtAG+1e6vUevL8V0PPhrzfUf3A8+PxH1l7Ya1F2tFEh+fAwROST8HBn7VOU6UcTDzI9APTAXil1SulXoS4ytfJly+7f4mdlR3bYrcx9+hci7RTXqw9lsCXm84AMG1wU0L83TROVEaSTsEBdY4ner9r8SFFFzMvMmnbJBQUHmzwIA82eNAi7TjaOPJFty/wtPfkZNpJPtjzgUXa0UStjtCoPyjmfy5CiWJZW+n5alhzgjwduZSax/iFBzCazLd9nMzCTF7e+jKF5kK6BnblhfAXSj8s6jDPT7t8Sj33eqTkpzB5+2TMyu3nFeWcsRA2v6dud3xBVkMvhhTSxG0zmRWm/RlNb/0+HtRvAXQw6Buwr6Rv8Lzqw+DvAJ066fj+H7ROVGE521kzd3QrAj0duJiSy9j5+8gpKJ2eI7+c/IXlZ5aj1+n5tPOnBLlaZiJmb0dvPu/6OTZ6GzbGbKz0H+SqJJMB1v8950u78eBaw6LNJeQk8MYO9YPHiMYjGFB3gEXb61WzF481VecbeifiHeKzS29+Fk3Zu0Hn/6nbW6ZBYa62eaqIrMIsJm2fhEkx0a92Px5pdHdz8txKiFcIb7RV/7/MPDiTo8lHLdqeVs4kZvPSL+riGWM61GJweIDGicrQxndAMUHDe6Bme4s2ZTQbmbRtElmGLJr7NGdya8teMK3uVJ2POn+EXqfn9zO/88fZPyzaXpnqNRX01nB6HVzYqXWaCsHd0ZbvHm2Jo60Vu86mMO2v2+vNpygK70a8S3xOPIEugXzY6cMSL6p1J5xtnfm/Lv+Hg7UDu+N3M+/oPIu1JTSyfz6kXQDn6tD2Ga3TlGtSSBO3bdmBWC4nxPOB7d8FhA7PQa0O2oaytIZ9/+mV8ufLcPmgpnEqMh8XexaMbYOnky2HYzN4+ucDGO7gCty/nc84zyeRnwDwQvgLtPe37BvvUO9QJrdR32x/HfV1lV1FrtI6sABSToNjNXUVXwtSFIW3dr1FliGLUK9QJracaNH2rnom7BmaejUlqzCLyTsmV54hcq3GqT2ks+Jh72yt01QJ7+95v2h15DfavnFHq3Pervvq3kefWn0wKkYmbZ9ErqFyFU2z8g088WMk2QVG2tT2ZMo9lumhWi5djFDnOdTp1bkPLWze0XkcTTmKi60Ln3T+BJsymIutrV9bnm72NADT9kwjISfB4m2WiWp1IfxRdXvjO7LwSwk19HXhs4fUBbHm7DjP0v2xJb7vqnOrWHNhDVY6Kz7s9CFONk6WilmkjnsdJrWeBKjvgSvV4hlVXUE2bPtY3e7yKtha/vVUkUkhTdyWvEIT/7fuFJOsF+NFhjp/WBkMeyoXOr0EDe8FUyH8NlZdll3ckdpeTswZ1RIHGyu2nUpi0tIjdzxE0mg28vrO1ykwFdDWry2jg0eXbtibGFJ/CL1q9sKoGJmyfUrlmeukqivIVufmAegyCexdLdrc0tNL2XV5F3ZWdrzX8T1s9Jb/EAfqfD0fdvoQR2tH9l/Zz+KTlWRJe2s76P73fB7bP4fcVG3zVHLrLqxj9bnV6HV6Puz0IS62LmXSrk6n4422b1DdsToXMy/yaeSnZdJuWTCbFSb+cohzSTn4udkzY3gVWVwArp2bMvxR8C7defb+62TqSWYemgnA5NaTqe5UdkOYHmv6mHoxw5DF27verjzTRHR+RZ0S4dIeOLVG6zQVRt8QP57rXg+AKb8f4djlW6+snZCTwPt71Kkanm72NKHeoRbN+G+D6g0qupjx5s43MZgMZda2sKCIGf+slHy1KC5uqor8ZRalZd6u8wRmHeQR67+XPh7whfrBpSrQ6+H+r8HVH1LPwp+vaJ2oQmse5MGM4c2x0utYeiCWT9edvKPjzD82n8NJh3G2cWZq+6ll0hsC/vkg5+XgxdmMs3wV9VWZtCssbM8syElU30S0HGPRpi5nX+aTfWpPymebP0ttt9oWbe+/glyDeKnlSwB8ceALLmdfLtP2Labpg1A9BAoyYEclWlChnMkqzOLDvWrReVzIOMJ8wsq0fTc7Nz7oqM4x9eupXzmYeLBM27eUrzefYf3xK9ha6/lmRAu8nKvIeyyA6JUQuw9sHKGrZYdYGkwGXtvxGkazke6B3elfp79F2/sva70173V4D1u9LTsv72T5meVl2r7FuPpB26fU7Y1TobL0di4DL/RsQLeG3hQYzTz90wEycosvTk3bM40cQw7NvJsVTddQVnQ6Ha+3eR1Pe0/OZpxlztE5Zdq+sICc5H9WSu7+epmslFzRSSFNlFhmvoG5W07ygc3fJ8vwRyv/kM7/cvSEB75XhxwcWgiHf9E6UYXWvVF1PhgUAsCMzWf5MeLCbd3/TNoZZhycAcCrrV/Fz9mvtCMWy8Peg3favwPAT9E/yRDPii4vDXb+/Sai22sWfxPx3u73yDXm0tynOSMaj7BoWzczpMEQwn3CyTPm8e7udytHrwj9v4aE7fkW0i9pGqey+vLAlyTlJRHkEsSTzZ7UJENrv9YMqjcIUOf7M5grdq+IzScS+XzDKQDeGxhCs0B3bQOVJbMJNv09wXW7CeDia9Hmfor+iZNpJ3G3c+eNdmUzJPm/6rjXYULzCQB8tv8z0vPTyzyDRXR4Xp2zMvE4HPlV6zQVhl6v4/OhYQR4OBCTmsvEXw5iNt/4b/KmmE1surQJa501b7V7y6Lzot2Mu707r7Z6FYBvD3/L+YzzZZ5BlKLt/weFWeDXDJoM0jpNhSCFNFFi328/z0OG5dTXx6E4+aiTilZFNdur48YBVr0IKWe1zVPBDW0VxIs9GwDw1spjbIy+UqL7KYrC+3vex2g20iWgC/fXvd+SMW+qc0Bn+tXqh1kxMzViauWZa6oq2vml2ovJJxiCB1u0qW2x29getx1rvTXvtH9HkzfBAHqdnrfav4WN3oYdcTtYf3G9JjlKXb2eUKsTmArUhQdEqTqSdIQlJ5cA8Ea7N7Cz0q7X1MQWE3G3c+dM+hl+Ov6TZjnu1qXUXJ5fHIWiwIi2QTzUMlDrSGXr8C/qirsOHtD+WYs2lZibyDeHvgHgpZYv4eXgZdH2ijOiyQjqudcjvSC9aOXbCs/BAzq+qG5vfl9dBVCUiLujLd+MaIGttZ6NJxKZtfX6zxi5hlym7VX/rj0a/Cj1PeqXdcwi/Wr3o4N/BwxmA1MjplaOi3FVUXqMuqAeqBci9VIiKgl5lkSJpOYUsmrHfsZbrwBA1+d99Q9lVdX5f1CzAxRmw9Jx8ibhLj3Xox4PtwrErMCzi6I4fjnzlvdZe3EtkVcisbOyY0qbKZpcTb7qldav4GLjwrGUY5VnrqmqJusK7FE/WNH9dYu+iSg0FfLR3o8AGNl4ZJkP6fyvOm51GBsyFlB7RVSK+f50Ouip9hbl4EJIvL2V0MTNmRUz7+15DwWFAXUG0NavraZ53O3di4Yozzo0q0JO3J5vMPH0z/vJzDcSFujOm/2DtY5UtoyF/xS8O7xg8bkpP9//ObnGXEK9Q7mv7n0WbetWbPQ2RavQLj29tPJM3N76SXD2VT+g75+vdZoKJcTfjXfvV88B/7fuJDtOJ1/z89mHZ5OQk4C/sz9PNXtKi4hFrk5zYm9lT+SVyMpzMa6q2fyBOgd47c5Qp5vWaSoMKaSJEpm99SzjzT/hpCtACWitzkFTlemtYPC3YO8Ol6Ng07taJ6rQdDod7w4MoX3dauQWmhj3wz6uZN78w3yuIZdP96mTS48LGUcN5xplFfWGvBy8eD5cXd1xRtQM0vLTNM0j7sCOz8CQC/4toWE/izb14/EficmKwcvBiydCn7BoWyU1NmQs1R2rE5cdx4LjC7SOUzoCWkCj/oAivdJK0epzqzmechwnG6eiApbW7q97f9EQ5Yo4X+U7fxznaFwmHo42zBgejq11FXt7fvAnSL8ITj7Q2rLnxKjEKFadW4UOHZNbT0av0/65Dq8eXlTQ+3jfx5WjV4+tI3T9e/TGto/VhXxEiQ1tFcTQluoF5ucWR3E5PQ+AuOy4op63k1pPwsHaQcuYAPg7+zMmRJ1T9rP9n1FgKtA4kbgtidFw6O9OAD3fVi9EihLR/q+HKPcSs/KJiljPA1Y7AND1+1D+kwG4BcBAdbUndn0FMXu0zVPB2VjpmTW8BXW9nYjPyOexHyLJLTTecN/vj3zPldwr1HCqUfTHW2tDGgyhkWcjsgxZzDo0S+s44nakX4LIuep2jzcsen5LzE1k9uHZALzY4kWcbZ0t1tbtcLRxZGKLicDf/79ySjbEutzrNgXQwfHlkHBE6zQVXr4xny8OfAGoqw5Wc6imcSKVTqfjf63+B8AfZ/8gOiVa40Qlt3R/LIv2xqDTwRcPN8ffXfsPxmXKkA9b1UVX6PyyWoCxELNiZtoetag+qP4gQrxCLNbW7Xo+/HkcrB04lHSo8vTqaT5SXbgnJ+mfHt+ixN65P5gQf1dScwp5+ucDFBhNfHngSwrNhbTxbUOXgC5aRywyOng0Pg4+xGXH8XP0z1rHEbdjyzRAgcb3gX8LrdNUKFJIE7f03dYzTNb9AIAS9sh1/8nisuP48sCXjPprFJ0Wd6L5gua0X9ieQSsG8f7u99kWuw2zYtYiuuU1uhfChgMKrHgGDHlaJ6rQ3BxtmDu6FZ5OthyJy+CFxddPtHo5+zI/HFNfj/9r9T/sre21iHodK70V/2upfpD75eQvnEs/p3EiUWJbP/pXl/auFm1q9qHZ5BnzCPUKLfNV4m6lX+1+hHmHkWfM45vDleRDT/VgCP570tzN0ivtbv14/Eeu5F7Bz8lPswUybibEK4R+tfuhoPB/kf9XIXr1nEjI5LXlaoH3+R716dzAW+NEGoicC1mXwTUAWoy2aFPrLqwjOjUaZxtnnmv+nEXbul0+jj6MDh4NqENPDaaKvXAGoC7Y03WKur3rK8jP0DZPBWNvY8Ws4S1wc7Dh0KV0Xlr+B3+e/xMdOl5q+ZKmU5r8l6ONI8+Fq/+nvj38LSl5KRonEiWScASOrwB0Fl8puTKSQpooVkp2Aal7l9BcfwajtRO6Hm8V/exYyjGe3fgs/Zb247sj33Eg8QDpBekYFSNZhizOpJ9h8cnFjN84noErBrLizIoK8cb2tvV5X50HIuWMOsZc3JWa1Zz4dmQLbK30rDt+hY/WXDu30TeHvqHQXEgr31b0COqhUcoba+3Xmm6B3TApJj7b/5nWcURJJJ9R59AC6P6mRZu6lHmJZaeXAWpvtPIwpOjfdDodL7ZQJ4j+/fTvXMi4oG2g0tJ1srrS8snVEHdA6zQVVkpeCnOOqqt2Pxf+XLm5iPFvz4c/j43ehj0Je9get13rOMXKzDfw9E8HyDeY6dzAm+e6azdhuGYKstVh9QBdXgFryy1aYTAb+Prg1wCMCh5VbnpT/tvo4NF4O3gTmx3LL6cqyarwIYPBqyHkp8PuSnKBpgwFejoyfWgYOp3CxkS15/yAugNoXK2xxsmuN6DuABp7NibHkMP3R77XOo4oiS0fqv+GDIbqTbTNUgGVr3fxotyZu+0Uz6GOm7bq+Dy4+GIym5h9aDbDVw9nS+wWFBTa+rXlvQ7v8Uv/X1g/ZD0rB67k866fM7ThUFxsXDifcZ7Xd77O4+seJzYrVuNHVcocPGDAdHU74muIjdQ0TmXQspYnnzwYCsDsbedYtDcGgPMZ51lxVl3w4vnw58vV1birJraYiJXOiq2xWzmYeFDrOOJWtn4Iigka9IPAVhZtauahmRgVIx1qdKClb0uLtnWnwquH0yWgCybFVPShs8LzbgBNH1K35WLHHZt3dB45hhyaVGvCPbXv0TrODfk7+zO88XAAvjzwZbntDa8oCq/8epjzyTnUcLNn+tAw9Pry9/fM4vbOVof9edSGsEcs2tTKMyu5mHkRT3tPRjYZadG27pSjjSNPhz0NwHeHvyPXkKtxolKgt4Kuk9TtiBmQJ3PI3q5ujXwY3D4Xa6fzKGZr+vmXjylN/kuv0/NCixcAdWRGRVz4pUq5HAUnVqkXGrtM0jpNhSSFNHFT6bmFFO6eQ019IgX23ujaTyAxN5Gxa8fy9cGvMSkmetfszcqBK/mu93fcX+9+GldrjK+TL7XdatOzZk9eb/s664as44XwF7C3smdPwh4eWPkA22PL95Xi29awH4QOBcUMy59R5/wQd+X+MH9e6KleoX9j+VF2nE5mxsEZmBUzXQO70sy7mcYJb6yWWy0G1hsIwBcHvqicvTAri6RTcOQ3dbubZbu0n0k7w+pzqwF4NvxZi7Z1t55t/iw6dKy9sJbjKce1jlM6urwCOis4sx4u7dU6TYWTnJfMkpNLAJgQNqHc9ab8t3Eh43CyceJk2kk2x2zWOs4Nfb/9PGuOJWBjpWPmiBZ4OtlqHans5aXDTnW+PbpNUYcBWkiBqaBo7tLHmj6Gk42Txdq6WwPrDSTAOYCU/JTKswp4k4Hg0wQKMiBiptZpKhxFUYjXLwfAkNaG13+7REZe+Rz6286vHeE+4RSaC6VXWnl3tTda0wfVC47itpXfd0JCcwu2neBx3e8A2PaYzBVDNmPXjuVA4gGcbJz4oOMHfNrlU2q71S72OM62zoxrOo5l9y0j3CecXGMuEzZNYMmJJWXxMMpO3w/VFaeST6pzLom79nyP+twfVgOjWeHpX1ey9sJadOiYEDZB62jFejL0SWz0NkReiSQiPkLrOOJmtn0CKNDwXvCzbGH264Nfo6DQq2YvgqsFW7Stu9XQsyH9aqsrl84+NFvjNKWkWt1/erxsek/bLBXQnCNzyDflE+oVSkf/jlrHKZa7vTuPNFJ/1zMPzSx3vdL2nk/lw7+nLHijfxPCAt21DaSV3TPVObO8G0HIAxZtasmJJVzJvYKvky8PNXzIom3dLRu9DU81ewpQe4FmF1aC1S71+n/mX9o9C3JTtc1TweyI28GR5CPYWdnhaezLhZRcXv71ULm8UKvT6ZjQXH2PvvT0UuKy4zROJG4odj+cWqNeYOzyqtZpKiwppIkbyi4wUrD7O3x06eQ6+nOlYW/Grh3LxcyL1HCqwS/9f2FA3QG3NbQu0DWQ73t/z8B6AzErZt7b817lWtnF0RP6f65u75wuc/GUAp1Ox0cPhNKypgdG178A6BHYh4aeDTVOVjw/Zz+GNhwKwIyoGeXyzU6Vl3wGjv7dG63LKxZt6kTqCTbGbESv05f7IvBVT4Y+iQ4dmy5t4mTqSa3jlI4ur4DeBs5vhQs7tE5TYSTlJvHrqV8BGB82vlwOqf+vUcGjcLZx5lTaKTbGbNQ6TpHErHwmLDyAyaxwX7MajGxbU+tI2shL/2e+rK6T1eF/FlJgKmDesXkAPBX6FHZWlpuHrbTcW+dearnWIr0gnUUnFmkdp3Q06g++TaEwS114QJSIoijMODgDgIcbPsw3w7pia6Vn/fErzN5WPhe1auXbirZ+bTGajZXnYlxls+XvaS6aDVMvNIo7IoU0cUO/7TrBGGU5ADldn2PshieJyYrB39mfeX3nEeQadEfHtbGyYWr7qTwR+gQAH+79kKWnlpZWbO017q9eWVXM8MfzYDJqnajCs7ex4uUBTli7nEBRdFw42wGDqXz1MLiRcU3HYWdlx+Hkw+yO3611HPFf2z5R/5826Ac1wiza1Jwj6gTtfWr2oY57HYu2VVrquNehb62+gLrAR6XgHgThj6rbm94HKXCXyNyjcykwFRDmHUa7Gu20jlMibnZuRXOlzTxYPnqlGU1mnlsURWJWAfV8nJk2uGmFKEpaxJ5v1GF+Pk2g8X0WbWr56eUk5yXj6+TLfXUt21ZpsdZbF71P/in6J/KNlWC6EL3+nxU898yGnGRt81QQ22K3cSzlGA7WDowJGUOzQHfeuk+dFP6TtSeJvFA+e/dd7ZX2x9k/iM+O1ziNuEbMHjizAfTW0PllrdNUaFJIE9cxmMzk7piFly6TFMdAXk7exaWsS2oRrc88ajjXuKvj63Tq0LxRTUYB8E7EO5VrzrS+H4G9GyQchsg5WqepFH49s0DdyA4j6qwN764q//M2eTl4MaTBEABmH5YrcuVKylk48veKaBbujXYh4wJrL6wF1OJqRfJE6BPo0LEhZgOn005rHad0dH4ZrOwgZhdcqER/dywkNT+V306pPTefDnu6QhV+RjYZibONM2fSz7Dl0hat4/B/60+x+1wqTrZWfDOiBU521lpH0kZ+hjqsE/7uJWq5jyIGs6GoN9qY4DHYWHAettLWt3Zf/Jz8SM1PZeXZlVrHKR0N+4FfGBhy/pkfTxTr6krJQxsOLVpp9pHWQdwfVgOTWWHCwihScwq1jHhDzbyb0ca3DUbFyA/Hf9A6jvi3q73RwoaDZ/HTM4niSSFNXGfdgdMMMy4H4KvG4RxIisLFxoWZPWfi5+xXKm3odDpeavkSg+oNQkHh1W2vcj7jfKkcW3PO3tDjLXV703uQJavW3I3zGedZf3E9AP9ro65mtSDiYtFKnuXZ6ODR2Oht2H9lP5EJspprubH9/9TeaPV7g3+4RZuad2weCgpdArqU+yHJ/1XPox49a/YEYMHxBRqnKSWuNf7plbb1Y22zVACLTiwi35RPk2pNaOdXMXqjXeVm51Y0H9bco3M1HWK/MfoKs7acBeCjIaHU83HWLIvm9sz+Z260xvdbtKk159cQlx2Hp70ng+sPtmhbpc1Gb8OjTdRz1fxj8zGZTRonKgU6HXR7Td3e+530SruFA1cOEJUYdc1rAdTPUO8PakodLycSMvOZ+MtBzOby18P66sXDpaeWkppfPnvOVTkxu+HcFnWaC+mNdtekkCauoSgKSRu/xkOXzTL3IJamRqFDx0edP6KOW+kOSdLpdLzR9g2a+zQny5DFc5ueI8eQU6ptaKbFaPBvAQWZsPY1rdNUaHOPzkVBoWtgV0a1asdLvdSVZd5ccZR95bRL+1W+Tr5FK3jK6kXlROo5OPT3SmgWXu47ISehqCfBY00fs2hbljIqWO05vOrcKpJykzROU0o6vqC+ibywHS7u0jpNuZVryGVh9EIAxoaMrVC90a4a0XgENnobDiUd4kCiNvOWxqXnMfGXQwCMbl+L/qF316u/QsvPhAh1vic6/8+ivdHMirno7+6jTR7F3treYm1ZyuD6g3Gzc+NS1iXWx6zXOk7pqN8LajQHY94/rwVxQ3OPzgXgvrr34e3ofc3PnO2smTE8HDtrPVtOJvHNtrNaRCxWW7+2BFcLJt+UX7nmxK7Itn2i/hv2iDrdhbgrUkgT19h9Mo7+ectJtLLik2rqhKzjmo6jU0Ani7RnY2XDZ10/o7pjdS5kXuDDvR9apJ0yp7eCez8DnV6d0PzsZq0TVUjx2fGsOrsK+KcQMaF7Pe5t6ofBpPDUj/uJS8/TMuItjQ0Zi16nZ+flnZVneFxFtv3/QDFBvZ4Q0MKiTf1w7AeMZiOtfFsR5hNm0bYspZl3M5r7NMdoNlaeSa/dAqD5CHVbeqXd1NLTS8kszCTIJYieQT21jnNHvB29i+bFuvqhtCwZTGaeXXiAjDwDoQFuTLmncZlnKFf2zob8dPBqAMGDLNrU5pjNnMs4h4utS9HiPxWNo41j0Qq0c49o26uy1Oh0ahEV1F5peWna5imnTqedZmvsVnToGBMy5ob7NPZz5Z371FXA/2/dKfaeL18Xl3U6XdF790UnFlWOFWgrsrgD6txoOivo+KLWaSoFKaSJa5xeq86N9ra3H9nmAkKqhfBM2DMWbdPLwYsPO32IXqdn+ZnlRfMJVXg1wqDV4+r2ny+DsUDTOBXRguMLMCpG2vi2oZl3M0D9w/zJg6E08XMlJaeQJxZEkldYfoc8BLgE0COoBwA/Hv9R4zRVXNqFMuuNllmYydLT6kIq40Iq1txo/3W1V9qSk0vINeRqnKaUdHxRnWj33Ga4tFfrNOWOwWTgh2PqvDajQ0ZjZcFVFS1tdPBodOjYFrutzC9mfLruJAdi0nGxs+brYeHYWlfht90FWf/qjfaKRVfqhH+Goz/c8GGcbSvuUNphjYZhb2VPdGp05Vm4qEE/8AlWV/DcI3PI3si8o+rcfr1q9qKm681X9x3aKpBBzf0xmRWeXXSAlOzy9Vmje1B3arvVJqswi2Wnl2kdp2rb9qn6b+hDMjdaKSmTv+gzZ86kdu3a2Nvb06JFC7Zvv/kEv8uWLaNXr154e3vj6upKu3btWLv22sLK/Pnz0el0133l51eCVW00dCIuhe6pi9no6MB2Bz3WOmvebv82NnrLT87a0rdl0YfNdyLeITE30eJtlonur4FzdUg5Azu/1DpNhfLvP7r/vRrnaGvNt4+2oJqTLccuZ/K/3w6V6yu1V+e2WHVuFcl5MieIZrb/H5iNULc7BLayaFPLTi0jz5hHPfd6tK/R3qJtWVrXgK4EuQSRWZjJ72d+1zpO6fCoCc0eVrelV9p11l1cx5XcK1Szr1ZhVjq8mVputYrm+pt/bH6ZtbvpxBVmbz0HwMdDQgmq5lhmbZdLe79Vex9Vqw8hlp2v7FjyMQ4kHsBab83DjR62aFuW5mHvUTS/mxa9Ki1Cr4fOL6nbu2epQ35FkcTcRP46/xegjmoojk6n472BIdT1duJKZgEv/nKoXM2XptfpGdlkJAALTyysHHP9VUQJR+HkakAHHSdqnabSsHghbcmSJbzwwgu89tprREVF0alTJ/r160dMzI0nCt+2bRu9evXizz//ZP/+/XTr1o0BAwYQFRV1zX6urq7Ex8df82VvX/HmPyhP9q+ei5s+hXerqavCjAkZU6aTYz8d9jTB1YLJKsxi2p5pZdauRdm7QZ+/V0fZ/qnaI0aUyLLTy8g15lLXre4NCxEBHo7MGtECa72OVYfjmbml/M0PcVWYTxih3qEY/p+98w6vosz++GduSe+NQHoIJRBK6B0U6QgogoB117L2trq7rtvU/em6a8G+uu6quyqgYkHpvfcQaggJSUghIaT35LbfH8PcgFJS7szc3MznefJkTObO+UYmN++c95zztZpYlr5MbTmdk/IzkCrOe5K7Gs1sNfPFSTHWHX3u6JCzpS5Gr9Pbk8H/O/E/11kIj/212OKQuV5sedAAxFmpUvXswt4Lcde7q6yo/UhVlauzVyuymVFYWc+vL8xFu2tkDNP6OcaoqcPSWAO73hGPxz2jWDXatNhphHmFyRpLCe7seyd6Qc+ewj0cLz2uthzH0GeOmFRtqNAc7n/C0pNLMdvMDAobRN+Qvtc839vdwHu3DcbDqGPbqfO8v9W51sM3xt9IgHsABTUFbMrbpLaczsn218TPfedAaE9VpbgSsifSXn/9de655x7uvfdeEhMTWbx4MVFRUbz//vuXPX/x4sX85je/YejQofTo0YOXXnqJHj168MMPP1xyniAIhIeHX/Kh0XaKKuoYWvAJbwYFUGrQEeMXw68G/EpRDUadkedHPY9BMLAhdwMbz2xUNL5sJM2FuHFgboB1f1RbTYfAbDXbh1zf3uf2KyYihsUF8fxscZHx6rp0Npw4p5jG1iIlIpadXEaDWaueVZwdr4vVaPETIHq4rKE25G6gsLaQII8gZsTPkDWWUsxKmGVfCG/MdZH35qB46DdPPJYG8Gpw+Pxhjpcex03nxrxe89SW4xAGhA6gX0g/TFYTX536StZYZouVx5YcorzORFKEH7+f0cnnogHs/wjqy8TfuaS5soYqqi1iXc46AHslTEcnwieCqXFTAfj8hIsMbdfpxc0MEJOsTS5iNtZOGswN9veo1ty/vcJ9eWFWEgCvrUtnb1apLPragofBg3k9xb8l2ogTFSjJgOMXugnGak6djkTWRFpTUxMHDx5k8uTJl3x98uTJ7NrVMqcsq9VKdXU1QUFBl3y9pqaGmJgYIiMjmTlz5s8q1i6msbGRqqqqSz40LmX3mi/QuxXxla84R+JPI/6kyi50r6Be3J10NwD/t/f/XGMwpSDA1L+JxgNpKyBnh9qKnJ7NeZs5W3uWAPcAZsbPvOq5tw2P4bbh0dhs8MSyVDKLqxVS2TomRk+km3c3yhvL+THrR7XldC4q8uDQhYcPmavRoHmhOL/XfJeo5gHwNHjaW6Q+Of6JU7dSt4pxTwMCpK+CwiNqq3EKPkv7DIAZ8TMI8gi6xtkdh9sTRYOJL9O/xGQxyRbn9fWn2J9Tjs+FuWjuho47X84hmC5yZxz7a9AbZA235OQSzDYzQ7oMITHYdZKY0v27JmcNpfXOkyRpF/1ugYAYqCuBg5+qrcYpWJm1korGCiJ8Irgu6rpWvXbekEhuHhSB1QaPLjlEiRPNS1vYeyEGnYFDxYc4VnJMbTmdi+2vAzboNR3Ck9RW41LImkgrKSnBYrHQpUuXS77epUsXioqKWnSN1157jdraWubPn2//Wu/evfnkk09YsWIFS5YswcPDg9GjR5ORcfkhsi+//DL+/v72j6ioqLb/UC5Io9lCt/RPeCswAKsgMDF6IsO6DlNNz6/6/4po32jO15/nwyMfqqbDoXTpC4MvzPla/TtwldYomfjshPggN6/nvBZZ1v/5xr4MiwuiptHM/f87SHWDfA9JbcWgM3Bb4m2AmGix2qwqK+pE7HobrCaIHQsxI2UNdeT8EY6cP4JRZ+ywTnFXYkGvBbjp3DhacpTD5w+rLccxhFw0r2mbNiutsKaQDWc2ANjfr1yFSbGTCPMMo6S+hDU5a2SJsSW92D5m4G9z+xEb4i1LnA5F6udQWwz+UdBf3vfEenM9X5/6GnCdajSJpJAkkoKTMFlNrjOrUm+EsRfmNe16C0ydu1rfZrPZNzIW9l7YapMXaV5aQpgPxdWNPLks1WnmpYV6hTItdhqgVaUpSnkOHLkwUmacVo3maBQxG/hpW5bNZmvRzJglS5bwl7/8hWXLlhEW1jzjYMSIEdx+++0MGDCAsWPH8uWXX9KzZ0/efvvty17n2WefpbKy0v6Rl5fXvh/Ixdixcysebuls8vZCh47Hkh9TVY+HwYPfDvstAP9L+x85lTmq6nEY1z0H7v5w7igc+kxtNU7L8dLWDwl2M+h477ZBhPt5kHW+lme+OuKUFTM397gZb6M3WZVZ7CzYqbaczkHNeUgR5+UosYhYcnIJANPiphHiGSJ7PCUJ9gxmevx0ANea9TfuGfFz2g9QfFJdLSqzNH0pFpuFYeHDFJ2RqgRGnZFbe4uJnM/TPnf434iiygaeujAX7fYR0czs382h1++QWEyw803xeNRjYuJERlZlraKqqYpIn0jGR46XNZYaLExcCIjvv2arWWU1DmLAQvCLgOpCMenaidlbtJfMiky8DF52g4nW4uVm4L3bBuFh1LE9o4R3N2c6WGXbua2PuDmz/sx6yhrKVFbTSdjxBtgs0H0iRAxWW43LIWsiLSQkBL1e/7Pqs+Li4p9Vqf2UZcuWcc899/Dll19yww03XPVcnU7H0KFDr1iR5u7ujp+f3yUfGs2Yd7/P4sAAAGYnzCY+IF5dQcC4yHGMiRiD2Wrm1QOvqi3HMXgHwwQxQcimFzWXoiuw9ORSACbHTG7VkOAQH3feu30QRr3AmuNF/POCW5oz4ePmw9we4nwYbUdOIfa+D+Z66DYI4uR9sCprKGNtjugyvaj3IlljqYVUZbc2Zy3lDeUqq3EQYYnQ+0IL+c7FqkpRkyZLE99miJUuixJd8/69pectuOncOF563KHtRWaLlceWHqKstok+Xf34w4w+Drt2h+bYcqjIBe9QGCRvhZjNZmNpurh+uLXXra2u5ukITImdQqB7IEW1RWzN26q2HMdgcIfRj4vHOxaLyddOyrKT4gbVjd1vxNfNt83X6dnFlxdniy18b2w4xR4nmZfWN7ivvaryu8zv1Jbj+lQWNI81kTYMNRyKrIk0Nzc3Bg8ezPr16y/5+vr16xk16ucufBJLlizh7rvv5osvvmDGjGsParbZbKSmptK1ayd3RWoDx06dxo1dHPD0wE0w8NDAh9SWZOeZoc9gEAxszd/K7rO71ZbjGIbeB8EJUHteG259GSobK+2W3wt7L2z16wdFB/LnG0XzgX+sPcnOTPnd2VrLosRFCAjsLtzNmaozastxbRoqYd9H4vHYX4vzCmXkm4xvMFlNJAUntchpqyOSFJJEn+A+rtVeBM128Ee/Eh/8OyEbczdS3lhOmFeYS1bzAAR5BDE5Vpzb60jTgTc3ZrAvuwxvNz3v3jYID6PrJXFajdUqVkMAjHgQjJ6yhjt8/jAny07irndnTsIcWWOphbve3V6ptCR9icpqHMigO8E7DCpzm9vQOhlFtUVsztsMiGMU2su8IVHMHRSJ1QZPLE2lvLap3dd0BPN7iaOavkr/ShtxIje73hLHmsSMkX2sSWdF9tbOp556io8++oj//Oc/pKWl8eSTT5Kbm8sDDzwAiG2Xd955p/38JUuWcOedd/Laa68xYsQIioqKKCoqorKy0n7O888/z9q1a8nKyiI1NZV77rmH1NRU+zU1Wk7O+nd5N0g0GFiYuIhwb+dxP433j7e/4b6Z8qZTtuq1GoMbTHlJPN7zPpQ6l0W12nyf+T2NlkZ6BvZkQOiANl3jtuHR3DI40j5staCi3sEq20eETwRjIsYA2Ge5aMjE/n9DYyWE9haHrMqIxWrhq3TxwVxqH3NVpEW+Sy2EIweL7spWs+gg1wmREks397gZg07egfBqIrnHrclZQ3VT+81ptmec550L7VMv3dyPOG0umkj6Kjh/Etz9YOi9soeT2s2nxk4lwCNA9nhqMb/XfHSCjr2Fe8mqcL7K+zZh9IRRj4rH21/rlHOEl2csx2KzMLjLYBICExxyzRdm9yU+1Juiqgae+fqwUzxHTYmdgq/Rl/yafNcpknBGaorh4CfisTYbTTZkT6TdeuutLF68mBdeeIGBAweybds2Vq1aRUxMDACFhYXk5jbv/n7wwQeYzWYefvhhunbtav94/PHH7edUVFRw//33k5iYyOTJkykoKGDbtm0MG6begPyOSGllNTW1K0h3d8NLcOPefvepLeln3N//frwMXhwvPc66M+vUluMYekwWe9WtJlj3R7XVOA1Wm5UvT30JiG0ZLZmjeDmkYatJEX6U1Tbx4GcHaTA516JMShB/l/kdjRbncVVyKUz1sOc98Xj0E6CT98/djoIdnK09i7+7P1Njp8oaS22mxk3F101cCO862zIH7g6BVJWW8l+odb5qVjnJqsxif9F+dILO3n7uqiSHJdPdvzv15vp2OygXVzXw5LJUbDZYOCya2QMjHKSyg2OziQkRgGH3gYe/rOEubqtv6WzVjko3n25MiJwAYG9ldQmG/BI8A6EsC459o7YaRTFZTfaNVUdUo0l4uxt4e2EybnodG9KK+WRXjsOu3Va8jF7MSpgFiA7KGjKx+x0wN0DEEIifoLYal0URs4GHHnqInJwcGhsbOXjwIOPGjbN/75NPPmHLli32/96yZQs2m+1nH5988on9nDfeeIMzZ87Q2NhIcXExa9euZeRIrWSxtRxY/R++DBTbD+5O+oVT7uAFewZzV9+7AHj70NuYrC4wO0EQxKo0QQ/pK+GMCz2ItoO9hXs5U3UGb6M3M+NntutaHkY97982mAAvI0fyK/nLiuMOUukYxkaMJdw7nIrGCtbluEiC2Nk49JnYQu0fDf1ukT2c9EBzU8JNLXKa7ch4GjyZ3X024GKmA/EToFuyOFNvz/tqq1EU6SFuXMQ4p6pMlwNBEJjXS6xK++rUV22u0rBabfz6q8OU1DTRO9yXP9+ozUWzk70VzqaAwQOGPyh7uIvb6pNCkmSPpzZSsnDF6RXUmmpVVuMg3H1gxMPi8fbXxNbgTsKm3E2U1JcQ7BHMxOiJDr12327+PDcjEYCXV53kWEHlNV4hP1JV8Nb8rRTVFl3jbI1WU1fWPNZk3DOyjzXpzCiSSNNwPqxWG4V5/yPDzQ1PDCzq67w24Xf1vYsgjyDOVJ1hVdYqteU4hrDe4kwIgPV/EndvOznSztSN8TfiZfRq9/Wigrx4a0EyggBL9+exdJ/zzD3S6/Tc0kNM7jhyTo/GBSwm2PmWeDxafqe4/Op8uwvr/J7zZY3lLEhVldvyt1FYU6iyGgchCM1Vafv+1WkMYRotjaw4vQLAnmBydWbGz8Rd705GeQaHzx9u0zU+2pHF9owSPIw63lmUrM1FuxipGm3QXeATKmsoq81qTwRL70uuzoiuI4j1i6XWVMsPp39QW47jGHYfuPnC+TTI6DybjNJYiLk952KUYb1y58gYJvXpQpPFyqNLDlHbqK7ja/eA7gzuMhiLzWI3uNFwIPs+BFMtdOkHPafIHm5tzlqyK7Nlj+OMaIm0TsrhlF1s9hN3JW7pPgd/d3nL7tuDt9HbXpX20dGPsLjK7IQJvwOjF+Tvh7QVaqtRleK6YvuQVckV0BGM6xnK05N7AfCn749zOK/CYdduLzf3uBm9oOdQ8SFOlZ9SW45rcWy5OLTYOxSSb5c93Penv8eGjRFdRxDlFyV7PGcgzj+O4eHDsdqsrpUM7j0TQnqKs/UO/EdtNYqwLmcdlY2VdPXuyuhuo9WWowj+7v5MiRUfMNpy/x7Nr+Qfa9MB+NPMviSEtd1hz+XI2w/Z20BnaJ57JSN7zu6hoKYAX6MvU+Ncu61eQhAE+1rpmwwXaoP0DIAhvxCPO4mDcl5VHnuL9iIgyNZWLwgCf5/bn67+HmSX1PKn79Xv0pA2Hb/O+BqzVd3EnkvRVAd7PxCPxzwhezVaTVMNf9z5R2Z9N4v0snRZYzkjWiKtk3Jk92JSPDzQ2+CuZOc3abi11634ufmRU5XD+jPrr/2CjoBvePMic8Pzndry+/vM77HYLCSHJTtsyKrEg+O723fiHvzsIKU1zjGTLNQrlOujrweadyM1HMAlTnEPye4UZ7Fa7DbukptaZ0Gq/vgm4xtMrvL+pdOJM/VAnLFnalBVjhJIiaS5Peai13WeqiqpvWhtzloqG1ve7lTbaOaxpYcwWWxM7RvOwmGdI3neYna8Ln7ufysEyP//5usMsRptRvwMPA3yvt87Ezd2vxE3nRtpZWmcKD2hthzHMeIh0LtB7m7I3aO2Gtn5JlNMhI7qNopuPt1kixPo7cabC5LRCbA8JZ9vUvJli9USboi5gSCPIIrritmav1VVLS5F6udQXwYB0dBnjuzh1uaspd5cT6xfLD0De8oez9nQEmmdkJKyMg7rUgEYF5BMF+8u6gpqAd5Gb27vI1aWfHDkA9dxihv1qFg1U3a62V2lk2G1We07qnLsxul0Aq/NH0B8iDdnKxt4bOkhzBbnuH+kB7kfsn6gzlSnshoX4RKnuHtkD7e3cC9FtUX4ufnZE6OdheuiryPUM5TShlK25G9RW47j6DcP/CKh5py4KHVhMsszOVR8CL2g73SJ4AGhA+gR2INGS2OrTAf+suI42SW1dPX34G9z+7XZGMclOXdCfA9GaE5Iy0hpfam9mv2WnvLPwnQm/N397fO0XKoqza8rDLgwcH/HYlWlyI3ZarZvxM3tKb/Jy7C4IB6fKCY7/vDdMbJL1Juv56Z3Y07CHEDbTHYYVotoMgAw8hHQy+++/W2m2Jp7U4+bOuXfQi2R1gnZtvZtNnm7A/DgmN+qrKblLOq9CG+jN5kVmWzJ26K2HMfg7gvjL/wbbH0FGqvV1aMC+4v2k1+Tj4/Rh0kxk2SJ4edh5J93DMbLTc/OzFJeXeccrZTDuw4n2jeaWlMtq7NXqy2n42OzNVdDDL1Xdqc4aF5EzIifgbveXfZ4zoRRZ2R2gmg64FJzTgxuzdXCO98Ei+u2nUjVaNdFXUeol7yzrJwNQRDsmxlfpbfMdOCHw2f56mA+ggBv3DqQAC83uWV2LKRq4D6zIFT+6oQVp1dgtppJCk6iV1Av2eM5Gzf3FJPfK7NWUm+uV1mNAxn1OCDAqdVictZF2Za/jZL6EoI8guxOrHLzyPUJDI8Loq7JwqNLUmg0qzcuR0p+7zy7k7yqPNV0uAxpK6A8R3S/VWCsSVZFFofPH0Yv6JnVfZbs8ZwRLZHWybDZbBw4/y0WQSBRCCUxpK/aklqMv7s/C3svBOBfR/7VZqctp2Pw3RAULzoM7npHbTWKszxjOQDT46Y7xGTgSvTs4svfb+kPwD+3nmbNMfUHpOsEnf1B7stTmg14u8neCgUHRae4EQ/JHq6ysZKNuRsB0a2zMyL93DvP7uRc7TmV1TiQQXeCVzBUnIHjLpQkvIh6c719ULn0PtTZmBk/E0+DJ6crT3Oo+NBVz80rq+P33xwF4JHrEhgRH6yExI5DWTYcE9ss7aYdMmKz2Zqr2RWo5nFGhoUPI8InghpTjeuMPQEISYDEG8XjnW+qq0VGpPt3VvdZspgMXA69TuDNBckEehk5VlDFK6vVm2sV5Rtln8v5VYZWldYubLZmk62h94Gbt+whpY3ksZFjCfEMkT2eM6Il0joZB/duYKtPEwC/HCr/EFhHc0efO/DQe3Cs9Bi7z+5WW45j0Bth4p/F411vQ7ULPYxeg4qGCjac2QAosxCe2b8b946JA+CZr46Qo2JZu8TshNkYdAZOlJ7olIM6Hcr2C9Vog+6U3SkO4MesHzFZTSQGJZIYnCh7PGck2i+awV0GY7VZ7c6PLoGbFwx/UDze8YZLOiuvyV5DtamaSJ9IRnQbobYcVfB182VqrDig/mqmA2aLlSeWpVLdaGZQdACPT+yhlMSOw663wGaF7hOh20DZwx04d4Ccqhy8DF5Mi5smezxnRCfo7C3ZLtXeCeKgdBCTsxXO47ruKIpqi9hesB1Qfr5quL8Hr84bAMB/dmazMU295w6pKu3H0z9qpgPt4cxOOJsibiQPu1/2cCaryb7m66wbyaAl0jodmw++QZVeT6jFwKReHa8MM8gjiHm9xJ3zD458oLIaB9JnNkQMEe2Kt76ithrFuDgR0Se4jyIxfzutN0NjA6luNPPQ5yk0mNR1gQ30COS6qOsA7LMyNNrA2VSxIk3QK+IUB83/XtKcj86K9BDwbea3rjO/EmDYveDmA8XH4dRatdU4nO9Pfw+Imxg6ofMuByXTDMm99HK8tSmTg2fK8XU38OaCZAz6zvv/67LUFMOhC/MEx8pfjQbN7eTT4qbhbZS/+sJZmd19NjpBx8FzB8mpzFFbjuOIGAxx48Fqht3vqq3G4Xyf+T1Wm5VBYYOI849TPP7ExC78YnQsAE9/dZiiSnWMdcZHjifAPYDz9efZdXaXKhpcAqkabcBCRTaSt+dvp6yhjGCPYMZGjpU9nrOirQQ6EZWVFew3ZAEwNXxSh3Xnurvv3Rh1RlKKU0g5l6K2HMcgCDDpBfE45VOxx93Fsdlsdreim3oot5th1Ot4e+Eggr3dOFFYxfM/qG8DLiVifsz60XXcD5Vm19vi56SbRbcimUkrTeNk2UncdG7MiJ8hezxn5oboG/A2epNXncfBcwfVluM4PANhyC/F4x2vu1RVWkFNAQfPHURAYGb8TLXlqErf4L70COxBk7WJtTk/T5juyy7jnU0ZAPz1piSiguQbQdBh2fchWBrFDcGY0bKHq2lqbmXs7BsZXby7MDZCfJCV1lQug1SVdvBTqC1VVYojsdqs9rY4NduSfzetN327+VFeZ+LxpYewWJX/G2fUG+1rKG0zuY0Up0HGWkBQbCNZ2siY1X0WRp0ybcnOiJZI60SsXPcGaR5GjDYb90x4Rm05bSbMK8w+1PCztM9UVuNAYkdD9+vF3bctrl+VdrLsJBnlGbjp3JgeN13R2OH+Hry5IBlBgCX78lS3AR/VbRShnqFUNFa4lvuhUlTkNs+xGvWYIiGlNpqJ0RPxd5ff1MCZ8TJ62dvjXMp0AGDkw6B3h7y9cMZ1dst/PC26VA7rOoxw73CV1aiLIAjM7i6aZkhVehKVdSaeWHoIqw3mDopk9sAINSQ6N021sP8j8Xj0Y+LGoMysO7OOBksDsX6xDAgdIHs8Z0fajPw+83tMVhfajIu/DroOAHO9mKx1EfYW7qWgpgBfo69sJlstwd2g551Fg/B207M3u4x3N2eqokNKhm/J20JFQ4UqGjo00kZy4kwI7i57uPN15+1tyXN6zJE9njOjJdI6EbvOiwvnwUIEwR3cnev2RNGNZGPuRs7WnFVZjQO57g/i5yNL4bxzOEvKhfTAcn309aokIsb0CLHPuXnu22OcOqeeY6pBZ7Anh7UduTaw532wWSB+AnTtL3u4RksjK7NXAtoiQkJq71x/Zj3VTS7kPuwbDgMXice73lJXi4Ow2Wz8mCWuBzqr09ZPmRE/A72g58j5I2RVipX7NpuNZ789wtnKBmKDvXh+dscxZ1KUQ59BfTkExkFvZaobv88U1w9zEuYgKJC4c3bGRY4j2COYsoYytuZtVVuO4xAEGPOkeLzvAzFp6wJI699pcdPwNHiqqiUuxJsX5yQBsHjDKfZllymuoXdQb3oH9cZkNbEqe5Xi8Ts0VWfhyAWzslGPKxLyh6wfsNgsDAwdSLx/vCIxnRUtkdZJOJmewl5Psf990eCHVVbTfhICExjZdSRWm5UlJ5eoLcdxRA6GXjPEgb1bXlJbjWyYLCZWZomJCDUf5B69vgdje4RQb7Lw4GcHqW1Ub9CptCO3o2AHxXXFqunocNSXi20foFhJ+8YzG6luqqard1dGdO2cQ9p/Sr+QfnT3706DpYHV2avVluNYRj0KCHBqDRSfVFtNuzlacpScqhw8DZ7cEH2D2nKcghDPEHt73IpMcYDysv15rDpahOGCy52Pu0FNic6JxQy7L7iNj3wYFBgZcqbqDCnFKegEHTd2v1H2eB0Bo87IrARxLfXTqsoOT+Is0dm+vhxS/qu2mnZT01TDxjOi2/fshNkqqxG5eVAkNw+KwGqDx5ceory2SXEN0hpY20xuJXv/CVYTRI+EqKGyh7PZbPbOAyXH8jgrWiKtk/Ddjr/ToNPRzaxjQl/XWHjc3kesSlt+ajl1pjqV1TiQ634PCGKrWtFRtdXIwraCbVQ0VhDqGcrIbiNV06HXCSy+dSDhfh6cPl/Ls98cxabSHKRY/1iSw5Jdz/1Qbg58LJp0hPUV3eIUQJptMidhTqce0n4xgiDYF1UutxAO7g69L8zBk1ooOjA/nP4BENuSvYzavC8J6aH2h9M/kH6ukud/OAHA01N6MSAqQEVlTkza92JrvVcwDLxNkZBSNdqobqMI8wpTJGZHQGpP3pG/g7IG5auKZEOnbx7ZsOsdMCuf5HEkUltynH8c/UL6qS3Hzouzk4gL8aawsoHffXNE8bXw9LjpGHQG0srSNAf7ltJQJa6BAUYrU42Wej7VvhE3JXaKIjGdGe0JoBNgsVg4ZBYTMmP9RrhMGfyYiDHE+sVSbap2rQe38CRxYDrAZtesSpMWwjPjZ2LQqbvLH+zjztuLktHrBFYcPstne9WzWZcspL/P/F61hF6Hwtwo7saBWDWkwHtbQU0Bewv3IiA4zW6yszAzfiYGwcDRkqNklqsza0U2pEXqkWVQVaiulnZgsphYnSNWDN4Y7xqbao5Cco8rri/mga+XUm+yMCYhhPvHdu7WlStiszU7xQ29D9zkT8parBb7RpP2/nsp3QO60ze4L2ab2fWqggcsBJ8uUJUPx75WW027kNa/s7vPdqrnMW93A28vTMaoF1h7/BxL9uUpGj/QI5AJkRMAF6yqlIuDn0BjFYT0hB7KJLWkarQpsVM6tVuyhJZI6wSs3/oZJzx06G027r7+d2rLcRg6QcdtieIO6Odpn2O1WVVW5EAmPAuCDtJXQf4BtdU4lLKGMrbni0MqnWU+z9DYIH47tRcAL/5wgiP5FaromBw7GU+DJzlVOaSeT1VFQ4fiyJdQcw58u0GSMs5X32d+jw0bw7sOJ8JHGzx+McGewYyLHAfAiiwXq6qMGgZRI8QWCil52wHZXrCdysZKQj1DGd51uNpynAqj3mg3vim0bCfI243X5w9Ap3Oeh12nImc7FKaCwQOG3adIyL2FezlXdw4/Nz+ui7pOkZgdCanV1eWq2o0eMOJB8XjX2x3WQTm3KtfeluyMbslJEf78ZkpvAF748TiZxcrOO5XaO1dmrXQt0ww5MDeJ84FB3EjWyZ/SqTXVsiZnDdC88d/Z0RJpnYBNp8SyzySzH5HBcSqrcSyzus/C182X3Opce3LGJQjpIe7AAWz6q7paHMyqrFWYbWaSgpNICExQW46d+8bGM6lPF5osVh76PIXKOuX/iHsbvZkcMxlwQfdDR2O1NrfZjXgQDG7yh7RZ7dWv2iLi8kgPciuzVmKxWlRW42BGX2gvOvAxNHZMQwWprXNG/Az0Csyz6mhEGsU5aQbf4zw/O54wPw+VFTkx0vvvwNvAO0SRkN+d/g4Q28Dc9e6KxOxITIubhkEwcKL0hOtVBQ/+Bbj5QPEJyNyotpo2ISU4R3YdSRfvLiqruTz3jIljbI8QGkxWHl2SSqNZub/joyNG200ztuVvUyxuh+TYcqg+K1Zq9r9VkZDrctZRb64n1k8cRaOhJdJcnorKEg4YxcHlk+NvVlmN4/EyenFLj1sA+F/a/1RW42DG/xZ0RsjaDDk71FbjMKSSbWkwrrMgCAKvzhtAVJAn+eX1PP31YVXaK6U5U2tz1lJvrlc8fochcz2UpIObLwy+S5GQewv3UlhbiK+bL9dHX69IzI7GuMhx+Ln5UVxXzL6ifWrLcSw9p0FwD2isbDa46EBUNlayNV909HPGagi1OV/dyOJVdVgawhF0ZurdD6otyXkpToOMdYAgmgwoQFVTFZtyNwHNlSsalxLkEcTYSDEZ/EPWDyqrcTCeATDowt/6XW+qKqUtXDz/1pnbknU6gdfmDSDI2420wir+vka5eWUGncG+GSe1wGpcBput2UV8+ANgUGZT4eL715naktVES6S5OF9veJXzBj1+FhsLxirjaKc0C3svRC/o2Vu4l4zyDLXlOI7AGBh0p3i86a8dtpT9YtLL0jlZdhKjzsi02Glqy/kZ/p5G3ls0GDe9jvUnzvGv7VmKaxgUNogInwjqzHX2hwaNyyDN5hlyN3j4KxJSSgJPj5uOh0GrVLkcbno3psWJv9s/Zv2oshoHo9M1O8PueQ8sHav1ZG3OWkxWE70Ce9ErqJfacpwKq9XG018dprTGRKB1FKDN6bkqUjVa4o2iGYcCrMtZR6OlkYSABPoE91EkZkdEGpnx4+kfXa8qeMSDIOghexucTVVbTavYX7Rf3Igz+jp9W3KYnwf/uKU/AP/ekc2WdOWc5KUk+fb87ZTWlyoWt0ORuUGszHTzgSG/VCTk2ZqzHDh3AAFB24i7CC2R5uLsOi+WPw8VYnAzumYZfFefrvbqkC/Tv1RZjYMZ94w4fyR3d4ctZb8Y6cFkQtQEAjwC1BVzBfpF+vOnG8VF+itr0tmfo6z7lSAI9h05l9tRdhQFB+HMDtAZxN04BagzNSc2JXc0jcsjLbLWn1nvWo7KILZQeIdBVYHYWtGBkNo6pfcXjWb+szObrafO427Q8caMX6IX9Bw5f4SsSuU3U5yeqrPifEpQzCkOLr1/tWqIK2OvCq4vZm/RXrXlOJaAqOZ5qB3MQVmq5pkSN6VDbMRNTOzCXSNjAHj6q8Ocr25UJG73gO70C+mH2WZmZdZKRWJ2OHZeqMgcdJdYqakAq7JXATA0fCjh3uGKxOwIaIk0FyYn/ySpHmJr2E3J96usRl7m95oPiIkHl3pw8+sKQ+8Vjze92KGr0sxWM6uyxDdiZzEZuBK3DY9m9sBuWKw2Hv3iEGW1ytqtS4mI3Wd3c77uvKKxOwTSAjrpFvCPVCTkxtyN1JvrifGLISkkSZGYHZUBoQOI9o2m3lzPxtyOvwFwCUYPGP4r8XjnWx3mPTm3KpfU86noBJ19oL6GyLGCSl5ZcxKAP8zsw7DoGMZGiO1xKzJdbGi7I9j7T9F0I3okRA5RJGRBTQEpxSkICNr9ew0urgqWko8uhVQVfPxbqFDPZb011JnqWH9mPdCxNuKenZ5Iry6+lNQ08YyC407sVZWuVtXuCApSRKMXnaHZgENmbDab/b1Eq0a7FC2R5sIs2/YqJkEgtgnGD+w4b9xtYVj4MGL8Yqg11dqz5i7DmCfB6C26Y53suH9U9hbupbShlED3QEZHjFZbzlURBIGXbupHfKg3RVUN/PrLVKxW5R6YY/xi6B/aH6vN6nr3c3spz4ETF1quRinXri4t6GbGz9SqIa6BIAjM7C4utlzyQW7oPeJ7cvFxON0xEoXS/Tuy60hCvUJVVuM81DWZeWzpIUwWG5P7dOH24dFA8wyjH07/4Hrtce2hoUo02wAY9ZhiYaXKlGHhw7RqiBYgJSI25m6k1lSrshoH07U/xE8Am6XZtdDJkTbion2jGRA6QG05LcbDqOethcm4GXRsST/PJ7tyFIk7JXYKBsFAWlkapytOKxKzwyDNRkuaK1ZoKkBaWRpZlVm46925IeYGRWJ2FLREmgtzsOYAAEPcXb96QifomNdzHiC2d6oxJF42vEOadx02vyS6FXZApAe5KbFTMOqMKqu5Nt7uBt5dNAg3g47N6ecVn5c2K17bkbssu98DmxW6Xw/hyry3na87z57CPYDodqhxbaRdyz2FezhXe05lNQ7GM7B5fqU0q8+JuXg3WWvrvJQXfjhB1vlauvi588rc/vYk+fjI8fb2OJczzWgPKZ9CYxWE9ISeUxUJabPZmjcyumvVEC2hX0g/Yv1iqTfX2yuhXAppE+3gp1Bfrq6WFiAlgjviRlyvcF/+MCMRgJdXnSStsEr2mIEegYyJHANoa+BLKMtWZSNZWj9MiJqAr5uvYnE7AloizUVJTd9FmrsFwWZj3ugn1ZajCLO7z8ZN50ZaWRrHSo6pLcexjHoE3P3E4ZInO16FR52pzt7i1ZEWwold/fjzhXlp/1ibzsEzyi3YpsROwaAzcLLsJKfKTykW16mpK4NDF9x5FayGWJW9CqvNysDQgUT5KrMD2NGJ8o1iUNggbNhcs6py5EMXhl5vdfqh16nnU8mvycfL4KW5zV7EqqOFLN2fhyDAG7cOJNDbzf49o97I1FgxUaQ9yF3AYmquABr5iGi+oQAnSk+QXZktVkNEa9UQLeGSWauuWBXcfSJ0SQJTbXOFpJNyvu48uwt3Ax13I+6OETFM7B1Gk8XKo0sOUd8kf5WutBm3MmslVlvHLCBwOHukjeSJEN5PkZBmq5nV2asBuDFe24j7KVoizUX5do+4S967yUCf7sNUVqMMAR4BTImdAsCXp1zMdMAzsHkuz9a/d7iqtM15m6k31xPlG0X/kP5qy2kVi4ZFM7N/V8xWG48tOURFnTLz0gI8AhgfOR4Q3bc0gAP/BlOduICIn6BYWOlBWqvmaR3S/68Vp1e4VpUwQEA0JN0sHjv50GvpQXpSzCQ8DZ4qq3EOCirq+d3yIwA8OL47o7qH/Owc6f51SdOMtnDsG9FkwztMNN1QCOn99/qo6/Fx81EsbkdHSkTsK9rH2ZqzKqtxMILQXJGz959gVmYQfltYnb0aq80qzg71i1ZbTpsQBIG/39KfUF93Motr+OvKE7LHHB85Hh+jD4W1haScS5E9ntNTWwopFzaSRyu3kbyncI99LM+oiFGKxe0oaIk0FyW18TgAQ32Hq6xEWSTTgTXZa6hsrFRZjYMZ8RC4+cK5Y5DesZxspIXwjPgZHa6sXRAEXr65HzHBXhRU1PP0V0cUSwpIuz8rs1Zqc3pMDbD3Q/F41GPiQloBMsozOFl2EoPOwOSYyYrEdBUmx07GTedGZkUmJ8tOqi3H8UhVkce/hfIz6mq5Ao2WRtbkrAG0RLCE2WLliaWHqGowMzAqgCcn9bzseQNCBxDlG0W9uZ5NeZsUVulk2GzNs3mG/0o03VAAs9Vsr2jtSNXszkA3n24MCxc30l3S/bDvzeDbDWrONbvIOiEXz1ftyAT7uPP6fHG+2+d7c1l7vEjWeB4GDybFTAK0qmAA9n8E5noI7w9x4xULK23ETY2b2iHG8iiNlkhzQbYdXEGWOxhsNhZMeFptOYoyIHQAPQN70mBpcL1ydq+g5qq0La90mKq00vpSdp+9UNYe1zHL2n09jOK8NL2ODWnn+HhnjiJxx0aOdV0b+9ZyZBnUFoNfJPS9SbGw0gJuXMQ4AjwCFIvrCvi5+TEhagIgOiq7HJcMvX5PbTWXZVv+Nqqbquni1YWh4UPVluMUvLv5NPtzyvFxN/DWgmSM+ssvhQVBsD/8dvqq4NObxE08o7dotqEQu8/upqyhjCCPIEZ2G6lYXFfBpauCDW7N84N3ve2Ua+LTFadJK0vDIBjsHTMdmbE9Qrl/XDwAv11+hKLKBlnjSffvupx1NFqct+pQdkz1sO/CRvLoxxXbSK411bIpV9xE6uiJYLnQEmkuyKrD/wKgX5MnUV17qKxGWQRBYH5PsSrty1MuZjoAMPJhcPOBc0chvWPMHVqTswaLzUJScBKx/rFqy2kzSRH+PCcNXF2dxuG8CtljXmxj36kf5KzW5va5EQ+CXpldMavN2jwkWKuGaBOSe9yqrFWYrWaV1ciAVJWW8l9xhp+TseL0CkBcBOsEbcl3IKeMNzeKMydfnNOX6GCvq54vPTzsLtzN+brzsutzWqRqtEF3iqMmFEJKwE+N1aoh2oLUzp1TlcPRkqNqy3E8g+8SOzVK0iHT+UwVpI24MZFjCPRQ7vdGTp6e3IukCD8q6kw8uSwVi4yO9oO7DKaLVxeqTdVsy98mWxynJ/ULqCsB/2joM0exsBtzN9JgaSDGL4Z+IcrMZOtoaKsqF8NqsZBqzQZgWLBypZ/OxIz4GXgaPMmuzObAuQNqy3EsXkEw7H7xeOsrYruFk7MqS0z4ddQhqxdz58gYpvYNx2Sx8ciSFCrrTbLHlB7kNuRu6Lxzek6tgdIMcPcXF84KcfDcQc7VncPXzZdxkeMUi+tKjIoYRZBHEKUNzZWpLkX366FLP3F234F/q63mEsobytmRvwPQ2joBKutNPL40FasNbkqO4KbkyGu+JtovmgGhA7DarPaBy52OwsOQtUU015AqgBSg1lTL5tzNgHb/thVvozcToycCzUl1l8LDH4bcLR472azKSzbiXKiax82g460FyXga9ezOKuXDbfI52usEnf3ZweW6jFqK1dJ8b498GPQGxUJLG/gdcSyPUmiJNBdj88HvKDAKuFltzJ/QOdw6f4qPmw/T46YD8G3GtyqrkYGRj4jtFUVHIN25F/Znqs5wpOQIekHP1LipastpN4Ig8Mot/YkM9CSvrJ5nv5F/XtqA0AFE+0ZTb663O592OqRFxJC7wV05621p4TY5ZjLuenfF4roSRp3RXlXpkgthQWge/Lv3Q3GWn5OwOns1ZpuZPsF96B7QXW05qmKz2fj9t0cpqKgnOsiLF2b3bfFrpVmVnXZOj/T+23cOBMYoFnbDmQ00WBqI9Yulb3DL/700LkW6f9fmrMVkkX/zT3GGPwg6A+RshwLnGUp/8NxBCmsL8TH62EccuArxoT48P0v8nXxtXbqsHRrS/bu9YDsVDfLFcVpO/gjl2eARAMm3Kxa2uK55pIwrJYIdjZZIczHWH/sUgCSTF2HBESqrUY+beogzlNafWU9VU5XKahyMdzAMl6rS/ubUVWlSNdqIriMI8fy5K1pHxN/TyDuLBmHUC6w6WsRne+QdMi4Igr2t0CUTEdci/wDk7gKdEYY/oFjYBnMD68+IrSLaIqJ9SNUkm/I2Ud1UrbIaGeh7kzi7r7YYjixVW40du9usZlnPVwfzWXmkEINO4K2Fyfh6tLxNcErsFAw6A2llaWSWZ8qo0gmpyBXdOqG5jVkhOrJJkTMxrOswQjxDqGisYEfBDrXlOB7/CEi6RTyWWpCdAKkabXKsa27EzRsSyYx+oqP940sPUdMoz+iGhMAEegf1xmw1s+7MOlliOC02G+y8cE8Puw/clXMtltxmk8OSifKNUixuR0NLpLkQNquVIxaxxHZI0BiV1ahL/5D+JAQk0GBpYHWWc1dttYmRj4pVaYWHxbY3J8Rms12yEHYlBkYF8NupvQF48cc0jhXI6xArJXL2FO7hXO05WWM5HdLCuN888OumWNgt+VuoMdXQzbsbg7oMUiyuK9InqA/x/vE0WhrZcGaD2nIcj94IIx8Sj51k6HV2ZTZHS46iF/T2isDOStb5Gv6yQnQyf3JSTwZGBbTq9QEeAYyNGAu4qGnG1djzvmimETcOug1ULOy52nPsLRSrIVxt/aA0Bp3B3qXhsvfvqEfFzye+h/IcVaWA6Ja8LkdM+rjqRpwgCLx0Uz+6+XuQU1pnf4+VA+n/YafbTM7dDQUHQO/ePNZHIaT/1656/zoKLZHmQmw7tII8NwGjzcYtE55QW46qCILATQliVdo3md+orEYGvINh2L3i8RbnrEo7VnKM3OpcPA2e9hkdrsQ9Y+K4ITGMJouVR75IkW03DiDKN4pBYYOwYWNVdscwmXAIZVmQdmHhJC2UFWLlaXE3eUb8DG1Iezu52P1Q2qV3OQbdKc7rKc10CiMYaRE8OmI0wZ7BKqtRj0azhceWHqKuycLI+GAeGN+2FlepqnJl1kqsNvUTpYpQXw4HxS4HRj2uaOjV2auxYdOqIRyE9P67NW+r63VpAIQnifMqbVbYrb6D8ta8rVSbqgn3Dmdwl8Fqy5ENfy8jixckoxPg64P5rDh8VpY40+KmoRN0pJ5PJa86T5YYTsnON8XPAxeCT5hiYU+VnyK9PB2DzjXcZuVEkaeD9957j7i4ODw8PBg8eDDbt2+/6vlbt25l8ODBeHh4EB8fzz//+c+fnbN8+XL69OmDu7s7ffr04dtvXXAWVitZc+QTAJKaPOkaEq2uGCfgxu43YtAZOFF6gvSydLXlOJ5Rj4HRCwpTIcP5yp2larTroq7Dy3h1Z7SOiCAIvDpvgH037vffHJV1XprU3umSNvZXYve74sI4YRJ06aNY2LKGMnsLjLYb5xikqpJ9Rfsoqi1SWY0MuPvCkF+KxyoPvbbarM1tnZ18SPtr605xrKCKAC8jb9w6EL2ubS2C4yPH4+vmy7m6c+wv2u9glU7KgY/BVAthfSFB2c0wqXJKe/91DL2DepMQkECTtYn1Oc7nbukQpNbjQ/9T3UHZ3o0R5/obccPignjkugQAnvv2KHlljjfFCvMKY3j4cMCFN+N+SvHJCx1HgtiFpCDS/TsuYhz+7v6Kxu5oyP7bvWzZMp544gmee+45Dh06xNixY5k2bRq5ubmXPT87O5vp06czduxYDh06xO9//3see+wxli9fbj9n9+7d3Hrrrdxxxx0cPnyYO+64g/nz57N37165fxyn5ohZnN0xKHCUykqcg0CPQK6Lug6AbzJcsSotBIbeIx47WVWayWpiTY7YcurKC+EALzfeXpSMXiew4vBZlu6Xb6dsSuwUjDojmRWZnCo/JVscp6G2FA59Lh4rXI22NmetfUh7fEC8orFdlW4+3RjcZTA2bK7rfjj8AdC7Qd4eyNunmoxLhlxHTlBNh9psO3Xe7ij397n9Cff3aPO13PRu9p35TtFeZG6EvRc2sUc9KppqKER6WTqnyk9h1Bm1aggHcXFVsMuaZsRPgHD1HZQrGirYXiAWjHSWjYzHJvZgUHQA1Q1mnlyWitni+KpdaTP5x6wfO8dm8u4LG3K9Z0BIgmJhL3ab7Sz3b3uQPZH2+uuvc88993DvvfeSmJjI4sWLiYqK4v3337/s+f/85z+Jjo5m8eLFJCYmcu+99/LLX/6SV1991X7O4sWLmTRpEs8++yy9e/fm2WefZeLEiSxevFjuH8dp2ZHyA7luAgabjVvGd063zstxc4+bAfGNt9HSqLIaGRj1OBg84WwKZDjPLuOes3soaygjyCOIkd1Gqi1HVgbHBPH05F4A/GXFcU4WydM24efmZ3d+6hQPcvs/AnM9dB0gzudREMnyWxvS7ljsc05cdU6Pbzj0ny8eSy0ZKmB3m42djIeh7cmjjkxJTSNPfXkYgNtHRDO5b3i7rym9H6w/s556c327r+fUHPkSas6BbzdImqtoaOkhblykVg3hSGbEz0BA4MC5A5ytkacFT1UEobkqTUUH5bU5azFbzSQGJXYat2SDXsebC5LxcTdw4Ew5b29yvCnLDdE34Gnw5EzVGY6VHHP49Z2KqkLxPRhgtLJt9fuL9lNcV4yvmy/jIpVde3dEZE2kNTU1cfDgQSZPnnzJ1ydPnsyuXbsu+5rdu3f/7PwpU6Zw4MABTCbTVc+50jU7A6sP/weAvo0eRIbFqivGiRjZdSTh3uFUNVWxKXeT2nIcj09oc1WaEzl4rswWF8JTY6di0BlUViM/vxoXz4ReoTSarTz8eQq1Ms1LkxIRq7JXYbFaZInhFJjqYd+H4vGoxxSthjhTdYYjJUfQC3qmxk1VLG5nYFLMJIw6IxnlGa7Zbg/NLRgnV0LpacXDN5gb7M5mnTURbLPZeOarw5TUNNKziw9/mOGYtvDksGQifCKoM9exOXezQ67plFitze3JIx4Eg5tioS1Wi3394MrV7GoQ7h3O0PChgAu3x13ioLxMFQlSxV9nu3+jgrz4v5uSAHh7Uwb7cxzbXutl9LJ3GblsVaXE3n+CpQmiRkDUMEVDSxtxU2Kn4KZX7r2/oyJrIq2kpASLxUKXLl0u+XqXLl0oKrr8jJSioqLLnm82mykpKbnqOVe6ZmNjI1VVVZd8uBqHpbbOgBEqK3Eu9Do9cxLmAC7a3gniboXBEwoOwmn1k4V1pjp70rKzuG3pdAKvzRtAFz93Tp+v5Y/fy7NbNjZiLAHuAZyvP293NHNJDi+BuhLwj4Y+cxQNLT1gjOg2ghDPEEVjuzr+7v6MjxwPuPCDXFhv6DEFsMHudxQPvzlvM7Wm2k7tNvvJrhw2p5/HzaDjrYXJeBj1Drnuxe1xLltVCeLM1ZJ0cPOFwXcpGnr/Oa0aQk4uvn9dsj1ObxSTv6CKg3JeVR6p51PRCbpO6ZY8e2AENydHYLXBE0tTqaw3OfT60v27JmcNJqtjr+00NFaL8ylB8Wq0enM9G3JFZ/XOlghuK4pMQBR+Uk1gs9l+9rVrnf/Tr7fmmi+//DL+/v72j6go13IAqqwpI9zqg5/Fyrxxyv7SdQTmJMxBQGBP4R7yq/PVluN4fMJg8N3i8da/q16VtjF3I/XmeqJ9o+kX0k9VLUoS7OPOWxfci75JKeCrA46fl2bUN8+McdkHOasFdl1IQIx8CPTKVTTabLbmIe2dtJpHbqQ5JyuzV7puVeXoC+1FqV9AzXlFQ9st67vPdPkh15fjxNkqXl51EoDnpifSO9zPodeXZsbsPrubkvoSh17badj1lvh5yN2iE62CSG31WjWEPEyKmYS73p3symxOlJ1QW448DL4L3P2hNAMy1ioa+sds8f4d0XUEoV6hisZ2Fp6f3ZfoIC8KKur5/beONeEa2W0kQR5BlDWUsfvsbodd16k4+Ck0VkJIT+ipbFfElrwt1JpqifCJIDksWdHYHRVZV1khISHo9fqfVYoVFxf/rKJMIjw8/LLnGwwGgoODr3rOla757LPPUllZaf/Iy3Mt61x/nyA++tVuNt62n6iuPdSW43RE+EQwvKvo9vJd5nfqipGL0Y+D3l0ccp1zdVdcuZHaMmbEz7hqwtwVGR4fzJM39ATgT98fJ7O42uExpAe5jbkbqTM53h1JddJXQ9lp8QEu+Q5FQx8+f5i86jw8DZ72FgINxzI2Yix+bn4U1xVz4NwBteXIQ8xo6DYIzA2w/1+KhS2pL2HXWXHERWdMBNc3WXh0SQpNFis3JIZx58gYh8eI8Yuhf0h/LDaLa5pm5B+EMztBZ4DhDyoa+uJqiM54/yqBj5tPc3vcaRdtj3P3hSG/EI93vqVYWJvNZv9/2pmreXw9jLy5YCAGncDKI4V8ddBxBQwGnYHpcdMBF71/LSbY8554PPIR0Cm7GSZtJE+Pm94pN+Lagqz/l9zc3Bg8eDDr1186BH39+vWMGnV5Z8mRI0f+7Px169YxZMgQjEbjVc+50jXd3d3x8/O75MMV8XD3UluC0yKZDnyX+Z1rVkH4dYVBF5IOW/+umoyS+hL7LlFnaev8KQ9dl8DohGDqTRYe/vwQDSbH3m/9Q/oT4xdDvbmejbkbHXptp8BeDXEPuPsoGlpaREyKmYSXUXs/lYOL3Q9dds6JIDRXpe37FzQpk/Benb0ai81C/5D+xPrHKhLTmXhx5QlOn68lzNedv98yQLaNHKmq0iVNX6T3337zwD9C0dAXV0MMDBuoaOzOhJTkWZ29GrNVnnmuqjP8AdAZIXcX5CuzYXOk5Ai51bl4GjyZGD1RkZjOSnJ0IE9OEjeV/7LiOFnnaxx2ben+3ZS3iZomx13XKTi2HKoKwDsM+t+qaOjS+lJ2FuwENLfO1iB7uvGpp57io48+4j//+Q9paWk8+eST5Obm8sADDwBitdidd95pP/+BBx7gzJkzPPXUU6SlpfGf//yHf//73zz99NP2cx5//HHWrVvHK6+8wsmTJ3nllVfYsGEDTzzxhNw/jkYH5fro6/Fz8+Nc3Tl2F7poOfDoJ8SFQ852OKPOz7g2Zy1Wm5V+If2I8XN8NUBHQK8TeOPWgYT4uJF+rprnf3Bs+4QgCPYkpcs9yOXuhby9oHeD4b9SNLTJYmJNzhqg8yaBlUJaCK8/s54GszrOarKTOAsCYqC+DFI/VyTkxW2dnY01xwr5Ym8uggBv3DqQIG/52gKnxk7FIBhIK0vjdIXyhhKyUZYNaSvE41GPKh5eSqzPiJ+hVUPIyKiIUQS6B1LaUOq67XF+XRV3UJbefydGT9Q24oAHxndnRHwQdU0WHl+aSpPZMfPq+gT3IdYvlkZLo72C1SWw2ZorKEc8AEZlHbfX5KzBYrOQFJxEnH+corE7MrL/pbr11ltZvHgxL7zwAgMHDmTbtm2sWrWKmBjxIbuwsJDc3Fz7+XFxcaxatYotW7YwcOBAXnzxRd566y3mzm223x41ahRLly7l448/pn///nzyyScsW7aM4cOHy/3jaHRQ3PXu9gy7y5oOBETBwEXi8TZ1qtKkUuvOnogI8/Vg8a3JCAIs2ZfLisOOtZqfGSc+KO8t2ktxXbFDr60qUjVE//ngG65o6O0F26lsrCTUM5Th4drfEjkZGDaQCJ8Iak21bMnborYcedDpxdYMgN3virP/ZCSzPJO0sjQMOgNTYzuX2+zZinp+u/woAPePi2d0grwmIYEegYyJGAO4WFXl7nfBZoWEG6BLX0VDX1wN0Znb4pTAqDPaHald6v79KVIyOO0H2R2UL96I09qSRaRN5QAvI0cLKnltnWOcugVBsD/PuVR75+mNUHwcjN4w5JeKh7e3JXfCjbj2oMiWz0MPPUROTg6NjY0cPHiQceOanXg++eQTtmzZcsn548ePJyUlhcbGRrKzs+3Vaxdzyy23cPLkSZqamkhLS+Pmm2+W+8fQ6ODclHATILqalTU41pbZaRjzJAh60b1ToXJ2iZzKHI6VHkMv6Dvdg9zlGNMjhIcnJADw+2+OklNS67BrR/lFMTB0IFab1XXm9JRkwskLTo4j1auGmB43Hb3OMS5/GpdHJ+ia55y48oNc8m3gGQjl2eLDnIxI5iNjI8YS6BEoayxnwmK18eQy0R2uf6Q/v57US5G4dtOMrJVYbco6A8pCbSkc+kw8HvWY4uG1aghlkZI9m3I3UWty3NrEqQhLhB6TER2U35U11CUbcV21jTiJrv6e/O3m/gB8sC2LHRmOMWiR1g/7ivZRVFt0jbM7CFI12uC7xHWDgmRXZmvPb21Eq53W6DT0CupF3+C+mK1m19rFuJigOBiwQDxWeFaaZDIwsttIgj2DFY3trDxxQw+GxgZS02jmkSUpNJodV5Ui7ci5THvnnncBG/SYAmG9FQ1d2Vhpr4zSZkMog5SI2Fmw03U3Nty8Yeh94vGut2RzVLZYLfaE5Kzus2SJ4ay8vyWTvdlleLnpeWtBMm4GZZa1E6Im4Gv0pbC2kIPnDioSU1b2fwTmeug6AOLGXft8B6NVQyhLUkgSsX6xNFgaXHPWqoSUFE79HGrlc9nVNuKuzNSkcBYNjwbgyS9TKa1pbPc1I30jGRQ2CBs219hMPpsK2VvFQogRDykeXrp/R3UbpT2/tRItkabRqZBMB77N/NahlsxOxdhfg6ATbb/PpioS0mazsTKr2a1TQ8Sg1/HWwmQCvYwcK6ji5VUnHXbtKbFTMOqMpJenc6r8lMOuqwo15yH1C/F4tPLVEGtz1mKymugZ2JNeQcpUtHR24v3jxY0Nm5m1OWvVliMfw+4HgwcUHIRceeYR7T+3n+K6Yvzc/BgXqXwSRC0OninnjQ0ZALwwO4nYEG/FYrvr3ZkcOxlwgc0MUz3s+1A8HvWYaJahIFo1hPK49KzVi4kdA92SRQflffI4KFc1VWkbcdfgjzP60CPMh/PVjTzz9RGHPH/ZTV+yXOD+lcaaJM0Vx/QoyMXPb9r923q0RJpGp2Jq3FTc9e5kVmRyvPS42nLkIbi7+GYMsO0fioQ8UnKEvOo8PA2eXB91vSIxOwpd/T15bf4AAD7ZlcPa444pQ/d397c/NHf4Csv9/xIXut2SIWa04uGl3ThttomySLOQXLq90ycUBiwUj6XWDQcjPQhPiZ2Cm16+IfvORFWDiceXHsJitTFrQDfmDlLWYRJcyDQj9QuoKwH/aOgzR/HwWjWEOkiJtL2FezlXe05lNTIhCM1Vafs+lMVBeV3OOkxWEwkBCfQM7Onw67sCnm563looVgxvOlnMf3efafc1J8dMxqgzklGeQXqZY+avqUL5GTj+nXiswkbyoeJDFNQU4G30ZkLUBMXjd3S0RJpGp8LPzY9JMZMAFzYdABj7NCDAyR+h6Jjs4aTdDM2t6PJc37sL940V574889Vh8ssds5iTEj8rs1ZikXmYuWw01TXvFKtQDZFXlceh4kPi3K746YrG7uxMjZuKXtBz5PwRcqtyr/2CjsrIRwABTq2G845d8NeZ6lh/Zj3Qedo6bTYbf/j2GPnl9UQGevLXm5IQFH7fABjUZRDdvLtRY6rpuKYZVgvsels8Hvkw6A2KhteqIdQjyjeK5LBk12mPuxIyOyhLGxk3dr9RlfehjkJiVz+enSaO7fi/VWmkFVa163r+7v6MjxwPdPCqyj3vgc0C8ddBeD/Fw0sbGTdE34CnwVPx+B0dLZGm0emQTAdWZ6+m3lyvshqZCOsNfWaLx9tflTWUyWpiTbboVqS1dV6ZZ6b0ZkBUAFUNZh5bcgiTpf0DqsdGjsXPzY/i+mL2Fe1zgEoVSP1cXOAGxIgLXoWRFhEjuo4gzCtM8fidmRDPEEZ0GwG4eFVaSAL0vvDeKCUtHMTG3I3Um+uJ8o1iQOgAh17bWfkmpYAVh8+i1wm8uSAZPw+jKjp0gq65Pa6jthed/FE0w/AMhEF3KB5eq4ZQF6mqssPevy1Bb5DNQbmgpoCU4hQEBPsAfI0rc/eoWK7vHUaT2cpjSw7RYGrfv4WUfF+ZvRKz1ewIicpSVwYp/xWPRz+uePgmS5N9tIY2n7JtaIk0jU7HkPAhRPhEUGOqYcOZDWrLkY9xz4ifj3/n8CqIi9l9djfljeUEeQQxousI2eJ0dNwMOt5ZmIyvh4GU3ApeW9f+uWZuejemxE4BOmgiwmqB3e+IxyMfUaUaQnqAkB4oNJRFqqr8MetH151bCc3tRUeWQbXj2qgubkvuDNUQOSW1/Ol7scr6iYk9GByjrkOp9CC3s2AnpfWlqmppNTYb7HxTPB56r2iOoTBaNYS6SLNWT5Wf6tjtcddCJgdlaazGsK7DCPcOd9h1XRVBEPjHLf0J9XUno7iGv6480a7rjY0YS4B7ACX1Jewt3OsglQqy/99gqhMr0eInKB5+W/42qpqqCPMKY2iXoYrHdwW0RJpGp0Mn6OxVad9mfquyGhkJT4LeMwEbbJOvKk1aCE+Lm4ZBp2wipKMRFeTFK3NFK/B/bj3NlvTidl9TepDbcGYDdSbHz/+QlbQVUJ4jLnCTb1M8/OHzh+2z/SZGT1Q8vgZcF3UdngZP8qrzOFJyRG058hE9HKKGg6UJ9n3gkEsW1xWzp3AP0DkSwU1mK48tPURtk4XhcUE8dF2C2pKI848jKTgJi83Cmpw1astpHWd2iSYYencY9ivFw2vVEOpz8axVqcXWJbnYQXnnmw5xULbZbNp81TYQ7OPOa/PE6unP9uS2a26wUW+0G5SsOL3CIfoUw1TfvBYY9bjiY02g+fltRtwMzW22jWiJNI1OyeyE2QgI7C/aT15Vntpy5GPc0+LnY19D6WmHX77WVMvm3M1A53iQcwTT+3XljhExADz15WHOVbVvSPXA0IFE+kRSZ65jc95mR0hUBputefj60PtUqYaQFl6TYiZps/1UwsvoxQ3RNwAuYJpxLaSqtP3/hsaadl9uVdYqrDYryWHJRPkp6/SlBq+vP8WR/Er8PY28cetA9DrnqMCzu8d1tDk9UjXawEWiKYbCbM/fLlZDeGrVEGriErNWW4LkoHw2Bc7sbPfljpUcI6cqBw+9BzfE3OAAgZ2HcT1DuX9cPAC/XX6Eosq2r4Ol2aCbcjdRa6p1iD5FOLwEas+LJi995ygevqKhgq35WwFtI6M9aIk0jU5JuHc4o7qNAly8Kq1bMvSYAjYrbH/N4ZfflLuJBksDMX4x9A3u6/DruyrPzUgksasfZbVNPLZEdJ5rK4IgdEwb8DM7xQWtwUNc4CpMk6XJXkGiDblWFykJvyZnDSaLSWU1MtJrGgR1h4YKOPS/dl9uRZaYCO4Mmxg7M0v4YJu4GfTK3H50C3CeNsBpcdPQC3qOlx4nqzJLbTktozgNMtYCAox6VBUJ0kbGjHitGkJNLp61uv/cfrXlyIeDHZSl9dZ10dfhbVR+I7Cj8/TkXiRF+FFRZ+LJZaltXgcnhSQR6xdLg6XBbrzj9FgtsEsaa/IQ6JWf87kqexVmq5nEoETNbbYdaIk0jU7LTT3E9s7vT3/v2rtw438jfj68VGyjcyD2suD4GZ1iPo+j8DDqeXdRMl5uevZml/HWxox2XU96kN59djcl9SWOkCg/0kJ2wEJVqiG25m+luqlamw3hBAzrOowQzxAqGivYUbBDbTnyodPDKGno9Xtgaftw5PSydDLKMzDqjPY5ia5KaU0jTy5LxWaDhcOimZrUVW1JlxDkEcToiNFAB6qqlEwvEmdCcHfFw5c1lLEtfxugbWSozcWzVjtcVWVrGfUoIIhJ5OKTbb7MxSZbWltn23Az6HhrgbgO3p1Vat8oaS2CINjfQzrM/Zu+CspOg0cAJCtv8gLNGxmdxe1bLrREmkan5bqo6/B396e4rphdZ3epLUc+IodA9+tFe+UdbzjssiX1Jfb5PDPiNLfO1hIf6sNLN4lW129tymDX6bYnwGL8Yugf2h+rzcqqrFWOkigfxSdVr4aQFlxaNYT6GHQGezL4+9Pfq6xGZgYsBK8QqMyFE9+1+TLS/TshagL+7v4OEud82Gw2frv8CMXVjSSE+fCnmX3UlnRZLm6Ps9ra78gsK1Vn4ciX4vHoJ1SRsDp7NWabmT7BfegR2EMVDRrNSO+/G85scF03exCTxg5wUN5VsIvyxnKCPYIZ2W2kg8R1PuJDffjLLLGb5fV1p0jNq2jTdaT7d3/RfgprCh0lTx4uMXm5B9x9FJdwuuI0x0uPYxAMTI/X3Gbbg5ZI0+i0uOnd7G++Lt3eCTDuQlXaoc+hwjEz4VZnr8Zqs9I/tD/RftEOuWZnY05yBPOHRGKzwRNLUympaWzztS52P3R6pAVs7xmqVEOUN5SzvWA7oO0mOwvSrujW/K1UNFSoK0ZOjJ4w/MJg911vtWnotclqsv+eu3pb56e7ctiQVoybXqxe8HRzzqT3hKgJ+Bh9OFt7lpRzKWrLuTp73gerCWJGixttKvB9ppgwn919tirxNS4lOSyZCJ8IcdZqbgeatdoWRj8ufj6yDKralnSR2jo1k632M29wJDP6d8VstfHYkkNUN7R+vEM3n24MDR+KDRsrs53cNCN3N+TvV83kBZo3LMdEjiHII0gVDa6ClkjT6NRI7p2b8zZT1lCmshoZiRkJsWPFxbO0E9JOJIcnrRqtffxlVl96hPlQXN3IU18extrGORFTYqdgEAyklaWRWZ7pYJUOpKpQXMBC84JWYdbkrLHPhtCqIZyDHoE9SAxKxGw1syq7A1RVtoeh94LBEwoPQ/a2Vr98V8EuShtKCfIIYmzkWBkEOgcnzlbx0iqx/er303vTp5ufyoqujIfBg0kxkwAn38xoqISDn4jHkvmFwpwqP0VaWRoGnYHpcVo1hDMgCII9Ke/U968jiBoGUSPE9fDef7b65dVN1fZko9aW3H4EQeClm/oREeBJblkdf/7+eJuuI22Krji9ApsDXFllY8di8fPAReDbRfHwFquFlafF5zdtI6P9aIk0jU5Nr6Be9Anug9lqdm3rb2ielZby3zbvwklkV2ZzvPQ4ekHP1LipDhDXefFyM/DubYPwMOrYduo8H2xr27DqQI9AxkSOAZzcdGDvP8UFbNQIcUGrAtIcI20R7FzMThAXdR3Oxr61eAVB8u3i8a7WD73+LvM7QGxLNuqUH1KsBHVNZh5dkkKTxcrE3mHcNSpWbUnXRHo/WZezjkZL26uLZeXgJ9BYBaG9ocdkVSSsyBR/v8dHjifAI0AVDRo/R0qk7Tq7q+PMWm0roy8kkQ98DI3VrXrp+jPrabI20d2/O4lBiTKI63z4exp5c8FAdAJ8c6iA7w4VtPoak2Im4a53J7symxOlJ2RQ6QDOnVB9rMnewr0U1xfj7+7PuMhxqmhwJbREmkanR6pK+zbzW+fexWgvsWPF5IWlsU0PbxcjJR1HdRullQU7gJ5dfPnLjeKciFfXpXPwTNuqI53exr6xWly4QvNCVmGyK7M5UnIEvaBnWtw0VTRoXJ5pcdMwCAaOlx7ndEXbBg93GEY+DIIOMjeIi+sWUt5Qzpb8LYBr7ya/8MMJTp+vJczXnX/MG9AhzGwGdxlMV++uVJuq2ZK3RW05P8fcJLZ1gvgQp1P+EcBsNdsrnlz5/u2IxPrH0i+kHxabxT5I32XpOQ2Ce0BjJRz8tFUvldqSZ3af2SHelzoKQ2KDeGyi2CHwh++OkVta16rX+7j5cH309YATb8ZJz159Zqky1gSa2zqnxU7DTe+migZXQkukaXR6psdPx13vTkZ5BsdL21ZS3CEQhOaqtAMfQ01xmy5js9nsiTRXn8+jJLcOjWLWgG5YrDYe/eIQFXVNrb7G+Kjx+Lr5cq7uHHuL9sqgsp0c/FRcuAb3EBeyKiA9xI3sNpIQzxBVNGhcnotbFV3edCAoDhIvuGW1Yuj1xZb1vYJ6ySROXX48cpal+/MQBFh860CCvDvGYl8n6JgRL446cEr3zqNfQXUh+HaFfvNUkbDrbHNbslRBreE8SPev0yYiHIVO1+ygvOd9sLRsLldOZQ4pxSnoBJ3mdigDj1yXwNDYQGoazTy29BAmS+uMW6TN5NXZqzG18N9UMSrzxfdgUG2sSU1TDZtyNwGaW6ej0BJpGp0ePzc/JkZPBODbDBc3Heh+PUQMBnN9mx2LUopTyK/Jx8vgxYSoCY7V14kRBIH/uymJ2GAvzlY28PRXR1pdIemud7fPnJHav5wGi+miaohHVKmGsNqs9gdcbRHhnEhVKitPO2lVpSORqjKPfiU6KbYA6fd6TsIceTSpTF5ZHc9+cxSAhyZ0Z1RCx0p2Sw9yOwp2ONfcVau1uRpi+ANgcFdFhlTNMz1uusu2JXdkpsdNx6ATZ62ml6WrLUde+i8A7zCoyodj37ToJdIGz+huownzCpNTXafEoNfxxq0D8fUwkJpXweINp1r1+pHdRhLqGUp5Yzlb87fKpLKN7H4PrGaxOyhisCoS1p1ZR4OlgTj/OJJCklTR4GpoiTQNDeDmHjcD4m6/S1t/C0Kzg+f+f0NtaasvIS2Ep8ZNxcvo5Uh1nR5fDyPvLBqEm17HhrRzfLIrp9XXkFqVN+VuoqqpysEK28Gxb8QFq3eYuIBVgf1F+zlbexYfo4+WBHZSxkWOI8A9gOL6YnYX7lZbjrxEDIaYMeLMQCnJfBVOlp3kZNlJjDqjSw5pN1usPL70ENUNZpKjA3jihp5qS2o18QHx4txVm9m52uMy18P5k+DmC0N+oYqEysZKNueJQ9qleYgazkWgRyDXRV0HOOFmnKMxesDw+8XjFjgoW6wW+3w/V93IcAYiA7342839AXhvy2l2n275c4pBZ7Bvkn6T0bLkqCLUlzebvIx+QjUZ0vPbrO6ztLZkB6El0jQ0gKHhQ4nwiaDGVMOGMxvUliMvPadAeH8w1cKe91r10jpTHWtz1gLafBO5SIrw57kZ4gDbl1alcSS/olWv7xPch4SABBotjc7zIGezXVQNcb+4gFUBaWE1LW4angZPVTRoXB2j3mifXSc9tLg00sDhg59Aw9UT39IieELUBJcc0v7mxgxScivwdTfw1oJkjPqOuUSVqtKcqj1Zcusecjd4+KsiYU32GkxWE70Ce9E7qLcqGjSujZQkWpm10vna4xzNkHvA6A3njsHpTVc9ddfZXRTXFxPgHqBtxMnMjP5duXVIFDYbPLkslfLalo86ke7fnWd3cq72nEwKW8n+j8Rnri5JkDBRFQl51XmkFKcgIGhjeRxIx1ylaGg4GJ2gs++Quvwu3MWz0vZ+IO6UtJCNuRupM9cR5RtFcliyTAI17hwZw5S+XTBZbDzyxSGqGlq+mBUEwb6QcJp7+fQmcaFq9BYXripQ2VhpT5JLFagazon0XrwxdyOVjZUqq5GZHpMhpJfopJhy5aHXJovJPpvSFashdp8u5Z3NmQC8dHM/ooI6brXzjPgZGHQGTpSeIK00TW05kH8AzuwEnRGGP6iaDCmxqLXVOzejuo1y3vY4R+MVBIPuEI+vYcL1baY4+mVG/AxtSLsC/HlWH+JDvCmqauB337R81EmsfyyDwgZhtVmdY9afqV581gJxNppKlWA/nP4BgBFdRxDuHa6KBldES6RpaFxgTvc5CAjsK9pHXlWe2nLkpdcMCOsLTdXNb/AtQKqImN19tlYWLCOCIPD3uQOICPAk98LMoNbMS5sZPxODYOBoyVHncD+UFqiD7hAXriqwOns1TdYmegT2oG9wX1U0aLSMPkF96BXYiyZrk90cwmXR6Zqr0va8LzorXoZt+dsobywnxDOEUd1GKShQfspqm3hi2SFsNpg/JJIbB3RTW1K7CPQItM9ddYr2Iqkarf988I9QRUJWZRZHS45iEAz2gfYazolBZ+DG7mJVpdNsxsnJiIdA0EPWFig8fNlTKhoq7E680vgMDXnxcjPw1sJkjHqBtcfP8cW+3Ba/9qYe4r/Rt5nfYrW1zrDA4aR+AbXnwT8a+qpz71isFnsiWGurdyxaIk1D4wJdfboysttIAL47/Z26YuRGp4NxT4vHe967ZksRwNmas+wt2ouAoO0oK4C/l5G3FyVj0AmsPFLIkn0tT+4Gewbb3Q9VXwgXHhEXqIJeXLCqhPRAe1PCTVoS2MkRBKF5IZzxbatNNzoc/eeDTzhUFcDRLy97ivQ36cb4GzHoDAqKkxebzcZvvj7CuapG4kO9+css10hyS1WvK7NW0mBuUE9I6WlIEysR7AlbFZD+Do2JGEOwZ7BqOjRahlT1uqNgByX1JeqKkZvAGEi6UKW+443LnrIyeyUmq8ml3ZKdkaQIf34zRWwDf/HHE2Scq27R6ybHTMbb6E1edR4Hzx2UU+LVsVqajd1GPgx6dQxWdp3dRVFtEf7u/twQc4MqGlwVLZGmoXER0k7T95nfu75jXJ/ZENITGiph34fXPF0qkR4WPoyuPl3lVqcBDIoO5DdTxUXb8z8cJ62w5eYB0kL4h9M/YLKqOOdk52Lxc9854oJVBU6WnSStLA2DzqDNhuggzIyfiZvOjfTydE6UnVBbjrwY3MVFNsCOxeLi+yJK6kvYnr8dcL22zv/tOcOGtHO46XW8tSAZLzfXSBKO6DqCbt7dqDZVs/7MevWE7H4HsEGPKRCWqIoEk8Vkr2aXEuQazk2cfxwDQgdgsVnsLWEujTQA/sT3YvL5J7i6W7Izc8+YOMb2CKHBZOXRJYdoMF372czL6MXU2KmAuBmnGmkroDwbPAObW4hVYHnGckDciHPXq+PY7KpoiTQNjYu4Pvp6/N39OVd3zvUd43R6GPeMeLz7XWisueKpNputua1TKwtWlHvHxDOhVyiNZiuPfJFCbaO5Ra8bGzmWII8gShtK2Za/TWaVV6D0NBy/sIhR0alIqka7Pup6Aj0CVdOh0XL83f2ZGHOhPe6UE7THyc2QX4BHAJRmwMlL21lXZq3EYrPQP6Q/8QHx6uiTgbTCKv66Upwh9rtpvUmKUGcIvhzoBJ09aaRae2dNsdhWBDD6MXU0AJvyNlHWUEaoZyjjIseppkOjdUhJo28yvnH9quDwJDHZbLM2b/5dIK00ze6WrLUlK49OJ/Da/AEEe7txsqial1a1bO6k9P67/sx6qptaVsnmUGw2cWMMYNj94OatvAbEjbiteeKsQ20+sOPREmkaGhfhpndjRpz4h3L5qeUqq1GAvjdDUDzUl8GBf1/xtJTiFPJr8vEyeNlnv2gog04n8Nq8AXTxc+f0+Vr+9P3xFr3OqDPaF8Kq3cu73hYXpgmToGt/VSQ0WhrtQ9q1RUTHQvr3WpW9inpzvcpqZMbdV1xsA2x/TVyEI25iSIkYV9rEqG+y8OiSQzSZrVzfO4xfjI5VW5LDmZMwB52g48C5A+RU5igvYPe7YG6AyKEQM1r5+Bf4+tTXgPhg60ptya6O5G6dU5VDSnGK2nLkZ+xT4ufUJVB11v5lqRpN2mjXUJ4wXw9emz8AgP/uPsOaY4XXfE3/kP509+9Og6WB1dmr5Zb4c7K3QWEqGDyb/7arwPeZ32O2mekf2p8egT1U0+GqaIk0DY2fMLfnXAA2523mfN15ldXIjN4AYy/MStv1NjTVXfY0qRptSuwUvIwd102toxLs486bC5LRCbA8JZ/lB/Nb9Lq5PcR7eUfBDgprrr3wcCjVRZD6uXg85kllY1/EptxNVDVVEe4dzoiuI1TTodF6hoUPI8InghpTjd1x1aUZ/gAYvcSB16c3AXDw3EGyKrPwNHgyPW66ygIdxws/niCzuIZQX3f+cUt/l5xbGO4dzuhuYgJLGvSsGPXlsP/C5tjYX6vmFJdXlceewj0ICNpGRgfD2+htf8+RkqEuTfQIiB4FVpOYhObCRly267oldyQm9ArjV+PEiuzffH2EvLLLP69I/HTWquJIJi/Jt4N3iPLxuXQj7pYet6iiwdXREmkaGj+hZ2BPBoYOxGKzKL/4VYP+8yEgWnSVOfjJz75dZ6pjbc5awLUqIjoaI+KDeeKGngD88ftjZBZfuRVXItovmmHhw7BhU/5e3vMeWJogajjEqOcyKC0i5iTMQa/Tq6ZDo/XoBF1zVWVGJ6gQ9g6GwXeLxxeGXn95SjQfmB43HR83H5WEOZZVRwtZsi8XQYDFtw4k2Md1Z7ZImxnfZ36v7KzKfR+JrtxhfcWWNZWQfm9HRYwiwkcdx1CNtnNLT/Hhe13OOiobK1VWowDSpt+Bj6GuzP5zd/HqwsiuI9XVpsHTU3oxMCqAqgYzjy09hMlydUdOycH+WOkxTpWfUkglcDYVTm8EQQejHlEu7k/YX7Sf3OpcvI3eTIlV7++AK6Ml0jQ0LsO8XvMAsSXO5U0H9EYYc6GkfeebYLrUYWxj7kbqzHVE+kQyKGyQCgI1JB6+LoFR3YOpa7LwyBcpLRq6Kj3IfZPxjXL3cn0F7P+PeDzmSdWqIQpqCthTuAeA2d21JHBHRGqPO3juoDrtcUoz8hHQGSFnO6WnN9oH1Ut/kzo6eWV1/G75EQAeGN+d0Qnq7NQrxbioccrPqmyqhb3vi8djnxJdulXAZDHZN3Dm9XCN+7ez0Te4L72DetNkbeLHrB+v/YKOTo9J0KUfmGph37/46tRXgJhQ1Dbi1Meo1/H2wmR8PQwcyq3gtXVXT44FewYzIWoCoHBV2vbXxM9Jt0BgrHJxf8LXGWIl6fS46Vo3kUxoiTQNjcswOWYyfm5+nK09y66zu9SWIz8DF4FfJNQUwaH/XfItqaJnVsIsl2y/6UjodQKLbx1IiI84dPXFH6/tZjgxZiIB7gGcqzvHzrM7FVAJ7JeqIfqoWg0h3bvDuw4n0jdSNR0abSfcO5xR3cSKRtWGtiuJfwQMuBWA73e/jNlqJik4ib7BfVUW1n5MFtF1rarBzMCoAJ6a1FNtSbJj1BntldyK3b8p/4W6UvEBrs8cZWJehi35WyhrKCPEM4RxUZrJQEdEEAT7ZtzXp752fdMBQYAxTwBw6uCHHCo+hEEw2P8faKhPVJAXf58rztz959bTbEkvvur5UnvnD1k/0GhplF0f59Mh7YLTrYpjTSoaKuwjMaSRRRqOR0ukaWhcBg+DB7O6zwKw70i5NAZ3++KBHYvB3ARAVmUWB84dEB3IEjTbemcgzM+DN24diCDA53tz+fHI2aue765358buNwIKzTlpqoM9F6ohxjypajWEZLIwr6dWDdGRmd9zPiDOmVJkIaw2o5/AisBXDeIsxPm95qssyDG8ujad1LwK/DwMvL0wGaO+cyxBb04QZ4PtKNhBUW2RvMHMTbDzLfF49BPiHFSVsJsMJNyEUWdUTYdG+5gRPwMPvQeZFZkcPn9YbTny02cOBMbxpZtYwX9d9HWEeoWqq0njEqb168odI2IA+PWXhzlX1XDFc0d3G024dziVjZWsy1knv7gdbwA26D0TuvSRP94V+DHrR0xWE72DetMnSD0drk7nWMVoaLQB6eF7a/5W+Re/zkDyHeATDlX5cPgLoHkhPC5yHOHe4Wqq07iIsT1CeWhCdwCeXX6UM6W1Vz1fGjK6LX8b52rPySvu0GdQVyLO3eur3nDp9WfWU9pQSphnGNdHX6+aDo32MzZyLF28ulDRWGFvdXRpQnqwu9cE8o1GfNEzNW6q2orazeaTxXywLQuAv98ygKigztNmEusfy+Aug7HarHYHQNk4shSqz4p/ywcukjfWVcivzrdX82smAx0bXzdf+3tQpzAd0BuoHfEgP/h4A3Brgnb/OiPPzUgksasfpbVNPLE0FYv18tWSep3evgb+Mv1LeUWV58CRCzHG/lreWFfBZrPZ51PO7TFX6yaSES2RpqFxBeID4u2LX1UcX5TG6AGjHxePt79OQ2O13a1Tq+hxPp68oSdDYgKpbjTzyBeHaDRfef5ZfEA8g8IGYbFZ7DMTZMFiEt1fAUY9pmo1xNL0pYA420SrhujYGHQG+9Br2RfCTsKX/gEAzKqqxLNa5uS3zBRW1vPUl6kA3D0qlqlJnW9T5uL2OLPVLE8Qq8VuUsGoR8VKc5WQ2lhHdRultdW7ANL775qcNZ3CdGClrw91Oh2xTSaGFWerLUfjMngY9byzKBkvNz27s0p5d3PmFc+9ucfNGAQDqedTSS9Ll0/UzjfBZoHu10OEejOlD58/TGZFJh56D2bEz1BNR2dAS6RpaFwFKYH0dYaMi19nYvDd4B0KFWdYv/Mlqpqq6ObdjdHdRqutTOMnGPQ63lqYTICXkaMFlfxt9cmrnr8wcSEAX6V/hckik3vcseVQmSveQ8m3yxOjBaSXpdtnm0gPABodm5t73Ixe0HOo+JCy7lsqUFRbxNaSVADmVVU1J6c7IGaLlceXpFJeZyIpwo9np/dWW5IqTI6dTKB7IOfqzrE1b6s8QU58B2VZ4BnY7P6qAiZrs8mA9v7rGvQP6U9iUCKNlkaX31i22WwsyxQTwfOraxB2vgnWq7tDaqhD91AfXpydBMDiDafYm1V62fNCvUK5Lvo6QMbNuKpCsSMDVK1Gg+afcXLsZHzdfFXV4urImkgrLy/njjvuwN/fH39/f+644w4qKiqueL7JZOK3v/0t/fr1w9vbm27dunHnnXdy9uylM4AmTJiAIAiXfCxYsEDOH0WjkzIpZhJBHkEU1xWzKXeT2nLkx81L3MkGvsxeCYhDKjW3IuekW4Anr94yAICPd+aw5ljhFc+dGD2RUM9QShtK2ZC7wfFirFZxvh7AiAfB6On4GC1kycklgGi0oM02cQ3CvJpbdF29Ku3bjG+x2CwM9k+gu8ksLs5rrj5Q2Vl5a2MG+3LK8HE38M7CQbgbOuffEne9u73FcUn6EscHsNlg+4VqtOEPgruP42O0kK15WympLyHYo9kxT6NjIwgCC3uLm3FL05e6tJv94fOHOVV+Cg+9O7NMOijNgJOdwLG0gzJ3cCQ3D4rAaoPHl6ZSVtt02fNu7SWa+PyY9SO1pquPQ2kTu98BSxNEjYAY9YoPSutLWZOzBsD+O6shH7Im0hYtWkRqaipr1qxhzZo1pKamcscdd1zx/Lq6OlJSUvjjH/9ISkoK33zzDadOnWLWrFk/O/e+++6jsLDQ/vHBBx/I+aNodFLc9G72lowvTn6hshqFGHIPGb4hpBpsGNBMBpydG/p04f5x8QA889URckouv0Aw6oz26oClJ5c6XsipNXA+Ddz9YOi9jr9+C6lqqmJV9iqgeeGk4RpIQ/d/OP0D1U3VKquRB7PVbG+/nt//XogcCuYG2POeyspaz87MEt6+0G7z0s39iA3xVlmRuszvNR+doGNv4V6yKrMce/GM9XDuKLj5wLD7HHvtViKtlW7qoZkMuBLT4qbh7+5PQU0B2wu2qy1HNqSNmqlx0/Afer/4xR2vi8lqDafkxdlJxId6U1TVwNNfHb6su+yw8GHE+sVSZ65jxekVjhVQVwYHPhaPxz0tur+qxPKM5ZisJvqH9CcpJEk1HZ0F2RJpaWlprFmzho8++oiRI0cycuRI/vWvf/Hjjz+Snn75/mR/f3/Wr1/P/Pnz6dWrFyNGjODtt9/m4MGD5ObmXnKul5cX4eHh9g9/f3+5fhSNTs78XvPRC3oOnjsob2+9s+Duw1fxYm//dSYI9QhWWZDGtXhmSi+Gxorz0h78PIUG0+V3i2/peQsGwUBKcYpj72WbTVxoAgz5JXio9368InMF9eZ6EgISGNJliGo6NBzP8PDhxPvHU2eus89vdDW25W+juK6YQPdAboiZBGOeEr+x/9/Q0HFmE52vbuTxpanYbLBwWBSzBnRTW5LqdPPpxrjIcQAsO7nMcRe22WD7q+LxkF+AV5Djrt1K0svS2V+0H72g1zYyXAwPg4fdgVaq+nY1KhoqWJuzFriwETf8ATB4wtlDcHqjyuo0roT3hYpnN4OOTSeL+feOn8+1EwSBBb3F7rUv0r7AanNgu+6e98FUC+H9IeEGx123lZitZpali39bpJ9VQ15kS6Tt3r0bf39/hg8fbv/aiBEj8Pf3Z9euXS2+TmVlJYIgEBAQcMnXP//8c0JCQujbty9PP/001dWuuTutoT7h3uFMjJ4IuO7i4WLqTHX8UJ8HwC0lRZDmmg+sroRRr+PthYMI9nYjrbCKv6w4ftnzwrzCmBgjw72csx3y94PeHUY85LjrthKrzdq8iOi1QHMqcjEEQeC2xNsAserFoQthJ0GqFp2TMAc3vRv0nAphfaCxCvZ+qLK6lmG12njqy1RKahrp1cWXP83sq7Ykp2FhL7HVZsXpFY5rLzqzC/L2gt4NRj7imGu2EXtbffREzenbBZnfaz4CArvO7iK70vWG8H+X+R1N1iYSgxLpG9wXvENg6D3iN7e8olWlOTF9uvnxxxmJALyy5iSH8yp+ds6chDl4G73Jqcph99ndjgncUAX7LnTFjf21qtVom/M2U1xXTJBHEFNip6imozMhWyKtqKiIsLCwn309LCyMoqKiFl2joaGB3/3udyxatAg/Pz/712+77TaWLFnCli1b+OMf/8jy5cu5+eYr2xM3NjZSVVV1yYeGRmuQ+sxXZq10eceitTlrqTHVEmnwYURDA2z9hzZotQMQ7u/BmwuSEQRYuj+Prw/mX/a8Bb3EXapV2ascdy9v/bv4edCd4NvFMddsA3sK95BTlYO30ZuZ3WeqpkNDPmbGz8TX6EtedR7b812rvSijPIPdhbvRCTpu7X2hmkenax5cvOddaHT+TcP3t55me0YJnhdc1TzdOudctMsxotsIYv1iqTHV8F3md4656PbXxM/Jt4OvesmrioYKfswSZ0lJCW8N1yLSN5LxkeMB7JtWroLZara3JS/ofdFG3KhHweAB+fsga4t6AjWuye0jYpiWFI7JYuORJSlUNVxqrOVt9LaPq/k87XPHBD1woVo8pCck/nwUlZJ8kSbev3N7zBU34jRkp9WJtL/85S8/G/T/048DBw4AXLYawGaztahKwGQysWDBAqxWK++9d+lskPvuu48bbriBpKQkFixYwNdff82GDRtISUm57LVefvllu+GBv78/UVFRrf2xNTo5g7sMpmdgTxosDY5b/DopX536CoB5fW5H5+YLxcchfZXKqjRawpgeITx5Q08A/vDdUU4W/XzTQLqX68319n/rdpGzU6xI0xlhzBPtv147kKp5ZnWfhbexc89jclW8jF72oe0OWwg7CZ+liY5fE6MnEuET0fyNvjdBcALUl8P+j1RS1zL255Tx+nrRVfWF2X3p0UVzDLsYnaDj9kTR0fizE5+1f2i71HIm6GHUYw5Q2HaWZyyn0dJIYlAiyWHJqmrRkA9pY/m7zO+oaapRWY3j2Ji7kcLaQoI8gpgRP6P5G77hzS64W7WqNGdGEAT+Nrc/kYGe5JXV8+w3R382L21h74UICGwv2E5OZU77AprqYfe74vGYp8SNL5U4UXqCA+cOYBAM9nmyGvLT6n/xRx55hLS0tKt+JCUlER4ezrlz5372+vPnz9Oly9UrFkwmE/Pnzyc7O5v169dfUo12OQYNGoTRaCQjI+Oy33/22WeprKy0f+Tl5bX8B9bQQHxzXtR7ESC2LriqY1FaaRpHS45i0BmY3XsBDL8waHXb37XFQwfhkesSGNczlAaTlQc/S6H6JztygiBwV9+7AHH3ymQxXe4yLWfbhWq05NvBP7J912oHuVW5bMnbAjRX3Wm4JgsTF6ITdOwu3E1meabachxCaX0pP54Wq3nu6PMTUyadHsY+LR7vegeaZHAccwDltU08tuQQFquNm5MjuGWweu8HzsyN3W/Ez82P/Jp8+3tWm5GqgfvdAkFx7ZXWZkxWE0vTxY2MRYmLtLZ6F2ZEtxHE+cdRa6plecZyteU4jP+e+C8gtq+6690v/ebox8XW6dzdkLNDBXUaLcXf08jbC5Mx6ARWHink872XzliP9ou2z6pst4lcyn+h9jwERIvvwSoi3b+TYydrbfUK0upEWkhICL17977qh4eHByNHjqSyspJ9+/bZX7t3714qKysZNWrUFa8vJdEyMjLYsGEDwcHXHnR+/PhxTCYTXbt2vez33d3d8fPzu+RDQ6O1TI+fjp+bHwU1BWzJ36K2HFmQ/qhMip5EsGcwjHgYjN5QeBhOrVVZnUZL0OkEFt86kK7+HmSX1PK75T/fkZsWO40wzzDO15+3O1y2ibwLrQ46A4x5sn3C28n/TvwPGzbGRowlPiBeVS0a8hLhE8F1UdcBzYvHjs6Xp76kydpEUnASA0MH/vyEfvMgMBbqSprdwZwIq9XG018dprCygfgQb16ck6QlU66Al9HLXjHQrvu38PCFanGhOdGqEmuy11BUW0SwRzDT4qapqkVDXnSCjrv6iJtxn6d9jsnazs04J+Dw+cMcOX8Eo854eZMMv27i6AoQq9I0nJrk6EB+M7UXAC/8cIJjBZeOMZFaz7/L/I6Khoq2BTE3wc43xePRj4NePYfiotoi1maLz2h39r1TNR2dEdlqEBMTE5k6dSr33Xcfe/bsYc+ePdx3333MnDmTXr162c/r3bs33377LQBms5lbbrmFAwcO8Pnnn2OxWCgqKqKoqIimpiYATp8+zQsvvMCBAwfIyclh1apVzJs3j+TkZEaPHi3Xj6OhgafBk3k95wHw3+Ou8fB2MaX1pazMWgnAbX0uzDfxDoZh94rHm/9Pm5XWQQjyduPd2waJO3JHC/l0V84l3zfqjSxKFCssPz3x6WWtwluEVA0xYCEExrRDcfuoaKiwt1zf3fdu1XRoKIf07/xD1g8U1xWrK6adNFma7C6Od/S54/IJKL2hOVmy802xpcSJ+GBbFhtPFuNm0PHOokF4uxvUluTULOi1wO6gfLzk8uYw10R6/02aC6E9HSeuldhsNj45/gkgVqP9rJpHw+WY2X0mQR5BFNYWsj5nvdpy2s3/TvwPgOlx0wnxDLn8SWOeFEdY5GwXDT40nJr7xsZzQ2IYTRYrD35+kMr65oTviK4j6B3Um3pzfdtn/R1eAlUF4BMOA293kOq28UXaF5htZoZ0GSKaZGgohqzNvJ9//jn9+vVj8uTJTJ48mf79+/O///3vknPS09OprBQzxfn5+axYsYL8/HwGDhxI165d7R+S06ebmxsbN25kypQp9OrVi8cee4zJkyezYcMG9HptoK2GvCxKXIRBJy5+j54/qrYch/LVqa8wWU30C+nHgNABzd8Y/QS4+ULREUhboZo+jdYxKDqQ308XHYz+b1Uah3LLL/n+vF7z8DJ4iQPO2+JeVHAQMteLs3nGPuUIyW1mWfoyGiwNJAYlMjR8qKpaNJRhYNhAksOSMVvNHX5W2venv6e0oZQuXl2YFDvpyicOWAD+0VBbDAc/VU7gNdiTVcqr69IBeH5WX/p006r+r0UX7y5MjZsKwMfH21BhWHQUTv4ICDD+N44V10p2n93NqfJTeBo8L1/No+FyuOvd7bPSPjn+Sds345yA3Kpc1p8Rk4E/a6u/GP9IcYQFNCexNZwWQRB4bd5A+7y0Z746bL9PBUHgF31/AYidOA3mhtZd3NwE214Vj0c/BkYPR0pvFbWmWr4+9TWAfWyLhnLImkgLCgris88+sztlfvbZZwQEBFxyjs1m4+677wYgNjYWm8122Y8JEyYAEBUVxdatWyktLaWxsZHMzEzefPNNgoKC5PxRNDQACPMKY3rcdECs5HEVmixN9l0ZaRCyHa8gGPmweLz5JXDR+XCuyC9GxzK9n+hg9PDnKZTXNtm/5+fmZx/aLlUTtIqt/xA/958PQeq1UjZaGu0tyXf1vUtrJ+tESAvhL9O/7LBDr81WMx8fExMpd/W9C6PuKu0hemNz0nrnYjC1cvEvA8XVDTwqzUUbFMGCoZqZU0uRqirX5axr/dBrqb2s700Q2uvq58qMlAic22Mu/u7+qmrRUI5be92Kh96DtLI09hXtu/YLnJSPj3+M1WZlTMQYegVd43dpzJPiKIuszeJoCw2nxt/LyHu3DcJNr2PdiXN8tD3b/r3JsZPp5t2NsoYyVpxuZZHA4S+gMhd8usCQXzpYdev4+tTXVJuqifWLtc9+01AO9ewlNDQ6KHf2EfvP159ZT0FNgcpqHMPanLWU1JcQ5hl2+YqIkQ+BRwCUpMNRBzg9aiiCIAi8Mrc/cSHenK1s4MkvU7Fam3eOb+9zu31oe1ppWssvXHgYTq0GQQdjfy2D8pbzbca3lDWUEe4dzuTYyapq0VCW8VHjifOPo8ZUY9+R7WisP7OevOo8AtwDmNtj7rVfMHAR+EVAdSGkfia/wKtgsdp4fEkq56sb6dnFh79qc9FaRa+gXoyPHI8NW+uq0oqOQdoPOEM1WlppGnsK96AX9Fev5tFwOQI9ApmTMAeAfx39l7pi2khxXTHfZ34PwL397r32CwJjxFEWoM1K6yD0jwzgjzPF7oy/rTnJgZwyAAw6g32e2KfHP225iZy5Cba9Jh6PfgKMno6W3GIaLY32jfBfJv0SnaCldZRG+z+uodFKegX1YmTXkVhtVpeYlWaz2ewDjxcmLrx8RYSHP4x5Qjze8jK01+lRQzF8PcQdOXeDji3p53lvS7PLYYRPBFNipwCtXAhfPJsnpIcj5bYKk9XEf479BxAXEVet5tFwOXSCzl7V898T/219e4bK2Gw2/n3034A4NsDL6HXtFxncm409tr8hLupV4o31p9idVYq3m573bhuMl5s2F621SA/vK06voKi2qGUvkpyS+8yGsESZlLWMD498CMCU2Cl08+mmqhYN5fll0i8xCAb2Fu7l8PnDastpNZ+d+AyT1URyWDKDuwxu2YvGPiWOtMjcAPkH5RWo4RBuHxHDjQO6YbHaeOSLQ5TWNAJwU8JN+Lv7k1udy7oz61p2sdTPL6pG+4WMqq/NtxnfUlJfQrh3ODPjZ6qqpbOiJdI0NNrAL5LEN89vMr6htL5UZTXtY9fZXZwsO4mnwZNbelzFvnnY/eAdBuU5cOh/Vz5Pw+lI7OrHi3OSAHh9/Sl2ZZbYv3d/v/sBsTImszzzsq+/hKJjzbN5VHaK+/H0jxTWFhLsEcxNCTepqkVDHW6Mv5Fw73DO159necZyteW0iu0F20kvT8fL4MWi3ota/sLkO8QBx1X5qlWlbT5ZzDubxfeLl+f2JyHMRxUdHZ2BYQMZ0mUIZquZT4+3YFzEuRNwQqygUbsa7VT5KTbkbkBA4P7+96uqRUMduvp05cbuNwLwryMdqyqtsrHSPtLknqR7Wv7CoHjof2EW4DZtVlpHQBAEXr65H/Gh3hRVNfDEslQsVhteRi/7OJsPDn+A1XYNQzVzE2y/UI025klVq9FMVpN9LMQv+v4Co4quoZ0ZLZGmodEGRnQdQb+QfjRYGvgsTd32mvYiVfTM7TGXAI+AK5/o5t3cxrf1H04xn0ej5cwfEsX8IZFYbfDY0kOcqxL//RICE5gUI7bzfnj0w2tfaNuF2Wh950BYb5nUXhuL1cJHRz8CxFlDHgb1hr1qqIdRb+TeJLGq5z9H/0OjpVFlRS3DZrPxz8P/BGBez3mtmy1l9GielbbtVcXfiwsq6nnyy1QA7hwZw6wBWiVSe5Cq0r4+9TUl9SVXP1l6cE+cBV3UdWf74PAHgDhrqHtAd1W1aKjHPf3uQSfo2Jq/tXUjIlTm0+OfUmeuo2dgz9bPlhr7a3G0xak1cDZVFn0ajsXH3cD7tw3Gw6hje0YJ72wSN4JuS7wNXzdfTleevnZVWurnUJknVqMNvlt+0VdhZdZKztaeJdgj2D7vWEN5tESahkYbEATBvvhdenIpVU1VKitqG8dKjrGvaB8GwdAyt5chvwC/SKg+Cwf+I79ADYfywuwkeof7UlLTxKNfHMJkEXffpGqCtTlrya7MvvIFik82V0OMe0ZuuVdlVfYqcqtz8Xf3Z36v+apq0VCXm3rcRBevLhTXF7P8VMeoStuWv42jJUfxNHhyd9Ldrb/AoLvEWWlVBXDwE0fLuyJNZisPfZ5CRZ2JAZH+PDdD3dZCV2BUt1H0D+lPg6XB3up7WYpPwvHvxOPxv1VE25XILM+0Ox1q1Widmxi/GKbEiCMi3j/8vspqWkZ5Q7nd7fmhgQ+1frZjSAIkXejg0Bw8Owy9wn3565x+ACzeeIodGSX4uvlyR6I43/GqVWlOVo0mtdXf1fcubSNZRbREmoZGG5kQNYGEgARqTDUsPblUbTltQqpGmx4/nXDv8Gu/wOAO4y8kULa/Bo0d0ymvs+Jh1PP+7YPxcTewL6eMv60+CUDvoN5MiJyA1Wbl/dSrLIS3/QOwQe+ZqlZDmKwm3kt9D4C7+tzVstlSGi6Lm96Ne/qJrTn/PvZvp69Ks9lsvJv6LgALei8gxDOk9RcxesC4C63V21+DpjoHKrwyL61K43BeBf6eRt5ZNAh3g16RuK6MIAg8nCw6Yy9LX3blWWmb/w+wQeKNEJ6knMDL8OGRD7Fh44boG+gZ2FNVLRrq88CAB9AJOjbnbebo+aNqy7kmHx//mDpzHYlBiVwfdX3bLjLuGbEqLX0lFKQ4VqCGbNwyOJJbh0Rhs8HjF7ozbutzG75GXzIrmjcIfkbqZxeq0cJVr0b7LvM78qrzCPII4tZet6qqpbOjJdI0NNqITtDZq9L+e+K/1DR1rKTS6YrTbDizARD761vMwNsgMA7qSmDfBzKp05CLuBBvXp03AIB/78jm+1TReVZ6kFuds5qTZSd//sJzJ+DYhWoflWfzfJf5Hfk1+QR5BHFb4m2qatFwDm7ucTNhXmEU1xWz7OQyteVclY25G0krS8Pb6M0v+/6y7RcaeDsERENtMez/yHECr8DKI4V8sisHgNfnDyAqSEtgO4qRXUcyuMtgTFbT5WdNnU2FtBWAANc9p7S8SzhReoLVOasB+NWAX6mqRcM5iA+Itw87f+vQWyqruTql9aX2ze+HBz7cdqfh0J7Ns9I2/dVB6jSU4PnZfekd7ktprdid4aX34bY+4lrynUPvYLaaL33BxU6dKlejNZgb7GMh7u9/v7aRrDJaIk1Dox1MiZ1CrF8slY2VHW5W2j8P/xMbNq6Pup6EwISWv1BvhOt+Lx7vfBPqIouPpQAAW+5JREFUK2TRpyEfU5PCefg6cabNb5cfIa2wit5BvZkWNw2At1IusxDe8hJiNcQs6DpAQbWXoi0iNC6Hu96dhwY8BIiz/py13d5itdir0W5PvP3qcymvhcGtucVv52JorG63vitx+nwNv/ladOV7cEJ3JiZ2kS1WZ0QQBB4Z+AggmhjlVeddesLm/xM/95unulPn4oOLAZgeN53eQerNydRwLh4c8CAGnYE9hXvYV7hPbTlX5IMjH1BvrqdfSL/Wz0b7KeN/CzoDnN4IZ3Y5RqCG7Py0O+Mf69K5s8+dBLgHkFOVwzcZ31z6gpRPRXMfn3AY3IIxODKyLH0ZxXXFhHuHM6/nPFW1aGiJNA2NdmHQGXhooPjw9t/j/6WysVJlRS0jszyTtTlrAez6W0XSXAjtDQ2VsPsdB6vTUIKnJvVibI8QGkxWHvjsIJV1Jh4Z+AgGwcD2gu0cPHeRrfvZVEj7AbEa4vdqSQbEmYTaIkLjcsxOmE28fzyVjZVXnzWlIt9mfktmRSZ+bn7c2ffO9l+w/wII6g51pbBXngrh+iYLD32WQm2TheFxQfx6ktbKJwdDwocwqtsozDYzb6e83fyN3L2QsQ4EPUz4nXoCEV2+dxfuxqAz8Gjyo6pq0XAuIn0j7c7vi1MWX9sBUQWyK7P5Kv0rAB4f9Hjbq9EkguJEF2UQq9JstnYq1FCKuBBv/n5LfwA+2JrFzlO1PDDgAUCc9VdnujAuoam2eQ7e+GdUrUarbqq2m2w9NOAh3PRuqmnRENESaRoa7WRK7BQSAhKoNlXz3xP/VVtOi3j/8PvYsDEpZhK9gnq1/gI6fXN7yZ73ofYaTmMaTodeJ/DWgmQiAz05U1rHE8sOEekTZXf/ee3Aa80L4c0viZ9VroYoayizD1jVFhEaP8WgM/Dk4CcB+Dzt8yvPmlKJmqYa3j4kJkgeHPAgfm5+7b+o3tCcXNn1tri54UBsNhvPfXeU9HPVhPi48/bCZAx6bekoF08OfhIBgdU5q0ktThUfzDe9KH4z+TYIVs8d02qz2qvRFvRaQKRvpGpaNJyTXw34FV4GL46WHGVV9iq15fyMxQcXY7aZGR85nuFdhzvmouOeAb07nNkJWZsdc00NRZjeryu/HB0HwNNfHWZw0HQifSIpqS9pfp7b96E4PiEgBpIdsPnVDj488iEVjRXE+sVyY/cbVdWiIaKthjQ02olO0PHwQHG+1GcnPqOsoUxlRVfnVPkpu8WztPvSJhJvFFv8mmqanWw0OhSB3m788/bBuBt0bE4/z5sbM3hw4IN4G705WnKUH07/AHn7IGOtU1RDvJf6HtWmahKDEpnVfZaqWjSck/GR4xkUNohGS6M9aeUs/PvYvylrKCPGL8axA4LtFcIVsPs9x10X+GzPGb5JKUAnwFsLBxLmp7mDyUnvoN72zYxX9r2CNWsz5GwHvRuMU3c25YrTK+yz/TSnTo3LEeIZwn397wPgjYNvNFf1OAEHig6wKW8TekHPU4OfctyF/SNgqGh2w8YXtaq0Dsaz03szLDaImkYzj3x+hPv7ic9zHx/7mOKyTNixWDzxut+L4xRUIqcyxz5C6DdDf4NBZ1BNi0YzWiJNQ8MBTIyeSJ/gPtSZ667ueugEvJnyJgCTYya3z21LEGDin8Tjff+C8pz2i9NQnKQIf16+WbQDf3NjBqnZFn7VXxwgvThlMbWbXhBPHLhI1WqIU+Wn+OqU2JLxm6G/Qa/T3AI1fo4gCDw95GkEBFacXnFpi7KKFNQU8N/j4g73rwf/GqPe6LiL6/Qw4VnxePe7UOeYzZyDZ8p44ccTAPx2am9GdW+Du6hGq3kk+RG8jd4cKz3Gyi1/EL84+BcQEKWapsrGSt44+AYgzqYM9AhUTYuGc3NHnzuI8ImguK6Yj49/rLYcAMxWM6/sfwWAuT3mEh8Q79gAY54EoxecTYH01Y69toasGPU63rktmS5+7mQW17B2bxf6hfSjzlzHqxseEzeoQhPFjgwV+ceBf2C2mhkbMZaxkWNV1aLRjJZI09BwAIIg8OvBvwbgq1NfkVWZpbKiy7OvcB/b8rdhEBw036T7RIifAFaT5lrUgbl5UCR3jYwB4MllqYwOu4kYvxhK6kv4oOIo6IyqOnXabDaxOsNmZVLMJIaED1FNi4bz0y+0H3N7zgXgr3v+islqUlWPzWbj5b0v02RtYnj4cCZETXB8kMRZEN4PmqodUiFcXN3Ag5+lYLLYmN4vnPvHOfjBU+OKhHiGcF8/sarndSqoMnrB2F+rqumdQ+9Q1lBGvH88dyTeoaoWDefGXe9ur/j6+NjHPzfOUIElJ5dwsuwkfm5+dodyh+ITBsMvdHhs/j+wOt98OI0rE+brwXu3DcaoF1hzvJhE493o0LG6Po89Hu5w/R/EDSuV2J6/3f7s9puh6lYma1yKlkjT0HAQw7oOY0LUBCw2C68feF1tOT/DarPy6oFXAbil5y3E+se2/6KCADc8Lx4f/UocSq/RIXluRh+GxARS3Wjmkc8P8+gAcdbU//x9SR9wMwREq6btu8zv2Fe0Dw+9h2NbMjRclseTHyfAPYDMiky+SPtCVS1rz6xla/5WDDoDzw5/tv0Dri+HTgcT/yIe7/sQKnLbfCmTxcojnx+iuLqRhDAf/n7LAHk0a1yR23svItaqo8Sg542eQ8BXPZfUE6Un+PLUlwA8N/w5x1ZTargkk2ImMTx8OI2WRl7Y/QI2Fdsdi2qLeOeQaIr15OAnCfIIkifQqEfB3Q/OHYPj31z7fA2nYnBMIH++sS8AH282MUPXFYCXunTD1GOyarrqTHX8317Rtfm2xNsc8+ym4TC0RJqGhgN5avBTGAQDW/O3sqdwj9pyLmFl1kr7fJMHBz7ouAt3G9hc8rz+T9p8iA6Km0HHe7cNItTXnVPnaji9IZ0bauswCwJ/phSz1ayKrpL6EnsC+OGBD2sDrjVaRIBHgD3p+l7qexTUFKiio7Kxkr/t/RsA9/a7l+4BMrZHJ0yE2LFgaYLNL7f5Mi+tSmNfThk+7gY+uGMwPu7aLBalcU/7kT+fKwTg67oc9hftV0WHyWri+d3PY7VZmRY3jWFdh6miQ6NjIQgCfxr5J9z17uwp3MOK0ytU0SFVs9eZ6xgQOsA+f1AWvIJg1GPi8aYXwdwkXywNWbhteDS3DI4k3FbCU9kHCLJYyNZZ+Oi4ei7gb6a8SUFNAd28u/HQwIdU06FxebREmoaGA4nzj2NeLzGp9PLelzFZ1G0pkqg11bI4ZTEgPsw5fEfu+j+Iw5Czt8LpjY69toZihPl58P5tg3DT2bgu/z1+X1qGr2DkeMUpPjvxmSqaXtn3ClVNVSQGJXJ7n9tV0aDRMZmdMJtBYYOoM9fx3I7nsFgtimt4/eDrlDaUEucfZ2/Xkw1BgEkXKoQPL4Fzx1t9ie9TC/h4Zw4Ar80fQPdQHwcK1GgR5kbY+AJDGhqZ5yvOMX1+9/M0mBsUl/KvI//iROkJ/N39eWbIM4rH1+i4RPtF2x/8/77/75TUK+/uvjJ7JRtyN6AX9PxxxB/RCTI/9o58CHzCxZnBB/4jbywNhyMIAn+dk8Sf/X8kxGpiQYUvAB8e/pDjpa3/e9peDhUfYsnJJQD8edSf8TJ6Ka5B4+poiTQNDQfz8MCHCfIIIqsyi0+Of6K2HECsyCiuKybSJ5LbE2VIRgTGwtALD4nr/6LNh+jADIkN4j+DskjU5eFm9mBujOjO9k7qO2SUZyiq5cesH1mTswa9oOcvo/6iuRRptAqdoOOvo/+Kp8GTg+cO8r8T/1M0/oYzG/gmQ2zx+fPIP+OmV8DxK2Iw9JkD2GDD8616aVphFb9dfgSAh6/rzpS+4Y7Xp3Ft9v0LKnPBtytPTn6fUM9QzlSd4fWDyo6MOFZyjA+PfAjAH4b/gVCvUEXja3R87uxzJ4lBiVQ1VfGHnX/AalNubVhYU8hLe14CRIf6XkG95A/q5t3sbr71FWiolD+mhkPxKM9gcpNYELDu/K2E64dhtpn5/fbfK7qZUWeq4087/4QNGzcl3MSobqMUi63RcrREmoaGg/F39+eZoeLO7QdHPiCvSt1Bq+ll6Xye9jkAz414Dg+DhzyBxj0N7v5w7igc/VKeGBryY2pgdO4HALxnns3/tsYxOHQkjZZGfrPtN9Sb6xWRkVedx1/3iAYWvxrwK/oE91EkroZrEeUXxW+H/haAtw69RXpZuiJxz9ac5U+7RFfjXyT9gsFdBisSFxDdlAU9ZKyFnB0tekllnYkHPjtIg8nK2B4hPDVJgYdOjZ9TXw7b/iEeX/d7fH3CeGG06Jy85OQSNpzZoIiMOlMdz25/FovNwrTYaUyNm6pIXA3XwqAz8NKYl3DXu7OzYKdimxkWq4Xndj5Htama/qH9ubffvYrEBSD5DgjpCfVlsPNN5eJqOIYNf0awWSiJnMRhepGZNgVvfQBZlVm8maLMv6fNZuOFPS+QU5VDmFcYTw99WpG4Gq1HS6RpaMjAjLgZDO8qDlr9696/qjZo1Wqz8uKeF7HYLEyKmcSYiDHyBfMKgrHigHo2vggm5dtQNBzA/n8hVOVj8+3GgS7zqKgzU5Axm2CPEDIrMnll3yuySzBZTPxu2++oNdUyKGwQ9/e7X/aYGq7LzT1uZkLkBExWE09teYrKRnmrBMxWM7/d9luqm6rpH9LfMQ7JrSG4Owy+Wzxe/+drzq20WG08+WUqZ0rriAz05K0Fyeh1mrmAKmx/HRoqIDQRBiwCYEzEGH6R9AsA/rTzT7LP+7PZbPxx5x/Jqcoh1DOU50Y8J2s8DdcmITDB7jS4OGUxx0qOyR7z3dR32V+0H0+DJy+PeVnZana9ASb+WTze/R5UnVUutkb7yNoKp9aAzkDInL/x9JRe2CzelOfOAeCztM9Yk71GdhnLM5azMmslekHPP8b9Az83P9ljarQNLZGmoSEDgiDwh+F/wE3nxq6zu1iWvkwVHf89/l8Onz+Ml8HLXpUhK8MfAL8IqMqHPe/KH0/DsdRXwDZxsL9w/XO8c9coQnzcySiELo2/QEBgecZye7uaHNhsNl7c8yJHSo7g6+bL38b+Db2KtuMaHR9BEHh+9PN09e5KbnUuv9n2G9nMM2w2G3/b9zdSz6fiY/ThlXGvYNSp4HI4/rdg9IKCA5D2w1VPfX19OptOFuNu0PHP2wcT6K1AC6rGz6nIhb1iNTCTnhcfyC/waPKj9A/tT7Wpmic3P0mdqU42Gf8+9m/WnVmHQWfgtQmv4e/uL1ssjc7BvJ7zmBQzCbPVzOObH+dc7TnZYq3NWcu/jv4LgD+N/BPRfio4jveeAVHDwVwPW9pu/KKhIFYrrPuDeDzkHghJ4MHx3ZnStwuNVb0xVl8PwB93/lHWyvbjpcd5ea94zzw26DEGdRkkWyyN9qMl0jQ0ZCLWP5YnB4sVWq8deI3symxF46eXpfPWobcAeGboM3Tx7iJ/UKNn807c9tehukj+mBqOY8cbF1VDLKSrvycf3DEYN72OvSeCGeg7H4AXd7/I7rO7ZZHw6fFP+TbzW3SCjr+N/RtdfbrKEkejcxHkEcRb17+Fp8GTXWd38cbBN2SJ8+nxT1mWvgwBgb+O/qt6LrO+XWDkI+LxxufhCsY3Pxw+y7ubTwPwt7n9SIrQkiaqsen/wNIoOq/2mHzJt4w6I38f93cC3QNJK0vj11t/jcnqeDOjrXlbeStFXDc8O+xZksOSHR5Do/MhCALPj3qe7v7dKa4r5tFNj8qSDD5ReoI/7vwjAHf1uYuZ8TMdHqNFCAJMelE8PvQZFJ9UR4dGyzmyDIqOgLufuBGFeN++Om8A3UO9Kcu/AW9LXxosDTy26TFZzDNyq3J5aMNDNFmbGB85nrv73u3wGBqORUuk/X979x0dVbn1cfw76YVkIAmBAKETWugdpEgTEBREkSKIIjZAEbE3rgUUL4rKtYAFBRS9CiogSBOk9957aCGBkAapM+f942C4vGgIkORMkt9nrVnrzJlT9igzObPP8+wtkof61+xPi7AWpDpSeX7F8/nWxTPNkWaez5lBu/B29K7WO1/OC0Cde6BsY0hPNqd4SsGQcBLWfWoudxwDl0aBNapQgjd7RQLw5/r6NCjRnkwjk1HLRuX6Xbn5R+ZnFdR+tsmztCnXJlePL0VbjaAavNHK/E76Zvc3fLrt01w9/vwj85mwaQJg3rzoUKFDrh7/urUcAX7BcO7g33aQ23UqgWd+3AbAw20q06uBRUk/gdPbzB9yYI5Gs109tbZssbJM6jAJH3cfVp5cyZtrc7dsxOpTqxm1bBQGBndH3E2f6n1y7dgiAV4BTOowiSCfoKxkcJojLdeOv//8fh5Z9AgpmSm0CGvByEYjc+3YN6R8M6jRHQwnLB5jbSySvfSLsPTS75XWT4N/cNZLAT6efDawMcW8vYg+2Bt/t1KcunCKoQuHEpcal2shnE05yyOLHiEuNY4aQTV4u/Xbed9lVm6a/g+J5CE3mxtvtHqDQK9Adp/bzTsb8r6+FMD49eM5GH+QIJ8gxrQYg+1vLsrzjJsbdHnbXN46A05tyb9zy437YyxkpkL5lhBx2xUv9WkczoOtKgE2NmzoRI3i9UjOSObB3x9k19ncaQk+9/Bcnl/xPAYG91a/l/41+ufKcUX+120Vb2NUo1GAWUfns22f5cpxZx+YzfMrzG5t99W8j4G1BubKcW+KTyDceqm+1R9j4eLli/5zyWk8/I3ZXKBNREme61LDoiAFMGvZYUBkb7Pz6j+oW7Iu77Z9FzebG7MOzOK11a/lyjTldafX8cTSJ0h3pnNr+K282PTFmz6myP9XLqAcH7b/EG93b1aeXMmIJSNypYHR4fjDDF04lPi0eCKDI5nQboJrdPnu8JrZ+GX/fDjyp9XRyD9Z+zEkngR7uFmi5v+pGlqMiffWx2b4cWb/QIp5BHEw/iAPLXyI86nnb/r00ReiGbpwKCeST1CuWDk+6fgJxbyK3fRxJe8pkSaSx0r5l2LsLWOxYeP7fd/z3/3/zdPzfb/3e37Y/wM2bLzR6g2CfYOvvVNuC29ijkzDgAUvXLPYtVjs1BYz6QnQ6fW/HQ3xYrcatK4WQkqGjZN7+1IzKJLE9EQeWvgQG6I33PCpDcNg5t6ZvLjiRZyGk97VevNisxfzN/krRcoDkQ8wsuFIACZtncQ769+54WlyhmHw1c6veHX1qzgNJz2r9mR0YxfqsNXwfgitbU7ZvlSrJz3TyWMzNnMyPoVKIf58pOYC1tr/Oxz+A9w8of0r19y8XXg7/tXyX7jZ3Jh9cDZP/fHUTSUjfj30K8OWDCPNkUbbcm2Z0HYCnu4W1PWTIqFeyXp83OFjfD18WXN6DY8uepRzKedu+HirT63mvvn3ZY3k+bTTpwR4BeRixDehZAQ0GWIuL3gRnA5r45GrJceYZU3A7Hjt6fO3m3WsVYrRnatjZIRwdv+D2D2DOHD+AIPmD+JQ/KEbPv2+uH0M+G0AB+MPUtK3JJ91+owQ35AbPp7kLyXSRPJB2/C2WZ3bxq4by8bojXlyng3RG3h7vTka7ImGT1g7Na7jGPDwhag1sPtn6+KQ7BkGzH8eMKBOHzMJ+jc83N2Y1K8hFYP9OHXehuPkwzQKbUxyRjJDFw7l8x2f4zSc13XqlMwUXl71Mm+tewsDg3si7uHVFq9qOLvkuSF1hmTVsJy+ZzpDfh9y3QWw41LjeHr501nTkR+o/QCvt3zdtZpjuHtAl0vFrjd8ATF7eH3uLtYfiaOYtwdTBjXC7qekiWUy02CBOZKR5o9BUKUc7dazak/eb/c+3u7eLDuxjP7z+rP73O7rOnW6I503177JSytfIs2RRrvwdrzX7j0l0STPNQ1ryuROkynmWYzNMZu5Z849131DLtOZyVc7v+KxxY9ldUie3Gmy6zXHaPcC+NjhzA6zXpq4lmVvm6VowupD5N3Zbvp4uyr0qFeGjLQQLhwbSohPKEcTj9J/Xn8WHl14Xac1DINZB2Zx/4L7ibkYQxV7FWZ0m2FNcwy5YTYjNwssFBCJiYnY7XYSEhIIDFRLWckfhmEwevloFh5biL+nP5M7TaZuybq5dvxdZ3cxdOFQkjKS6FqpK++0fsf6UT1/jIPlb4O9PAxfbzYjENey40f4aYjZ4W/4RrCXzXbzgzFJ9PzPapLTMunTpBRuJX9k3pF5ADQu1ZjRjUdTO6R2tscwDIOlx5fy3sb3iEqKws3mxpMNn+SB2g9Y/29WipQlUUt4eeXLJGckE+AZwAORDzCg5gD8PP3+cZ90Rzq/HfmN9ze9T1xqHB42D55q9BSDag/Kx8iv03f9Yd88ToW0pOWJYdhsNqYMbEzHWvnQhEb+2cqJsPg18A+FEZvM6bjXYfOZzTy17CniUuNwt7kzuPZg7q99PyV8SvzjPk7Dye9Hf+eDzR9wMvkkAI/Ve4xH6z2qmxiSrw6eP8jo5aM5lHAIN5sbd1a5k8fqPXbNJkObz2xm7Lqx7Dtv1mm9s8qdvNLiFbzdvfMj7Ou35j/w+4s3/DmXPBK9Ez5rbdaxu38uVGp9zV1S0h3c89lqdp5MpFoYlIn4kc0x5uCIW8rewqhGo6hWolq2x9h/fj/j149nXfQ6AJqUbsL77d53vSRwEZbTXJESaUqkST5KyUxh2JJhbIjeQIBnAFM6T7lm0iEndp/bzUMLHyIpPYkGoQ34rNNn+Hq4QNIq/SJMamzWHmj/CrRxoSlPcun/TxNIPGHWUmr7bI52W7r3DEO+3ohhwOt31CKg5FbGrhtLqiMVMKceda3YldblWmdNsTAMg9iUWJZGLWXOoTlsP7sdgBDfEN5p/Q5Nw5rmzXsUuYaoxCie+fOZrBE9wT7BdKrQidblWhNRIgJfD18ynZnsidvDlpgtzDowK6tjV9XiVRl7y1hqBte08i1c27lDOP/TDDdnBg+kP0PjTn0ZdmtVq6Mq2pKi4aNG5miInp9A/RurCxmXGsfYdWP5/ejvAHi5edG1kvn9W71EdUJ8Q0jOSCb6QjQrTq5gybElHEowpyKF+Ibwr5b/UmMXsUxKZgpvr3+bWQdmAWaH2lvDb6VdeDvqlqxLce/iABxNPMr22O3MOTSHPXF7AAj0CuSpRk/Ru1pv174Jl5kOHzeHuENmE5jOb1odkRgGfNUNolZDrTuhzzc53vVUfAp3TFrF2eQ0bqtVkhq1VvPN7q/JNDJxs7nRPKw5Hcp3oGFoQ4r7FMfb3ZtjicfYG7eXOYfmsDlmMwA+7j4Mqz+M+2rd5xo1/SSLEmnZUCJNrHQx4yKPLX6MzTGbKeZZjLdbv03b8LY3fLw1p9YwevloEtMTqV+yPp92+hR/T/9cjPgmbf8BZg3N8YgnyUfL3oFlY80Cq8M3XNeIwU+XH+Lt+Xtxd7Mx7cGmVCidxsdbP2bOoTkYXP6zEugViN3bztmUs1fU8fF292ZQrUEMqTPEtf69SpHkNJzMPzKfSVsmcSL5xDW3D/UNpX/N/gysNRAvd698iPDmnIxPYfEHj3C/8QtnPMMJfW4TNg8XHb1RVMx+FLZ9ZzYXGLLYbNRzE5YcW8LkHZNzNMXTz8OPByIfYFCtQdmOvhTJL9tit/Hh5g9ZH73+mtt6uHlwZ5U7ebLhk9mOvnQpBxbBjLvBzQMeW2PWTxPrbP8vzHrILEEzfAMUD7+u3TcdO0+/yWtJdzgZ2bEavZp688HmD1h0bNE193W3udO+fHueavgU4YHXd17JH0qkZUOJNLHahYwLPL74cTbHbMaGjeENhvNQnYeua1qFYRh8ufNLPtzyIU7DSb2S9fi046eu1+nF6YSvusDxdVC7F9wz1eqIBCDhBHzUGDJT4O4vzW5x18EwDJ76fis/bz2F3deT2Y+3pHLJYhyKP8Tcw3NZErWEIwlHrtovMjiSLpW60K1SN0r6lcytdyOSKzIcGaw6tYoVJ1aw6tQqzlw8k9UVsWJgRWoG16RNuTbcVuG2AlNLKjktk7s/Wc2J6DOs8B1NCSMebhsLLYZZHVrRdXwDfNHRXH5oKZT7506d18MwDLbFbuPXQ7+y59weDsQfIM2RhofNA7u3nYalGnJr+K20KddG04jEJe08u5OlUUtZcXIFUYlRXMy8CECoXyiVAivRvnx7ulXqRnGf4tYGeiO+7Wt28Kx8Kwyc/beNnSQfpCWZszGSTkP7l6HNMzd0mB82HufZH83ZFZ8MaEjXOmEcSzzGkqglLI1aypGEIySlJ2FgEOwTTNXiVWlcujF3VbuLUL/Q3HxHksuUSMuGEmniCjIcGYxbPy6ri2ft4No83/R56ofWv+a+u87uYvyG8VnDg3tW7cnLzV923foQp7fD5LZmHYJBv0DldlZHJD89BDv+C+VbwAPzb+iCLjXDQb8pa9kSFU/FYD9mP96KEv6XR+ckpCUQezGW+LR4QnxDCCsW5rr/RkX+QYYjAyfOAvlv1+E0ePibjSzZG0NIMW8WtTtKicVPg7cdRmyEYrqYz3dOJ3zeAU5thvoDoOfHeXYqh9NBujMdH3cf1576JvIP0h3pOAyHa5QruVlxh+E/zcGRBvdOh5o9rI6oaFr0Kqz6AEpUhMfX/WOnzpx4fc5uvlx1BF9Pd/77aAsiy155g8LhdJDmSNPI3wJGibRsKJEmruSn/T/x743/JjkjGYBWZVrRvUp32pZre0UL74sZF/nzxJ/MPzKfpceXAub0uGebPMs9Efe4/kXyvNGwYQqEVIdHV4KH60+HKrSi1sGXnQEbPLwMytS/4UPFJqXR8z+rOBmfQtOKQUx7qCneHi7UtVCkCHtj7m6+WHkEbw83Zj7cnAblAmHKrXB6m9mlt/cUq0MserZMh1+GgVeAWXg8QA0fRIqMJW/Ain+bTbiGrQMvJVjy1dkD8HELcGZAv++hepebOlymw8kDUzew4sBZSgf68POwVpS233hiTlyDEmnZUCJNXM3ZlLN8sPkDfj748xXrS3iXINg3mLjUOM6nnr+i9lSPyj14ouETlPYvnc/R3qCU8+ZUwotnocNr0HqU1REVTU7HpdEQW6DBfXDnf276kPvPJNH749UkpWVyV8OyTLinnusndkUKuRnrjvHS7J0ATOrfgO51y5gvnNwEUzoAhkYI57eU8+aUogux0OkNaPWE1RGJSH5KvwCTmppNnto8C+1fsjqiosMwYPpdcGgpVLsNBvyQK4dNSMng7k9WcyAmmdplAvnhkRb4e6t5QEGW01yR+lyLuIAQ3xDeaPUGc3vN5bF6j1E+oDwA59POczD+IHGpcRgYhAeEMyRyCD/d8RNjW48tOEk0AN8SlzsVLX8H4q6unyX5YNNUM4nmFQDtX82VQ0aUCmDSgIa4u9mYtfkkHy87lCvHFZEbs/LAWV79ZRcAozpFXE6igVncvulQc3nuKMhItSDCImrRa2YSLSQCmj1qdTQikt+8/OG2S9fCqyZC7D5LwylS9s4zk2juXtBlXK4d1u7ryZeDmxDs78WuU4k8OXMrDmeRG6dUJOVpIu38+fMMHDgQu92O3W5n4MCBxMfHZ7vP4MGDsdlsVzyaN29+xTZpaWmMGDGCkJAQ/P39ueOOOzhx4tpdtkRcXYXACjxe/3Hm3TWPNf3W8GOPH5ncaTI/9viR5fcuZ16veYxsNJKIEgW020+9vlCxNWSmwrynzbtDkn+SY2DJv8zl9i/n6pSithElGXNHbQDe/X0fc7efyrVji0jOHYxJ5rEZm3A4DXrWL8OI9lWv3qj9y1CsFMQdMn/MSd47tho2f20ud5+o8gYiRVWtnlCtMzjS4dcnzLqJkrfSkmD+c+ZyyxEQXCVXDx8e5MfkQY3w8nBj8Z4zvD1/T64eX1xTnibS+vfvz9atW1mwYAELFixg69atDBw48Jr7denShdOnT2c9fvvttyteHzlyJLNnz2bmzJmsXLmS5ORkunfvjsPhyKu3IpLvinkVo3pQdVqUaUH1oOoE+QQV/OlyNpv5A8LdGw4tgZ0/WR1R0bLwFUhNgNJ1oclDuX74gc0r8GCrSgA8/cM2tkSdz/VziMg/i7uQzpCvN5CUmkmjCiV4u3fdv/+74WO/fEd+xQQ4p1GkeSozDeY8aS43HAQVW1kbj4hYx2aD298DT384vhY2fWl1RIXfkjfM6bTFK0Drp/PkFI0qBPHu3XUBmLLiCDPWHcuT84jryLNE2p49e1iwYAGff/45LVq0oEWLFkyZMoW5c+eyb1/2w1i9vb0pXbp01iMoKCjrtYSEBL744gsmTJhAx44dadCgAdOnT2fHjh0sXrw4r96OiOSWkKrQZrS5vOB5s2aM5L2jK2H7TOCvZGbe1G946faadKgRSlqmk6HfbOR43MU8OY+IXCkt08Gj0zZx7NxFwoN8mTywET6e2TT+qH0XVOlgjoqYN0ojhPPSyolwdj/4h0Kn162ORkSsVjwcOr5mLi8aAwknLQ2nUDu+HtZPNpd7fGBOr80jd9Yvy6hO5qyhV3/ZxYoDsXl2LrFeniXS1qxZg91up1mzZlnrmjdvjt1uZ/Xq1dnuu2zZMkJDQ4mIiGDo0KHExMRkvbZp0yYyMjLo3Llz1royZcoQGRn5j8dNS0sjMTHxioeIWKjVk2aNmAuxsHiM1dEUfplpZi0kgMYPQLlGeXYqdzcbH/ZrQK2wQM4mpzP4q/XEX0zPs/OJCBiGwQuzdrD+aBwB3h58cX8Tgot5Z7+TzQa3/9scIXx4Gez4MV9iLXJi95td+gC6vm3WCxURafIQlGsC6Unw22jdzMgLmWnwy3DAgPoDoMqteX7KEe2r0qtBWRxOg8enb+bAmaQ8P6dYI88SadHR0YSGhl61PjQ0lOjo6H/cr2vXrsyYMYOlS5cyYcIENmzYQPv27UlLS8s6rpeXFyVKXHkhUqpUqX887rhx47LqtNntdsLDw2/inYnITfPwNkdFgVn8/ugqK6Mp/FZMgLP7wL8kdMidBgPZ8ff24MvBTShj9+FQ7AUe+nojqRmaei+SV95ftJ9Zm0/i7mZj0oCGRJQKyNmOQZWhzTPm8u8vwIVzeRdkUeR0wtyR5qi/qp3MUYAiIgBu7nDHR+DmCft+g92/WB1R4bPivcvXv381PMtjNpuNt3vXoUnFEiSlZfLA1A2cTU7Ll3NL/rruRNqYMWOuagbw/x8bN24E+Nu6HIZhZFvn6d577+X2228nMjKSHj16MH/+fPbv38+8efOyjSu7477wwgskJCRkPY4fP34d71hE8kTFVmatGICfH4O0ZGvjKazO7DYvJAC6js+30RCl7T5MfbApAT4ebDx2nqe+34pTXYxEct2366L4cOlBAN7sGUnbiJLXd4BWT0DJmuYI4d/ypnZMkbV1OhxbBZ5+cPsEcxSgiMhfQmvCLU+Zy789o3InuSlmj3kjGczrX7+g7LfPRd4e7nw2sDEVgv04cT6FIVM3cDE9M9/OL/njuhNpw4cPZ8+ePdk+IiMjKV26NGfOnLlq/9jYWEqVynmnuLCwMCpUqMCBAwcAKF26NOnp6Zw/f+UXTUxMzD8e19vbm8DAwCseIuICOr8F9nCIPwaLXrE6msLH6YBfR4AzA6p3g9q98vX0EaUCmDywMV7ubszfGc2b89TFSCQ3Ldlzhpd/3gHAE+2r0q9p+es/iIc39PoEbO6wazbsnJXLURZRCSdh4cvm8q0vQokK1sYjIq6pzehL5U5izKZQcvP+9/o3omu+X/8CBPl78dXgJpTw82TbiQSGzdhMpkMdWguT606khYSEUKNGjWwfPj4+tGjRgoSEBNavX5+177p160hISKBly5Y5Pt+5c+c4fvw4YWFhADRq1AhPT08WLVqUtc3p06fZuXPndR1XRFyATyDcOclc3vglHFxibTyFzbrP4ORG8A60bDREiyrB/LtPPQC+XHWEz1cczvcYRAqjbcfjGf7tFpwG3N2oHE9dKnB8Q8o0uNzJbN7TkByT/faSPacTfhlmdkku0xCaPWZ1RCLiqjy8oceH5vKWaXB4ubXxFAbrJ8OJDeAVYOlo4Moli/HF4Cb4eLrxx75YXpq9E0O18AqNPKuRVrNmTbp06cLQoUNZu3Yta9euZejQoXTv3p3q1atnbVejRg1mz54NQHJyMqNHj2bNmjUcPXqUZcuW0aNHD0JCQujVy8wk2+12hgwZwtNPP82SJUvYsmUL9913H3Xq1KFjx4559XZEJK9UbgdNHzaXfx0BKfFWRlN4nDsES98wlzv9CwLLWBbKHfXK8GK3GgC8OW8Pc7efsiwWkcLg2LkLPDh1AykZDlpXC2HcXXWyLZuRI22egdJ1ICUO5j6lwtc3Y8PncPgP8PCFuybnWZdkESkkKrSAxkPM5V9HQKoa492wmL2XG5l1GgP2slZGQ8PyJfioX0PcbPD9xuNMXHzA0ngk9+RZIg1gxowZ1KlTh86dO9O5c2fq1q3LtGnTrthm3759JCQkAODu7s6OHTu48847iYiI4P777yciIoI1a9YQEHC5cO77779Pz5496dOnD61atcLPz485c+bg7p5Nm3cRcV0dx5hFrxNPwu8vWh1Nwed0wM+PQ8ZFqNgaGg62OiKGtq7M4JYVARj1/TbWHVZRc5EbEXchncFfbeDchXRqhQXyyX2N8HTPhcs5Dy/o+YlZ+HrvXNj+w80fsyiK3X+5VEHnNyCkmrXxiEjB0HEM2Mub5U7mP2t1NAVTZhr89BBkpkLVjpeTkxbrVKsUb/SMBOCDJQf4bn2UxRFJbrAZRXB8YWJiIna7nYSEBNVLE3EVUWvhyy6AAX2/gxrdrI6o4Fo5ERa/Zg5pf3w1FL+Bukl5wOE0GDZjMwt2RRPo48H3j7SgZpi+g0VyKiXdQf/P17IlKp6yxX2Z/XhLQgN9cvcky9+FP94EHzs8vtbS0awFjiMDvugEp7ZAlfZw3yw1GBCRnDu2BqZ2A8MJvb+AOndbHVHBsvAVWP0h+AXDY6shoLTVEV1hwsJ9fLT0IG42mDKoMR1q5rxuvOSfnOaK8nREmohIjpVvDi1HmMtznoSLcdbGU1Cd2QV/vGUudxnnMkk0AHc3GxP71qdJxRIkpmYy6Mv1RJ27aHVYIgWCw2nw5MwtbImKx+7rydcPNsn9JBqYHeTKNDDre/06wqz3JTnz57/NJJpPcbjzP0qiicj1qdACWo82l+eOgvjj1sZTkBz5E1Z/ZC7f8ZHLJdEARnWK4O5G5XAaMOzbzWyJUpfWgkyJNBFxHbe+BCVrmJ2L5o5UjZ7rlZkGsx8BRzpEdIEG91kd0VV8PN35/P4m1CgdQGxSGvd9sY6YpFSrwxJxaYZh8NLsHSzcfQYvDzc+v78xVUMDrr3jjXD3gJ6fgrs3HFwM6z7Jm/MUNic2wZ/vmsvd39NIPhG5MW2fg3JNIC3BvKZzOqyOyPWlnIfZjwIGNLwfatxudUR/y2azMe6uOrSNKElqhpMhX2/kcGyy1WHJDVIiTURch6cP9PoU3Dxg9y+waarVERUsS16H6B3gGwQ9PnDZ0RB2X0++GdKUCsF+RMVdZNAX60lIybA6LBGX9faCvczccBw3G3zYtz5NKgbl7QlDa8Btl0a2LnrNHGUl/yw1EWYNBcMBkXdDZG+rIxKRgsrdw2xS4lUMjq2CVROtjsi1GYbZICfxJARVMWdjuDBPdzc+HtCQOmXtxF1IZ9CX64lO0A3lgkiJNBFxLWUaQIfXzOUFz8OZ3dbGU1AcWARrJpnLd/7HJYe0/6/QAB+mPdiMkgHe7I1OYsjUDaSk666ryP/3ybJDfLb8MABv31WXLpFh+XPiJg9Bje7gzIAfH4S0pPw5b0FjGGY5grhDEFgObv+31RGJSEEXVBm6XRrh+sdYOLnJ2nhc2dZvYddssLnDXVPAy9/qiK7J39uDLwc3oWKwHyfOp3DfF+uIu5BudVhynZRIExHX02K42W0nMxV+fADSVUcrW0nRl4a0A00fKTCNGsoH+/HNg00J9PFg47HzPD5jExkO1WMS+cu366J4Z8FeAF7qVpM+TcLz7+Q2m1lnJrAcxB0266Vpuv3VNn4Ju2aZI6nv+Qp8S1gdkYgUBvX6Qe1e4MyE/w5W7eC/E70T5j1tLt/6ApRrZG0816FkgDfTH2pGmN2HgzHJ3P/lepJSNTujIFEiTURcj5ubWaOnWCmI3QvzRukH3D9xOswaGhfPQqk60Ol1qyO6LjXDAvlycBN8PN34Y18sz/x3G06n/l+LzNl2ipd+3gHAsFurMLRN5fwPwi/ITA65eZh3/Nd9mv8xuLJTW2DBC+ZyxzEQ3tTScESkELHZoPtEKFER4qMu1UvTzcYsqYnwwyDITIEqHeCWp62O6LqVK+HHtCHNCPL3YsfJBIZ8vZHUDM3OKCiUSBMR11SsJPT+HGxusO07866/XG35eDi8DDz94O4vzTpzBUzjikF8MqARHm42ft56itd+3YWhxKkUYcv2xTDqh60YBgxoVp7RnatbF0x4U7htrLm88GWIWmtdLK7kwln4fiA40iCiqzmSWkQkN/kWhz7TwMMHDiyEFROsjsg1GAb8MuzylPq7ppg34QugqqHF+ObBpgR4e7D+SByPTd9EeqYSpgVBwfwXJyJFQ6U2l+ulzX8OTmy0Nh5Xc2ARLH/HXO4+EUpGWBrOzbi1RigT+tTDZoNpa4/x1rw9SqZJkbTxaByPTt9EhsOgR70yvH5nJDarG4c0fdgsoO/MNEcAJJy0Nh6rOS5NtUo4bha37vWpyzZ3EZECLqwu3H4pgfbHW7BvgbXxuII/34U9v4KbJ/T5GvyDrY7opkSWtfPF4CZ4e5izM0b9sBWHZme4PCXSRMS1tXoSavYwC17/MAiSzlgdkWs4dwh+eggwoPEQqHev1RHdtDvrl2VsrzoAfL7yCBMW7rc4IpH8tftUIg9O3UBqhpN21Usy4Z56uLu5QILGZoMeH0JobUg+AzP7F+3alQtfhqMrzK56fb81R42IiOSVBvdBowcAw7z2i9ljdUTW2TvPTCiCmWAs19jaeHJJ00pBfDrQnJ0xd/tpXv55p24ouzgl0kTEtdlscOfHEBJhtrb+fgBkFPE20Snx8F1fSI2Hso1cvtX39ejXtDz/uqM2AJP+OMhHSw5YHJFI/tgXncR9X6wjMTWTxhVK8MmARnh5uNBlmncx6Pct+AXD6a3mtJqieJG/4XNY94m53PMTCK1hbTwiUjR0HQ8VboH0JPMasCg2H4jeCbMeNpebPgKN7rc2nlx2a/VQJvatj80G362P4u35e5VMc2EudIUmIvIPfAKh30zwKQ4nNhTt7nGOTLOT6dn9EFDGHA3h4W11VLnq/pYVealbTQAmLNrPZ8sPWRyRSN46cCaJ/lPWEnchnTqXpnj4erlbHdbVSlSEPt9caj4w6/KogKLiwGL47Vlzuf3LUOsOa+MRkaLDw8v8/i1eAc4fNUcGF6UbywknYcY9kJ5sln65rXD+/eletwzjLs3O+OzPw0xYuF/JNBelRJqIFAzBVS7/gNvxA6z4t9URWeP3F+DQUrO5QP+ZEFDa6ojyxNA2lRnd2az5Nm7+XqauOmJxRCJ542BMMv2mrOPchXRqlwlk2pCm2H09rQ7rn1W8Bbq/by7/+S5s/MraePLL6e1mXTTDAfX6Q+vRVkckIkWNfzD0/x687RC1BmY/XDQ6eaYmwrd9IOkUhFQ3fw+4u/DfyZvUt2l5Xu1eCzBnZ7y/SMk0V6REmogUHJXbQrd3zeWlb8LW76yNJ7+tnwLrJ5vLd02GsHrWxpPHhrevxoj2VQEYM2c3366Lsjgikdx1ODaZ/lPWcjY5jZphgUwf0ozifl5Wh3VtDQdB2+fM5XmjYN98a+PJa+cOwfTe5pSqCrdAjw/UXEBErBFaE/pONwvt7/4Ffn+xcM/SyEiFHwbCmZ3gHwoD/gu+JayOKs89eEslXr7dnJ3x4dKDTFysUieuRok0ESlYGj8ILUeYy78MMztXFgV75pqdSwE6vGo2YCgCRnWK4OE2lQF4cfYOvluvZJoUDkfPXqDflLXEJKVRo3QAMx5qRgn/ApBE+0u7F6D+fWA4zZFaR/60OqK8kRQN03rBhRgoVQf6zjCnWImIWKVSG7NGI5g1G//q4F7YODLhpyFweBl4+puj8UpUsDqqfPNQ68pZybQPlhxg4mI14XIlSqSJSMHT8XWoe685xeaHQXBio9UR5a1DS826aIYD6g+AW0ZZHVG+sdlsvNC1BoNbVgTghVk7mLbmqKUxidysqHMX6TdlLWcS06gWWozpDzUjqCAl0eBSJ8+JUP12yEyFb/tC1Dqro8pdyTHwzZ0QfwxKVIL7flKHThFxDXXvgS6XEmjLxsGqD62NJ7c5HeYN871zwd0b+n0HZRtaHVW+e6h1ZV7sZja1mbj4AB+qCZfLUCJNRAoeNze48z9QpQNkXITpd8GprVZHlTei1sLMAeBIN0eh9fiwyE0pstlsvNajFkNuqQTAK7/s4ouVqpkmBdPxODOJdjohlSol/fl2aHNCihXQhiHunnDPV1ClPWRcgBl3w/ENVkeVO5Jj4OseELvXbOwycDYElLI6KhGRy5o/as5SAFj0Cqz5j7Xx5BanA35+HLbPNGsj9/naLO9SRD3cpgrPdzWTae8t2s+kpUqmuQIl0kSkYHL3NIuNlm8BqQnmqIHT262OKned2mp2KMq4aCYNe38B7h5WR2UJm83Gy7fX5LF2VQB4Y+5udfOUAuevJNrJ+BQqh/jz3dDmlAwooEm0v3h4w70zoEIrSEuEaT3h6Eqro7o5SdHw9R2XkmhhMHguBFWyOioRkau1fvpy85PfX4Q/C3gzLkcmzBpqJtFs7nDXFKje1eqoLPdo2yo818VMpv174X7+88dBiyMSJdJEpODyLmYWHS3XBFLjC1cy7fQ2c6RdWiKUbwn3Tjd/sBZhNpuNZ2+rzhMdqgFmN8+PNMRdCohDscnc8+kaTpxPoWKwH98ObU5ooI/VYeUOLz/zu7hSW0hPNgvz719odVQ35twh+KITxO65lESbZ3aNFhFxVe1fhnYvmstL34DFYwpmA4L0i2bJlp0/mc0U+nwNkXdZHZXLeKxdFZ65rToA7/6+jw8WH1A3TwspkSYiBZt3gFm3pkxDSImDqbfDsdVWR3VzjqyAr26Hi+egTAPoP9P8oSrYbDZGdYrg6U4RAExYtJ/3Fu7ThYS4tL3Ridz72RqiE1OpFlqMHx5pQWl7IUmi/cXLH/r/ANVuM2umfdcXNk21Oqrrc2orfHkbxEeZNdEemK8kmoi4PpsN2j0HnV43n698H2Y/Cpnp1sZ1PS6cg2/ugH3zzJpo904rMo21rsewW6tmJdPeX7yft+fv1TWwRZRIE5GCz8cOg342R26lJZod1vb/bnVUN2bPXHM0R3oSVGwNg341359cYUSHarxwqV7Eh0sP8vYCXUiIa9p5MoG+k9dyNjmdmmGBzHy4EI1E+/88fczRs/X6mc1R5jxpjoxwOq2O7Np2zYYvu8CFWChdB4Ys1HROESlYWj0Jd3xkToncPhNm9IaLcVZHdW2x+82RwCc2gE9xGPSLpnNmY9itVXmley0APvvzMK/8shOnU9fA+U2JNBEpHHzsMHAWRHS5NBqiH6yfYnVU12fzNPhhIDjSoEZ3GPAj+ARaHZXLeqRtFV7960Ji+WFenL0Dhy4kxIVsOnaeflPWEn8xg3rhxZk5tDnBBbWxQE55eEHPT6Dtc+bzle/Dt31c98ec0wF/jIP/DobMFLMe5eB5UCzU6shERK5fw0Ew4AfwKgZH/oTJ7Vy77Mne32BKe4g7BPZwePB3qNDC6qhc3pBbKjHurjrYbDB9bRSjf9xGpqMA3LQqRGxGEbyFn5iYiN1uJyEhgcBA/UgVKVQcGfDrE7DtW/N54yHQ9R2zOYGrcjpgyb9g1Qfm8wYDofvEIttY4Hp9tz6Kl2bvwGlAl9qlmdi3Pj6e7laHJUXc8v2xPDptEykZDppWDOKLwY0J8HHh76G8sG2mOSotMxWKV4B7pkLZhlZHdVlSNMx6GI4sN583H2ZOjdJ3r4gUdNE74fsBcP4oePhAt3fN60tX6fyemQ5/vHn52rdCK/NvhG5iXJdftp5k1A/bcDgNutUpzfv31sfbQ9fANyOnuSIl0pRIEyl8DMP8w7x4DGCYf5zvmgL2slZHdrUL52D2w3Bwsfm89dPQ/hXXudApIBbsPM0T320l3eGkReVgJg9qVPSSFuIyft12iqd/2EqGw6B1tRA+G9gIP68impw5vR2+vw/ij4GbB7R5FlqPsv7mxt7fYM4T5lROTz+4fQLU729tTCIiuSnlPPw0FA4uMp/X6A49PgD/EGvjOnsAfhpiNtYCaPow3DbW+r8LBdTvu6IZ8e0W0h1OWlUN5rOBjSnmXUSvOXKBEmnZUCJNpIjYNx9+esjsIucbBD0/dq2aC0dXmfElnQIPX7hzEtS52+qoCqzVh87y8DebSE7LJLJsIFMfaEpIYZ9GJy7n69VHGTNnF4YB3euG8V6f+nh5FPFKGhfjYO5TsPtn83lYfej+HpRtlP+xJMfA/GfNmmgApSLh7q+gZET+xyIiktecTlj9ISx9E5wZ4BcCnd+Een3z/6ZtZhqsnAgrJphlTHxLmDXd1FTgpq04EMsj0zZxMd1BnbJ2vnqgia6Bb5ASadlQIk2kCDl3CH584PJdr0YPQKd/WVvAPyPFvKBZ8x/AgOBqcM9XZoFruSk7TyZw/5frOXchnYrBfkwb0ozwIHU8lbxnGAbvLz7Ah0sOAHB/iwq81qM2bm4aXQqYI4V3/Ai/PQ2pCYDNrOXT/uX8mcqTkQLrPoUV70NaglmMu+UIaPc8ePrm/flFRKx0ers5lT12j/m8fEu47a38mW5vGLDvN1j0Gpwz/0ZSpYN5AzmwTN6fv4jYfiKewV9tIE7XwDdFibRsKJEmUsRkpsHif8Ha/5jPA8pAl3FQ6878vxt3YDHMfwbiDpvP6w+AruPBu1j+xlGIHY5NZuAX6zkZn0JogDdfP9iUmmH6rpe8k+lw8uqvu/h2XRQAT3WM4IkOVbFpivbVks7AolfNjnJgjsZtMsTsNpcXCbX0i7B1hjkKIvGEuS6snjkKIqxe7p9PRMRVZaab18LLx0PGRXNdje5mc5iwurl/PqcTDi2F5W+bHTkB/EPNa/DI3ipjkgcOxyYz6Mv1nDifQskAb75+oCm1yuga+HookZYNJdJEiqijK+HXEZeTWBVamYWlyzXO+3Of2QVLXof9C8znAWFmnYqI2/L+3EVQdEIqg75cx/4zyRTz9mBS/wa0q64CtpL7LqRlMvzbzfyxLxabDV6/M5KBzStYHZbrO7YaFr4CJzeaz929zJsbjR+E8ObgdpPTYWP3wbbvYNPXkHKpY2hgWbMGZd17b/74IiIFVXwULH0LdvwAxqVOj+VbQJOHoHo38LrJUUwXzsGuWbB+Mpzdb67z9INmj5o3TXyL39zxJVtnElO5/8v17I1Oopi3Bx8PaEibiJJWh1VgKJGWDSXSRIqwjBRzZMKqDyAzxVxXpQPcMhIqts79u2MnNsLqjy7XBnLzMC8k2j5r7fTSIiDhYgaPTN/I2sNxuNngX0pwSC47k5jKg1M3sOtUIj6ebky8twFdIktbHVbBYRhmo5Xl71werQDmqOEa3czv5nKNczZSLSMFTm6Cw8vgwMLL0/nB7BjaYjg0HKhpnCIif4ndZ45O2/0zODPNdZ5+UK0TVO1kJteCq1z72tjpgDM7zdq/BxfB4eVgOMzXvALM795WIyGgVF6+G/kfCSkZPPzNRtYdicPdzcZbPSPp27S81WEVCEqkZUOJNBEh/jgse9scsfDXH/uSNcyplrV7QfHwGz/2hbNmIett35k/7P5Suxe0e1FFrfNReqaTF2bt4KfN5pSuIbdU4sVuNXFX3Sq5SXujE3nwqw2cSkgl2N+Lz+9vTIPyJawOq+A6tQU2fGF+d6YnX/laYDkoUdH8XvYOBA8vMwmXct78vj13AOKOAP9zSevmYf4QrN8Pqt8O7upgJiLytxJPw+avYcsMSIi68jWf4hBU2fwO9i1uJtoA0hLN7+BzhyHuEGSmXrlfWD2o19/shuyj39tWSMt08PxPO5i95SQAj7WrwjOdq6t26zUokZYNJdJEJEvcEXPE2LaZkHHh8vrSdaByOyjXBErXheLlwc396v2dTrPrZvQOOLkZDv9hJs/+Girv7gWRd0PL4VCqdr68JbmSYRh8vOwQ7/6+D4CONUvxQd/6+Ks1uNygFQdieXz6ZpLSMqlc0p+pg5tSPlgFfXNFZhoc+RP2zoOotRC7lysSZNnxD4XKbc3v7ogu4B+Sl5GKiBQuhgGnt8KeOeb0+5Obze6aOeEVAOWbQ8VbzC6cwVXyNFTJGcMwmLj4AB9caoR0e50wJvSph4/n3/ymEUCJtGwpkSYiV0lNMDvK7ZwFx1Zx1Q83m7tZ18w7wBwN4ciAtGRIOm22E///wupD3T5Q55786Ugn1zRn2yme/u820jOd1C4TyBf3N6G03cfqsKQAMQyDqauP8ua8PTicBs0qBfHZwEYU9/OyOrTCKzURYnabo4gTjkP6BXCkm6/5ljAfQZUgtBb4l1TxahGR3JKZBmcPwPkjcP4YpCVdalJggLfdHGlWoiIEVzWn0Gvkr8uatfkEz/20nQyHQf3w4kwe2IjQQF0D/x0l0rKhRJqIZCs5xhwRcWS5WWcnZs/lH25/x+YOIRFQpj5UaAlV2oO9XL6FKzm36dh5Hv5mI+cupFM60IfPBjaiXnhxq8OSAiAt08GrP+/i+43HAejdsBxj74rE20N3dUVERMS1rT18jkembSIhJYNSgd58NrAx9XUNfBUl0rKhRJqIXBenw0yuJZ4ya/dkpoG7J3gVMwunBpTRXbgC5HjcRR6YuoGDMcl4ebjxVs9I7ml8EzXxpNCLTUrjsemb2HjsPG42eLFbTYbcUgmbRj+JiIhIAXH07AWGfrORA5eugcf1qkPvRrr5/79ymivK097f58+fZ+DAgdjtdux2OwMHDiQ+Pj7bfWw2298+3n333axt2rVrd9Xrffv2zcu3IiJFmZs7BIZBuUZm/Z2IzlDlVghvYtZOUxKtQAkP8mPW4y3pWLMU6ZlOnvlxO6/9spMMh9Pq0MQF7TyZwJ2TVrLx2HkCfDz4cnATHmpdWUk0ERERKVAqhvgze1grOtUyr4Gf/u82Xp+zm0xdA1+3PB2R1rVrV06cOMHkyZMBePjhh6lYsSJz5sz5x32io6OveD5//nyGDBnCwYMHqVy5MmAm0iIiInj99deztvP19cVut+coLo1IExERp9Pgo6UHeX/xfgCaVgziPwMaUjLA2+LIxBUYhsH3G47z6q+7SM90UjnEnyn3N6ZKyWJWhyYiIiJyw5xOg4lLDvDhpSYELSoH82G/BroGxgWmdu7Zs4datWqxdu1amjVrBsDatWtp0aIFe/fupXr16jk6Ts+ePUlKSmLJkiVZ69q1a0f9+vWZOHHiDcWmRJqIiPxl8e4zPPX9VpLSMgkN8ObDfg1oXjnY6rDEQinpDl75ZSc/bjoBQIcaobx3b33svp4WRyYiIiKSOxbsPM2oH7ZxMd1BaIA3H/VrQLMifg1s+dTONWvWYLfbs5JoAM2bN8dut7N69eocHePMmTPMmzePIUOGXPXajBkzCAkJoXbt2owePZqkpKR/PE5aWhqJiYlXPERERAA61irFz8NbUS20GDFJafSfspb//HEQp7PIlRAV4MjZC/T6eBU/bjqBmw2eua06UwY1VhJNRERECpUukWH8+r/XwJ+v49Plh3QNnAN5lkiLjo4mNDT0qvWhoaFXTd/8J19//TUBAQHcddddV6wfMGAA3333HcuWLeOVV17hp59+umqb/zVu3LisOm12u53wcBWVFhGRy6qULMYvw1vRu2E5nAa8+/s+Hpi6gbgL2XRrlULntx2nueOjleyNTiKkmBfThzRj2K1VcXNTPTQREREpfKqGBvDL8Fb0alAWh9Pg7fl7eXjaRhIuZlgdmku77kTamDFj/rEhwF+PjRs3AvxtIV7DMHJcoPfLL79kwIAB+Pj4XLF+6NChdOzYkcjISPr27cuPP/7I4sWL2bx5898e54UXXiAhISHrcfz48et81yIiUtj5eXkwoU89xt9dF28PN5bvj6XbBytYffCs1aFJHruQlslzP27n8RmbSUrLpHGFEswd0ZqWVUOsDk1EREQkT/l5efBen3qM7VUHLw83Fu+JocsHf7Lm0DmrQ3NZ191qbvjw4dfskFmxYkW2b9/OmTNnrnotNjaWUqVKXfM8K1asYN++fXz//ffX3LZhw4Z4enpy4MABGjZseNXr3t7eeHurcJ6IiFxbn8bh1C1nZ9iMzRyKvUD/z9fxcJvKPN05Am8Pd6vDk1y240QCT87cwuGzF7DZ4LG2VXiqUwSe7nna2FxERETEZdhsNvo3K0/dcnaGf7uZo+cu0v/ztTzatgpPdYzAy0PXRf8rz5sNrFu3jqZNmwKwbt06mjdvnqNmA4MHD2bnzp1Zo9uys3PnTurUqcPy5ctp06bNNbdXswEREbmWi+mZvDlvD9+uiwKgVlggH/StT7VSARZHJrnB6TT4fOVh3v19HxkOg9KBPrx/b31aVCnaRXZFRESkaLuQlskbc3czc4M5k69OWTsT+9YvEp3LLe/aCdC1a1dOnTrFZ599BsDDDz9MhQoVmDNnTtY2NWrUYNy4cfTq1euK4MPCwpgwYQKPPvroFcc8dOgQM2bMoFu3boSEhLB7926efvppfH192bBhA+7u1x4toESaiIjk1MJd0Tw/awdxF9Lx9nDjmduq80CrSrirblaBdezcBZ75cTvrj8QB0DWyNOPuqkNxPy+LIxMRERFxDQt2nub5WTuIv5iBr6c7L3SrwX3NKhTq2rGWd+0Es7NmnTp16Ny5M507d6Zu3bpMmzbtim327dtHQkLCFetmzpyJYRj069fvqmN6eXmxZMkSbrvtNqpXr84TTzxB586dWbx4cY6SaCIiItejc+3SLHiyNW0iSpKW6eTNeXvo/clq9p/5527R4pqcToOvVh3htol/sv5IHH5e7rx9Vx0+HtBQSTQRERGR/9ElMowFT7ahVdVgUjIcvPrLLvpOXsvh2GSrQ7Ncno5Ic1UakSYiItfLMAxmbjjO2Hl7SErLxNPdxvBbq/FYuyqqG1EAHDl7ged+3M76o+YotBaVgxl/d13Cg/wsjkxERETEdTmdBtPXHePt+Xu5mO7A28ONUZ0iGHJLJTwKWU1Zl5ja6aqUSBMRkRsVnZDKyz/vYPGeGABqlA7gnd51qRde3NrA5G+lZzr5YuURPliyn9QMJ/5e7rzQrSb9m5Yv1FMTRERERHLT8biLvDh7BysOmB3t65WzM/7uelQvXXjqByuRlg0l0kRE5GYYhsGc7acZ8+su4i6k42aDB1pVYmTHagT4eFodnlyy5tA5XvllJwdjzCkIraoG8/ZdGoUmIiIiciMMw+C/G0/wxrzdJKWaMzR+eKQFDcqXsDq0XKFEWjaUSBMRkdwQdyGdf83ZxS9bTwEQUsybZ7tU5+6G5TTayUKxSWmM/W0Ps7ecBCDY34uXbq9JrwZlsdn0/0VERETkZpxJTOWl2Ts5fzGdHx5pUWiacCmRlg0l0kREJDct2xfD63N2c/jsBQDqhRdnTI9ahebuXEGRlungm9XH+HDpAZJSM7HZYECz8jzTuQZ2P40UFBEREckthmGQnJZZqGZjKJGWDSXSREQkt6VnOpm6+ggfLjlIclomAHc1LMvoztUpU9zX4ugKN8MwmLv9NON/38vxuBQA6pS182bPSNWuExEREZEcUSItG0qkiYhIXolJSmX8gn38uOkEAF7ubtzXvAKP31qFkGLeFkdX+Gw4Gsdb8/aw9Xg8AKUCvXm6c3V6NyxXaKYZiIiIiEjeUyItG0qkiYhIXtt6PJ5xv+1h3ZE4APy83BlySyUeal0Zu2/hGQJvlU3HzvPBkgP8uT8WMP/7Ptq2Cg+1roSfl4fF0YmIiIhIQaNEWjaUSBMRkfxgGAYrD57l3d/3sf1EAgB2X0+G3FKJgc0rUMLfy+IIC56NR+P4YMmBrNbr7m42+jQO56lO1QgN8LE4OhEREREpqJRIy4YSaSIikp8Mw+D3XWeYsHAfB2KSAfD1dKdv03CG3FKJciX8LI7QtTmdBsv3x/L5ysOsOngOAA83G70blmPYrVUpH6z/fiIiIiJyc5RIy4YSaSIiYgWH02Du9lN8tvwwu08nAuaIqh51wxjapjK1y9gtjtC1XEjL5MdNJ/h69dGsjqgebjbubmQm0MKDlEATERERkdyhRFo2lEgTEREr/TXl87Plh1l58GzW+vrhxenXNJzudcvg711063xFnbvI12uO8sOG4yRd6oAa4O1BnybhDG5ZUQk0EREREcl1SqRlQ4k0ERFxFTtPJvDZn4eZv+M0mU7zT3Ixbw/uqF+Gfk3KE1k2EJut8HefTEl3sGDXaX7cdILVh87x19VJ5RB/BreqSO+G5Yp0clFERERE8pYSadlQIk1ERFxNbFIaP20+wcz1URw9dzFrfZWS/txeJ4xudcOoXiqgUCXV0jOdrDp4lnk7TrNgZzTJl0afAbSJKMkDrSrStlpJ3NwKz3sWEREREdekRFo2lEgTERFX5XQarD1yju/WH+f3ndGkO5xZr/2VVOtUqzS1ywQWyARTUmoGqw6eY+HuaBbtPkNS6uXkWXiQL3c3DOeuhmU1fVNERERE8pUSadlQIk1ERAqCxNQMluw5w7zt0fy5P/aKpFoJP09aVg2hddUQWlUNcdnEU4bDyZ7Tiaw8eJbl+2LZdOx81hRWgJIB3nSNLM3tdcJoUjGoQCYHRURERKTgUyItG0qkiYhIQfNXUu23HdGsPniWC+mOK14vH+RHg/LFqVeuOPXCi1O7TCA+nu75GqNhGJxOSGXP6US2nUhg49E4tkTFk5JxZayVQvxpG1GSbnXCaFShBO5KnomIiIiIxZRIy4YSaSIiUpBlOJxsOx7PigNnWXnwLFuPx+NwXvnn3M1mJteqhgZQNbQYlUv6U7a4L2F2H8Lsvvh63ViSzek0SEzN4HRCKsfOXeR43EWOxV3gYEwye6OTiL+YcdU+dl9PmlQsQduIkrSJKEmFYP8bOreIiIiISF5RIi0bSqSJiEhhkpSaweaoeLYfj2fbiXi2Ho/nbHJ6tvsE+nhg9/Mk0Md8+Hu74+5mu/RwwzAMUjOcpGU6SM1wkJSaybkL6cRdSL8qafe/3N1sVC1ZjNplAmlcMYjGFUtQtWQxTdkUEREREZeW01yR+siLiIgUcAE+nrSNKEnbiJKAOcUyNimNgzHJHIhJ5mBMMkfPXeB0Qiqn41O4kO4gMTWTxNRMIOWGzlnCz5PyQX6UD/anfJAvFYP9qRkWSLVSxfD2yN8ppSIiIiIi+UWJNBERkULGZrMRGuhDaKAPLauGXPGaYRgkpmYSm5RGYmoGiSkZJKZmcjEtE4dh4HAaWSPOfDzd8fF0w8fDHX9vD4KLeRHs702QvxdeHm5WvDUREREREUspkSYiIlKE2Gw27L6e2H09rQ5FRERERKTA0e1kERERERERERGRHFAiTUREREREREREJAeUSBMREREREREREckBJdJERERERERERERyQIk0ERERERERERGRHFAiTUREREREREREJAeUSBMREREREREREckBJdJERERERERERERyQIk0ERERERERERGRHFAiTUREREREREREJAeUSBMREREREREREcmBPE2kvfXWW7Rs2RI/Pz+KFy+eo30Mw2DMmDGUKVMGX19f2rVrx65du67YJi0tjREjRhASEoK/vz933HEHJ06cyIN3ICIiIiIiIiIiYsrTRFp6ejr33HMPjz32WI73GT9+PO+99x6TJk1iw4YNlC5dmk6dOpGUlJS1zciRI5k9ezYzZ85k5cqVJCcn0717dxwOR168DREREREREREREWyGYRh5fZKpU6cycuRI4uPjs93OMAzKlCnDyJEjee655wBz9FmpUqV45513eOSRR0hISKBkyZJMmzaNe++9F4BTp04RHh7Ob7/9xm233XbNeBITE7Hb7SQkJBAYGHjT709ERERERERERAqunOaKXKpG2pEjR4iOjqZz585Z67y9vWnbti2rV68GYNOmTWRkZFyxTZkyZYiMjMzaRkREREREREREJLd5WB3A/4qOjgagVKlSV6wvVaoUx44dy9rGy8uLEiVKXLXNX/v/f2lpaaSlpWU9T0xMzM2wRURERERERESkCLjuEWljxozBZrNl+9i4ceNNBWWz2a54bhjGVev+v+y2GTduHHa7PesRHh5+U/GJiIiIiIiIiEjRc90j0oYPH07fvn2z3aZixYo3FEzp0qUBc9RZWFhY1vqYmJisUWqlS5cmPT2d8+fPXzEqLSYmhpYtW/7tcV944QVGjRqV9TwxMVHJNBERERERERERuS7XnUgLCQkhJCQkL2KhUqVKlC5dmkWLFtGgQQPA7Py5fPly3nnnHQAaNWqEp6cnixYtok+fPgCcPn2anTt3Mn78+L89rre3N97e3nkSs4iIiIiIiIiIFA15WiMtKiqKuLg4oqKicDgcbN26FYCqVatSrFgxAGrUqMG4cePo1asXNpuNkSNHMnbsWKpVq0a1atUYO3Ysfn5+9O/fHwC73c6QIUN4+umnCQ4OJigoiNGjR1OnTh06duyYl29HRERERERERESKsDxNpL366qt8/fXXWc//GmX2xx9/0K5dOwD27dtHQkJC1jbPPvssKSkpPP7445w/f55mzZqxcOFCAgICsrZ5//338fDwoE+fPqSkpNChQwemTp2Ku7t7Xr4dEREREREREREpwmyGYRhWB5HfEhMTsdvtJCQkEBgYaHU4IiIiIiIiIiJioZzmiq67a6eIiIiIiIiIiEhRpESaiIiIiIiIiIhIDiiRJiIiIiIiIiIikgNKpImIiIiIiIiIiOSAEmkiIiIiIiIiIiI5oESaiIiIiIiIiIhIDiiRJiIiIiIiIiIikgNKpImIiIiIiIiIiOSAEmkiIiIiIiIiIiI5oESaiIiIiIiIiIhIDnhYHYAVDMMAIDEx0eJIRERERERERETEan/liP7KGf2TIplIS0pKAiA8PNziSERERERERERExFUkJSVht9v/8XWbca1UWyHkdDo5deoUAQEB2Gw2q8PJFYmJiYSHh3P8+HECAwOtDkekSNHnT8Ra+gyKWEefPxHr6PMnkrsMwyApKYkyZcrg5vbPldCK5Ig0Nzc3ypUrZ3UYeSIwMFBfoiIW0edPxFr6DIpYR58/Eevo8yeSe7IbifYXNRsQERERERERERHJASXSREREREREREREckCJtELC29ub1157DW9vb6tDESly9PkTsZY+gyLW0edPxDr6/IlYo0g2GxAREREREREREbleGpEmIiIiIiIiIiKSA0qkiYiIiIiIiIiI5IASaSIiIiIiIiIiIjmgRJqIiIiIiIiIiEgOKJFWSHz88cdUqlQJHx8fGjVqxIoVK6wOSaTQGzduHE2aNCEgIIDQ0FB69uzJvn37rA5LpEgaN24cNpuNkSNHWh2KSJFx8uRJ7rvvPoKDg/Hz86N+/fps2rTJ6rBECr3MzExefvllKlWqhK+vL5UrV+b111/H6XRaHZpIkaBEWiHw/fffM3LkSF566SW2bNlC69at6dq1K1FRUVaHJlKoLV++nGHDhrF27VoWLVpEZmYmnTt35sKFC1aHJlKkbNiwgcmTJ1O3bl2rQxEpMs6fP0+rVq3w9PRk/vz57N69mwkTJlC8eHGrQxMp9N555x0+/fRTJk2axJ49exg/fjzvvvsuH330kdWhiRQJNsMwDKuDkJvTrFkzGjZsyCeffJK1rmbNmvTs2ZNx48ZZGJlI0RIbG0toaCjLly+nTZs2VocjUiQkJyfTsGFDPv74Y958803q16/PxIkTrQ5LpNB7/vnnWbVqlWZBiFige/fulCpVii+++CJrXe/evfHz82PatGkWRiZSNGhEWgGXnp7Opk2b6Ny58xXrO3fuzOrVqy2KSqRoSkhIACAoKMjiSESKjmHDhnH77bfTsWNHq0MRKVJ+/fVXGjduzD333ENoaCgNGjRgypQpVoclUiTccsstLFmyhP379wOwbds2Vq5cSbdu3SyOTKRo8LA6ALk5Z8+exeFwUKpUqSvWlypViujoaIuiEil6DMNg1KhR3HLLLURGRlodjkiRMHPmTDZv3syGDRusDkWkyDl8+DCffPIJo0aN4sUXX2T9+vU88cQTeHt7M2jQIKvDEynUnnvuORISEqhRowbu7u44HA7eeust+vXrZ3VoIkWCEmmFhM1mu+K5YRhXrRORvDN8+HC2b9/OypUrrQ5FpEg4fvw4Tz75JAsXLsTHx8fqcESKHKfTSePGjRk7diwADRo0YNeuXXzyySdKpInkse+//57p06fz7bffUrt2bbZu3crIkSMpU6YM999/v9XhiRR6SqQVcCEhIbi7u181+iwmJuaqUWoikjdGjBjBr7/+yp9//km5cuWsDkekSNi0aRMxMTE0atQoa53D4eDPP/9k0qRJpKWl4e7ubmGEIoVbWFgYtWrVumJdzZo1+emnnyyKSKToeOaZZ3j++efp27cvAHXq1OHYsWOMGzdOiTSRfKAaaQWcl5cXjRo1YtGiRVesX7RoES1btrQoKpGiwTAMhg8fzqxZs1i6dCmVKlWyOiSRIqNDhw7s2LGDrVu3Zj0aN27MgAED2Lp1q5JoInmsVatW7Nu374p1+/fvp0KFChZFJFJ0XLx4ETe3K3/Ku7u743Q6LYpIpGjRiLRCYNSoUQwcOJDGjRvTokULJk+eTFRUFI8++qjVoYkUasOGDePbb7/ll19+ISAgIGtkqN1ux9fX1+LoRAq3gICAq+oR+vv7ExwcrDqFIvngqaeeomXLlowdO5Y+ffqwfv16Jk+ezOTJk60OTaTQ69GjB2+99Rbly5endu3abNmyhffee48HH3zQ6tBEigSbYRiG1UHIzfv4448ZP348p0+fJjIykvfff582bdpYHZZIofZPdQi/+uorBg8enL/BiAjt2rWjfv36TJw40epQRIqEuXPn8sILL3DgwAEqVarEqFGjGDp0qNVhiRR6SUlJvPLKK8yePZuYmBjKlClDv379ePXVV/Hy8rI6PJFCT4k0ERERERERERGRHFCNNBERERERERERkRxQIk1ERERERERERCQHlEgTERERERERERHJASXSREREREREREREckCJNBERERERERERkRxQIk1ERERERERERCQHlEgTERERERERERHJASXSREREREREREREckCJNBERERERERERkRxQIk1ERERERERERCQHlEgTERERERERERHJASXSREREREREREREcuD/AIlZdN0aC7yqAAAAAElFTkSuQmCC", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1048,16 +1078,32 @@ "id": "7e6d22cb", "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "<>:6: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:7: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:8: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:6: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:7: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:8: SyntaxWarning: invalid escape sequence '\\l'\n", + "/tmp/ipykernel_62986/44850350.py:6: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", + "/tmp/ipykernel_62986/44850350.py:7: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", + "/tmp/ipykernel_62986/44850350.py:8: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n" + ] + }, { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAABNIAAAL1CAYAAAACdslnAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOzdd3hT1RvA8W+SjnSX0gmUUvYsGwRkLxnKEAFREBS3ouLEAW4UFzjQn7JEUUERcACyd9l7ltWW0Ql0zzT398dtAoWOdCQp8H6ep0/S9N57TkaTk3Pf874aRVEUhBBCCCGEEEIIIYQQxdLauwNCCCGEEEIIIYQQQtwMZCJNCCGEEEIIIYQQQggLyESaEEIIIYQQQgghhBAWkIk0IYQQQgghhBBCCCEsIBNpQgghhBBCCCGEEEJYQCbShBBCCCGEEEIIIYSwgEykCSGEEEIIIYQQQghhAZlIE0IIIYQQQgghhBDCAjKRJoQQQgghhBBCCCGEBWQiTVRKO3bsYMiQIdSsWRNnZ2cCAgLo0KEDL774YoHtunXrRrdu3ezSxw0bNqDRaNiwYUOp9z169Chvv/02kZGRN/xt7Nix1KpVq9z9uxWV5zEvb5t//PFHidsuX76ct99+2/qdKsYvv/zC9OnTrXLsWrVqMXbs2GK3ycjI4O2337bpc1QeGo3G6s9ZZXhdCCGEEADz5s1Do9GYf/R6PYGBgXTv3p2pU6cSHx9v1XYLG/taW2UdW9vze4wQonxkIk1UOv/++y8dO3YkJSWFadOmsWrVKmbMmEGnTp1YuHBhgW1nzpzJzJkz7dTTsjt69CjvvPNOoYOJt956iyVLlti+U6Lcli9fzjvvvGPXPlhzIs0SGRkZvPPOOzfNRFp4eDjjx4+3ahuV4XUhhBBCXGvu3LmEh4ezevVqvvnmG1q0aMHHH39Mo0aNWLNmTYW3N2DAAMLDwwkKCqrwY9+sbtbvMUIIcLB3B4S43rRp0wgNDeW///7DweHqS3TkyJFMmzatwLaNGze2dfesrk6dOvbugrABRVHIysrCxcXF3l2xq4yMDFxdXe3W/h133GG3toUQQgh7adq0KW3atDH/fu+99/LCCy9w5513MnToUE6ePElAQEC528nMzESv1+Pn54efn1+5j3cruRW/xwhxu5CINFHpXLp0CV9f3wKTaCZabcGX7PUh0ZGRkWg0Gj755BM+/vhjatWqhYuLC926dSMiIoLc3Fxee+01qlWrhpeXF0OGDLkhhL2opV6WLGvbvXs3I0eONLdbq1Yt7r//fqKioszbzJs3j/vuuw+A7t27m0Pr582bBxQefp6VlcWkSZMIDQ3FycmJ6tWr8/TTT5OUlHRDHwcOHMjKlStp1aoVLi4uNGzYkDlz5hTYLiMjg5deeonQ0FD0ej0+Pj60adOGX3/9tdj7V5RvvvmGLl264O/vj5ubG82aNWPatGnk5uYW2K5bt240bdqUXbt20blzZ1xdXalduzYfffQRRqOxwLbHjx/nrrvuwtXVFV9fX5544glSU1Mt6k9CQgKPPfYYwcHBODs74+fnR6dOnQqcYS3q+SwqzD4rK4uJEycSGBiIi4sLXbt2Zd++fea/jx07lm+++QagwJIJU9ShRqPhmWee4bvvvqNRo0Y4Ozvz448/AvDOO+/Qvn17fHx88PT0pFWrVsyePRtFUW7oxy+//EKHDh1wd3fH3d2dFi1aMHv2bHPf//33X6Kiogr0wSQnJ4f333+fhg0bmh+XcePGkZCQUKCN3NxcXnnlFQIDA3F1deXOO+9k586dJT7ukZGR5kHyO++8Y27f9Di//fbbaDQa9u7dy7Bhw6hSpYp54tiS/x24ujRk/fr1PPnkk/j6+lK1alWGDh3KxYsXC2y7bt06unXrRtWqVXFxcaFmzZrce++9ZGRkmLcp7P89NjaWxx9/nBo1auDk5ERoaCjvvPMOBoOhwH3VaDR8+umnfP7554SGhuLu7k6HDh3Yvn27ebuSXhe///477du3x8vLy/z/8PDDD5f4WAshhBAVrWbNmnz22Wekpqbyv//9r8Dfdu/ezT333IOPjw96vZ6WLVuyaNGiAtuYPqNXrVrFww8/jJ+fH66urmRnZ9+wtPP555/Hzc2NlJSUG/oxYsQIAgICCowjFy5cSIcOHXBzc8Pd3Z2+ffsWGIdd24cGDRrg7OxMo0aNmD9/fqkeg+LGWSZz5syhefPm5jH0kCFDOHbsWIFtzpw5w8iRI6lWrZo5TU3Pnj3Zv3+/eZuivseUNLYwseQ5qegxvxBCJRFpotLp0KEDs2bNYsKECTzwwAO0atUKR0fHUh3jm2++ISwsjG+++YakpCRefPFF7r77btq3b4+joyNz5swhKiqKl156ifHjx/PXX39VSN8jIyNp0KABI0eOxMfHh5iYGL799lvatm3L0aNH8fX1ZcCAAXz44Ye8/vrrfPPNN7Rq1QooOhJNURQGDx7M2rVrmTRpEp07d+bgwYNMmTKF8PBwwsPDcXZ2Nm9/4MABXnzxRV577TUCAgKYNWsWjzzyCHXr1qVLly4ATJw4kZ9++on333+fli1bkp6ezuHDh7l06VKZ7vfp06cZNWqUeaLvwIEDfPDBBxw/fvyGSbzY2FgeeOABXnzxRaZMmcKSJUuYNGkS1apVY8yYMQDExcXRtWtXHB0dmTlzJgEBASxYsIBnnnnGov6MHj2avXv38sEHH1C/fn2SkpLYu3dvme8fwOuvv06rVq2YNWsWycnJvP3223Tr1o19+/ZRu3Zt3nrrLdLT0/njjz8IDw8373ftEoalS5eyefNmJk+eTGBgIP7+/oD6unn88cepWbMmANu3b+fZZ5/lwoULTJ482bz/5MmTee+99xg6dCgvvvgiXl5eHD582DzZNHPmTB577DFOnz59w/Jgo9HIoEGD2Lx5M6+88godO3YkKiqKKVOm0K1bN3bv3m2Ojnv00UeZP38+L730Er179+bw4cMMHTq0xInMoKAgVq5cyV133cUjjzxiXjJ5/RnooUOHMnLkSJ544gnS09PNj0FJ/zvXGj9+PAMGDOCXX37h3LlzvPzyyzz44IOsW7fOfLwBAwbQuXNn5syZg7e3NxcuXGDlypXk5OQUGQUXGxtLu3bt0Gq1TJ48mTp16hAeHs77779PZGQkc+fOLbD9N998Q8OGDc3Lad966y369+/P2bNn8fLyKvZ1ER4ezogRIxgxYgRvv/02er2eqKgo830QQgghbK1///7odDo2bdpkvm39+vXcddddtG/fnu+++w4vLy9+++03RowYQUZGxg0nJh9++GEGDBjATz/9RHp6eqHj+IcffpgZM2awaNGiAikWkpKSWLZsGU8//bR5vw8//JA333yTcePG8eabb5KTk8Mnn3xC586d2blzpzmya968eYwbN45Bgwbx2Wefmcdr2dnZN5yML0xJ4yyAqVOn8vrrr3P//fczdepULl26xNtvv02HDh3YtWsX9erVMz+OeXl5TJs2jZo1a5KYmMi2bdtuOAlemJLGFqV5Tip6zC+EyKcIUckkJiYqd955pwIogOLo6Kh07NhRmTp1qpKamlpg265duypdu3Y1/3727FkFUJo3b67k5eWZb58+fboCKPfcc0+B/Z9//nkFUJKTk823AcqUKVNu6FdISIjy0EMPmX9fv369Aijr168v8r4YDAYlLS1NcXNzU2bMmGG+/ffffy9y34ceekgJCQkx/75y5UoFUKZNm1Zgu4ULFyqA8v333xfoo16vV6Kiosy3ZWZmKj4+Psrjjz9uvq1p06bK4MGDi+x3eeTl5Sm5ubnK/PnzFZ1Op1y+fNn8t65duyqAsmPHjgL7NG7cWOnbt6/591dffVXRaDTK/v37C2zXu3fvEh9zRVEUd3d35fnnny92m+ufz2v7eO1ryvQ8t2rVSjEajebbIyMjFUdHR2X8+PHm255++mmlqLdVQPHy8irweBTG9Pi9++67StWqVc1tnjlzRtHpdMoDDzxQ7P4DBgwo8Pox+fXXXxVAWbx4cYHbd+3apQDKzJkzFUVRlGPHjimA8sILLxTYbsGCBQpQ6GN2rYSEhCL/h6ZMmaIAyuTJk4s9hqIU/b8zd+5cBVCeeuqpAttPmzZNAZSYmBhFURTljz/+UIAbXkPXu76vjz/+uOLu7l7gf0hRFOXTTz9VAOXIkSOKolx9r2nWrJliMBjM2+3cuVMBlF9//dV8W1GvC9Mxk5KSSng0hBBCiIph+hzdtWtXkdsEBAQojRo1Mv/esGFDpWXLlkpubm6B7QYOHKgEBQWZx9ymY48ZM6bIds+ePWu+rVWrVkrHjh0LbDdz5kwFUA4dOqQoiqJER0crDg4OyrPPPltgu9TUVCUwMFAZPny4oijq+KlatWpFjtcKGxtdy5Jx1pUrVxQXFxelf//+BW6Pjo5WnJ2dlVGjRimKon6XAZTp06cX22ZR32MsGVtY+pxYc8wvxO1MlnaKSqdq1aps3ryZXbt28dFHHzFo0CAiIiKYNGkSzZo1IzExscRj9O/fv8CZp0aNGgFqotNrmW6Pjo6ukL6npaXx6quvUrduXRwcHHBwcMDd3Z309PQbQr4tZYpOuf5s33333Yebmxtr164tcHuLFi3MkU0Aer2e+vXrFzib1q5dO1asWMFrr73Ghg0byMzMLFPfTPbt28c999xD1apV0el0ODo6MmbMGPLy8oiIiCiwbWBgIO3atStwW1hYWIH+rV+/niZNmtC8efMC240aNcqi/rRr14558+bx/vvvs3379huWmJbFqFGjCiyTDAkJoWPHjqxfv97iY/To0YMqVarccPu6devo1asXXl5e5sdv8uTJXLp0ybz0ePXq1eTl5fH000+Xqf///PMP3t7e3H333RgMBvNPixYtCAwMNBcHMN2fBx54oMD+w4cPL3S5dVnce++9N9xW2v+de+65p8DvYWFhAObXUYsWLXBycuKxxx7jxx9/5MyZMxb17Z9//qF79+5Uq1atwOPUr18/ADZu3Fhg+wEDBqDT6YrsR3Hatm0LqI/tokWLuHDhgkV9FEIIIaxJuSa1xKlTpzh+/Lh5XHDtZ2P//v2JiYnhxIkTBfYv7HO+MOPGjWPbtm0F9p87dy5t27aladOmAPz3338YDAbGjBlToG29Xk/Xrl3N45cTJ05w8eLFIsdrJbFknBUeHk5mZuYNY/Lg4GB69OhhHpP7+PhQp04dPvnkEz7//HP27dt3QwqT4pQ0tijNc1LRY34hhEom0kSl1aZNG1599VV+//13Ll68yAsvvEBkZOQNBQcK4+PjU+B3JyenYm/PysqqkD6PGjWKr7/+mvHjx/Pff/+xc+dOdu3ahZ+fX5k/uC5duoSDg8MNy+M0Gg2BgYE3hGZXrVr1hmM4OzsXaP/LL7/k1VdfZenSpXTv3h0fHx8GDx7MyZMnS92/6OhoOnfuzIULF5gxY4Z5EtSUF+r6+21J/y5dukRgYOAN2xV2W2EWLlzIQw89xKxZs+jQoQM+Pj6MGTOG2NjY0ty1Etsu7PEvTmGVqnbu3EmfPn0A+OGHH9i6dSu7du3ijTfeAK4+fqY8ZjVq1Ch130FdLpuUlISTkxOOjo4FfmJjY80T1Kb7c/39dXBwKPS5K4vCHofS/u9c3xfT8mbTtnXq1GHNmjX4+/vz9NNPU6dOHerUqcOMGTOK7VtcXBx///33DY9RkyZNAG6YyC+pH8Xp0qULS5cuNX9BqFGjBk2bNpW8JUIIIewmPT2dS5cuUa1aNUD9XAR46aWXbvhsfOqpp4AbPxstrcz5wAMP4OzsbM4TfPToUXbt2sW4cePM25jab9u27Q3tL1y4sMTxS1G3Xc+ScZapjcLuX7Vq1cx/12g0rF27lr59+zJt2jRatWqFn58fEyZMsCjfb0lji9I8JxU55hdCXCU50sRNwdHRkSlTpvDFF19w+PBhq7bl7OxMdnb2DbeXNGGSnJzMP//8w5QpU3jttdfMt2dnZ3P58uUy96dq1aoYDAYSEhIKTKYpikJsbKw5qqU03NzceOedd3jnnXeIi4szn6m6++67OX78eKmOtXTpUtLT0/nzzz8JCQkx335tMtXSqlq1aqGTXpZOhPn6+jJ9+nSmT59OdHQ0f/31F6+99hrx8fGsXLkSUCP1CnueExMTb8jHVVTbsbGxpZpcuvYMqclvv/2Go6Mj//zzD3q93nz70qVLC2xneu7Pnz9PcHCwxW2amJLym+7/9Tw8PICrg7fY2FiqV69u/rvBYKiwfBrXPw7W+t/p3LkznTt3Ji8vj927d/PVV1/x/PPPExAQwMiRIwvdx9fXl7CwMD744INC/276YlFRBg0axKBBg8jOzmb79u1MnTqVUaNGUatWLTp06FChbQkhhBAl+ffff8nLyzMnwTeNiSZNmsTQoUML3adBgwYFfi9svFOYKlWqMGjQIObPn8/777/P3Llz0ev13H///eZtTO3/8ccfBcaZ17t2/HI9S8aPloyzTG3ExMTc8LeLFy8WGD+GhISYixRERESwaNEi3n77bXJycvjuu+9K7E9xSvOcVOSYXwhxlUSkiUqnsA8nwLy8q6K/yF6vVq1aHDx4sMBt69atIy0trdj9NBoNiqIUSPwPMGvWLPLy8grcVpqolZ49ewLw888/F7h98eLFpKenm/9eVgEBAYwdO5b777+fEydOFKhoaAnTYOna+60oCj/88EOZ+9S9e3eOHDnCgQMHCtz+yy+/lPpYNWvW5JlnnqF3797s3bvXfHthz3NERMQNyxNMfv311wJLHaKioti2bVuBakuleV5NNBoNDg4OBUL4MzMz+emnnwps16dPH3Q6Hd9++22xx7s+us9k4MCBXLp0iby8PNq0aXPDj2nAZbo/CxYsKLD/okWLClStLK59032wVGn+d8pCp9PRvn17c5Tkta+D6w0cOJDDhw9Tp06dQh+nsrz/WPKYODs707VrVz7++GOAQiuRCSGEENYUHR3NSy+9hJeXF48//jigTsjUq1ePAwcOFPq52KZNG/PJuLIYN24cFy9eZPny5fz8888MGTIEb29v89/79u2Lg4MDp0+fLrJ9Uz+DgoKKHK+VxJJxVocOHXBxcblhTH7+/HnWrVtX5Ji8fv36vPnmmzRr1qzYMYilyvqclHfML4S4SiLSRKXTt29fatSowd13303Dhg0xGo3s37+fzz77DHd3d5577jmrtj969GjeeustJk+eTNeuXTl69Chff/21uUpOUTw9PenSpQuffPIJvr6+1KpVi40bNzJ79uwCAwLAnPfh+++/x8PDA71eT2hoaKHRTb1796Zv3768+uqrpKSk0KlTJ3PVzpYtWzJ69OhS38f27dszcOBAwsLCqFKlCseOHeOnn36iQ4cO5mqGkZGRhIaG8tBDD5lD7gvTu3dvnJycuP/++3nllVfIysri22+/5cqVK6Xul8nzzz/PnDlzGDBgAO+//765aqclZ86Sk5Pp3r07o0aNomHDhnh4eLBr1y5WrlxZ4Kzd6NGjefDBB3nqqae49957iYqKYtq0aTcsoTWJj49nyJAhPProoyQnJzNlyhT0ej2TJk0yb9OsWTMAPv74Y/r164dOpyMsLMy8hLgwAwYM4PPPP2fUqFE89thjXLp0iU8//fSGSaVatWrx+uuv895775GZmcn999+Pl5cXR48eJTExkXfeecfchz///JNvv/2W1q1bo9VqadOmDSNHjmTBggX079+f5557jnbt2uHo6Mj58+dZv349gwYNYsiQITRq1IgHH3yQ6dOn4+joSK9evTh8+DCffvopnp6eJT7+Hh4ehISEsGzZMnr27ImPj4/5/6EopfnfsdR3333HunXrGDBgADVr1iQrK8tcQbZXr15F7vfuu++yevVqOnbsyIQJE2jQoAFZWVlERkayfPlyvvvuu1Ivry3qdfH+++9z/vx5evbsSY0aNUhKSmLGjBk4OjrStWvXMt1vIYQQwhKHDx8259WKj49n8+bNzJ07F51Ox5IlSwqMh/73v//Rr18/+vbty9ixY6levTqXL1/m2LFj7N27l99//73M/ejTpw81atTgqaeeIjY2tsCyTlDHP++++y5vvPEGZ86c4a677qJKlSrExcWxc+dOc8SVVqvlvffeY/z48ebxWlJSEm+//bZFSzstGWd5e3vz1ltv8frrrzNmzBjuv/9+Ll26xDvvvINer2fKlCkAHDx4kGeeeYb77ruPevXq4eTkxLp16zh48GCByPvysPQ5sWTML4QoAzsWOhCiUAsXLlRGjRql1KtXT3F3d1ccHR2VmjVrKqNHj1aOHj1aYNuiqt188sknBbYzVV78/fffC9xeWOWi7Oxs5ZVXXlGCg4MVFxcXpWvXrsr+/fstqtp5/vx55d5771WqVKmieHh4KHfddZdy+PDhQitETp8+XQkNDVV0Op0CKHPnzlUU5caqnYqiVt589dVXlZCQEMXR0VEJCgpSnnzySeXKlSsFtgsJCVEGDBhww2N6/eP02muvKW3atFGqVKmiODs7K7Vr11ZeeOEFJTEx0bzNoUOHFEB57bXXbjje9f7++2+lefPmil6vV6pXr668/PLLyooVK254fLp27ao0adLkhv0Lu89Hjx5Vevfurej1esXHx0d55JFHlGXLlpVYtTMrK0t54oknlLCwMMXT01NxcXFRGjRooEyZMkVJT083b2c0GpVp06YptWvXVvR6vdKmTRtl3bp1RVbt/Omnn5QJEyYofn5+irOzs9K5c2dl9+7dBdrOzs5Wxo8fr/j5+SkajaZAdSpAefrppwvt85w5c5QGDRqYn4upU6cqs2fPvqG6laIoyvz585W2bdsqer1ecXd3V1q2bGl+7SiKoly+fFkZNmyY4u3tbe6DSW5urvLpp5+anyt3d3elYcOGyuOPP66cPHmywP148cUXFX9/f0Wv1yt33HGHEh4eXmSl0+utWbNGadmypeLs7Fyg0qepamdCQsIN+1j6v1NUtbHr/x/Dw8OVIUOGKCEhIYqzs7NStWpVpWvXrspff/1VYD8KqTCakJCgTJgwQQkNDVUcHR0VHx8fpXXr1sobb7yhpKWlKYpS9HtNYccs6nXxzz//KP369VOqV6+uODk5Kf7+/kr//v2VzZs3l/gYCyGEEGVh+hw1/Zg+f7p27ap8+OGHSnx8fKH7HThwQBk+fLji7++vODo6KoGBgUqPHj2U77777oZjF1YRtLCqnSavv/66AijBwcHmapPXW7p0qdK9e3fF09NTcXZ2VkJCQpRhw4Ypa9asKbDdrFmzlHr16ilOTk5K/fr1lTlz5hQ6zixKSeMsUxthYWGKk5OT4uXlpQwaNMhc1VtRFCUuLk4ZO3as0rBhQ8XNzU1xd3dXwsLClC+++KJANU5Lv8coSuHjFUueE0vG/EKI0tMoyjWxr0IIkW/mzJm88sornD59moCAAHt3RwghhBBCCCGEsDvJkSaEKNT69euZMGGCTKIJIYQQQgghhBD5JCJNCCGEEEIIIYQQQggLSESaEEIIIYQQQgghhBAWkIk0IYQQQgghhBBCCCEsIBNpQgghhBBCCCGEEEJYQCbShBBCCCGEEEIIIYSwgIO9O2APRqORixcv4uHhgUajsXd3hBBCCHGTUBSF1NRUqlWrhlYr5yMrIxnnCSGEEKIsLB3n3ZYTaRcvXiQ4ONje3RBCCCHETercuXPUqFHD3t0QhZBxnhBCCCHKo6Rx3m05kebh4QGoD46np6edeyOEEEKIm0VKSgrBwcHmsYSofGScJ4QQQoiysHScd1tOpJnC/D09PWWAJYQQQohSkyWDlZeM84QQQghRHiWN8yS5hxBCCCGEEEIIIYQQFpCJNCGEEEIIIYQQQgghLCATaUIIIYQQQgghhBBCWEAm0oQQQgghhBBCCCGEsIBMpAkhhBBCCCGEEEIIYQGZSBNCCCGEEEIIIYQQwgIykSaEEEIIIYQQQgghhAWsOpG2adMm7r77bqpVq4ZGo2Hp0qUl7rNx40Zat26NXq+ndu3afPfddzdss3jxYho3boyzszONGzdmyZIlVui9EEIIIYQoiozzhBBCCHE7supEWnp6Os2bN+frr7+2aPuzZ8/Sv39/OnfuzL59+3j99deZMGECixcvNm8THh7OiBEjGD16NAcOHGD06NEMHz6cHTt2WOtuCCGEEEKI68g4TwghhBC3I42iKIpNGtJoWLJkCYMHDy5ym1dffZW//vqLY8eOmW974oknOHDgAOHh4QCMGDGClJQUVqxYYd7mrrvuokqVKvz6668W9SUlJQUvLy+Sk5Px9PQs2x0SQgghxG1HxhCFk3GeEEIIIW52lo4hKlWOtPDwcPr06VPgtr59+7J7925yc3OL3Wbbtm0266cQQgghhCgdGecJIYQQ4lbgYO8OXCs2NpaAgIACtwUEBGAwGEhMTCQoKKjIbWJjY4s8bnZ2NtnZ2ebfU1JSKrbjQgghhBCiWDLOE0IIIcStoFJFpIG6NOBappWn195e2DbX33atqVOn4uXlZf4JDg6uwB4LIYQQQghLyDhPCCGEEDe7SjWRFhgYeMMZx/j4eBwcHKhatWqx21x/9vJakyZNIjk52fxz7ty5iu+8EEIIIYQokozzhBBCCHErqFQTaR06dGD16tUFblu1ahVt2rTB0dGx2G06duxY5HGdnZ3x9PQs8COEEEIIIWxHxnlCCCGEuBVYNUdaWloap06dMv9+9uxZ9u/fj4+PDzVr1mTSpElcuHCB+fPnA2rlpq+//pqJEyfy6KOPEh4ezuzZswtUaXruuefo0qULH3/8MYMGDWLZsmWsWbOGLVu2WPOuCCGEEEKIa8g4TwghhBC3I6tGpO3evZuWLVvSsmVLACZOnEjLli2ZPHkyADExMURHR5u3Dw0NZfny5WzYsIEWLVrw3nvv8eWXX3Lvvfeat+nYsSO//fYbc+fOJSwsjHnz5rFw4ULat29vzbsihBBCCCGuIeM8IYQQQtyONIopy+ttJCUlBS8vL5KTkyX8XwghhBAWkzFE5SfPkRBCCCHKwtIxRKXKkSaEEEIIIYQQQgghRGUlE2lCCCGEEEIIIYQQQlhAJtKEEEIIIYQQQgghhLCATKQJIYQQQgghhBBCCGEBmUgTQgghhBBCCCGEEMICMpEmhBBCCCGEEEIIIYQFZCJNCCGEEEIIIYQQQggLONi7A8IymTl5nLuSQXxKNuk5BjSAv6eeFsHe9u6aEEJYVW6ekfNXMjkek8LhiykYjQouTjqCq7jSKsSbkKpu9u6iEEKUS7Yhj3OXM4lLySI924ACVHF1ol2oj727JoQQNnElPYfdUVcwKgpOOi0+bk7UqOJCVXdne3dNiBvIRFollpyZywf/HmVP1BVOJ6Tf8Pd2oT4seryD+fcv156kfoA7Xer74eokT60Q4uaUYzDy47az/HMwhoycPKIuZ5BjMBa5vVYD/h7O9G8WxBPd6uDvobdhb4UQomxyDEbe+fsIe6KucCIuFUUp+Pfafm6se7Gb+fdZm8/g5+FM94b+eOodbdtZIYSoIEajwr5zV1h/PIHO9XxpX7sqAFGXM3h0/u4btvd1d6JFcBWGt6lBnyaBtu6uEIWS2ZZKJjEtG9/8WXcPZwfWn0ggITVb/V3vQJCXHjdn9WlrEOBh3i8rN48v157EYFTQO2q5O6waozuEEFbD2+b3QQghyuLoxWTeWHqYA+eSMF73hdLFUYePmxNXMnLQAAajQm6eEaMCRgViU7KZszWSedsi6VTXl1Hta9K7YQAODpLBQAhReVw7znNy0LIn6grHY1MBcHPSUc3bBXe9AxqgmreLeT9FUZi54TSX03Nw1Gno2ySQB+8IoX2oDxqNxh53RQghSiUpI4f54VH8vucc5y5nqrdl5pgn0oK89DQP9kangWyDkUtpOcSlZpGYlsOaY3G0CvGmT/6x8owKRkXBUSfjPGEfGkW5/vzXrS8lJQUvLy+Sk5Px9PS0d3cA2H8uiS9WRxARl8rmV7rjkP+msPxQDM4OWloEexcb1pqckcuX606y+mgc0ZczzLd3b+DHi30a0LS6l9XvgxBClMXuyMtM+vMQJ+PTzLdpgGAfVwY0C2Jku2CCq7ii1d74ZTEmKZPVx+JYeTiWpIxcjsakmP/mqNMwtmMtJvVriFYrAy1RMSrjGEIUVBmfo4i4VL5YHcGWk4lsf72n+aTo+uPxZBuMtKzpjb+Hc5GTYlm5ecxYe5I1R+MKvFe2C/XhpT4NZAmoEKLSSkjN5vtNp1mwI5qMnDxAPXHQo1EAA8OC6FtMlFlGjoETsalsP3OZ3o39qeuvBpKsPBzLu38f4YXe9Rnaqga6QsaIQpSFpWMImUiz8wArNjmL9/49yr8HYwBw0GpY+nSnMk98KYrC3ugr/Lw9mr8OXCQvP6zjgyFNeaB9SIX1WwghyisrN4+v1p7imw2nzLe5Oet4sH1Nnu1RH3d96YOmoy6l8+vOc/yw6Qx5+R9vbk46Jt/dmBFta1ZY38XtqzKNIUThKtNzdCU9h49XHmfh7nMoCmg0MG9cO7rW9yvzMQ9fSGbBjmgW7z1vXvb+Qq/6PNerXkV1WwghKoQhz0i3Tzdw/ooagdY4yJPHutSmb5NAXJx0ZT7uY/N3s+poHAANAz14a2BjOtX1rZA+i9ubTKQVozIMsBRFYeGuc7z/7zHSsg1oNTC0VQ0m9KhHzaquFdJGZGI6M9aeZPPJRFa90AUfN6cKOa4QQpTXrsjLvPT7AaIuqRG0HnoHJvauz0MdQiokeiwuJYtnf93HzrOXzbe1rOnN/Ifb4SG5hUQ5VIYxhCheZXmOlh+K4c2lh7mcngNA/2aBPNezPg0CPUrY0zIxyZl8ve4Ufx+4yL8TOhPsUzHjRyGEqEgLdkSxcNc5Xuhdn271/SpkOXpWbh7zwyP5et0pUrIMADzQviav929kjvgVoixkIq0Y9h5gZeQYeHHRAVYcjgWgRbA3HwxpSpNq1ll+mZ5tKPCGsmBHFPc0ryZfJoUQNpeVY+ChubvYefYyChDg6cykfo24p3mQVZZfHr6QzJM/7+Fc/plQZwctXwxvQf+woApvS9we7D2GECWz93NkyDPyxpLDLNx9DlBz2r4/pClta1ln+eX147w/9pynWwM/cy42IYSwFUVRmLctkvoBHuYIMWP+CqnCUnSU15X0HL5YE8H88CgAavq48sWI5rQOkeXuomwsHUNI0hg70DvoSMs24KDVMKlfQ/58sqPVJtGAAoOrRbvP8caSw9zz9VaOXZNLSAghrO1sQhrtPlzLjvxJtEHNq7FmYlcGt6xutRxmTat7sfnVHjzZtQ4a1OS1zy/cz/JDMVZpTwghdFoNWYY8NBp4unsd/plwp9Um0aDgOG/tsThe+v0AA77czO7Iy8XsJYQQFSs928DjP+3hnb+P8sLC/SRn5gLqBJo1JtEAqrg58e6gpvwyvj3VvV2IvpzBmYR0q7QlxLUkIs2GZyoVRTGHssanZBGbkmXzqpr7oq/wzC/7uJCUibODlhkjW3JXUykjLISwrjVHY3ni570Y8s9Kjr6jJu8NbmbTPkTEpTLh133mCnkTetTl+V71rTa4E7cme0c7iZJVhnFealYuRy+mmKvR2crJuFSe+HkPpxPS0Wk1fDC4KSPbSX5IIYR1XUjKZPyPuzkWk4KTg5Y3BzRi9B0hNq0qnJKVy5qjcQxtVcNmbYpbjyztLIY9Blizt5zl3OUM3r6niU3aK86V9ByeX7ifjREJaDTw9t1NeKhjLXt3Swhxi5q79Szv/H0UUCM1vn2gFX2KqdBkTYY8I1NXHGf2lrMA1PFz4+9n78TVSfJpCMvIRFrlZ4/naMm+82w8kcDnw1vYfXI+PdvAa38e4u8DFwGY0LMeL/SqZ9MvtEKI28fRiymMmbOTxLRsfN2d+X5Ma1rVrGLvbnE5PYcZayJ4rV+jchU2ELcXWdpZSSiKwpdrT/LeP0eZty2SHWcu2btLVHFzYvZDbXigfU0UBab8dYQvVkdwG86pCiGs7Mu1J82TaO7ODvz3XGe7TaIBOOi0vDWwMR8NVaPhTiek0+2TDaRm5dqtT0KIm9vP26N4YeEBlu6/yPLD9l827ubswJcjWzChR11AfR9+a9lhc54iIYSoKHujrzDy+3AS07JpGOjBsmc6VYpJNEVReOLnPfwYHsXYuTtJyzbYu0viFiMTaVakKAofrTzO56sjAHixd33ahVaOxIcOOi3vD27KS33qAzBj7UkOnk+2c6+EELeSFYdi+CL//a+qmxNbXu1O3YCKqVZXXiPb1TR/yYxPzabLtPVcTsuxc6+EEDebHzad4c2lhwEY27EW/ZtWjkImGo2GiX0a8OGQZmg08PP2aDZGJNi7W0KIW8ycLWdJyTLQOqQKCx/vQHVvF3t3CVDfA1/u2wAPZwd2nL3Mg7N2kJwhJ01FxZGlnVYM+f98dQRfrj0JwJsDGjG+c22rtVUeszafwdlRx+g7QuzdFSHELWLDiXgenb+b3DyFOv5uLHvqTtz1lW/55P82nmbqiuMAeLs4svnV7lLRWBRLlnZWfrZ6juaHRzJ52REAnupWh5f7NqiUyyf/3Hue81cymdCznr27IoS4xWTl5vHl2pM806NupUyTcfB8EmPm7CQpI5ewGl788ugduDtXvn6KykNypBXDFgOsWZvP8P6/xwB4++7GjO0UapV2rCHPqKCT5NtCiDJadSSWCb/tIyvXyICwIL4c2bJSv6f8HB7Jm/lfhqt56dnwcnecHCRgWxROJtIqP1s8R0v2neeFhQcAeLZHXV7s08Aq7ViDjPOEEOWRkJqNr7tTpTxxUJjjsSmM+mEHl9Nz6FS3KnPGtsXZQXKmicJJjjQ7OhWfygfL1Um0l/rUv6km0RLTshk6cyv/HrR/jg8hxM1n+aEYHvtpD1m5Rro38OOL4S0q/Re2BzvU4pW71C/BF5OzGPbdNskZKYQoUnxqFq8tPgSoyzkn9q5v5x5ZLjUrl9Gzd/BTeKS9uyKEuAmdu5xBvxmbmbri+E0zVmoY6MncsW1xddKx9dQl3s3P3StEechEmhXU9ffg02HNeaJrHZ7uXtfe3SmVBdujOXA+mRcW7mfbqUR7d0cIcRM5GpPMs7/sBcBD78BX97e8aSK7nupWl7H51YsPnk/m63Wn7NshIUSl5e+hZ+YDrbi/XU0mD2x800RlAPx14CLbTl9i8l9H5KSpEKJUUrJyeeTHXSSmZbMpIoGMnDx7d8lizYO9+X50G+r4ufFoJU23JG4usrRTlmUUkGdUePbXvSw/FIun3oG/nrmTWr5u9u6WEKKSu5yWw53T1pGRk4ejTsOaiV0JqXrzvXfM3XrWXGX0uwdbcVclSRwuKg8ZQ1R+8hwVTVEUJi87wk/bo3B20PLHEx1pVsPL3t0SQlRyhjwjD/+4m00RCfh7OLPsmU4EeVWOwgKlYcgz4qC7OU7yCvuQpZ2iTHRaDV+MaEGrmt6kZBl47KfdUi5YCFEsg8HIgK82k5GThwaYO7btTTmJBjCuUyjjOtUCYMJv+1lxWCI2hBC3Do1Gw9v3NKFHQ3+yDUYe/2k3iWnZ9u6WEKKSe/efo2yKSMDFUcfsh9relJNoQIFJtK2nEtl59rIdeyNuZjaZSJs5cyahoaHo9Xpat27N5s2bi9x27NixaDSaG36aNGli3mbevHmFbpOVlWWLu3PLc3bQ8d2DrfH3cCYiLo0XF+3HaLztAheFEBZ6ZP5uYpLV99/Jdzfmznp+du5R+bzRvxH1/N3JMRh55pd9nE1Is3eXhKjUZJx3c9FpNUwf2YLafm5cTM7iqQV7yc0z2rtbQohKatHuc8wPj0KjgS9GtLglolg3nIhnzJydPPnzHi4kZdq7O+ImZPWJtIULF/L888/zxhtvsG/fPjp37ky/fv2Ijo4udPsZM2YQExNj/jl37hw+Pj7cd999Bbbz9PQssF1MTAx6vd7ad+e24e+p57vRrXHSafnvSBzfbjxt7y4JISqh33edY2NEAgCDW1Rn3E1UXKUoDjot349ujYNWQ55RYcjMbeQY5EumEIWRcd7NyVPvyPej2+Du7MDOs5f5IL/SvBBCXCs+NYvJyw4D8EKv+tzVNNDOPaoY7UOr0jDQg0vpOTz+026yDTdPvjdROVh9Iu3zzz/nkUceYfz48TRq1Ijp06cTHBzMt99+W+j2Xl5eBAYGmn92797NlStXGDduXIHtNBpNge0CA2+Nf+rKpFXNKrw/uCmNgjzp20QeXyFEQbHJWXy08jgAtX3d+Hx4mJ17VHFC/dz5ZlQrAJIyc3l43k4790iIyknGeTevuv7uTB/Rgtq+bgxtVd3e3RFCVEL+Hnq+GN6Ce5pX45mbrIhecVycdHw/pg0+bk4cvpDC1OXH7d0lcZOx6kRaTk4Oe/bsoU+fPgVu79OnD9u2bbPoGLNnz6ZXr16EhIQUuD0tLY2QkBBq1KjBwIED2bdvX5HHyM7OJiUlpcCPsMzwtsH8/Uwn6vq727srQohKxGhUmLhoP5fSc2gU5Mny5zqj1d5aaTf7Ng1kRNtgALacusT88Ej7dkiISkbGeTe/Xo0DWPVCF8JqeNu7K0KISqpfsyC+vL8lWu3NU6HYEtW9XfhseHMA5m2L5L8jsXbukbiZWPVbT2JiInl5eQQEBBS4PSAggNjYkl+oMTExrFixgvHjxxe4vWHDhsybN4+//vqLX3/9Fb1eT6dOnTh58mShx5k6dSpeXl7mn+Dg4LLfqdvQtUkZj8emcBsWehVCXOfVxQfZdvoSLo46vh7VEr2jzt5dsoqpQ5oSXEVNqPv2X0eIupRu5x4JUXnIOO/WcO0472RcKgbJlybEbW/LyUTiU279vJTdG/jzWJfaALzyx0HJlyYsZpPwAY2m4Oy1oig33FaYefPm4e3tzeDBgwvcfscdd/Dggw/SvHlzOnfuzKJFi6hfvz5fffVVoceZNGkSycnJ5p9z586V+b7czr5Zf4r+MzYzPzzK3l0RQtjRsv0X+H3PeQBe6FWPOn63bsSqVqvljyc74qDVYFTgiZ/3yMkEIa4j47xbw687oxnw5RZmrC18wlIIcXuIvpTBEz/v4a4ZmzkVf+sXXHqpTwOa1/AiOTOXP3aft3d3xE3CqhNpvr6+6HS6G85KxsfH33D28nqKojBnzhxGjx6Nk5NTsdtqtVratm1b5JlKZ2dnPD09C/yI0nN10mFU4IPlx4iIS7V3d4QQdnA5LYeXfj8AgL+HM+M73/zFBUoS4Knn0/uao9PAsZhUFu+9YO8uCVEpyDjv1uLu7EBOnpGv159i59nL9u6OEMIODHlGnl+4j7RsA3X83Aj1dbN3l6zOyUHLV/e3YurQZkzoeevkgRPWZdWJNCcnJ1q3bs3q1asL3L569Wo6duxY7L4bN27k1KlTPPLIIyW2oygK+/fvJygoqFz9FcUb27EW3Rv4kWMw8vLvByT0X4jb0P0/bCc3T0GrgYWP3XHL5UUryuCW1ZnYpwEA7/x9hJhkCf0XQsZ5t5a7m1djWOsaKAq88scBMnOkip0Qt5uZG06zNzoJD2cHPh/eAt0tlhetKDWrunJ/u5oWRVMLATZY2jlx4kRmzZrFnDlzOHbsGC+88ALR0dE88cQTgBqOP2bMmBv2mz17Nu3bt6dp06Y3/O2dd97hv//+48yZM+zfv59HHnmE/fv3m48prEOj0TB1aBgeegcOnE/mh81n7d0lIYQNzd16lhP50agv9W1A6C28pLMwj3epTfNgb1KzDIz8fjtGo5xMEELGebeWtwY2JtBTT+SlDD5ddcLe3RFC2NCJ2FS+WqdG/r43uCnBPq527pF9JGfk8sXqCAkaEcVysHYDI0aM4NKlS7z77rvExMTQtGlTli9fbq7OFBMTQ3R0dIF9kpOTWbx4MTNmzCj0mElJSTz22GPExsbi5eVFy5Yt2bRpE+3atbP23bEtQw5Eh0PcYchOBTdfqN4GgpqDnWbLA730TB7YmJf/OMgXqyPo1cifegEedumLsLI8AxxdBsZcyLgMLt5QtR5Uawk6q791iEomKSOHD/49BkAdPzee6mbl0HejEY4shhMrIC0enNwhpAO0eQSc7TOB56DTMnVIU/p/uYWoSxm89PtBPh/Rwi59EaKykHFeORjz4NxOiNkPWcngUgWqtYLqrcFO0b5eLo5MHdqMcfN2MWfrWfo1DaRNLR+79EVYmaKor72ApqBzVG/LSQed09XfxW0jz6jwyuKD5OYp9GoUwKAW1azfaPxxOLcdUuPAUQ/+TaBWJ3B0sX7bRTAaFYb/L5wTcak46jQ806Oe3foiKjeNchtmTU5JScHLy4vk5OTKmUdDUWD7t7D5M8hIvPHvvvXhkVXqgMsOFEVh3LxdbDiRQPNgbxY/0aFAxSdxk8szwOq3YNcsyMu58e9aB2h+PwycLhNqt5F7v93GnqgraDWw+dXuVPe24lnKEythyeOQlVTIHzVQuysM/xn09pnEf2TeTtYeTwBg2dMdaR5sn/diYR+Vfgwhbo7naN/PsP5DSCkk56J3TXjoH6gSYvt+5Xvp9wP8sec8ob5uLJ/QGRenW7My823JaITj/8CGqRB/FJ7aAf4N1b9t/079/nHn89DucRnn3UZ+Co/krWVH8HB2YPXErgR66a3b4IaP1Nfg9Zw8oN146PIyONknP9uSfed5YeEBHHUa/p3QmfoSNHJbsXQMIbMflZFGA2c3qpNobn7QeBC0Hgt1e4OjK3gE2m0STe2ehqlDmxHoqee+1jXQylryW8elU/BpXdg+8+okWlAraDIUanUGjRaMBtj3E3xSGy4etG9/hU0cOp/MvugrADzVra71JtEyk+DX++HXEVcn0VyrqicPPKuprz8UOLMBvu0IsYes048SfPtgG1zzv1Q+Nn+PXfoghLjJnduhTqLpvaHhQHWc16A/OHuqEbhewXbt3lsDGlPTx5X72tTAUSfjvFtGVjL8OhIWjVYn0RzdIPOawhIXdkN6PPz3OnzfVY0YEreFIa1qMKZDCG8MaGT9STRQ3/Ncq0Ltbur1pveCZw3ISYUtX8Ch363fhyIMblGdXo38yc1TeGPJIYzG2y7uSFhAItIq65nKxFMQtRVaPFDwbFBWivqBV6WW+nueQf3d3b9Uh1cUhWyDEQetBp1WU6bEitmGPJwd5AzlLePYP/D7Q+pEGUDInTDkf+Bd4+o2afGw9Ck4lZ9YWqOF+36ExvfYvr/CJnLzjNzz9VaOxaRwd/NqfHV/S+s0FL0dfh8LqTGgdYQOT0PHZ9STCebOZMHKSXBgARiy1RML9/+mRqjZ2PKDMTz1y14Anulel5f6NrB5H4R93BRjiNvcTfEcpSXAoUXQdjw4OF+9PTcTUi5C1Trq74oCqbHgaftCCzLOu8UknlIn0S6dBAc9dJygfta6eF/dJi8X9i+ANW9D5hXQe6mfsyHFFw8RokRpCXBsmfqeZ2LIAYdrqjYbjRCxQk0tM/g7uy1xB7iQlEnvzzeSkZPHx/c2Y0Tbmnbri7AtS8cQMpFWWQZYJ9dA5Cbo/a7l+xjzYMkT6tryEpYAKIrCrsgrrDoSy46zlzmTkEZ6fjUmR52Guv4eNA7ypGcjf3o09EfvWLqBU47BiJODBDjetI4vh99GAYq6dHPYHDUSsiin1qiRQ3k5gAZG/AyNBtqqt8KGPl8dwZdrT1LF1ZHVE7vi6+5c8k6ldXE//NADlDzQV4GH/oKgsKK3T0uAP8erkWlaJ+j5FnSaUPH9KsGgr7dw4HwyOo2GHW/0wNfdBmdwhd1VyjGEKKBSPkfnd6vR3AO+sPzLoaKoJw8O/Q4P/Q0Bja3bx2Lk5qknX6Wi3U3q8hmY0w/SYsGzOoxcoOa8LUpaAix8QI2c1DnDg4shtLPt+its5kJSJtW89Nb9385Mgtl9IPEE3D1DjUCzlCFbzRleu5uVOle0WZvP8P6/x/BycWTti1YaA4tKR5Z23kxiDsCiMbB1hhoVZKnMK2oIdlI0/DJcLUhwHaNR4c+95+n1+UaG/y+cWVvOcuhCsnkSDSA3T+FYTAqL957nqQV7af3eaqYsO0xscpZF3dgYkUCvzzey4US85X0XlUd6IiweDyhqhM+T24ufRAOo2wue3qVuj6IuEbh0yha9FTZ09GIyX65VqzdN6FHPOgOItASYc5c6iaZ1VAfrxU2iAbj7wahFUO8uMOaoOf2O/lXxfSvB7LFt0Gk05CmKLPEUQhTt8hl1nLZnHuydZ/l+Oenq6oSMRFgwTI0Kt4PdkZcZ+OUWFu8tJJ+buDnsmadOovk3gUfXFz+JBurn7JhlUL8f5GWrk2qpsTbpqrCd1KxchnyzlZHfbyc+xbLvfaWWl6t+z008AR7VIKRTKfY1wB8Pw8/3QtQ26/SvGGM71qJxkCfJmWoVTyGuJRNp9paVDAsfhNx0daa9Xh/L93XzhbH/gkcQJBxXo9OMV8v0noxLZcT34UxcdIDTCem4Oum4t1UNvry/JWsmduXAlD4cmNyHTS935/vRrXm8S22qe7uQnpPHj+FRdJm2ng+XHyMjx1BsNzZFJBB9OYPJy46QlZtX7LaikskzqMvpctPVPAVPbAE/C6vT+NSCJ7aqZyoVI/w1QT2euGU89pM6OeTqpGP0HVZIep1ngO86gSET0MDoJVCjtWX7OjjDfXPV/EIAf4yDS6crvo/F8HXX82xPdfnV/nNJnIi98WSGEOI2Z8hWv0RmXIKgFtBsuOX7OrurEbpV66n51H4fq34ptbHdUVc4EZfKh8uPkZRRSBEiUfn1egcGfK5+znoEWLaPo4v6ORt8B3R+Cdwt3E/cNGasOUl8ajZxKVl4uVqpUuvyl9Tc345uMGoh+JaiCqZGq1aRNRpg4WhIOmedPhbBQaflw6HNuK91DV7sIyk8REEykWZPigJ/P6dGlHmHwPD5BdeJW8KzmrqsTuekVuDZPhOAP/eeZ+BXW9gVeQVXJx0v923Ajtd78tnw5tzTvBp1/d3xcnHEy9WRmlVd6dMkkEn9G7Hl1e4sGN+edqE+5OQZ+X7TGfpO38S2U4VUD833Qu/6BHrqib6cwcwNtv0iK8pp0ycQuVlNbDx2+dWcLJaqWhse36hW2InaCmvfsU4/hc3N3XKW81cyAXh/cFMcrLF0e9EYSItTrw+cXvplI06u8Og6dTmy0QBz+xU4mWALz/dqQN8mARgVeOfvI9yG2RKEEMVZPVktjOLqq+aacnYv3f4uVWDkL9d8zpYiBUgFeeTOUOoHuHM5PYdp/52wefuiAmg00PYRyyfRTBxd1JP2nSaoxxC3jBOxqczdFgnA2/c0sU4+xEN/qNGQGq06KVvSioPrabUw6BsIbKZG5v7xsJrayIZaBHvzyX3N8XEr5Xd0ccuTiTR72v8LHFmSn5NqrprQsyxqtIF+HwOgrHuP7xb/x8RFB8g2GOlS34/VE7vydPe6eOhLPtOg0WjoVNeXRY93YO7YtlTz0nPuciYPzN7BV2tPFlq1xN3ZgbcGqnk7/rfxNBeSMst2P4Rt7fgBNqqvG+6ecbX0eWn5N4LB36jXt30J+3+tmP4Ju8nIMTB1pVqpq56/O0Nb1ShhjzI4sRJO/Kteb3ovtBlbtuNUrQNDf1Cvp8WpZz5t7M0BjXFy0LLt9CV+2xVt8/aFEJVUxCrY8Z16fch3ZS8Y4Fdf3R8g/Gs135oNOeq0vD+4GQC/7Yzm6MUUm7YvyijmICx5Us1PVR7XFj3LyYALksrgZqcoCpOXHSbPqNC3SQDdGpSuaJ1FUi7CPxPV611egfp9y3YcJ1cYsUA9mXB+pzloxF4sTX0kbn0ykWYv6Zdg1Rvq9e5vWL6cqSitx6HU7o7GkEXLA5MBhQk96zFvbFuqe7uU6ZDdG/qzamJXRrYNRlHgs9URPPbTnkKXevZvFki7UB+yDUY+WiGlsiu93ExY9TqggF8jaDasfMdrPAgaDFCv//Vs+Qdtwq4mLtxPjsGIBpj1UJuKb0BRYMUr6nXXqjB0VvmO13QohOZX7tw9R/3yYEPBPq6MahcMwJtLj5AsS5+EEDkZsPxF9fodT0G93uU7XqOBEDZCTaWw7GmbL/FsF+rDgLAgjAq8989Rib6t7IxG+PdFOPCLWoGzIiRFw/86q/mqMi5XzDGFXfxzMIYdZy+jd9SagyEqXMRKyE6G6q2hy8vlO1aVEOj7gXp93fuQeLL8/SulK+k5jJu7k7tmbJIl7gKQiTT7idqiFgcIaKqWny4vjYbpLs9wzFiTGYZ7mTasORN710erLV8YtruzAx/dG8a0e8NwctCy5lgcD87accMbiEajYfLAxmg08PeBi+yJkg/YSm3x+KsVN0f+UjHH7PexGrptzFXz/omb0rlLGfx3RF1ueU+LaoRUdav4Ro4uhaQotbjAiJ8qprz5/b+Bgwvm4hc29kyPumg1kGdUePqXvTZvXwhRyVzYoxYH8KwBPd6smGPe9ZG6xKnHW6CzUj6jYkzq1xAnBy3hZy6ZPydEJXVwoRq94+gGXV+pmGN6BKmpZDKvqJMZ4qaUlZtnDnp4smtdalRxtU5DbR6Gh1fBPV8VjGosq1ZjoE4PMGTBilfLf7xS8tA7cDEpi6SMXKavsf1Enqh8ZCLNXhoPgsc3w+CZFfLmMnfrWWbsyaZ/7lSGDRvF8DbBFdDJq4a3DebXR+/Ay8WRvdFJDP9fOAmp2QW2aVrdi+Gt1XZXHpbKPpVW3DE1nx5A02FqnrOK4B0M7R5Tr0duhnO7Kua4wqY+W30CBXBy0DLt3lLmsrBETjr8lx+N2+Wl0lVvKo6TKwz8TL1+JdLmS0983fU80F4tyLDl1CUOnk+yaftCiEomtDM8tR3unQVOFXRCwtVHHTs2GlgxxyulGlVceayzOmZYeTjGLn0QFshKVnPzAXR9Wc2nXBF0jtD/E/X6nrkQc6BijitsKi4lCzdnHYGeeh7rUkHfAYpSsz0ENKmYY2k0MOAzCLkTur9eMccsBQedlsl3q9F7P22PIiJOCkzd7mQizZ4CGkNQ83IfZsOJeN775ygAr93V6Go+I0PFhp22DqnC7090IMDTmYi4NEbP3sGV9IJtvNS3AXPHtuWNAVYKExblt/AB9dLBRZ3IrUh9p4Kzp3p98SMVe2xhdZGJ6fx7SP1y9MHgpjg7WiHx7JIn1Opz3jWh03MVe+wWD0Dz+9XrK19Xl5Da0Dv3NMbNWX3Mnvttv03bFkJUQj6hENKhYo95bcL3Ch7nWeLJbnX4ZlQrvhjRwuZtCwttnAbp8eBTR11WXJFq3QlNhqpLjO3wOSvKL6SqG8sndOaXR9vj4mSFcV7EKrgSVfHHBfCpDeP+VfOD20Gnur70bRJAnlHhY0lldNuTiTRbu7gP4ivuHy82OYuJiw5gVGBk22D1zIIxD7bOgOlN1USPFah+gAcLH+uAn4czx2NTeWjuTlKzrubp8PNwpntDKySsFBUj4j+4nF9Zte8Hpa8SWxKtFvrln61MioKjyyr2+MKqPl55nNw8hS71/bivgqNaAYg7Dsf+Uq93ekGtBlbRek4GR1c4tx2O/l3xxy+GVqvl9f6NADibmM6aoxKZK8RtJ+GE9SNiFUXNBzm9KcQdtW5b13FzdmBAWBAaqeBYOSVfgJ3fq9f7fQwOzhXfRp/31CWeUVvg7MaKP76wOgedltp+pawgbImcDFj6JHzTDi7YIM2FjSt4ArxyV0N0Wg1rj8ez48wlm7cvKg+ZSLMlRYG/n4eZd8DBReU+XJ5R4fmF+7icnkOTap68M6iJOrDRaOHECrWC3dYvy9/v69TydWPB+PZUcXXk4Plknv5lH4Y84w3bXUnPYdvpxApvX5TD8vw8Ge4Bahl0a2gxEjyr57dXzuSiwmZ+3h7FisOxaIA38ieDKtyyJ9VLR1doPdY6bXhWg1YPqdeXPqYmXLahB9qH4O+hfnF57c9DNm1bCFEJrHoTfugB4VasLKfRwOn16jhv0yfWa6cEqVm5bIxIsFv7ohDbvlJz4IZ0Kn+Bi6J41VDzX4GaK02i0m4Kp+LT+G7jabJyrTj5tHsOZCSq3zMCm1mvnexUWPUWzOxg88jcOn7ujGyrnmyeuuK4FF65jclEmi0d/wdi9qtfImt3L/fh5m49y/Yzl3F10vHV/S1xdsgPz9VooGt+EsY9cyG14qMi6gd48OPD7XBx1LEpIoHJfx0p8EZyPDaFLtPW8+TPe0nOtG1lKVGEK1GQfE693vs967Y18Av1Mi0OLkoOjcrOaDTy4fJjADSu5kmDQI+KbyThBFzMPzvZcULFFBgoSrtH1cvcTFhn5dd6IaYNU3PLJablsDEi3ubtCyHsJHoHnFwFGh3U72vdtkzjvCNL1PdXG7uYlEnXTzbw6PzdXEjKtHn7ogh3Pg8dnoFur1m5nYlqihC9F2SnWLctUSE+WnGcj1Yc552/j1ingZwMdUUUqDlwrVkQReuoBqUknoD9C6zXThGe61UPVycdl9KziUvJLnkHcUuSiTRbURTY9Kl6/Y4nwN2vXIc7dzmDz1ZFAPDmgMY3hufW7gbB7dXKJtu+KldbRQmr4c2MkS3QaOCXHdHM3Rpp/ls9fw+CvPUkZ+by3cbTVmlflNKWL0DJUydxm4+wblv1+0K9Pur1bTOs25Yot89WnyQjRz1D+cl9VigwALDsafXS0eXqF0BrqVoHarRTr2+fafOotG4N/OndOACA6WtOytlKIW4Xm/MLnrQYpb4PWVNgU2g4ELhmfGlDQV566vm7k2MwMn11hM3bF0XwCFRTd4R2sXI7AfDsbnhwsTqZJiq1vdFXWHMsDq0GHrnTSgUG9sxTc/N51byar9ZaHPXqpDGo77s2jkrz99CzYHx71k7sRqCX3qZti8pDJtJsJXKLGo3moIc7ni7XoRRF4Y2lh8nMzaNdqI85vLQAjeZquetdsyHjcrnaLEqfJoHmZWAfLj/GzrNqOzqthpf7NgTUyLm4lCyrtC8slHQO9v2sXrf2JIZJz/yKUYf/hMRTtmlTlJrBYGTW5jMAtKzpTeMgKwyIL52B8/lVXO94yrrRaCaD8pdVGbLUSWQb+2BwU1wcdeyLTuK/I5IrTYhbXvxxOPkfoIE7X7BNm6Zx3uE/4PJZ27SZT6PR8Go/dZy3eO95TkoFO/uyxwkbrxq2b1OUyWer1KjVe1vVoK6/FXKj5WZdjUbrPNG60WgmrceqS0iTz8GRP63f3nVa1qyCk4NMpdzO5Nm3lW35ucpaPABuVct1qFVH49gUkYCTg5apQ5uh1RaR8LVOT3V9uiFTXeJpJY/cGco9zathMCo8/cte4vMnzXo18qd1SBWyco3MWHvSau0LC/x6PxhzoVqriq8gVpTAZlC/H6DA8pds06YotXf/PUq2QY3Ymm6tKmym599BD93ftE4b1/OrBwFN1evbv7FNm9fw99QzvnMoAG8uOUxGjsHmfRBC2FB4fvR/o4HWj0YzCWqujvUUI+z4n23avEarmlXo0zgAowKf/Gf75aXiGhs/hp+Hwbmdtm87NRb2/2r7doVFtp1KZOupSzjqNDzXq551Gjn8B6TFqjmSWzxgnTau5+gC7R5Tr4d/bbdcfbl5Rn7dGU16tozzbjcykWYL8cfUnBlooEP5otFyDEam5ucyerRzKHWKq7ii0VyNfts5y2qVTTQaDR/d24wGAR4kpGbz3G/7MRoV9WzlXerZyoW7znE2Md0q7YsSJF+AuPyk543utm3bje9RL8+sh0RZ+lHZZOQY+GVHNAB31q1KSFW3im8kz3B1YN9suG2i0Uzu+ki9zLgEx/+1Xbv5Hu0cik6rITE9hzeWHLZ5+0IIG0mNvVpEquME27bd4Sn1ct9PkJ1m27aBV+5qgFajnuTdG33F5u0L1GVtu2bDqdVXc+HaSloCzGiuVmpMlJPmlY2iKHySH432QPsQalRxtU5DmUng5K5ObDk4WaeNwrR5WM3VF3tIXf1lB4/N382kPw8xe4tto4KF/clEmi0kRoCLT4WcpfxpexSRlzLwdXfmyW51S96h6b3qUqrRf4JWV662i+Pq5MC3D7bC1UlH+JlLzNqiLhVrF+pDj4b+5BkVPl0lZyvt4r9J6qXWETo+Z9u2w0aqH3AAK62c+FaU2ptLDmMwKmiAz4e3sE4jJ5ZDTiq4VIE+71unjaKEdlbzxQCsnmLbtgFPFyd6NvQH4K/9F0nLkrOVQtySEk6o47zg9hDczrZt1+kJHZ+Fh/4GZyss2SpBXX8PhrVWl/h9LBXs7OPoUjU3lUcQNLrHtm27++UXUFNg1yzbti1KtPZYPPuik9A7anmquxUjZTs+AxOPQttHrNdGYVx91JyUoObEtYPBLasDMGvzGSmwd5uRiTRbaDwIJh6DAZ+X6zCpWbl8tU492/NSn/q4OzuUvJODE9w1FfwblattS9T2c2fywMaAGuJ/5GIyAC/3bYCTgxYvF0fyjDLAsqk8Axxfrl5vOAB01ptMLZRWC82GqddPb4BcqWxTWWTl5rHpZAIAvRsH4O9ppWSpO79XL9s8DC52SEjcNX8iOSkaMm0fLTFtWBhaDeQpCq8vOWTz9oUQNlC7K7xwGO6bZ/u2NRr1JEX1VrZvO9/zverj4qgj0EtPVq5ti7sIri7rbfOwbXJTXa/dePVy/69q5UZRadTydaVP4wDGdQrF38PKSfH1XuBsharvJbnjKbVSrWkVgo0NDKtGPX93UrIMzJGotNuKTKTZiqMe3P3LdYgft0WSlJFLHT837mtTSIGBSmBE22B6Nw4gN0/h+d/2k5WbR6MgT3ZM6smHQ5qhKyqfm7CObV+qudEA+k61Tx96vwdo1Iqhmz+xTx/EDX7ZEU1iWg5BXnrr5UaLWAWRm0GjgzY2Pktp0voh8G8Cedlw8HebN+/t6kTfJmpU3D8HL5KaJWcrhbgl6RzBs5q9e2EX1bxd2PZaD2aMbImLk41P2N3uLuyBC7tB56QmX7eH2j3AOwSyk+2S9F0Ura6/B9+PacPLfRpYp4HUOIjeYbf8ZAD41lUr1VYJsUvzOq2G53vVB2DOlrMkZ8g473YhE2nWpCgQuRWM5T87l5qVyw+b1VnuCT3rlX5CKu4I/Pm41Zc3aTQaPr43DD8PZ07Gp/HRiuMAVHGz4Xp5cZUpzDmgKXjZaYDvWgWqtVCv75lnnz6IAtKzDczcoFZSndCzHq6WRLeWxao31MuqdcCrunXaKIlGo06mAez90S6DvY+GNkOrAaMCry0+aPP2hRBWFBVutRy0pXL5DPz9HPz1rF2al3Genez8Qb1sMrTcJ+zLTKuFNuPU67vn2KcPolhFFqYrr12zYE4f+Od56xz/JtGvaSANAz1IzTaY0xuJW59MpFlTdDjM6w/fdyn3ZNr88CiSM9VotIFhZZgQSYmBg7+pExm5WeXqS0l83Jz4ZFgYAPO2RbI78rL5b0cvpvDDJnmDsYkL+yFdXbpHL9vnhyqgx1vqZXoCRNuhopQo4Pnf9pGYlkP1Ki7m3DYVLi3haoEJe50lNwkbruYIjDsM+xbYvHkvVyf6NwsCYMXhWJIzcmzeByGEFSSehLl3wZctIDfTvn3JTlXHeAd+g/RLduvG2cR0vlp7UnKl2UJWMhxZol5v96h9+9LiQfVz9sIeuLjfvn0RLNt/gdeXHOLcZSsutTXmwf78MVVoF+u1Y6mzm+C3B9TVEDam1Wp4Pr8i6tytkVxJl3He7UAm0qzJFH1TrWW5KtVl5eaZK4E826MM0WgAdbqDZw3ISoLj/5S5L5bq1sCf4W3UL+iv/XmIbEMeMcmZDPxqMx8sP8axmBSr9+G2d2q1eunmB/X62LcvdXuquRMAdn5n377c5i6n5bDmeDwAnepUxVFnpY+B9R+ol1oHaP+kddqwlEuVq0uutn5hly5MHdoMXX5U2vzwKLv0QQhRwfb+qF76NwZHF/v2Jai5+pOXAwcX2qULqVm5DPhyM5+tjmDbaftN5t02tI5qHuRm90H11vbti7ufmhPawQXij9q3L7e5PKPCjDUn+WVHNH8fvGi9hs5sgJQLoPeGBgOs146lIv5Tv+PaKSqyT+NAGgd50jzYixRJ43FbkIk0a8lOhWN/q9dbjinXof7ce4HL6TlU93ZhYFhQ2Q6i1UHLB9XrpoGflb3evxG+7k6cik/j2w2nCfJyoV9+VIapaIKwEkW5mqei2yT79sXElPQ9ekeFLHcWZTPpz4MoiprT4e17mlivIdPrL6RjuU4kVJg7nlIvL51SI3RtzEPvyOsD1KIv87dHkZVbCZaCCSHKLs8ABxep11uVb5xXYUz92DvfLsvYPfSODM/P4TtjjUSlWZ2Tq1pg4N5ZahoDe+v9Drx47GoVRWEX/x6K4UxiOl4ujozpUMt6DZmi0Zrdp+YCt7dW+Wk8Tv4HKVacQCyCVqvht8fvYMH4Owip6mbz9oXt2eTbzcyZMwkNDUWv19O6dWs2b95c5LYbNmxAo9Hc8HP8+PEC2y1evJjGjRvj7OxM48aNWbJkibXvRukcXQa5GVC1LtRoU+bDGI2Kea31w3eG4lCe6JGWDwAaNfT1svWXV3q7OjHlbvWL+jfrT3EyLpUJPeqh0cDyQ7Ecj5WoNKuJ2a+eEdQ5Q9Oh9u6Nqs04cPaClPMQtdXevbktJWfksPpYHAB3h1XD1clKudEit6hLTgC6v2WdNkqr3WPq2XuANfZZ6jz6jlpU93YhITWbn7dLVJq4ddyW47zT6yAtDlyrQt3e9u6NqukwNSIo4Ric322XLjzRtQ5ODlp2Rl4mXKLSbi9eNdQIcGE3RqPC1/nBCg93CsXdWjlwM6/AsfwVTi0fsE4bpeVXH2p2AMVolzQeAJ56O1TNFXZj9Ym0hQsX8vzzz/PGG2+wb98+OnfuTL9+/YiOji52vxMnThATE2P+qVevnvlv4eHhjBgxgtGjR3PgwAFGjx7N8OHD2bFjh7XvjuX2/6peNr+/XGeJ1p+I50xCOh7ODualkmXmXRPq9FCv7/u5fMey0MCwIHo09Cc3T2HSn4eo5+9O/6b5UWlrT9mkD7el5a+ol/XvqjyDGkc9NBmkXt892759uU29uewwRgW0GnhvsBWj0dZ/qF66VIGa7azXTmloteoSd7DJ8vbCODloeaZHXQA+Xx0hFTzFLeG2Hecd+EW9bHYfOFSSRPsu3tBksHrdRqsPrhfopef+tmpU2nTJlWY9u2arhQYyr9i7J4VLOmfvHtyWVh2NIyIuDQ9nB8Z2qmW9hg4vVquh+zeBoBbWa6e0TFFp+3+2ayXRhNRsPv3vhFTwvMVZfSLt888/55FHHmH8+PE0atSI6dOnExwczLffflvsfv7+/gQGBpp/dLqr5bSnT59O7969mTRpEg0bNmTSpEn07NmT6dOnW/neWOhKJERtATTQfGS5DjVvWyQA97eviYdpljs9ETZOgx96wLTa8FkjWDBcTTCbV8I/bKvR6uWB32yyvE6j0fDe4Ka4OenYHXWFP/ddYEJPdbD876EYTsSmWr0Pt52MS3A+P6F/cCWZxDAxnbU/skTtp7CZ9GwDyw/GAtC3SeDV95OKZjTC+V3q9abDrNNGWZmKXuSkq1FzdjC4RTV0Gg0ZOXlMWXbELn245Vm5oI4o6LYc52VegePL1evN77dvX67XMn+cd2QJ5Fgx0XgxnuxWFyedlp1nLxN+Rj7rK1yeQf0esPwlOFt09Kdd5KTDd51hRnNIi7d3b24riqKYU+c81LEWXi5WjI46uUa9bPlA5VhWbNL4HnB0U7+LX9hjt26Mn7+br9efYn54pN36IKzPqhNpOTk57Nmzhz59CiY679OnD9u2bSt235YtWxIUFETPnj1Zv359gb+Fh4ffcMy+ffsWeczs7GxSUlIK/FiVKdQ1tIsa5lxGUZfS2XwyEY0GRt8Rot64dz582VJN5H1hjzoZkXpRXQ++5HH4tmPx4fz1+0GNttB6nHomwQaqe7vwbP7k2UcrjlPNW0//ZoEAfLtBotIq3IaP1EuNDto/Yd++XK/BALVfoA4Chc1MWXaEPEVBo1ET31tNdLia7NrBBbq/br12yiIoTE2KC7D5U7t0wcXJgZ6N/AH468BFsnIMdunHLSv+GHwcCovHSy5GG7htx3knV+dHYzRWE/xXJjU7QK3O6ud/nn0qxwV66RnZTo1Km7n+tF36cEs7uwHSYsHFR115UJk4uYHOCZQ8NWpJ2Mz6E/EcuZiCq5OOh+8MtW5jIxfAg4shrHwBIxXOyQ0a9levH/rdbt14OD8acM7Ws6RnyzivQhly1JMJlYBVJ9ISExPJy8sjICCgwO0BAQHExsYWuk9QUBDff/89ixcv5s8//6RBgwb07NmTTZs2mbeJjY0t1TGnTp2Kl5eX+Sc4OLic96wEHZ6GcSug+xvlOsyvO9Ww6M71/Aj21qvL9f56FrJTIDAMBn0DT2yFR1arbbn6QmIEzOkLh/4o/KCOehi/Brq+bNMKU+M61SLU143EtGy+WneKp7rVxd/DmabVvWzWh9vG0aXqZY02oLNSboSy0umu5gyUAZbNGPKMhJ9JBKB3owC8Xa24DMn0vDYdCq4+1munrEwDrIQIu3Xhw6FN0QAGo8KHK46XuL0ohf9eB0MGxByoHEUubnG37Tiv2X3w6Hq1YmJlisYA9XU/9h/o+Za61NNOHutSG193J1qHVMFolOWdFepwfjGfJkMqz7Lia4WNUC8P/Gbfftxmmtfw5qludXi8Sx183Kz8utDqoG4vcKtq3XbKotl96gkO/8Z268KAZkGEVHXlSkYuv+4sPs2BKKVDi+CzBrDlC3v3BJt8y9ZcN8hQFOWG20waNGhAgwYNzL936NCBc+fO8emnn9KlS5cyHXPSpElMnDjR/HtKSop1B1kajVqprhxyDEb+2KNOpI1qVxNWvQk7/wdo1MFRp+fVNzGT4HZqMu2/J6iFDv58VD0TWUkq5zg76Jg8sDHj5u1izpazDG8TzNbXeuBYnuIJ4kZXoq+G0nd4yr59KUrnl+CX+yA9AeKPg39De/folvf3wYtcSMrCx82J6SNbWK8hQw4cWapeb1JJilxcr88H6omGlAsQdwQCrJgrrgi+7no61qnK1tOX+G3XOSYPaIyDg7wXVoio/Iilaq3s24/bzG05zqsur7Hi1KjiSviknjLOq2iG7KsrXypLManrNR0K/01SC18lnAC/BiXuIsqvqrszr9xl5TG1oqg/lflEVb0+UL+vXbvgoNPyRNc6TPrzED9sPsPoDiE4O+hK3lGU7PCfkJFYKaLSrPpf4Ovri06nu+EMYnx8/A1nGotzxx13cPLkSfPvgYGBpTqms7Mznp6eBX4qu9VH40hMy8Hfw5leho2w/Rv1D4NnQucXC06imbh4w7B50HqsWrFk6VNwak3hDeRkqJEjF/dZ6R7cqHtDf3o09MdgVHj3n6M4aCvZWdxbgWm5ms4RGg2yb1+KUr8POLqq101J6YXVGI2KeWnNI3eGWq9SJ8D2mZB5SV3WWbur9dopD1efq7n6iorctYGPhoYB6kmT6WtPlrC1sMjJ1WDIz4/W9VX79uU2IeO8SsyQreZxi7RflWyZRLOC0+sgOxk8gtRlvJWRm68arQRwcKF9+yIqVvxR+KIxrLZP9XOLVJIo4aGtqhPg6UxcSjZ/7r1g7+7cGtIvwZkN6vVKcCLBqp9wTk5OtG7dmtWrVxe4ffXq1XTsaHnE1r59+wgKCjL/3qFDhxuOuWrVqlIds7IzRaM90TgHh3+fV2/s8nLJEWZaLQycDi0fBBQ1T8yVqBu3W/sO/PEw7Pi+IrtdorcGNsZRp2FTRALrT8STZ1T492AMv+yQsNcKcfxf9bJG+0rzQVIoU/XYM+vs24/bwIy1EZyMT8PFUcfoDiHWbWzfT+qld7A6mVtZNcsvgrB3nt3yaAVXdaVFsDeg5tAwSj6v8ju6TL10rQpVa9u3L7cJGedVYtu+gt/uh82f2bUbiqKw/ng8P2w6Y9d+3DJMyzobDy78pHplETZcvTyyxK7VE28HB84l8cCs7Ww5mWj9xg7/CakxkHgTnADMSlGXFxtskxP8es4OOh7trI5Fvtt4GkOejPPKLS0OqrdWl+5WrWPv3li/aufEiROZNWsWc+bM4dixY7zwwgtER0fzxBNqEvRJkyYxZswY8/bTp09n6dKlnDx5kiNHjjBp0iQWL17MM888Y97mueeeY9WqVXz88cccP36cjz/+mDVr1vD8889b++7YRHxqFptOJqLByKiYjyE3A2p3g26TLDuARgMDPldfaJlXYNGYG6t5Nh6sXh77G3IzK7L7xQr1dePhTmoCzI9WHGf98Xie/mUvU1ccIzVLSgSXS8aVq5UwOz1r376UpHP+EpzsVLh4wL59ucXN2RoJQP0AdzytVakT1CjXS/lJpU1V4yqrOj3Vy4zLsG++3brxUX7Rh4ycPNsMgG91pmqxPSfbtx+3GRnnVVJNhqiXZzao1d7t5PCFFMbN28W0/44Tk2y78eYty2gArUOliMYoVr2+4KCHy2cg9pC9e3NL+37TGbaeusSf+85btyFFgSP5E7mV/fWnKPB9V7UQ38nVJW9vJaPa1yTIS0+Xen5k5ubZrR+3jIDGMH41PPyfvXsC2GAibcSIEUyfPp13332XFi1asGnTJpYvX05IiBoZERMTQ3T01WiknJwcXnrpJcLCwujcuTNbtmzh33//ZejQq/+wHTt25LfffmPu3LmEhYUxb948Fi5cSPv27a19d2zir/0XyTMqvOS7E338fnD2hCH/K92ZJwdnGD4fXKqoOQrCvy749+D24FkDclJt/gbzVLe6eLk4EhGXRmJaNnX83EjNMrBAotLK5+R/gAJVaqsDmMqsemvwrK5ejy6+spsou193RpOapeYQeG9wU+s2tu0rQAGNtvJVi72eixd450fn7fzBbt1oGOTJg+1rAvDDlrN268ctIe4oJBxXq8WZJhCETcg4r5KqWgeqtVSrJ5qKENlBsxpetA/1ITdPYfZmeZ8rt/vmwksnoUZbe/ekeM7u0HMKjFgAvvXs3ZtbVmRiOisOxwBqgQ+rijmgTow6uFS+arHX02igQX5xKTsWN3N1cmDDy914b3BTPKx5Mvt2Y8OCicXRKMrtF2+bkpKCl5cXycnJlSqPRkxaDBfTL/L6ovPEXshih8cr6HOToO/UsieO3/8LLH1SPSv05LaCYZD/vaFOsIWNgKG2XeI5a/MZ3v/3GAGezjzXsx6vLzmMn4czm1/pjt6xbKHqMWkxRKZEEuwRTA2PGhXc45vAguHqZFrXV6H766XePTI5kj1xewj1CqVVgA2SKG/7Si2iUauzWmHsJnc56zJnk8/i7exNHW/7hxsDtP9gDXGp2dSq6sqGl7tbt7EZLeDKWbVK0lPhpd79bPJZTl45SS2vWtTzrldkUvEKs/ZddcmTRgdvJdotce65yxl0/WQ9RgWWT+hM42pl+0y6kHaBLRe24OnkSd+QvmgrcyJga/hrAuz9UR043/+rVZuqrGMIcVVlfY4SMhI4n3YeXxdfgj2sXFkUYOsMWD0ZaneHMUut314RNpyIZ+zcXbg66dj2Wo8yV46Oz4jnTPIZAlwDqOVZy/qfE7eY5OxkTiedxlvvTahnqDx+ZWT66lwZHr83lx7i5+3RdG/gx9xx7azb2OrJ6ntK40FqsEYppeSkkJGbQaBboBU6V4jzu2FWT3Byh5dPg6PeNu1aSUZuBhFXInDUOtKoaiO0mttsnJdwAtz81FzHVmbpGMImVTtF8eIz4vlo50esjsqPDPOEvhnB6DOT1C+l7R4r+8Gb368m+jyzAf59EUYvuZo7q+FAdSItYqW69NOGOY1Gdwhh7tZILiRlkpiWQzUvPReTs1i89zwPtC9dHqekrCSmbJvCunNX8221D2rPB50+IMDN8mTHN7WkKDi1Vr1eymqJWYYsPtj+AUtPLzXf5unkyTc9v6GFf4uK6+P1Gg9SJ9KitqqVRt39rdeWFWXnZfPJrk9YfHIxBqMa/eXm6MZnXT+jU/VOduvXxhPxxKWqeSHeHNDIuo1lpaiTaACtx5VuV0MWD614iKOXj5pva1ClAe91eo9GVa3Y7w7PqBNpSp76Htnifuu1VYxgH1f6NQ3k30OxvLb4IH89e2ep9s8x5PDo6kfZG7/XfNub2jf5ovsXdKnRpZg9byFGo3rSCCDAypGXQpRBcnYyn+3+jCWnlphve7nNy4xpMqaYvSpAw4Hql9/IzWqqD5cq1m2vCF3r+9EoyJNjMSn8uC2K53qVLkIpIzeDqTun8tfpvzAqap6hVv6teLfTu4R4Wjn3Z2WRm6ku0fUu2wRsbl4uA5cMJCk7CYCmVZvybqd3qVdFosVKa/OFzXy972umdJxCk6q2r/xtkpiWze+71eWcj3e18glcRVHz3UGpv2coisIPh35g1qFZDK8/nJfavmSFDhaiWiu1KEdqDJzdaPdKngfOJbH5ZALP9Cjd/5yiKMw/Op//HfwfqTmpANRwr8E3Pb+htvdtlA/2nxfg3E4YNgca32Pv3gA2WNopipeQkcDYlWNZHbUarUaLm84XsgKYnLVH3aDX26Arx3ynRgMDv1CXu5xZD6fXXv1bcDt1ZjcrGSK3lOt+lJazg46X+6rlsL/fdIb785c3zdp8FqPR8iDJK1lXGP7P8AKTaAA7YnZw39/3cTHtYsV1ujJbPxUUgzpI9re89HV6bjqPrX7MPImmzX9LSMlJYcyKMYRfKH1kkcW8a4JfI7XC7Np3rdeOFV3JukKPRT1YeGIhBqOB6u7qctX03HSeXPMkK86usFvf3v3nGAC+7k70amzls3/bv1UvNVpo87DFu2UYMujzRx/zJJqHowfOOmdOXDnBmBVj+P3E79borcrVRx1gAeyZa712LHBXU/X5OXghmQPnrli8X44hhwFLBpgn0UxnJ3OMObyw/gW2XbhNlk2fXAXG/BybrcfatStCXC81J5VHVz1qnkQLcgsi2COYofVskGOoah31c9ZosGueII1Gw5Pd1C/688MjySpFrqCM3Awe+e8Rlp5ailExUsO9Bg5aB/bG72XMijGcvHITJD2vCCdXw/SmaqGwMnDUOdKzZk8CXANw0Dpw+NJhRq8YzeHEwxXc0etcOg3rPoBds63bjpUYjAYmbpjItotXP09/Of4Lxy4fY9zKceyK3WW3vs3fFkm2wUjzYG/ah1o5SifmACRFg6Mr1Otj8W5GxcgbW97gq31fkWnIxKAYzH9Lzk5mR8wOa/RWpdVCwwHq9WN/W68dC8SnZDH02218uiqCoxdTLN5PURQ+3PEhn+7+lNScVHxdfHF3dKeWVy1qeta0Yo8rmfREiA5Xx3rVWti7N2YykWZHucZcnln3DOdSz1HdvTqLBi7CNW4Kj5yrhqeSBYFhpXqzKpJP7atRbasmgzF/AKPVXV0/fmF3+dsppXuaV6NJNU/Ssg0kpGbjoXfgbGI6647HW3yMqJQo4jLiAKiqr8qohqOo7aXOzl/JvsLys8ut0vdK59Qa9TIwzOJdjEYjb297m33x+/Bw9ODL7l+yf8x+ZveZjZPWCQWFp9c9TUJGgpU6zdUzq8f+sl4bVmI0Gnlg+QOk5KSgRcvMnjNZee9KFvRfgIvOBQWFSZsncS71nM37djQmmdMJaQBM6GmDs83xR9TLwLBSTfyPWzGOK9nqxNFDTR5i26htrBq2ipZ+LcnKy+K97e9x/NJxa/RYZXr/u7jPem1Y4O7m1fHQq4/b5GVHLN7viTVPEJsRC8B99e9j34P7WD5kOXdWv5McYw4vbXqJcym2f/3Z3I7v1EvXquBV3b59EeIaiqLw0saXOHb5GD56H37q9xOrhq3ir8F/4e7kbptONBqoXp63/TjvWv2bBlLd24VL6Tks3XehVPsGuQfh7ezN3L5zWXHvClYMXUEjn0bkKXlcybL85MNNzVSV3SOo+O2uY4rgA3it3WusHraa1cNW08q/Fem56UxYN4HETCsWozi/CzZNgx3/s14bVvTVvq9YHbWa1ze/TqZBLZbx4Z0fckfQHWQaMnlxw4vEpsfavF8ZOQbmb48C4Ikuta2/zFTvCe2fVItJOblavNuPR37k7zN/46BxYEqHKbza9lXg6gmGZ9c9S2RypJU6jRqVC3BixdXvv3bg76mnX/5J01mbLa9g/HvE7/x24jc0aHit3WusvW8ta+9by6ddP8VBexstLDyxQg28CGquBmJUEjKRZkfzDs/j6KWjeDl78UOfHyCnGokJ8TyiWwVA7p0T+XLfV/x45MfyN9b5RdB7qV94r026eOcL8NwB6PJy+dsoJa1Wwyt3qdFTC3edY3CL6rQOqYK73rI3hozcDKZsm4JRMdKkahP+HvI3k9pP4s97/mRAqHoG4vuD33Mm+RYvuZ4SA+n5k12lWAb8Tvg7rIxciRYt3/T6hu41u6PRaGgX1I4FAxag1WjJNeby1Noy5uezRMf86qJZyXD55kpCPGPvDPMk2QutX6Bzjc4AhPmFsWTwEnQaHXlKHo+uetTmfVuW/yWliqujOZm91RiNEJ1/RrHHWxbvNvfwXHMk2sNNHualNmqov4/ehxk9ZuCsc0ZB4fE1j1d4l806Pade5uXA2c3Wa8cCD3eqBcCB88nEJJVc2W7juY3silPPhN9X/z4md5iMVqsl2DOYGd1nEOYXRmpOKk+vexqj8RYvuX4u//VnqsYqRCWx5NQStl3chl6n53+9/2dOl2D6AmRUjPx45Edm7J1hvU60eRie2Q39p1mvDQs46LSM61SLRkGe+Lo7W7yfq6Mrn3X9jEUDF9EmsA0AgW6B/NDnBxYNXES7ICvnhaoM8nIhIj/C3RRhY4FN5zfx4PIHzWMVvYMejUaDr4sv3/T8hjpedUjITOCjnR9Zo9eq+nepVUYTT1yt7H2T2Be/jzmH5wDwWvvXcHFQE5z76H34uufXNPJpxJXsK0zeOhlbpxx31Gl5c0BjejXyp08TG+Qc86kN/T4q1fvIicsnzO9tk9pPYlj9YeYJPxcHFzycPMg0ZPL6ltcLTPhWqFp3gt4bMhIh9qB12rCQqRjEXwcuWlTBODUnlc/3fA6o3zMeaPQAWo0WV0dX3BzdAPUzZGXkSnJNUfm3quP5ubRNE6OVhEyk2VH7oPbU9a7Lq21fJdgjmH8PxTBMtwkPTSb4NWSjuxs/HPqBmftnlj8qyNXn6pfGTZ9cnZX3CYUqtcp37HLoUs+XNiFVyDYYQVFY/GRH7qhdtcT9FEXhu4PfcSb5DP4u/nzd82s8nDwA0Gl1fHDnB7QPak+mIZP3wt+z+QecTW3Lr8iqdbB4gJWQkWBeZtKxWkda+rcs8PeGPg15uvnTgPpBePKylZZOhHZRlx0DhH9jnTasICkriR+PqhPczf2aM7bp2AJ/r+5enSkdpgBqEvjFEbarGJSWbeDXneqg+aN7w6yfdP7iPkiLVZO5hna2aJccQw5f71dft3W86/BCmxcK/L2Kvgqfdf0MUIs4zNw/s2L7bG4o5GoU53n7Lc8AeLZ7PRx16gDzzaXFL7Ux5RsBNZ/c5A6TC/zdSefEx50/RoOGs8lnmbpzqnU6XRmc3wO5Ger1O5+3a1eEuF4z32aE+YbxTMtnaOhzY9qFAwkH+HT3p8w7PM96J/08q1WaqoljO9Zi+YQ76dW45Py1147bNBoNQe4FI7G8nL2o5l6twvtYKUVtVU84uvpCsGWVa3ONuXyy6xMOJR4qdAzi7uTOh50/xMPRg1CvUPKsFa3j4g0h+fliT9gv3UVp5RnzmLpD/ewcUncId9UqWKXSWefMJ10/wVHrSHhMOBvObbBp/xx1Woa1rsGsh9qi09q/6MH1FEXhk12fkKfk0atmL+6rf1+BvztoHZjaeSpujm4cSjzEslPLrNMRnaNa6faFo2oVYzsKq6EuwTUYFeZtjSxxew8nDxYOXMgTzZ9gbJOxhW7z3LrneHnjy/x6zLpFluwqOw1Or1evy0SaMAnzC2PRwEUMrK2+KJYfvMgoXX4Os3aP0iOkF818m5FhyGDWoVnlb7Dto+qsfGJE4aXQ7RC1oNFomNinPgALd5/nggWRGACTt03mx8PqRMbkDpPxdfEt8HedVsc7Hd/BWevM7rjdPL/++Qrtd6ViWhYZGHa1kEQJXt38KgoKOo2OT7t+Wug2jzV/jG41uqGgmCc9rCKouXoZcfMMsN7a+hZ5Sh5ajZYZ3QuPJBhSbwg1PdRosE93F/4YW8Mfu8+RkmWgtq8bvRvZoNjG9vwJ0Do9wMGyKINPdn9CTl4OAF91/6rQbboGd6VpVTVx/JzDc8yFHCqcKafWcftWjnVw0DKohfqlcP2JeDJyir6/a6PXciDhAC4OLszsVfgkYw2PGrQLVCM1fo/4nSxDVsV3ujLYlv/6cXKHAPslfRaiMPWq1GN+v/k82OjBQv/e0r8lXWt0xaAYrHfC4Fp2jk510GktXoI2+/BsXt30aonL5vKMeSw/s5z3t79fEV2snI7lfz416KemZbHAnxF/EpkSiY/eh/HNxhe6TeOqjVk7fC1Pt3ganYXHLZMG/dTLiJXWa6OCrYxcybHLx/Bw9OC5Vs8Vuk2IZwgPNXkIgM/3fG69yUh7i9wKZzaqkZEW2p+wnx2xO3DSOvFS25cK/b/3d/XnyeZPAvDN/m/M48IKV6dHpUn78HhXNSrtlx3RpGaV/HiGeIbwdIuni3zf7BrcFYAfDv1Ahumk4q3m1BrIy4YqoeBv5eJppSQTaXbmqHNEo9EQEZeKT+Ju6movoji6QbPhaDVaJrSaAMDik4vLn8NA7wkd1CgjNn+hVmABuBIFv4yA/3W+epsNdazjyx21fcjJM/LN+lMkZeTwzfpTxCYX/sXvStYVtXITRhr6NCyyMl119+rcXeduANadW2fdNfj2kp0GydHq9ZaFD9Svdynzkjk56ogGI3Bzcity2xdav4BWo2XduXXWS+jb4gH1Mvk85KRbp40KlJ6TzqYLmwDoH9qfqi5FR1BO66KGwKflprE71vr5aQwGIx+vPAGolXG1tjhLaRrgu1lWddWoGAm/qBaxuKf2PQR7Fl2BzPT4Zedl880+K0UsNhwAaODCHkixb3GSKXc3QaMBowIf/nus0G2MRiPfHlCLOzzY6EH8XYt+3D/uokal5Sl5TNtl32VdVnMm/yylKeJBiEpGp9UVO0lhGuetilxlvai09EuwcDTMaA55VjopUQpp2QbmbDlLRFxqoX/PMmTx09GfWH52eYnJ3GMzYnljyxssPLGQgwn2XbplFYpyNT+ahdEYBqPBvCTxsbDHis3HZ1quaFX186O5orap1WMrOUVRmH1YLY7wUJOHih3njW82Hh+9D2F+YWQYbDOR8cLC/fy4LbLYE24VauPHMP8e2PmDxbu09G/Jgv4LeLvj2+ZCXIUZ2XAk/i7+xGXEsey0laLSKpFu9f2p6+9OaraB33YWncPW0u/8Q+qqJ+2TspP4PcKKBbrsyfT+12igxQEjtiITaXbw7YFv+fbAt6TkXK3a8d/hWEY5qNFommbD1EkvoH1ge8J8w8jOy+aPiD/K33i7R9WKK3GHrlbqdKkCp9dB3GE1Ws0OXuyjVvBctOscj87fzSf/nWB+eGSh2846NMu8ln7yHZOLPbs5sc1EdBp1APvJ7k8qttOVwS5TpKIGWo6xaBdTLgydRmfOS1WU2t616RHcA4A3t7xZ5m4Wq8UDQP5zeBNUdZq2expGxYgWLW+0f6PYbZv4NmFQnUEA5qWg1jR97Uky86uh3d28dAmJy+TMRvUsEVydpC/BpvObiEqNwsPRg9fveL3YbYM9gwnzVZde/nbit3J1tUgegeCTXzZ+lZVe45Z2Re/InXXV6NoNEQmFLkn/+djPRFyJQKfR8WDj4ifPq7pU5Y6gOwBYdnrZrZcrLTMJsvO/iJvyLQpRCSw4toDP93zOpcxLJW5bv0p9ugd3R0Gx3vIcvZc65kuOhmj7V/OdvPQw7/5zlB82FT5x+Nfpv7icdZkgtyDuCr2r0G1MqrtXp39ttXDMz0d/rvC+2l3Mfki9CI5uULubRbusi17HxfSLVHGuwr317i1xe0VRCL8Yzlf7Co8QLzefUPBvDEoenFxjnTYq0LaL2zh55SSuDq6MbDiy2G3dHN1Yee9KPrjzA3OKGWvafy6JJfsu8MG/x0jLtsFEWmaSurQYoH7fUu0a5hdmDmgoirPO2Zwe5eejP1svFc+ptfDTUNho3++CWq2GRzuHUs1Lj7erY6HbnLpyil6/9+KVTa+UGOWo0+p4pNkjAMw/Mv/WzJXW+10Y8Dk0v9/ePbmBTKTZWHZeNguOLWDm/pnsj99vvn3n0ZP00+5Uf2kzzny7RqMxv4kvObmk/MkYXapA8/wPBVOlM73n1Q9nO5UHblvLh871fDEYFZx06stywY7oG862GIwG84x7qGcozfyaFXtcDycPeof0BmDLhS23Xthr8nn1snorcCj8DflaecY81kSrg5gewT1w1JW8jyni7+jlo5xPO1/2vhbFwUlNYgpwZkPFH7+CnbisRnz1rdXXoqprpg+4jec2EpMWY9W+/bQ9EoDmwV74uuut2hZwzXuIF1StbdEuPx35CYBhDYaZk6UW57V2rwFqVN/hhOJzh5WZqe+n11nn+KXw6X1h6B20nL+SyY6zl2/4u2lCtpZXLXz0JZe7n9JRzdWXk5fDHycr4GRMZXJ6nfrFzLeBxfn5hLA2o2JkwbEFzD08l03nN1m0z/0N1S8I/57511wZsELpHK5WKT5m32XsAA92CAFg2f6LxKcWXH2gKAq/HlcnFEc3Ho2jtuRxyujGowFYFbWK+AzLK7/fFHxqw5Dvoccb4GjZ5/pPR9XP2eENhqN3KHmf2PRYHl/9ON8f/N56lcYb9FPTy9wEEWntg9ozo/sMXm33Kl7OXiVub5OovnxztqiFue5uXg1/DxuM806uBqMB/BpC1ToW7VLaVBxD6g7B3dGdIPegAkEmFSo9AU6vLTy1kY0NbVWDja905742ha/IWBSxiDwlj5y8HIuWXN9d+2589D7EZ8az6Zxlnzk3Fc8gaPtIpUzfIRNpNrY2ai3J2ckEugXSqZq6FCUhNZu6cctx1hjIDWh+QzLE3iG98XDy4GL6RfOSqHJp/4R6efxfuBKpXjflLzi5qvzHL6OJvdVcaeFnLlHd24XkzFwW7yk4cbPh3AbzIHNCywkWHffF1i8C6uDWNDi7ZUTmVxq8w7LKmotOLDJ/wE1qP8mifQbVGYSTVi0I8OWeL0vfR0t0Vcthc+mUXZYXW+r45eMcuXQEB60Dr7Z71aJ9Qr1CaRvQFgWF6XumW61vyw/GkJypPreTB9row8YU1VrLskmM3bG72RmnnjAYXn+4Rfs082tG1xpqDoh/z/5b+j5aom1+ZdXMK5AaZ502LBTg6cLQ1jUAmLu1YCXbU1dOmb8kPhn2pEXHq+5enWAPdbA29/DcCuxpJWD6vKrfx779EOIau2J3cS71HO6O7vStZVkER/ug9lR3r05qbiqro1Zbp2Pmcd5/dv+cbVWzCq1qepOTZ+Tn8KgCfzuceJhTSadw1jkzuO5gi47X0KchLfxakKeo+dJuKXovaD7C4qjvM0ln2J+wH51Gx4gGIyzaJ8g9yBy9/PdpK51Qv/MFePk0tLe8ury9OGgd6FGzB0PrDS3Vficun2BlpPXywMUkZ7L8kHpCdlx+pW+rO5E/7jJNxJcgJSeFnr/3ZMq2KRbnZnV3cmfF0BV81+s7iyYuy6ReH0Cjrr5KtkJQQCk46rQ46gqfgskyZPHPGfVkh6XjZEedo/m98pZd3llJyUSajS0+qVbOGVJ3iHmWecOJeAZqtwPg2OqBG/bRO+gZXn84g+sOJtCtAkoc+zVQEy+iXF3vXi//i8j5XZBxYxSELbSsWYUeDf0xKuDnoSYtn73lLEbj1QHf7EPq0j9XB1e61+xu0XED3QOp5VkLuMXeYK5EQcJx0Oigbk+LdjmYqOYPaeTTCD9XP4v20Wq1dKjWAYAN5zeUqaslajgAdM6QlH+fKqk/T/4JQM+aPYvNmXG9xlUbA7AyaqXVkuZ/skp93IK89LQOqWKVNgq4dBqy888c3mHZpI4pmbaXkxc1PGpY3NSw+sMANfmvVZL51u2lVr2Fq1F2djSuYy0AVh2JY9c1UWlf7PkCABedC31DLV9i8UhTNSryQtoFUnMKz0l008kzwGH1/5Haln0WCGELpgqJ/UP74+roatE+Wo2WkQ1G0q9WP2p7WRbdW2q1u4HWUT2BmmilnKelML6zej9/2h5FVu7V93VTRXHTSWRLmZaQmb6E3q78Xf156463GNNkjMXjPIBBddU0FH+d/qv8q18K4+yhRkbeog4mHGTY38N4e9vb1okqBX4Kj8JgVGgf6kPT6laacLqWIfvqUlwLJ9JWnl3J5azLHEw4iLPOsgJUAN567zJ0sBRcfaBGW/X6SSudrCil3Dwjy/Zf4Hjs1Si81VGrSc1Jpbp7de6odofFxzKNk+My4m6t4lJ/Pw87vocsK0UqlpNMpNnQ+dTz7IzdiQYNQ+oOMd++79BhWmtPoqCBxoMK3ff51s/zXqf3qONtWVhtidrnf/Hd+5OasN6rBvg3AcWoriO3k+d6qiXaD55PwkPvQOSlDNYeVyMwLmdd5silIwD0C+1XqgpDoxqOAtQvknHp9o04qTCb8pOHBzVXl+yWINOQyfpzamLul9u+XKqmTBWLMg2ZbDy3sXT9tISzO9RWo444uKjij18BsgxZ/H5CnYjtF9qvVPs+1lw9A2tUjCw8vrDC+xYRl8rZRHXZ8rM96lX48Qu1NT86UecMte4scXOj0cje+L0AJea8uV6nap3wcvYiMTPROl+StFp1eSDYvXonQL0AD3zdnVCAd/85Yr59R+wOAItPIpgMqTuE6m7VUVDYcG5DxXXUng78ejU/X80O9u2LEPlSclLM6RPurV9ybqprjW06lmldp9HUt6k1uqZ+ztbKL8phx9UHJn0aB1CjigtXMnL5c+8FQE1/suKsWsH72nGyJfrW6ouj1pETV04QccU++X4r3Mk1sOULSDxl8S7uTu4MbzCcia0nlqqpHjV74O7ozoW0C+yJ21PanlpOUewe+V0URVF4eu3TfLn3S5Kykkq1b1PfplR3r056brrFS7pLIzMnj192qsXFHr4ztMKPX6jILZCTCu4BUL21RbssO6UWDBhcd7DFFXqvlZCRwOmk06XezyKm6PVK8P4H8N4/R3nut/18t+Hq/V1+Vo2oHVRnEFqN5dM0wR7B/DPkH/6850+LlnPfFK5EwZ65sPI1NY1HJSQTaTa0Kkr9x20b2JYgdzUReI7BiFek+k+THthOTXxtC3V7QdW6kJ0Mh/KjtCrBG0zzYG861/PFqEBNH/VM7qzNajLaxMxEFNTotLFNxpbquEPrDzUXHQiPqYDlsZWBKc+Jha+Zzec3k56bTjW3arQOsOwD0aRelXpU1asRWP87+L9S7WuxqvkTQDutdPxy+vnozxgUAxo05gg9S3k6eVLToyYAv5+s+KjIKcvUyRZXJx0j21oe6VUupmqJ1VpYtPnS00vJy/8gfLqFZUtUTBx1joR4qDl1rPb6a5L/pe3SKagESfkfaK++Xg5dSCE+JYvN5zeTnT9x9ETzJ0p1LK1Wa442sNryWFvbv0C99KgGTpZF/Qhhbeuj15NrzKWOVx1zJHKlUi8/krUSfJF00GkZ10mdEJi95QxGo0JOXg6jG4/mjqA7aBPYplTH83L2oltwN9oHtSc37xZJuL1vPqx5Gw5W/Am467k4uJiXIi89tdQ6jcQdhenNYHYvuy8vLkzElQg2nd/Ej0d+xEFbuug5rUbLXbXUk4Qrz1b88s4/950nKSOXYB8XejUKqPDjF8qUPqZeH/WEYwnOp57nYOJBtBotA2oPKHVzy04to9cfvfhkl5UKApje/85sgFz7R20Ny0/j8e+hGOJTsriSdYXtF9UVaqU9YQ8Q4hlSpsnLSsv0ORXc3qKAEXuQiTQbMr2xXpszY1fkZXoragUl15bDit3fqBg5mHDQvLysXLRaaD1Wvb5PTUpK/bvUXEchHct//HJ4untdQI2y0Ttqqe7tQo7BaC7O0LRqU2p51SrVMa/NtbE7dncF9tZOki+A6WxZ2/EW7WKagOgW3K1UZzlMTFWxjl06Zp3qf83uUy9z0iHuSPHb2oFpuUltr9q4OpT+i7vp7PqZpDMVWiI925DH0Rg15HlY6xpoLRjslFueQa3kBBbn5zNF8wW5BVFFX/oPxHvq3gPAudRzXMmyQrLituryRxQjHLN/CfZnu9fDQasOiN7956g5v1kV5yqEepX+bLTp/zf8QvitkYz74n710sJl7ULYgik/UmmWXl9LURSOXz7OL8d+qchuXVWvj/qlpJL83wxvUwMvF0fqB3iQmm3Aw8mDp1o8xQ99fijTOOWTLp8wq88smvhWvqTUpWbIgdP5J6zqWxbF/dvx31h4fCGXs8qWosW0PHb9ufXWqf5XpZaa9D0pGuKPVvzxy8n0/9ulRheLikldzzT5sen8JtJy0iq0b02qedG3SQAPdwpFp7XRZEmPyfD4JuhoWU7qtdHqiqY2AW3wdfEtdXMt/VtiVIyEx4STmJlY6v1LFNgMPIIgN+NqJVI7CqvhTeuQKuTmKfy8I5rVUasxKAYa+TQq9ffca+Xk5dwaxfUi/lMvK3EeXJlIs5GcvBxqedWiinMVeoX0Mt++e/8BWmlPYUSDtohlnSbRKdE8sPwB3tv+XsXkuQkbqeYFurBHPUtU8w4Y+0+BqqH20D7Uhzb5bywj2gTz+YgWODlozRF9vWv1LtNx76mjfhFfE73m5j9buT0/j5PWMT/fXfGSs5PNSx0a+TQqU5PjmqivC4Ni4FDioTIdo1jVW4Kp8tGO7yv++OWQY8gxV7IqbfJZkwcbP4gGDQoKf0ZUwGR4vn8PxpCcmUuApzNvDbRRBMSFPWo0q94LGg4scXOj0cixy8cA6FOrbB+I99W7zxxVOu/wvDIdo1iuPuCeH91pKqJgRw4OWno3Vs86/3cklsTMSwAllpIvSohnCF5OXhgx8sPBHyqsn3YRcxBMOWgsnMgVwtoURSHQLRAfvQ99Q8o2kZacncyIf0YwdedUzqdaISG2b114ZBV0eq7ij10GHnpHtrzanW8fbI2XS8nVOUtSmpQflV50uJqH1M3vhiJkhTEqRr4/+D3v73ifw4llq3Ddwq8FPnoffF18iU2PLdMxiuXkCqFqJfjKkqfqWuuj1YnLso5T6lepTy3PWuQYc9hysWLHES2Cvfnf6DbmKE6b0GrV9DF+9S3a3PQ97drvuaVR07MmzXybYVSMrIu2QhV1jUbNyRzaFXTlf7+pCKaiEb/siKJTta68dcdbjG9mWYBEYb478B2df+vMHxE3eZX2nIxrIiLL9nlqCzKRZiNOOiemdZnGhhEb8NH7mG93jFCr41zxawseAWQZstgRs4MdMTtumE2u5VWL2l61MRgNFbP+3t3vahWnfT+X/3gVRKPR8HQPNSpt0e7zXE7PYfP5zeyK2QVA75plm0hr4d8CXxdf0nPTrRe2biumKjr+jdQPhhKYolm0Gm2Zv4j7ufrRPrA9ALvidpXpGCUKaq5enrZfnr7C/H3mb/Oy4mENio8cLYreQU8192oA/HumYpbXKYrC7PxS6GM61CqyClCFO5U/AK7Tw6LkwSsjV5qXdZoS35eWVqulrrf6vrD2nJVeH13ycwfGlu1LSEV7+x41qiI3T+HkRQe0Gm25BlimM5wbz1shz6Etbf9WvXR0hYBKuHxO3JY0Gg1TOkxh/fD11PYuW8EAb723OfWCKbrjVuehV7/Qnrh8grXRayskkiIhI4GjlypfxFOpmJY11e1t0bK6gwkHSchMwN3R3VyBs7R0Wh1LBi3hr8F/mas9V7i6+WP4SjbOO596ntPJp9FpdHSq3qlMx9BoNHQL7gao6VRuJ7HpsRxMOIgGDT1rlj3itUdNNTjAGnnmAOj/KTz019UJXTvr2ySQIC89iWk5hEfkMrzB8DJP5AK4O7qTYci4+cd5ZzeBIQu8gtXvupWUTKTZ2LWh6ucuZ9AxS32jcG05jHXR6+j9R2/GrxrP+FXj6f1H7xsmfExvThU2wGo5Wr08+JsaRg6QlgDH7ZtHp1t9P5pW9yQzN4+5W8/yyY6vMWLE18WXYM+yfbhrNVrzwOCHQzdxREaeAa6okyc0tWxSx5T8uJ53vXKdsTW9uZuKFlS4ZvnJmZPPX309VgLLTqtL/QJdA8u0rNOkaw21oMKpJMsTBxdnwfZojlxMwUmnYVS7mhVyTIvszI8YrNbKos1NEYzB7sFlWtZpYipSEJ0SbZ3qp/Xzz3qd32m36sXXCvDU0yTIE4Ccy51o6d+yXI+fKSo3Jj2mQpcX29zp/DPVFiY/FsKWyrIk8VoVPs4rTOYVOLqsUuWpmnvwV55f/zyf7/m8XMfZcG4DPX7vwZRtUyqmY/ZSymVNpkIynWt0xknnVOZmrz3ZbxWmZcVR4Wqxs0rCNHHT0r8lnk6eZT5OlxrqBM3++P0oFfD/dSo+jXf/Pkr0JRt/Zi99Gv58HOKPW7S5g9aBx8MeZ3Ddwfi7+pe5WdPjtz1mu3Wqn1ayHGKOOi2jO6g5gOduPVvu14zpe8beuL2k5FTOSpcWOZn//levT6V7zq4lE2k2kJGbwckrJ2/459h95DgttGqljpUezjy3/jmSspOoqq+Kv4s/KTkpvLX1rQLLmEzhslsubDEnni6XOj3V9eIZl+DEcnVw9VkD+G0UpFohrNtCGo2GZ/Jzpc3aHMGZZPVxurNa+c4gmBKBxqTHVHj+Aps5/Ieaxwmg7cMlbp5nzONciros0XT/y8p0pu1gwkHzUscKZZrYRYFDlad6p+nM9p3VS65OWZyHmjyEFi1ZeVlcSLtQ7n59te4kADV93KjiVvaBc6nEHYesZPV6HcuqRx5MOAjA+LCyR1MBjGwwEgAFheVnlpfrWIXyDgb/xur/1/5fK/74ZfDmwEY4+a5C5xZBPffSJd++3uA6g9GgDkgWRyyuiO7ZniFbzbED0OIB+/ZFiHx5xjyOXDpSIV+cTRNp++P3WydPUF4uTA+DRWMg1gppGsrgwLkr/J1fMb6tf/ny9Db3a45Wo+X45ePWWZ5oC5fPwqWTavoVC9J3AGy+oEZAmSYiyis7L9s642Sf2mquNGPu1aVblcCmC+pEWnkfvxb+LZjdZzZLBy2tkMTv87adZc7Ws7z3rw0jLHOz4MifapCFhbnyfF18eablM7zb6d1yNV3Pux5BbkFk52WzK9ZKq19A/Y5r4SShtd3ftiaufpvIdtlMVFJCuY4V7Bmsrl5TDGy7sK2CemgHuZlq+qL6lXdZJ8hEmk1svbiVoX8N5dHVjxa4Pe2wmtRyo3d93tv/JQAjGoxg9bDVrBq2isfDHgfg8z2fs+WCuta+kU8j/Fz8yDRksi9+X/k7p3OA5uqXUw4uUqtimKrw2Tl/QZ/GgdT1dyfH8RQaXTZGgzs1NOWbCBpU52oeOlPy+JvOCbU0PO4B4OxR4ub/Rf6HEXXibUTDEeVq2t/VH1+9mkB05v6Z5TpWoRxd1IldgAPWr1JliQupF8yT1qMbjy5h6+JVc69GC/8WQPnD1vdGXSEuVe3XK3c1KNexSmVnfn4+B72auLUEl7MumyPSyjsR6e7kjp+LHwB/nqq4PHMFuKrVac33084aVXfAyXcdzlW3EhXjVa5jOTk4Ud29OgD/nP6nIrpne9Hhahl0V9+rBUqEsLMDCQcY+c9Ihv41tNyTaYFugTT0aYiCwvaY7RXUw2voHCEkf+laJajeCaB3TUDrmIRidCAmrnq5jlVFX4XmfmqaiI3nbtLlTQkn1KXrwXeouUhLEJseS8SVCDRouLNa+T5nAWYfmk3n3zrz07Gfyn2sG2g0UDc/h9apNRV//DKq5lYNH71PuSfSHLWOtAtqh2MF5OBKycrlz73qSVdTLi2biN6mJuT3CIKAprZrFzWQwvQcmKIsK9z+X9WgkRWvWOf4peTl6kDV6tuJc/qVhOyoch/PFJV2Uy/vHPIdvHIGalt2wt5eZCLNBkzr5OtXuZqs0WhUCIjbRB7waVUnco25dA/uzuvtX8dR54hOq+OZls8wvP5wFBTe3vY2aTlpaDQaOlTrAMC2ixU00xyWP7lycpUakVYvP4zcFFZpJ1qthse61MaxinpGIi+tARuOlu/sjpuT29Uvkmdu0i+SpmigNpblmjJNGPrqffFwKnnirSSm3C87Y3eW+1iFaqBWFyQ/ubq9bYtR/8+a+TYrc96ba5kGCOWdSPtguZq831PvQJ8mgeXul8VMA9/AMIs2//X4rygoNKjSoFzh/iZ311Zz/FktorRhfsn2pHPqMmo7+yPiDzQaUBQNWw5VJTmzfIVSutdUByURSREV0T3by49aoV4fi/LzCWEL/2fvvMPjqM62f892rXrv3ZIlV1nu3cbYGDBgOhhMqEmAhJKQEJI3jTehvCEJaZQvdIyNTbfB2LhXuVuukiWr995X2+f74+wZSVhlZnfO7sre33XpGlmaM+d4tTtzznOe575pNlBmaKYsWSh0npdXm+fytQYlw6FT5SWBtAN1ZLPYZkjH2kP1LgcjhYV49W5Xh+YZxi4Hni0ni0kR0PffpMhJCNGFuNx9sDYYvdZedu+/cSuBmY8CE25lc30n+N3s3xF9w2DX53ly8dnxahjMNmRGB2B2Wrj7Oi52zPPGLBFVVlfcViybviFATL1emPcCfjrlp7Jc7xKoLERlHhG19zDFbcVoN7XCT+WHnMgcl6+3MJEE0vbV7IPNbnP5eh5DFwSo3FRt4yS+QBpjeJ7H4brDAIC5cX3iledrWjGLP4UvA/xRbu9EkCYI/zv3fy/R1nhm+jNIDExEg6EBb599GwAwJ46kvZ9qPCXPIKOyyY6D3QKc39gnBFq61+MLyZty4qD2J3pg1u5M5JW0oLjBNcdSWp44WLmt12PpBSocAdTxN4tqQvW4ZsTOkGUI1N67ydAEMwsds3lPk2NjAQnsehga8KI7PK5CDRsO1BxAm9G5/1+X0YITlaTtndMZCQIPhtVM9OsA0dlANPNJp9LJMoTV40lW4IW2C2zKnvqXF5//Sv7rS4TqG6rsETCYefx92wWXrveDcT8AAFjtVvk2Y9wJDeSKLHfy4cMdCPM8J0XKvw+d59GyeNmhgbTqY32bcx6Evn4KYxaKGrpxqNQ1jUoaSDtWfwxmm/forUpCpSVyAyKo7a6FilO5nPVNoYHc002n2Wxapc4Hrn0JSHatjFduFJxClkC4nbfj5SMv4/rPr0eTwblSPZ7n8eEhkp20elayLOMSDTWUopmDI7CxZCOe2vUUXj76sizdjwsfhxvSb3BJE3ZYIjKIiL3N3Lem8iA0YD0tehq6jDwOlbqWSDA5cjKCNEHoMHXgVJNMsQJ3YnJtne9OfIE0xlR3VaO2pxYqhQpTovrsqy8e3wkdZ8C/Q8lN4keTfoRg7aXp234qP/xiGnGSW3N+DZp7mzE/YT7WXLcGb1/ztnwDnegQrT/zCSnt1IUApg6gVobyURcwWDsBJXmIq+2kpOv9vHKXrnl7JgkAWOwWFLZ6R328aMr2ATYTEBRPHgQjYLQa0WEik2S6gHaVFWkrABCdqi0VW2S55gBCEoHILKJTVbpb/utLwGAxCA84uXRHxoWPg5JTggePdYXO6XD935ZC8Dyg4ICfLxVnSy4Lp9cDDvdS5N434ul2ux21PbUA4JKLU38i/CIwNpSUsh6rPybLNQegDQD8yb0Gpzyvk0YD4clasuBYc6gSVqvd6etF+0cjxp9kMBa2jLL7X8N5oNGhE+NlCzAfVy6d5k6cazkHoG+jxFVyo3Lx7jXv4vMbGZWwhyQB4WNImXSZZ3WqLDYLTjScAABc5fhcv3+w3KVrZoRkIEwXBqPNyC4YyQonMkiezH0SB+4+gLuz7pZlCPEB8UgMTISNt+F4w3FZrumt8DyPi20XYeedf65+HwWnQH5jPiq7KgVpHqkcuNiC0qYeBGhVuDk3QbaxjUhbBdBcBHBK0WV1NBA+PWY6y5HJB8f1afxS8yIPkldH1hkp/lMw68UdeHTNcRgtzmeSqRQqPDDhATwx5QlhvjdqsBiBV8YCby4AeryjMmk4fIE0xhyqJ/oWkyMnQ6/uc/tTlGzDNwH+aFYpEKWPwl1Zdw15jUWJizApYhKMNiM+KvgIQZogTI6cDJVCxrIW6v5Yvp8IMKY5sm88fIPp/wDq7SWBxs9P1KDT6Hx5U3pIuuBo9FnxKBPc3vEHcozIEJVufarpFCx2C6L8opAdLo99sE6lQ4Qf0Un7ppSRu2vqInI84ll31U2lm2CymaDklMgKy5LlmgpFn3uss/otn58kmhmz0sKh07ixvI0GlgJjAfXIGWaH6g8Jk9Obx4jLoBTDuPBxAMBGvwUAkhxBmmqGQrciKGorEvT5frNwFQDAaufx5r5Sl657S8YtAIDzrW4UL5aDo47NI5UOCHZNR8mHD7k4Vn8Mdt6OlKAURPtHy3JNjVKDaTHTZNFZGhK6SC5l5MItknMt52C0GRGmC8NP5pGMqu/O16Om3XnHPo7jhKDm4frDsozTbex+Cfj3DMmGN3q1ftANeWeZFTsLANjo9AEkw710D3DiAzbXF0lVVxVu3ngzln6yVFY38DnxZB7hrAzKB46kgVty4xGgdeM8j2ajJc4A/EJGPL3D1CEkJcyIkafyBQAaehrw9pm38Xr+67JdcwBecv8z2UxCsPqmsYsQGaBFm8GCzWfqXLruwxMfxiOTHkFcQJwcw3QfVYcASw/Q3QjoGTsIy4AvkMYYGqXvv0tpttqR0XkIG4ICAAD3ZN8zrFU1x3F4cCJxZ9xwYYNsNegDCEl0LB554OxnXnOD8Vf5AwD8uDDA7g8/tRJ6jRLFDa6lmk+PJrsmo6q0k+eBJoeuUWyOqCaHaskEaHrsdFnTwml25dnms7JdcwBBjh2UyjzALt8uoVS2V5AysnC/cFlfPzpBLe2QHhA5VdWGHhPZqfqf6+UJjoqmvZIcRe5Sbry4EQAQoA6QRbeFQnfYzjczCgTlOso7je1AD4PyUZFsuECca7VKLWYkjEN2DNE4fM/FbA062T1af3R03QNLHPpo0eM9Ow4fPvohzPNi5clGcxu0PLrEs/O8yZGT8c3N3+DF+S9ibEwQZqeFI0CrQlG9a+U9d4y9Ay/Nfwl3jnXNZMntlOwEmi+QbEERyJlJ1R86T2Gmk9ZSDHxwI7D5lyQLxUNQZ8iEwARZExRcec7yPI/UCH8E+6mxelaybGMShSaAyP3Q8u8RON5wHDx4pASlyKKDS2nubcarJ17Fh+c/lDXAKZC2CABHstw7XQtaucKJhhMw2UyI8otCZugYrJqZBABCWe8VB03gSVssKmHE0/gCaQyx83YcqSM7Ef0nWAUlJVBoanFWq4WKU2HlmJUjXmtRwiIkBiai09yJbRXb0GpsxR8O/gGrN6+WbyE00SH6ef5LIHM5cPObwO3vyXNtJznaQB5wi5MXQqng0Gux4b/3TcPUZNfq5m8aQ9w785vyXR2i+6g63GdDPe1hUU3WXSA7mkmBSbIOZWX6SgCkpKXd2C7rtQEAUx8gR94OFHrOFKKghQj6yyH+2R/6mTfajKjprpHUdt2RKgDAtRNiMC5Ovt3nETF2AJ2OsS5+TlQTusuWHSZvwO/WDHKvsvJWnGxgUH6evoSUNQDA8Xflv75IqA5mRggp437mGlLS2tRlwvEK5/UDJ0ZMhFahRauxVQi2ez12O9DumFiOu2n4c334cCOsAmm91l68cPgF3LbxNlhsrpmMDErqfODGfwH3eVYLkuM4JAUlCbpw/3fbJBz69RIsznJtUT4tZhquT7teyKAfFRhagVpS5ip2w+rnu3+Ou7++WwgIycWMmBngwKGkowSNhkZZrw0AiBpHstutvcQl0kPQdca0mGmyXndS5CSoFWo0GBpQ3VUtqS3HcXjuumwc+c0SZES7bhImicl3AY8eAOY+Lep0mnEnZzYaAGSFZSFQHYguSxcbGR59GBDnkFzyYNJIZWclVJwKs+JmgeM43DEtEWolh5OV7Thb45p+ZXNvM7aUbUFpu2tVDG6Fbuyki7v/eRq3BNJee+01pKamQqfTYerUqdi3b2g9hs8//xxLly5FZGQkgoKCMHv2bGzdOtA98r333gPHcZd8GY2e29EYDJ7n8ad5f8L94+/HxIiJws/rTu3AF4EkG21x0mKE6UZOXVQqlEJp1FclX8FP5YevSr5CflM+qrul3aCHJOsGABxQc5zshE2+Cwj0bG01nRgsTpqDFZNiAQDvHih3+br0hl/UVoQWL3GHHJFj75CjJgAIHVmAtsnQhB5LD4C+nUW5mBc/D1qlFgBwspFBIMMvBNA7Jr8nPZP2bzAb0GEmD7HrU6+X9drjI8YLO59fFH8hul2HwYIv86kVeqqsYxqRioMksBmWTvR1RsBut6PB0AAAuDpZnGCtWKL9o6FXkVJ56korKwoFkOhYFHc1yH99EfA8j1YTEdz+wQSib7gkOxrBfuR988Jm57PxNEqNYP7wSfEnLo7UTRR9S95/AJArj96jD/m4Uud5APDsjGfx0ISHZF9I6pQ6bCnbggttFwQNNlnRBhKtS5GC9u4iMUwPvTslC7yJsj3kPheZJap83Wa34XDdYZxtOQs/lZ+sQwnRheD2zNvxZO6T8krJUDiOuEICfW7MbobneWGdIffn10/lJ6z9aLBOKlqVUs4hSUMhLkQgBNJkMjSjKBVKIbhJNytkZ95TwC3/BTKuYXN9EdyZdSf2370fT+Y+CQCIDNTi2glkvfthnmtZaX899lf8Yu8v8E0ZIxkeueluAuodmpZpizw6FLEwD6StX78eTz31FH7zm9/g5MmTmD9/Pq699lpUVlYOev7evXuxdOlSbN68GcePH8fixYtxww034OTJgYv1oKAg1NXVDfjS6eRxhZMLpUKJBQkL8PNpPx+gc6Go3IfNAWQRSLVqxHBD+g3gwOFo/VG09LZgQvgEAJBPCDQwGkgiTj0o2CTPNV1gU8kmXGgjDnXTYqbhhwuIJfU3Z+pQ2dqD/Kp2p68d7heOpCASDHjrzFsuj9UtUEFguoMyAlT/TckpkRudK+tQFAqFEBxhMsEHgGTHe7GagaC8CDaWkrJEDpzg9ConCQFEPHZftXih55e+LYDRYsfY6ABMT2HkZjQUBY7MwFRxpgt7qveAdxgT3Jh+o+zDyQwlJgty78ILzHBkfVYcYHP9EajurkajoREqhWqAY+wd08ii90RlO7pc0Iqkmon5jfkujdNtnFxDjn5honRbfLiPK3meBxCHw6emPiWrPhVAslKmRk8FIOM8z8s43nAcT+96GptKLp1z8jyPE5VtLlVdVHVW4e0zb+OzolGih0vLmkS6Ehe2FaLL0oUAdYBsOq79+e3s3+LhiQ+L2vB3inRHIM1DxlJVXVVoNDRCrVBjUuQk2a9PxfelzFOOlLXiYEmzZ2QX2qsAi3htwjZjG4rbigGwMRqgWb7O6syNyLibgEl3AP7hbK4vEn+1/4Cy2NWzSTnvV6dq0GFwfp7nzPvPo5Q5dKOjJwIB8pUJs4R5IO1vf/sbHnroITz88MPIzs7Gq6++isTERLz++uDiga+++ip++ctfYvr06cjIyMALL7yAjIwMbNo08CHLcRxiYmIGfI0GrDY7eiwn0aZUIkjhJylTKMY/RripbCrZJEywZHWuy76BHAs2Ab1twP5XgS8fk+/6EvjqIik3CNYEI8IvAuPjgjFvTARsdh4r/rkfN792ABUtPU5fP0JHMp52VHpmJ0wSFiPQ5ajhn3i7qCZUyD4hkI3bT24UCc6daDzB5PqYTMTV0dtGygrdDNVHi9JHQSFyZ04K9LPfbBSnwWW12vHJcZJ9OiUp1L1W6ABw9lNy9Bf3cKvtJm6dmaGZCNAEyD6cq5LIQqO2pxZ2Fjp6qYsg6Gd01ct//RGgmZ7jw8cPyDR4emkmFByRTHz3QJnT11+aTPRPmnub2eiPyE2VY0c6cZTpUF0B+OZ57BDmeQ2MNpQsvcCh14FP7nfKLdJVDtQcwPbK7ZfocNnsPG789wHc8tpBnHRh0/RU8ym8euJVfFr0qYsjdQM836+sSZzLNZWPmRo9lU3WGGvoxlzDWSIu7mZogGFixETZM/oAEshICkyS5Jz40rcFWPXfwy471zrFpieAl5KAc+Iy/UO0Ifjm5m/wlwV/YRJspVmCJxpOwGJnUN7upUxLDkVWTCDsduBEpfMyHjSQdqb5DBt9dbkRNhJGR1knwDiQZjabcfz4cSxbtmzAz5ctW4aDB8XVw9vtdnR1dSEsbOAHtLu7G8nJyUhISMCKFSsu2cnsj8lkQmdn54Av1vA8jzdOvYEDNQcGfPiLSopxLoC8mZckXSX5wUe1vb4q+UrIMpJ1p5IG0ioOEtvZHX8E8j8iuxRu5mwLEbKfHDlZ+Nkjjqy0bpMVPA+scUGMkWYZNRgamIm1ysbpDYAjuweTxAnnXmy/CGCg0YWc0An+yYaT6DE7H9AckszlAOe4RZ1YI//1R6CglY0+GuWhCQ8BIIGMTvPI96TX95bAaifvgZ8vy2QypiFpKgasjpKq8eKyaOnC79rUa5kMierM2Xk7TjWdkr8D/3AgkmiS4eC/5b/+CLx9hjhURvkNDFzqNSohK21fsfNGCCvSVwAAePDYUeHlmwlWE2B0fEam3OvZsfgYwJU8zwOA986+h91Vu2G0sik5FZ6zjSdhYxHoUqiBXS+ShXNdvvzXHwHqqPn9sjClgsNYh7mKK+VNU6PI61fQWuD9C8mWi0BHFaDUAMlzRDWhmTossoEoTYYmbCnfgg4Tgw1N/wggxiF9U7ZX/uuPAC25ZPX6zYiZgW9u+UYo2xuJszUdOFHZDrWSw3UOORu3YTUDFXmAzQxEjBXVhOobLk9dzmRI6SHpCNYGw2gzorCFgU4aALSUAPv/Dpz9nM31h+H1/Ndx19d3YXPp5gE/5zgOr9w+GQefu8olrciEgATE+sfCareOjuqDzOUkWWQsm3UDC5gG0pqbm2Gz2RAdPdAOPDo6GvX14nb4//rXv6Knpwd33HGH8LOsrCy899572LhxI9atWwedToe5c+eiuLh40Gu8+OKLCA4OFr4SE9nrQZR1luE/+f/BU7ueEuIfAFB7cht26ElZ59J06bpLS5KWwE/lh5ruGuhVeig4Baq7q1HfI1PGREgiEJcLgAfK9wLxDvFNNwsx1vfUC/pe/W/QCzIiSJTe8Zp+crwaRotzk8vr08jrb+ftgqi319LgKJ8MSQbUI5e21HXXwWgjE3sp5cNSSA1KBQcOVt7Kpv5eoQCCHZ/VC+6t7zfbzOi1kvR2GryWm5iAGCQHJcPO20UJ5tPdyezYQEQGurm86cR75KjUAtEjl4/YeTvzCWqoLlTYQd5TvYdJH9AGkWOB+8W4q7rI5kVqyKVaeE8vzYRKweFoeRsK6pwLGOhVeoRoQwAA35Z96/Q43ULlIWK0EhANZMmrV+jDNa7keV67sR1/Pf5X/HTnT4XnhdxkhmYiQB2AHkuPIHUhK0oVMR0A3O7eabAYcK6ZzG0G06eiboXfnKlDa4/ZqT5iA2IR4x8DG2/DmeYzzg/WHXAKYMpqslml0Y94utVuxYkGUhEgt75Xf3647Yf4xZ5fyFv90h+qhVTpfuOb2zNvx8MTH8biRDYZMFIrB2jQePmEWES5e55Xc4wYP+gjgCg3O8IPgYJTYErkFOiUOvn0wL9P8TZg+x+A4++xuf4wHKk/gnMt5wZ9fkyID0ZEgNal63Mc11fe6aROn1sZdyNw61uiNxK8AbeYDXz/RsLzvKiby7p16/CHP/wB69evR1RUX0R21qxZuPfeezF58mTMnz8fGzZsQGZmJv71r38Nep3nnnsOHR0dwldVFfvsKrownhg5cYA+WnX9djSrlNDzSqcE4P1UfoKz0eH6w4ImAn2YysI4h55Rwaa+9Eo3T7D21+wXvp8XP0/4nuM4POgQWVdyHNoNFmw+45xtcZQ+CjoleVB5vRBjs2MnZvbjok7fVEpKZJScEuMjxjMZkkKhENywdlUxen/k3keObrZGP9dyDha7BWG6sAHvP7mZFk0C1burdg973uHSFjR3k4XEs8vl10EZkYukzBURGaJOz6vNQ4epAypOhXHh45gNi5pAdJm72HQwnhi8oKMasLmv/LG0vVTIZL4x7VJ9ueggHa4ZT0pF3txT4nQ/9PnBTOdQLuhGziixQ78SuRLnedT1OzU4FaE6NpqVSoUSU6KILioznTQ6z3OzTtW5lnOw8TZE66MRFxB3ye8nJQRjQnwQzFY7Pj3u/N+Tvn7MZCjkIjwduOnfwC1vijq9uK0YBqsBAeoAQTOUBVTG43gjo/fftIeAH+8Hrv0/NtcfhqnRU/Fk7pPM5skUq906YsJDh8GCr04RMykaRHYrNCMwdYGo52y7sR3P7HkGHxV8xFTP7fdzfo+Ddx9kVt0g6BFWHgLM7staNdvMQnB/SvTw2td1Hc5v1NCs5lGRkTYKYRpIi4iIgFKpvGRXsrGx8ZLdy++zfv16PPTQQ9iwYQOuvnp4xzeFQoHp06cPuVOp1WoRFBQ04Is19IHdvyzMbudRx5MdxZyAsQMCbFKgOye7KndhWvQ0pAanCqLespDtWLiV7QUSaEbaboCFDtEQ0MBCkCbokgnqjTlxCPPXwOa4cX90eHBBYzGkBpOgHLOdNjkwG0i6NSBagLa6k+zcUEMFVowPJ5OP8y3OOwgOy+S7yLHupFt10miGYk5kDlMtskANKV3ZXLZ52PNe/JYEUkP81Fg01gMCnC2OYE3GsuHPc7ClbAsAQKfSQa1w7j4nBhrkPNnEwDkWAHJXkyNvBwo2suljEL4qIRlwGoUGycGDT6hvyiELzy/za1HT7tzkb348yURp7HW/No0kzjqEwtNGj27GlYJvntcXaGDF1OipSAxMZKeBRT9XlYcAUzebPgaBLuxyonIG/T3Hcbh3Jrn/rT1cCbvduXku/fuIyfweTSg4Ba5JuQZXJV0FpYKduyOVkZF1w74/YamkvJOBFq03cK75HOaum4sHtz447HmfHK+C0WJHVkyg+82kgH6BtPmiTj/dfBpby7fi48KPmc6TI/winF4vi+sgAwhKAGwmoFKcHIEcnG85D5PNhFBtKFKDLq08AACjxYY738zDvJd3obbduWAajUOcbT7r3Tpz5zcCDeeJVuQoguldS6PRYOrUqdi2bduAn2/btg1z5gydtrdu3Trcf//9WLt2La6/fuQyDp7nkZ+fj9hYN9eTDwOdIPR3SywrLcJZP1KGuCRrhdPXXpCwAApOgQttF3DH2DuwceVGoUxRFsLTgajxgN0KdDUAmkCgtxWod1/5Iw3MjA29tE5fp1binpkkQMQBOF7hfHkTNW+gZVReydlPyQ0+KAEIHyOqSUNvAwBgVdYqliMTnDtbja1sBMuDHf9n3g6U7x/5fJnYWr4VQF+gkBVz4+YCAAxWA7rNgy9gOgxmnHKILd89k21gdFBq80lZHQBMvV9UE5qpkRacxmRIlMlRRD/xYttFtBvb5e9AG0jKHADg1Dr5rz8Eh2pJictwRiFXZ0dBoySP8D99XeBUPyvSVkABBey8XT55ALlpugC0OzZLYiZ4diw+LsE3zxs6ECQX94+/H5tv2Yy7s+5m00FYGhCSRO7zFe5bSFJty+F0SG/MiUOgVoXyFgMOlDinCUkz0k41nfJeY5X2SqDmhCTDh7FhY/HKwlfw53l/ZjiwvoyWwtZC79eZk8Cuyl3YW72XXUa7g6SgJPRae1HVVYWW3pZBz7HbeXzo0HxePTvZ/WZSZgNQ5XDGTF04/LkO3HX/6w+TzDeOA9IXke/dWH1FN2KmRE0Z8u+tUyuhVHCw2XmsdTJpJCU4BX9Z8BdsXLmR6ca2S1hNwBc/Al6f3SdlNEpgHv7/2c9+hrfeegvvvPMOCgoK8PTTT6OyshI//vGPAZB0/Pvuu084f926dbjvvvvw17/+FbNmzUJ9fT3q6+vR0dGXjfLHP/4RW7duRWlpKfLz8/HQQw8hPz9fuKanae5tRmVXJThwA4TyL57ZirNaDQBgQepSp68fqgsVJgb7qve5NtihoOWdhd+4XT/DztthsJKH9dz4uYOec++sZKgUnJCHt/Wcc4vAFakkoGm2m713IXnYkeavCxKVbm3n7TjddBrAQKMGFlyTco3w/UjliU4T6dBq2PsKm+t/D7vdLpS6BWnZZjXMiJkBDuRvSoN33+fDQxXgASg54Kkl4korZeXEB+So9gNCxZUb1HST8gQaqGZFhF8EgjXB4MFjXSGjQFeSowS/2n36EmWdxI2Tlv4OhkKhwNJxJDtxe0GDU86lYX5hGBtGNito8NPrOPoWOap0vkCal3IlzvNMNhPONhNDJNYZaSyzjQCQeQXNSnOjHq6Vt0LFqYadp+g1KtySGw/A+XnemJAxCFQHwsbbUN3FSGfJVU5+BPx3MfDVTzw9kkuI8Y9BnH8cbLyNjbEPANSfAT7/EfDNz9lcfxD+k/8fPL7j8UscY+UmUBOI9JB0ABjy9avvNELJcQjUqrAyJ57peAal6jAJpAfFk8C6COj/hfU6AwDeP/c+Vn65UsjWlx1a7ePGQBrNkO2fcDMYtMz346OVMFulz/MUnALLU5cjNsB7NqEuoeowYDEA/lFANNsEBrlhHki788478eqrr+L5559HTk4O9u7di82bNyM5mbwx6urqUFnZF2V98803YbVa8fjjjyM2Nlb4evLJPseT9vZ2/PCHP0R2djaWLVuGmpoa7N27FzNmsBPblMLJRvLhyAjNEEq3AKCwbifsHIc4u58kK+TBuCqRfOipNpXVbpV3p4i6d5buApLnAio/t5XW2Xm74KK5IH7BoOdEB+lwvcPRZmFmBJ50MsCQGZaJUC1JoaaLf6+j2VHKQgVZRyC/MR/dlm7olDpkhLINvOhUOuE9zkwnLdzxUG84y+b63+Nk40mhVHp5ChsnIopCoRAsw4cKRO4sJGV3D8xNgVbNeEE1GAZHFoBI8c+qriohfXxFmvOZt2IJ1gYDAA7WMsqkyLmHHHvbgN52Nn30o6W3RRCeHen1+5/rif6cxcbj7QNlTvVHd5O91nDlosNRNFKcNqCdt3tv1sllypU4zzvX3KejmRjI3tgAAGx2m2DCJDvpVxEHTxPb7Jz+vHH1G8hblTeijuaD81Kx5qGZeP5G5wLpSoUSa65fg7y785ASnOLUNZhDy+qSxG0+9Vh6UN5RzlSbqj80WMLMsMHSC5z+mJTxs3Cn/R49lh4Ut5O5tTsyqujrN1QgLS7EDzt+vhAbfzoP/lpGJdzDEZEJXPMCMPsnojbsrXar8F5g5WzfnzZjG0o6StiVF6cuIsfGc0A3e6kLO28XJElG2oi5elw0ooO0aO42Y4uTmwleDw1gpo8+HVy3FKQ/9thjKC8vh8lkwvHjx7FgQV9w5L333sPu3buFf+/evRs8z1/y9d577wnn/P3vf0dFRQVMJhMaGxuxdetWzJ492x3/FVEI4oFRA8UDy+xkoZMT4LpY+MJEknp7ovEE/nnin5izbg7WFq51+boCUeOA4CTAaiTldc+WA0v/KN/1h6G4rRi91l4EqgORHpo+5HkPOEwHDpa0oKnb5FRfHMdhWgzJ+qABUK+i6QIp6wSA3B+IarLhwgYAgF6tZ6ep0g9a20+z4GRnmkNXwmYmpQ+M2VJO9L38VH4I0YUw749mBJ1vvVRnrrC+Eycq26FScPjhwqE/C0ypdXwuZj4m6nRq463iVEgLYVvaCfRNgi+2X2TTQeZy4qYGEA0HxlBB8ZEyNQAgNsQPmdEBAIC39jkXSEsPJu8rr3TutNuBtnLyfba4oOyF1guYvXY2frrjp+zG5eMSrtR5Xm5UrlvKsNYWrMXcj+fi3yf/zaaDzOXAryqI2L0b0al0I2bcJYf7Y15GBBQK51/ntOA0tjpLrmA29GU8p4jTpzpUewg3fHkD7t9yP7tx9WNi5EQAwJkmRoG0uFyHjEwbUM9oLtmPM81nYOftiPWPRZSeve4sfZYPJ/jOcRxSI/yZj2VQguOJmdlscfO8/us0d8zz6HqaWea8fzjR6VPpgEbnpDKk0GPpwYyYGUgJSkFW+PAxAbVSgbtnEFmXD/PKneqv09yJt868hf/Z/z9OtWdO2R5yHIU6uJensqOHeSr3KXx+4+dYPW618LP29jaUaElAZEHmdS73kRSYhDj/OFjtVrQaW9Fr7ZU35ZrjgExH2V7pLkDtPhtmWjc+KXISFNzQb9GcxBDkJoXAYuPx0aFKGMxWp3bnhJ02VhMEVzjuKKtTaoEocQFY+j5ICUphNKiBLE8lWVvMFhOhKYDaYQXvBntqGshIDnSPaxIVzG/uvVT/5T87SXBo6bho91uhAySI0V4JKFR9JY4jQDPDXM26FcuSxCUAgG5LN8xWs/wdKBRAhuNe2MFeS7Guh7gQL0hYAIUI8eWfLyWB2IZOE87WSM8apm5vLcYWtBnbJLdnSvk+gHdkJ+TeL6rJdxXfwWgzCvIAPnyw4L5x92Hjyo14LEfcwtNVgrXB6LH0sNuwUusAjfsW8TYns46MFhtsTpoOeC1OlNUJOqRuCGIAwKLERXh5/sv41cxfselAqQJSHA7ppXvY9NGP/oZS7oCuM863nL9E8P1CfReMFvZZeHJC338jrdPkggZyyzrK0Gl2ThN7RO5cA/yqEkgTpxHnCoGaQPxt0d+w6eZNonTL7p6RBJWCw9HyNhTWS///qzgV/nXyX/iq5CvvkzEydvRt2KcOXoX2fUrbS7Gveh86TO4zoRsKXyCNAQpOgYzQDCQH9S3ET53cgnIN+bDMG+u6hS/HcZgdR3ZnqUj56abT8qZ5j3WUtRVt7XPRsBjlu/4g8DyPV4+/CkCcUPmD80g21P/bW4Lpf96OfIcouxQyQkj5497qvWwW4q5Q4ihrihBfollvIDfJWXHiAh+uQssfyzvK2ZWdRDtKOtwwwaLGE1RglzXXpZLAen9tOwBo7TZj02kSVFmS5QGnTgA49g45xkwCtAGimlR3Ew2aSZGTWI1qADQQCQB7ahi9P7Icmx+0/IYh55qJPt+ECHFlTNdMiEGAoxTk5S2FkvubHDkZCsdUgGYTeg35jixrbSAQECmqCdUa9Gp3Kh+jHo7jkBqcylw+gULvp+dbidMbUxjP8wDgzq/vxB2b7kBRW5HoNv/ZdRGzX9yBHQUNkvuz83b8Me+PuGXjLWg1tkpuz5Ryh9Zx6gLRZU1ijBrkJDEwEdelXYf4AIb6XVS+pHQ3uz4cCPpeUez1vQAi+B6kCYLRZkRRa9973mbn8eB7RzHzhR04U+2hoEDdKaLR1yFeP7DJ0AQlp3Tb6xemC0NCADFfonMk2QlNAVRaNtd2keggHZaOIy7Ynx2XrvOoV+sF8z5mOofOUnGQGMqFpZPMSBF8VfIVHtvxGP5+/O+MBzcyvkCamzhVRsrFEqxqBOuCZbkmDZQUtxdDpVCh1dgqLGJlIXkeoPYHuuqIY91/ZgLvyegOOgiVXZUw2sgkblbsyIGga8bHIDZYh16LHT0mG9Ycku5qQkvDrLwVeXVsRUcl0+ooVxtztajTy9rLBH0gaqTAmkh9JGL9Y8GDZ/eAo5p9HZWk3IsRLb0twvvvujTXM0fFEO4XjjAt0Unrv6h4aQtJL1cpOM+IzwLAuS/IUeTkwma3CYF9Zg5z30Oj0vTp9FUy0umju2Q1xwAjWw0hOsmR4hh732yS9n+xoUtytoZCoUCEw5l0bw37QKEkKg6QY/RE0U3obuuMGO/Q0vLhQw4SAhIQpguD1W5FQQuj0qPmi8Ab84DX2JrEdJo7caHtAgpaCxCuCxffrteCNoMFHznhXqfgFDjecBzFbcWCSYTXQDdoRJZ1WmwWYa7lDqF3t0EzgSrzmAZz7bzdrUL5AHn/rcpehcdyHkOoLlT4+d7iJtS0E03UjGhxm5Wyc3oD8NVjwN6/iG7yRO4TyFuVh3uy72E4sIFMjHCUF7PS6esPY+3BJkOT5MSXHy1Mxz/uysEz14x1qk8x5cUeoYxuJIi7/wEQ7uH0PeFJfIE0N1FhJJkCGZok2a45K2YWOHAo7SgVsqpkTftX64jwHwDUnwWaCkn6pZFRWi2A/TX7he+nRE8Z5kyCWqnA6tl9mX9fn65Fu0FaVplerYe/mpQ07KjcIaktU+pOAzZHVkXufcOf6+Drsq8BAGqFGolB7hFABoDUYJIZ+FnxZ2w6oP9/3g4UD+5uKQeby0hGjoJTuC2jCgCWpSwDAJS0lwg/+9qRjTZ3TDhUKg/cqu32vh1KGsgcgYvtF2GwGuCv9nfrA47q9DGbYIWmANogwG4FDr/Bpg+QbMjanloA0kp2nliSiRC9GnWdJuwtapLc7/gwErS70HpBcltm8DwRoAaAqfeLalLfXS9korE2CvHhw51wHCc8k5iVdwbFEn2gtvI+bUIG0PEnBiYi3E98IG3VTDJ/3lvchMoW6aXb9JnE7PVzBlNXn/aryIVkQWsBzHYzQrQhAypfWFPRWYF3zr6DL4q/YNNBZBYQEE20mauPsOkDQHlnOTrNndApdYJGrTt4POdxPDr5UcQFxAk/W+sICt+amwCdJ8ykgD59KpFldRQ/lR+CNGyd7fvDXKcPAPJeI0kjpxi5wIMYNVz/xfWYv36+pDLLnMQQ3JQTD63KufcJTRrxqvsfAFz1P8DqL4DpD4s63Wa39QXSIn2BtCsCm82GchUJPuUmiI+4jkSILgTZ4dkAIOxwyP4ByXQsRioPEu0G3kZ2ixixr5pEpkO0IQMcT4fj7ulJ0KpIOrzJasenTqS9Uj0sr0p5bXFko/lHAeHihOYP1x0GAMT6u9fmWKPQAACO1DGa/PiFAH6OXTyG5XXULdFd5RIUmh5P33/fnK6FwUw0M567NtutYxG4uJ0ELoE+58oRoOOfEDFhRAFpOaHZR11mhtli/o7Swgvsyh+/K/8OAKDklJI05nRqJW7NJWUPaw5VSO53TjxxZPUqjbSmC8QxVqUDxq8U1YQahSg5JcaEjmE4OB8+3A/NKDjdzGghpPEH4on5EsvnLF0IS80GSg73x/yMCPA8sPaI9Ky0SREkEOmWjBaxqPyAH2wClv0JCBG30d4/m8odRheUs81n8ffjf8enRZ+y6YDjSHln9ESmGWkpQSn46qav8MrCV0TpU7GivsMouLKvmum+je8BGFqBesfnQWRGpKeYFDkJKUEpSAhMYNdJTxNJGmF4/yvtKEWvtRdWu9VpowtnZJzoRkJhayEsNi+SvtDoiWt0jLigWFlHGQxWA/xUfoJZlifxBdLcQGHBEVzUkEXlstzbZb327Fiik2a0koeO/IE0h8h27Um3TLAutJGMCFrLLYZQfw1uye17CK09XCn5JkP1sGq6ayS1Y0rlIXIcd5PoJuUd5QDcn+66IIHsZLUYW2BnVXo5+yfk2CY9UCCWwlaSOboocRGzPgZjYjj5e51vOQ+D2YB/7CC27DHBOmTFum/HbwCnHPpUuhASyBTB2gLSJlgjT/m6WO7OJmWkbaY2GCyMROapfksTO0enI/UkEB3pJ04PrD93Tif3wB2FjcivkhYQoxmRdtjZlWdLheoGJc4UXVp8sI4YXTjz+vnw4e3QQBDTjAKalcJwnneuRZoOZH/unUU2PTccq4LJKk2gXchocTg2egVKFZAyF5gj3mXYU2VNNCOyoLUAZhsjPeGVrwOP7gcyl7G5PkjFQVpIGhYmsheV/z4NPQ3YWbkTneZObDhWBZudx4zUMIyJEpc4IDv0ORuZDQSIC+q8fup13P313fi69GuGA7uUyZGTsenmTXh2xrPsOul//2NU3knnWOPCxzll1PDWvlIsemU3ztVK09RLDExEkCYIZrsZxe3Fkvv1FuhGyPjw8W7dsB8KXyDNDRwq3AQ7xyHKyiEuWL7STqAvE6O6qxoLEhbg6mRxWlqiCYgC4h2i62o/cixjI+httpnR0tsCQJw+Wn8emJsifF/a3IO80hZJ7elC0mQzCWPwOOXS6sZ5nhfKmpYkLWE1qkGhrx8Pnp09NS0zLt8H2KxMujjfch6ANH0qOUgMJEEQG2/Dl8VbUdRAdMbun5Pi1nEMgAZy43JEN6EajVQU1l1E6aMQrY+GnbcLizTZoeXFll5mZU/FbWRyQ500pZAZHYhAHTEdeHGzNNOBMF0YNEqSVdq/vN6j0BJakbuUQN/rlxUmzuHYh4/RxISICZgTNwc3jblJ0EKVHTrfKNvHZCHJ87xwj3bmObskKwoxQTq09pix5aw097mM0AxolVp0mbtQ0cluQ441K8esxCMTH8Hc+Llu7TchIAGh2lBY7BZh01F2vGBhzJJHtj2CJ3c9iRMN+fjYkVW5aoa860JJ0IC5hLLO/MZ8nG05y27T0pMkzgSUGqCzBmgtZdKFK/c/ADhZ1Y6KFoNQFiwWjuMwPnw8NAqN9ySNHPgHsPU3QMN50U1oRrY3lHUCvkCaWyhqOw4ASOfFa0GIZXLUZCg5JRp7G/HbWb/FQxMfkr0PZDpcRjscH7z6syQdWGaK24rBg0zc5sTNkdQ2MzoQs9LChH9/JNF0YFLkJHAgKfK0vMqj1JwEGh03luR5w59Lm3TXwGA1QKVQuX2nLVgbDD8lCbQye/1icwBdMGDq7BMhl5HyjnLh4eLuhbhCoRCyuD44TiY2KgWHh+emunUcAjYr0OVYpIy7WVSTdmO74CYne0BfBHR3nll5cVwOmWABwPH3mXRB3eSoI7NUbp5CTCmOlrfCaJa20KbBd+aOgGKwWYEWh15ghLigot1uFzZB5iWIu2f68DGa0Kv1eHPpm3g853GoFCo2nSTMAJRaoLseaJY/a6HR0Ijm3mYoOaVT+lQqpQJ3zSAbT1LneWqFGuPCxwHwkvLO3nZg8y+A8xslBS1nx83GE7lPOJXR5wpu0emjWIxM1hkWuwW/2f8brDm/hl1W3TBMCCd/sx2lx1DbYUSIXo3lE8TLOMiOxEDagEB4hHs3nCk2uw3Nvc1sLq7Rk3sgwCwrl2akOfv63eMIvH6VX4sek7R53gvzX8ChVYewNHmpU33Lzsk1QN6/JQUtaUYuzdD2NL5AmhuoshOr7sxg+W86/mp/YdF/vOG47NcH0FfeWXkQiBgLgAfK5c9aaOolItkcOKcs5e+bnQIA8FMr8fNl0jI6FJxCEL292H5Rct+yc/xdclTrAX9xAVj6cMsMzRSyS9wJ1S043sjofahQAnrHa3Hwn7Jf/rsKEgBUKVQI0rq/nJIaNjTayAT11qkJnjEZAIAL3wCOoDYm3SGqCTXq4MC5PaMPgLCw/Pzi5+w6oVqFxfIHiy+2XYSNJ6VKzk5yfnHNWHAA7Dzw710lI57fn2nRpHTfKxztzn8F4f03UZwcQoOhATx4cOCwLJldWZAPH5c1ah2Q5HDtZFB9YLaZsTxlOebFz4Ofys+pa9w1PQkPzE3BC7dIz0iYGDERSYFJ3lHaWXEQOPL/gB3PE32wUYBbDBsO/gt4KQnY+4rsly5pL8HGko14Lf81j+ij0eBJm7UUO3++EH+5bbLnTAZ6mh3Bco6UF4ugprsGHaYOqBVqweTOneyv2Y/Z62bjZ7t/xq4ThuXtFptFkDBydp48Ky0cKeF6dJus2HSqVlLbCL8IqJWe0wUcQGcd0FwEKe8/AHhx3ov445w/Ijc6l93YJOALpDHGZDaiTE3K7aaNYZOlQd9Mx+uPo76nXv7SppiJQGAcYDGQMs8p9wJB8fL2gb5MiPHh4536oC8dF43oIC16LTacqZFWOw4AN4+5ecA4PEq54wYeNU50k+0V2wEAmSHSy8LkYEoUcVmt7JQuAiwa+nrUnpT90kfrj5Iu/JwT/3SV3CjyOTajFWolh184aXEtC+1V5Bg/jezQieBALckSDNWGQqFw/6OFClcz26kEAHoPZ5CpQQO5aoUa0f7RTl0jUKfGhHgSBF4nUYybZjecbTnrlJCtrJz5hBz1EaLffzTDJCssSzDf8eHjcqS5t5mtMVL2jcCkO0Vng0ohMSgRf1n4F/x7yb+dvkZMsA6/v2E8xkQFSG7782k/xze3fIOVY1Y63b9sCNlA4kXeTzWdwv6a/egwSZ/jyoGQkcbK8AIAguIAm6lvHiwjgj5VxDi3GjVQaPDkbPNZpEb4Y+k45571suAfAfyiBLj3sz4zrxHw9IZ9XEAceq29ON9yXpCykR2GOmlF7UWw2C0I1gY7LYGiUHC425GV5ozpitdA5YtiJ4t+/wHAmNAxuCXjFoTpwkY+2Q34AmmMOXx6K7qVCmjtPGaPv4ZJH1OjiIbZgdoDWPrpUvxq76/k7YDjgDEOzS19GHDTf4CEqfL2gb4bNE29l4paqcCqGUSI9oM86foXdCHu8ZR/ngfaHDfHsdeKbkYDGbQ81t3Q0jCD1QCTlVEwcsKt5GhoAczy6jPQTEQpRhdyIpRDclYsytYjIkCcwDoT6ARfpFsi0GfUkBrimXLU5SnEYdjO2wWtO9mZ+gA52kxkN01GWntJGYurLkTPLCPv35YeM45XiDcdyAjNgAIKdJm7kFfHzplZFNXHyDFhmugmnhLg9uHDnRS3FWPxhsV4dNuj7ALeMx4Bbvl/QJr7xdhZ44y4NzPoQlKCW+Ka82vw6PZH8UnRJ4wGNTx0w6XR0MjOJZvKmTCQkXFVn8pVssKyoORUaDG2oMHQ4JExDMA/vG99JwJPv34pQSkIVAfCZDPhYhuj6qH4qUBkFjB2OWDulvXSQeogPDjhQdyacatLgdzbpiZAo1TgdHUHzkpMHPn78b/jlo23IL8x3+n+ZYFmPEvYSPBGvOiJcnmSX0KyhJKsKmg1zqWxj8SUaJIJVNtDUjzLO8vlf8DRTIyL2+W9rgOLzYKTDSTLyNlAGgDcPSMRKgWH4xVtuOPNPOwpahLdlgoXlnaUetZwoHw/4CjxwpR7RTWx2W3C33x+gmduSjNiZwilGuWd5Ww6yb4RcGjZ4fQGWS9N9almxUkzupCLKHU67NYAcByQEC+tLE9WbFZScgJIEqBt6CGTQloi6G4i9BHCDunW8q1sOglP7xO/rzwo66XrDUSTbmXGSpeus3BsFIIcpgMvfyveYVStUEOn0gEAdlXucmkMLmExAgbHfZsGzkVAM/poibQPH5cjKcEpRDDf0oWqripPD0cSPM+jorNCtrLK4xVteHztCXxxslpyWztv94hGlkBPC9DgKKOXEEjzdCAjUBOIT2/4FIdWHUKghpHTZGB0n4yMzHq4nn79VJwGMJMstANVJzwyBlcQDLk8pI+m4BRCMJdZ0oNKAzx+mCSNaOV9jycGJeLpqU/j6alPu3Sd8AAtrnFo630k0XSgtKMUxW3F7HUOR6KMGuqJ37BZV7gO6wrXCesNb8AXSGNMaQe56SQq2JWLhenChMUDTXUsaBG/gBJF2kKAUwBNhUBrOVBzAmi6INvlC1oLBLdHVwJpUUE6QbjzSFkr1hwSn5kWpguDXkXKiNYWrnV6DC6T7+hbEwAEihMhPVx3WPh+QYL44IecqBQq4QHHLCNIqQICHKnw57+U7bJFbUXC5N5T+kovby2CvZeIKLfaPfiAO70eMHcBKj8gWlx2T7e5G2Y7WZS42zG2P3H+cQAY6kUCfQ99GfUzeJ4XMqrkmODfMZ28jy42dcNqE79opTqHzJx3xUDLOsGJNrqw2q2CUUiMvweFm334YIxaoRZE+pk5FAOA3U4ygurkKyGt66nDii9WYNH6RbK4jh4ua8E3p+vw/kFpFQj/OPEPzFk3x2NZXQD6stEis4GASFFNOkwdQvDUlXmyq4wNG8u+rK+/e6xMmG1mFLUVAfBcIGj3hSb0dpN5SkW3fGsoybRXAu+tAPb9TVKzOP84xPnHefT9R5MePF495GFWz0rGypw43DZVmtQSzdo/2+JBPdy2cqC9AlCogCTxyQvvn3sfLxx+AWWdZezGJhFfII0x1SA762NC2JabUH0lukMk+wTLLxRImE6+3/xz4L+Lgbz/yHb5AzVk14kDhzEhY1y6FjUdAIAdBQ2o6+gV3ZYGIo/VH3NpDC5R4TByiBHvyLSrimSQ6FV6pwV85YAGAZhO8KkQcl2+bJek+nJqhRoR+gjZriuFb8/Ww9w6B9auLHAKDwoPn/2UHHXBgEitMzo5jfKLQnZ4NquRjQid3JV3lLPrhGYPyGg4cLH9IlqMLVBA4ZST3ff55TVZCPfXoLXHgh2FjaLb0fJ2j2a60HT/gGhAJU4r82BtX3bgvHifY6ePy5txYeQ+R/WemHD4DeCNucCuF2W7JJ0XxPjHyOI6evtUUoGQX9WOc7Xiy5s0Cg16LD1sX7+RoIE0CVnfdIMyMTARwdpgFqPyHuhzVkZjs+L2YljtVoRoQ4RNN3ez9kglLB3TMCvgJ7gr606PjAEA2Qgs3wcUbZHU7Pm5z2PrbVvd7mzfH7esMwDAbiNJI3abLJcz2Uw4WHtQNn3DGalhePWuKZiaLE0rjDrHevT+114FBMSQMlqRWX8dpg5hwzQ7zHPrjO/jC6QxpKe3C+Vq8gGcIUHryhmmRhPNMqpNxeQGQ8s7TZ3kKGNGxtEGIvQuh6PI9JRQZMWQD6adB9YfFb8opAvxsg4PRru7HNpLY1eIbkKFh50Vr5SLaD3JFmNWWgcAEx0ukr1tgFGeEuYzTWRni47f3Xx5sga9FhtshnQo/CpR0u7B0k5q5CBhl0jQN4zw3C4l0FfW3GHugN3OyJUt3qEP2VkLVB6R5ZJfl3wNAFAr1bIEwjUqBW6bRu4FayWk/S9KXAQA6LH0wGg1ujwOp+hxlHXOekx0k91VuwEA/ip/oTzVh4/LFZpNw3QhmTybHCsOkHJ/GRCE3mXKZokM7CtvknKfo6+fRzMyWhzPeAn6QJ4uS6Q09zbjDwf/gEe3P8qukxTHhkjjOeIuKQOVnZVQcAqMDx/vEaOBmvZe7L7QCHtvMp5bcA/iAjwTzAPQz+jCMxUsrkArX0raS2CwyKuVLMDzwD9zSNKITFm5BS0F+NG2H2HlVytluZ6z0PtfZVelx0xLkDof+HkhsGq96Cb0/udtGwm+QBpDDuR/A5NCAX+7HdOyFzHtizp3NhpI9gEtE5IVKkjZUABwSqCtjKQHywAVjcwMdd0liuO4AVlpHx+pEl3eRLMZ2k3tnrFHb68CbBYACmDKPaKb0QwSmvLsKagddrupnd0Dbux1gNIhxF8tT+agUkHsx28ac5Ms15PKv3eR939MiAYKlYGNzqEYjJ0kQAkQ1zaRUNFST0/wr0q8CpxDQ49ZVlpAJKD2J9/nfyjLJU80Eq0UOcsS755OXJ32FDXhSJk4zcc5cXOE7/dU75FtLKKxmoDKQ+T7TPHmPLTEg5am+vBxOUPvs+dbzsMmU7bEJcRMIlnJpk6gXp6FpBAIkrGs7h6He91X+bXoMYkL+NHXr7yjHN0yi4mL5r4vgSdPAelXiW4i6FN5+DmrU+rwWfFn2F+zX9CWlR3/CGD6I8CyPxNZGRm4NvVa5N2dhz/M+YMs15PK+qNVsPPA7LRwpEVKd5yVDZ7vC6RJ0OfrMnd53tEbQJQ+CtenXY8fT/oxrLw8Qf5L4Lg+aROZkkZYBcIv1Hfh91+dFW06EKwNRmJg4oAxeQSOk+TW6S33v+/jC6Qx5FT5TgBAikULpdL1NPbhiPOPQ7Q+GnaQ4E9Ndw3aje3ydhI7BdCHE/2kCBIwkUO/oNfaizYTWbzLJfS+ckocArQkOFLfacSuC+JMBxYnLgZAnC894mhC0/3jc4lDqggsNgu6LWQy6Cl9NMr0mOnC98wW4gpFn5tpzVGXL8fzvPAwmRXrfqOBhk4jLjaSv9/DczMQ4UdKS5lm9Q1F/kfkyCmAzOWim9HS4gC1ByeHAPRqvbBbeaGdof5ItONBLtMEi+o9yDlBSInwR7Afye59eUuhqDYqhUqQB9hbLV/GsWgq8gCrEfCPAiLFl7gKGwk+x04fVwCpwanwU/nBYDWgolO6Q7koFMo+90QZ7nM8zzNZCM1OD0dqhD+6TVZsPFUrqk24Xzhi/WPBg0dBq8x6wlIITQE0/qJP97TQOyVAE4CUoBQADPVwAeD6V4A5PxE9FxaDXq33iI6m1WbH+qMk8eDumUkoaCnA++fex8nGk24fC1ouksoXpRZInCG62RM7n8D89fMFKR5P8tL8l/BozqMI0gSx64Rm68kVSGtmE0h7ffdFvJ9XIUkTnJZ3Mkm6GQmrmWhwSoTeazypzzcYvkAaQyq6iG5QopL9TZvjOCErbXrMdLw4/0X5xUAVir7dM53j5lXueiCtsKVvkSdXIEOvUeH2aYnCv9ceFneDCdGFQOvIdtpRuUOWsUhCcDERv0tU0UX+bypOhblxc1mMSjQKhUJ4sO2rlk8k9hJS5JvgNxoa0dzbDAUnjz6VVF76lrz/1UoOD85NgZIjAWCPvP8KNpFjULxofbSGngZY7BYAwOzY2axGJho6SWE6Qci6nhzbq5yaEPTHbrej01EuL7e+1+1TSYbWycp2GMzidm5Tg4hxTV13naxjEcXe/yPHoDiyWykCs9WMHksPAGBhgnj3Jx8+RisqhQqP5zyOP839E8L9wtl1JAi+u/6cre6uRqe5E2qFWshclwOO43D3DDLXk1TeSXWWPKkTJJF/LP4Hnp/zvFcsJIXy4lH0+nmSnYWNaOg0Icxfg2vGR+OLi1/glWOvYFvFNvcPhuqQJs4A1OKkJOy8HedbzqPD1IEoPTvzPK+C3v8q80jwx0VYZOQCwKqZyQCAjadq0WW0iGozIWICUoJSPKOpffID4JUMYM//SWrmy0i7AqkFSXlOC53klv6oULROqcOKtBXQq/Xyd0J10qhmQdlekibsAgdq+4wG0kPSXbpWf1bPSha+n5osPn2UipC6fafIZgXObCDfx+aIbkYdWidETIBWpWUwMGkkB5HXnWnKME1Hr8gDettdutSnRURcP0wX5pGHSkEdCaIsHx8DhUIhlDdTAX+3Uu9wQUoWH5ClE0Elp0R6qHyfX2ehi4xDdYfYdTLlXnLkbX2TUic51nAMPMg9dEmivI6nTy/NBMcRrchXt4l7P9037j4AELJc3Qp9/0nIRjtS36dT17801YePy5kfjP8BbhpzE1utGJqRUXnI5YUknQ+MDR3rsg7u97ltaiKyYgJxU04cbHZx81GP6qT9v8XAuruBNmnZhGPDxuLmjJvhrxafxcYKanjBNCMNIJtV+WuBHnHyBENxrvkc7tl8D/598t8yDUwaM1LD8LsV4/DTq8ZAq1IKmfMeCUQK+mjiN57KO8thsBrgp/JDanAqo4FJo9HQiIM1B0c+0VkiswF9BGAxADWuOcH3WHoE7W25A0HTU0IxJioABrMNX+aLy8pdPW41Nt28CavHrZZ1LKIo2wcYpOkedpo7UdtN/m+eNDQbDF8gjREmUy8q1SRTIWfMYrf0OSmCBOzONJ9hV8dOM9JaSwGlGuisId+7gEZBMufiA+KhVsg3wUqLDMD8DFIm1yVSOwMApkRPAUCsst1K0RaAWsI7o5vh4XR/Sk5UDgAINz0mRGSS8kPe2leO6CR0Ia5XMQg8j8CZ6g4U1ndBo1TgjzeRiRXNymzpdW3iKBlTN2By6LJJ0OfLq80D0Od462lCtCEASCDSLMMu4qD4RwBaR1Zu/lqXLrWzkkgA+Cn9oNfI+x7016qQkxgCANhwvFpUG6qzWNxWDJPNJOt4hqWnuc/IJmeV6GZUFmBs6FhoVDJnYfvwcSUj40IyLTgNj0x8BDeOuVGmwfUR5q/BlqcW4OH5aVCKdLzOjcrF3Pi5mBI1RfbxDEtHNVB7gsz3/ELc27eMuMXwAgA+vhv48lGgdJdLlzndfBqnm06zD/wNQYhegwfnpeKBuSQIRYMpBa0FsNoZ6XwNhdof0ARKMhqgAb+ssCxZHHddpd3YjiWfLMGPtv+InZ6wQtFX/eJi9dX5lvPgwSPWP1b2LGKO47DKoRW59nClqPW/J8w2AJAKDicci4M0QchblYc1160R5Ee8BV8gjRFHz++AScFBZ+cxLWuRW/rMCsuCRqFBu6kdm8s2Y32heDcM0QREAbEk8w2T7gLu3wwEJw7fZgRo5sPcePnLEmlW2vqjVTBaxIny3jzmZgDEmcitwpo0G00X2lc6KwK6EKclWZ6G6swZbUb0mHvYdKJQkPJDACj8xqVL0V0iT+xyrD1CdqSXT4hBmD8JAlyTQkTWbbwNpe2uBaklUXUYAA8EJfRp44iAZs5lhMpXruMK/cubabYrE2IcelyVru2I5jflAwDiAtk4eD17DbGpbzdYcKhk5OBsrH8swnRhsPJW9+6Wn/iAHDklkCJ+gkUXRv31GX34uNyx83bkN+bjo4KP2C3EFQpg+UvA6i+BuByXLpUZmokncp/A3Vl3yzI0V8mNzsUbV7+Be7LFbxrJApXviJtCzBxE8lHBR1hbsBYNPQ2MBiaN7LBscODQYGhAc688rpqDQp8F5ftduoygT+UlG84pQSnQq/TotfaitMON8zwAuPl14NlyIGGa6Cbe4hhLCdGFID6ArAGYBkdl0kljXZZ4a24CtCoFCuo6kV/VLrqdnbej19rLZEyD0lQAGFoAtR6Iy5XU1F/tL1TeeRO+QBojTpXuBgAkWpRQa9xTbqdWqpEVThZNz+17Dn86/Cc2WS20vNNqBFLmAi5mAbAUEFySHY34ED+0Gyz49RdncPDiyA/8rLAsKDklWowtaDC4cdJSeZgc48TvkJqsJtT2kMwv+lDxNFOjpgrOiUcbXDcDGJIkRxkXLQdzArvdjnZTOwC4XV+uvsOI9UeJSPpd0/uC0dH+0UJm5nfl37lvQHSikLZQtD4aAGES7S2BDI1KI5ge7K7eza6jyY4FoanH5fJ2gJ2+16z0cIToHaYDW0c2HeA4DjqVDgDwceHHTMY0KBe+JceQREnvPzrB9wbdIB8+3MmPtv0ILx15ie1CfNLtQPpi0VpKnsRoseGz49X47ly9p4cyNE64JQLAu2ffxYtHXkR1t7jMYtbo1XqkBqciMTARTQZxZl5OIVNGkKcCQRabHQ+/fxQbjlXBbO3TU1UqlMIzyyPlnUoVMRQRCR2jNz1nBZ1DllmRY5YAC38FLP6NS5e5Kukq/H7273Fr5q0yDWwgwXo1rp8UC0C8VuRbZ97C7LWz8c7Zd5iMaVDo/S9plsuxA2/BF0hjRHk70a2K49xb7kTLO2nqI5NIPQ2kXdwBuGi9XtNVg9NNpwGwuUErFRxWzSQpr5+fqMHre0pGbKNT6ZAeTLSemArm98dqBrodQbvxK0U36z++mbEzZR6UcygUCsyIIU5ATb0MJ1g5NJDRSXY4nOB00+k+fapkefWpRuLFbwtg54nJwKy0gfeJSH0kAKKf5TZKSGajlHTrqq4qwX78muRrWIzKKZICyWf+TJPzQdYRmXQHoNIBxjag2Tk9OztvFzIir0+7Xs7RDeDOacR0oKi+a8Bkfiii9dEA3KB/059Gx2RYwgLTbDXjVNMpAKR0zIePKwUFp/DsQlwCLb0t2Fe9j7lcwfqjVfj5J6fwt21FoqsJmnubUdVZxXRcAjzvVFlTc28zGgwN4MAhO8x79IE23LABm2/ZzDabP3kOkfFouQh0OmeAY7AYhGCzuwNBOwsbsb2gEf83iHM2DQS59TlraJXcxGq3orCVjN9bMvqAfjqHLI2lQlOAxc8Bya4ZaSUGJuK2zNtkN5Tqz6oZSQj31yA+VNymh7/aHwarwb3PD5qRK3Ej4We7f4aXj7zsfskbEfgCaYyotZIdsUT/MW7td1IkCaTRjCAmkfqE6UQfqLcVOPQ6sPmXQMvIAarB+LT4U/TaemU3GujPXdMToXboZuwrbkZFy8jlhkrHTs2Gog1MxnQJBZsAR0AHE28X3WxvDYnuB2oCZRfwdQUqpMo25XohmWABwMk1Tl1iRxVxxtQqtWxttL+H3W7HVseu+aLMSCi+l4EzNpSIrZd0OPe5kkx7JVBPAtpS0q3PN5O/r5/KD4lBrpV4ywlN/2a6e6/SAomO4LWTaf/lHX0CviwDQU8vHYvIAA16zDZsOz9ylu3UqKkAgDqDm5w7O+sAWgZOjRxEsL9mP+w8CQzK6QTow8dowG0L8dI9wNbfOK2TdqjuEB7b8Rie3PWkzAMbyMqceGhVChTWd+GkiPKmDRc2YPGGxXjl2CtMxyXQVg50VAEKNcnIEAn9+6YFp7ExEXMS6nDPFL8QIMZh2OZkVtqFtguw83ZE+UW53XGSZgfdNjURGtXAeR4N6hW0FrhnMDYr8I/JwD9yiFafSEw2E1Zlr8L8+PlICUphNjypTAj3oGGDFzI1ORR5zy3BU1dnijq/f0afW2SM7DagwlGiLcHoos3Yhm0V27CmYA00Su/LYnNLIO21115DamoqdDodpk6din37hr8Z7tmzB1OnToVOp0NaWhreeOONS8757LPPMG7cOGi1WowbNw5ffPEFq+E7RY3KCADIThD/sJQDGkij4otMAmlKNSn/AoCj/wWOvEmy05zgeD2ZmEXpo2Q1GuhPeIAWKyb36Q+tOzLy7iN9wFV1uWmn8swn5KgPByQIjp9pJhk3NAPHWxB2ipoY7hQpFH36fIWbnboEdWaN9Y+Va1Si+PxEDYwWEgD49XWX7ubelH4TAEDhrr2O4++To0INRIp7CANAVTf5fCxIEL+77g6uSiJmHb3WXhjMBnYdJTjKWam+l0S+LSPljClBKUwFfHVqJe6cTu4R646MnPZ/dTLJOjbbzGgztjEbl0CFQ8tO5SdpgbmvhswlAtQBPqMBD3MlzvM8DX3OMg+knfwQyPt3X/m1RNxVVhesV2PFJDLXE1PeRDdvmQvmU+iGS/xUQCPeedMby+r6QzczmJHqyF5xcsNKeP0i3Pv6VbUasLeYVGX0l++gzImbg4+u+whvLXvLPQOqO0UqOHpbgUDxc15/tT+envo0Xrv6NSg478m/oZmQtT21aDVKz7QTjbmHJDvk/cep5sVtxVhfuF7QE2YFx3GXBGuHIzM0E0pOiVZjq3tkjCy9wNQHSDZarHitM/p8SwlK8TqjAcANgbT169fjqaeewm9+8xucPHkS8+fPx7XXXovKysEfcmVlZbjuuuswf/58nDx5Er/+9a/xxBNP4LPPPhPOycvLw5133onVq1fj1KlTWL16Ne644w4cPnyY9X9HFEUVp9GiUoDjecydxK5cZzDi/OMQrguHHeTBRjNGZIe6StLSznLnHnA042Zs2Fg5RjUk9zpMBwBg/dHKEcubFiUuAkAsi01WNzjX0bLOeGk6UzXdNQDgdQKMyUHk9S5sK2TnqAMQjT4AaHAuYEf1vdydrv7abvK+Twj1Q2pkwCW/nx03Gxw4NPY2shXypRQ7tNjCpWVFsRZQdRZaWgwAu6pdc/saFmp4UX+G7PZKZGcVKad1x27gnY6J/P6LzSOaDlAhaQDYXrGd+dhQR8ozMVGafgjdSEgITJB7RD4kcCXO87wBGlgpbC2ExW5h15EguO1cRpA7hd6plMfXp2vRYRj+NXGbYD7FL5Rou1J5FJEIgUgvKqsDSADth9/9EPPWzWOsk+YIpDlpOMCDR7Q+2u3zlI+PVoLngXljIpAScWngNEQXgkmRkwRNUuaU7SHHlPmS9NG8lUBNoJAhxzQrrbsBWH8vsO33gBMbs7urduNPh/+Et067J2Bqt/PYfaERRQ3Dr710Kh3GhJCqObdsJmgDgKV/BO7/mmj0iYSuMzxhCCcG5oG0v/3tb3jooYfw8MMPIzs7G6+++ioSExPx+uuvD3r+G2+8gaSkJLz66qvIzs7Gww8/jAcffBCvvNKXev3qq69i6dKleO6555CVlYXnnnsOS5Yswauvvsr6vyOKowVbAABxViA8JMatfXMch4mRxE2OLsSZPOBoIK2DBHJQvp/Y2kqgy9yFTnMnAGB2rGv15yORmxSC7BgSyW4zWISyuqGYEztH+J5mPTCD50m6PwDME1/60GvpFdxWqFOmtzAmeIywEN9VyTCQMe0hcrT09pWGiYTneSHId2+2+HIyV6lr70VpMxnrI/MHD1zp1Xqh1M8t+hlU4yvtKknNTjScAOB9gTSFQiG42LYYGWoqTL7L8Q0PFH4tuXl1FymvmBQ1ScZBDU5imB5RgaQU58Utw5eSKBQKhOpCAQAHa11zJRUFXSBJcOsE+r1+EexfPx9DcyXO87yBxMBEBKoDYbabcbHtIruOaCCj5rjk56zNbhNK19zxnMhNCkFWTCCMFjs+Pzl8+Vr/56xbysPG3Qg8+C2w8Beim/A873WOiRQFp0CjoRFdli6285TkOcDt7wEPO7eps3rcamy/fTsemfiIvOMaBovNjg3HyPuPBnc9Ds3ok6DPBxAt4Q5TB4MBuc4PJ/0QL8x7gW2QJTSVuNnbLUDVIcnNqYabuwLhf95cgPvfPYo394xsQkPH5M3lsd56/6MwDaSZzWYcP34cy5YtG/DzZcuW4eDBwSfneXl5l5x/zTXX4NixY7BYLMOeM9Q13U1xI1lcxtsuzTRxBzQ7yV9NdkCYPOBCU4CwdAB2ohXU29YnFi2S/hFw1o5/HMfhvjkpwr9HSvvv7/y3p3oPy6EBTYVATxMpa4qfKrpZ/wCftzgmUhQKBYK1xNp9f41rtuXDEj+VlHfyNqBS2gOuursaneZOqBVqQZPMHbzwLVlUqJUcVs8aeoJFtTw+KfqE7YCaLhAHXgDIvV90s9L2UiFIlRTkJRPFftDyztJ2ho52Gj0pxwb6yrNFYrQaYbCS3c3FCe4JhN+aSzK3Tld1oNs4fAYdXWBSkWFmdNYCtaTEWnBoE4HRakSPlSzqFyaycTz1MTJX6jzPG+hvOMA0kBGaQp6zdovk52x5Zzl6rb3wU/m5RV+J4/oMptYerhwx21eQoWhhKEPhAi3GFrT0tkDBKZhXbjiDsBBnmdGiDQTG3wz4R7h0GaUbs7B2FDSgqcuEiAAtlo6LHvK8M01n8L95/4v3zr7HdkBWc99nV0IgzWK34IEtD2Dex/OEjStv4ob0G3BD+g2I8HPtvTEsHNcvK1d69ZW7A0HXTSRlu2Kyct2ms2mzAkXfASbpFUp0bN5a2s40kNbc3AybzYbo6IE3kejoaNTXD54RVF9fP+j5VqsVzc3Nw54z1DVNJhM6OzsHfLGkxkiCNHFaz4hvT4wgGWlapRYfXfcRZsUx0mkb43A51DtuYBLT/g/WkAkxBw5pIewd127KiYO/hjxIey22Ecs7aXCAqfMfAJz93NHhTBKUFEmPhSwix4ePd+sEQSx00sxUSJXj+qX9S3v/UbfYsaFj3WbUwPM88ivbAQCLx0ZdYjLQHxoIZ/7+O/4eOSq1QHSW6GbbKrYBAFQKFWL83Zt5KwZqeME8ZZ2aM1QfldRsT1VfgJ7ZPfp7PLlkDBQcsTX527YLw55Ls4RNNsal7cfeBcAT7c3geNHN+gfo58TNGeZMHyy5Uud53sJjOY/hg2s/wLWp17LrxIXnLL3/Zodlu22esnJKPPQaJSIDtejsHX7DgC4kmTr/AUBbhVOOiRF+EchblYePrvsIfipxbnzuxC2BXBew2C3uEVL/HmH+WszPiMCd0xOgVg49z6vprsGGog34ruI7tgOqOQZYewH/SCBS/DyvpL0EZrsZgepAxAeIfz5fdgg6fdLufwMcd91Umkizck1WO74YISt3cuRkzI+fj9lxbKvCUH8KWHs7MbuQUL3WamxFXQ8xvfImx+L+uEU1kOO4Af/mef6Sn410/vd/LuWaL774IoKDg4WvxES2Aa5ARQBiLTwyoqYw7WcoJkRMAAcOLcYWxAXEsXPWoeWdFkfNuMRI/fFGYjQQrY9mZjTQH71Ghdunkb99RIB2RFHGKZHk70d1yJhx1FE37x8pqRmdoPbXg/ImcqNIgIHeBJkR6yjrOiHNuXNNATnfLc5TDk5Vd6CqrRcalQIv3zpx2HPpg4252HsJ0emSYjIAAEfrSeAoys+9LlhioQukC60X0G5sZ9fRhJvJsbuB7PqKpL/jLkujgf7oNCpMTSYlm5+dGH6CdXMG+X+1GFtgsEjXBREN1ecLSZHULL8xHwAxGnDX6+djaK60eZ63kBudiylRU9i7OTop+O5OfTRKkE6N/c9ehbWPzEKwfvi5ZX+HcaYBl22/A/4vDTj6tuSm/mp/YZzehtuc/3rbgD3/B3z6kKRmawvWYuH6hXjj1KVmJiyZkRqGDx+aiWeWDZ9FSAORF1ovwGJjqHPYv6xzmPvy9+lv1DDc/dyTnGw8iffPvY+WXoYyHnQjofakpKwq+vqlBacJm+Os4TgOd89wZOUeGT4rd2zYWLx29Wv4wfgfsB0UDUAmziRGcSKp66lDuC4cKUEpCNB4pspvJJgG0iIiIqBUKi/ZQWxsbLxkp5ESExMz6PkqlQrh4eHDnjPUNZ977jl0dHQIX1VVbJ0Y//bwd/ju4bO477pfM+1nKPzV/oIbEc26YULKfOLy1+tY6HdUE70vkVANo5yoHAaDG5zVs4kI/s7CBlS3Db84vDqJCMLaeBts1FRBbkzdxEEHAMZKM6bwlBORWGhpnclmYquvQDOCDE1Ap/igXWUnyRx1p1D52sMVAIDrJ8Yi1H/4AB51TrTDzna31+j422TfKKmZYBTixrJYKUTro8GBAw8e2ysZCuaP7yeQf+5z0c1oBgQ15nAXzy4nu9EdvVbsKx5aPzNSH4kofRTsvJ1teWeT49ppiyQ1M9tJ0PL6NPca+vgYyJU6z7vioAvJLmkbBquyV+H5Oc/j2hSGGXODEOYvzsV3bNhY3J11N3429Wfs3CftdocOJA9Eeed8zVnGho2FglOgubcZjYZGdh0p1MCel4Gzn5LsPpGcaz6HNlMblJxnqjZGCj4N0DlsZ6lzOA+Y/jAw7iZJzbxdnwoA/nToT3jl2CvIb8pn10lIIilx521ARZ7oZrRk3N1GISunxEOnVqCooRsnKt3gvD4SNJNZgnwHQN53u+7YhbXXr2UwKHlgGkjTaDSYOnUqtm3bNuDn27Ztw5w5g5dizJ49+5Lzv/vuO0ybNg1qtXrYc4a6plarRVBQ0ICvyx2qk/Zp0af437z/ZWM4oA0g0WUAWPQc8Oh+STsdtT21ANxblpMeGYC5Y8Jh54E395bgXO3QAZ4p0VPgp/KDxW5BWUcZmwGd3uD4hgOyxC8Iu83dwgMuIySDwcBcZ2LERMFwYGflTnYdJc0EaEbK8fdFNbHarYLRxfyE+axGNoCadgM+P0GyG8WIz4bpwqBRkMXAjsodbAbVWQt01QGcApjxQ0lNqd24u8oSpdJfMP9AzQF2Hal1fdmkBeINB2q7yf1vipszl6elhAmLzFe2Dl/eOS6MLPqY6Ry2lvXT57tPUlMaXHbnRoyPS/HN8zzPd+Xf4cXDL6K0g6EeZEgi8NMTwNNnAZW4IBVANgpuzrhZMMFyN41dRpwcZiGpVWrx65m/xk1jbmJXetpUABiaAbVekg4uz/N4bPtjePnIy14r9u6n8hM27plu+GkD+jZNJZQXuzsQZLHZ8caeEjR0GkWdz3GcsBnO9PVLmQdc/9fLMpAmyHiwFswXstJOiG4iZOS6+fUL9lPjhklxAICPRtAEB0gJKrPnh62ftmaK9PUWx3EI1ATKPCj5YF7a+bOf/QxvvfUW3nnnHRQUFODpp59GZWUlfvzjHwMgu4j33dc3gf7xj3+MiooK/OxnP0NBQQHeeecdvP3223jmmWeEc5588kl89913ePnll1FYWIiXX34Z27dvx1NPPcX6vzNqmBRJyt2ONRzDhqINONPMSGdpjKO8s+6UpGZ23o6CFqKd5W4BwdWzSAbIh3mV+P1XQ994lQqlUJPNTGep4CtyDIyRNDndWbkTPHhw4ITMPm9DoVAgUk8CDMwCkZRQR1ZP8VZRpx+pOyJ8vyBBmoORs7z4TQGsdh5alQLTHOV1IxHtT7IvjjccZzMomm4dmwP4hYhudqH1grB7vyx52Qhnew63Cebn3EOOIvXEzDYzLHZSxrEibQWrUQ3JXdNJ2dv5uk6YrENn29Ksr2/KvmEzkBOOwLdS3VeiLQKr3YoLrSQI6M0T/CsF3zzPs3xS9AnWFq5l95yghKdL2iz1NHuLmjDnxZ34+YZTHtHJEqDP2aRZkuZ5dT112FezDx8XfgydSsdocK4zK3YW5sbNZT9GiTpVneZOVHaRIIK71hnbzjfgpW8LsfI/B2C3i3vP0bEx13OViNlmRlEbcXR3d0aVFPqXFzNl/s+AJ08BC58V3eTlBS/j7WVvCxU67oRu2Jc0dg/7XtxYshGLNyzGC4dfYDOQ2nzA3A34hQLR3lmi7grMA2l33nknXn31VTz//PPIycnB3r17sXnzZiQnk4VvXV0dKiv7oqWpqanYvHkzdu/ejZycHPzv//4v/vnPf+LWW/vKZ+bMmYOPP/4Y7777LiZNmoT33nsP69evx8yZM1n/d0YN1HDAbCMLIWY7HVQnrWwviTqLnKx8Xvw5ui3d0Cg0bjEa6M/V2dGICCCTmWMVbShuGLrenbokbS0XF6CRTG0+OSZKe+8eqCUZNsHa4GEF6z3NzWOIzlK7qZ1tR6mLyLFJnLHBrqpdAAC9Su8WAV+73Y5tBaTsYfHYSNFaE1lhpAyPWSDy1DpyTJkrqdn2ClIqqVaoEaFn6JbkItTNtsHQwLajCY7nU8VBch8cgaK2Ith4G0K0IR4RUH3iqjEI99fAYuPx3bmhXxuqc8gkoxkALjpKbsPSJTXbVbULRpsRKk7l9tJYH5fim+d5Frc5r1FEzvOO1R/DRwUf4WIbw5K1YchNDoVWpUBpcw/ySofWTzLZTDjZeFIw0JEdqk8lMRuD/j0zQjPcquUqlV9O/yXeWPoGe8Hy/oYXIt6DdLM+PiAeIboQhgPrY60j++eW3HgoFOLmecwDQaV7SEaQRA224rZiWO1WBGuDEecfx2ZsMtDfOZZpwDwsjZR3SthMCNQEYkbsDI8YcuUkhmDTT+bhy8fnDvtepFVNzHQiyx33v+S5kvTRWnpbsHjDYvx0x0/ZySvJgFtW4I899hjKy8thMplw/PhxLFjQlwHy3nvvYffu3QPOX7hwIU6cOAGTyYSysjJhV7M/t912GwoLC2E2m1FQUIBbbrmF9X9jVEGFDW08efMxu0HHTAb04STa/N4K4NWJom7WnxV9BgAI14W7xWigPyqlAqtnpQj/Hi7tVa8iAr6H6w/LPxBDG0BF0CfdKakpnWC5w07eFdy2U0TLwiy9QPvIacynmkgGpbv00dYfq4bJ4RL7m+vE74zSsudOU6f8Dzi7vW+CrwuW1JQ6xnqrHTWF6sxZ7Ba2+i3RE8hum7m7Lzg+DFQfbXzEeI8I+Oo0KtzjyMxdO8z9b2nyUgDk9WvoYRCMbC4mx7TFkprtrSLvW51KBwXnvRsJVxK+eZ7nEDJaWJc22SzAhh8Ar2SKcqDcUr4FLx15CV+VfMV2XEMQoFXhpinEaXC4+1xZRxnu+/Y+/P7A7xk8Z21AhaM0PlVa9judN3n7c9ZtJM4kWmmdNUDryGVo7i5LrGjpwf6LzeA44K7pI8t3UOjf12AxsNHp2/FH4J1rgDOfSGoW4x+D38/+PX486cdeazQAAJkhmVAr1OgwdaC6e3gTpSsJjuMwMSF4xL/dmJAx0Cg06DJ3obqLwetHM0iduP819zajvLPcbY7PzuCbgV6mKBXKAQ8PZpFmhaJvEVR3CuioErWQLOskGTZZ4eJtmOXkrhmJoAH6T49Xw2gZPNq9JGkJAJLZ19o78sRREqcd2UDggMxrJDWlTpi50bnyjklm6E7RxbaL6DR1susoLodMsABROmlVXUSI2l1OWG/sIcL8SWF6JIaLd1dbnrIcCihg5a3yB4IqDhDhVADIvV9a0y4i9nttqnsFpKWSGZopBFqYZZUC5D5Is6r2/WXE07+8+CUAINY/lt2YRuDO6eQemFfagpMVg2sIpYWkCSLNsmdr9LT06aNNfUBSUyrgmxQofrHiw8flCn3OFrcXC1UITFCqgcbzQE8jyb4dAbrh58ny61UO97qt5+rR3D146X16SDq0Si26LF1CKaBs1J8hhj6aQCKhIAFPOJ66QksvY4dnjR5IIFnmYnTS3P36rTtC5pULMiKRGCZ+npcQkIC9d+7Fpps3yb8xZOwgTpOA5EBGuF84bsu8DfeOu1feMcmMWqkWTK+YbyaU7QXWrQJ2/nnEUz8r+gx/OfoXnGliJK0kgR6TFY1D6PaplWqh+opJ0sN1fwGue0XyOpeOxVsdiym+QNplDC3v5MCh1djKrrxpDAk2CdoPZXuGPb2lt0XIaJkdyzgVfAiig3RYPp6k2nabrPj69OBujxMiJgiC+bI7//U0k2PUOEBCtL3D1AGTQ4uJBvq8lSh9FFScCnbYsaV8C9vOwhxacTXD68TY7DYYHQv4RQmL2I4JQFWrARUtZHL544XSypgDNAEYEzoGAIMH3Mk15KgNBAIiRTfjeb4vo2oU6FOF64gL4KHaQ2w7CiaZD6g6Mvx5ICUTABCqFaeVx4L4ED+kRxI78d9vGvq9FaYLAwAcqpP59aNZGpHZQJQ059eabmLa4TMa8OEDiPOPQ7A2GFa7Vbi3MKN/ed0wWGwWr9AxnBAfjMmJIbDYeHxybPBsC7Wi30JS7oV4SBJw47+Ahb8ElCrRzXieHxVC75RHtz+KRRsW4WDtyAFWl0iZR4KSve0jnjo+Yjymx0wXzNdYYrba8elxEkgTYybVH47jBGMk2anIA3g7KUsMdp9DvbuhwVI6N2WGoQW48A1QOLJu7JbyLfjg/AcobGOs0TsCX5ysxswXduClb4ceB1OdvogMYMYjpCxWAuebyUaML5Dmw2PQQJpGSQJczCL1NCPN6HAVGmGC1f+D6smMqtWzU4TvP8grH/QcjuOEhaTsEwRq0DBF2m4PdXDkwGFCuHffYACi4wYweP2+z5wnyNHQPOxpFZ0VsPJW+Kn83GI08OdvyMNAo1IIIu9SYPaAq3A4WUZLc1O70HYBrcZWKKAQNNy8GVreqVUx1pih5dm9bYCpe8jTWnpbBBF/OjZPcY1jM+FMdQe6jIOX5GeEEv0MKjosG0K6vzTdIIPZgF5rLwBgSaJ3byT48OEOOI5zn4yCIPi+d9jTLrZfhNluRqAm0G0SCkNxjyMrbd2RyiFFt+nrR7NdZUMfRqQn5j4hqVl1dzU6zZ1QK9Re68zen2g9MUZi/v6b+yTwbDkw76kRT31wwoN455p3MDVavFOqs3x3vh7N3WZEB2mxJCuKeX+ioZ9TidlovdZefFz4Mc42n/WsUYdI7s66Gx9e+yF+MuUnbDuiGwmN5/qSIQbBmwLhKeH+JGHkTB3aDYNnLLvt+SEBb3n9RsIXSLuMoXbjNHupvLOcTUdBsUBUvzd65WHAOrR73cEaElBRcArBNtsTzEoLQ4qjzK6wrmvItFdqhiCr85/NQnaKAMkLSZomHKIL8WqjAQqT128wMhzukfVnh9VvoRPl7LBs5nX3PM/jSBkZy9XZUU79vYI1JBD5efHn8g3Mbic6IwCQfYOkpptLNwMg6eDe7CRGWZxIAv1UeJgZmdcCjuxVnFo75Gk0s1UBhce1b36yOB0KDuAB/GXrhUHPoYYNshsOnCU6mYifJqnZzuqdwvfTYqS19eHjcoUuNpjN8yjCQvL8sAvJ/osgT+srrZgci0CtCg2dRlxsGnyTg2Y9MC8NE0mjoRERfhHIDM2EWuleHWFncJtOnzZAUmafu6jvMEKnVuDOaYlQKaXP8y62XcQj3z2Ch797WN6BORlIu9B6AX8+/Gf8dOdP5R0PI9JD0pETlcN+TuofQaqIAKB8/5CnVXVVocvcBY1C4/FAeE5iCLJjg2C22vHZiZpBz6Gf3/Mt5+XV6dvzF+DYO6I0NfvTaGhEU28TFJxCyBb2Vrx/Fe7DaaL0UcIu0T8X/xMPTXyIXWfpjqw0lQ6w9gLVx4Y89WQjqdeP1kdDpfDcA5HjODwwl5QDJoT6ITJw8IyVGdEzAEBejapTHwOWHkAbPDAIKQKLnWSOrExfKd94GDItmix2mYq9A0BgNBCZBYAHSnYOeVpeLQlgukM343hFG1oNFmhVHH67wrmgCQ02t/S2wG6X6QFX/B1J9weAKfdIanqi8QQAz+p7SYFOEKq7q9FOzT1YoFAAQQ5nq/ObhjyNbiQwK+WQgE6jwvQUknH75cnBJ1jXpBBdCytvle/1aygEqOZknLSs5P01ZPIarPFux2IfPtzJquxV2Hn7Tvxy+i/ZduQf0TdnGab6wJuyCfQaFf7ffdNw+NdLkBkdOOg5dJwFrQXyOcQ1FQGH3gAapW8iTo2eip2378Tb17wtz1gYIwQiWTsn9scy+OY3ANR216LL3OWecQB4eH4aDv/6ajw0T5p8B0Wv1uNQ3SEcrz8uJD+4TE8L0ODQ55LoGCvoU4VP8Hgg3OsQUd5OX7+xYWM9HgjnOE4oN153pHLQz2d6SDruzb4Xv575a/nuf1YTsO8V4OungR5pG7G0RDc9JB1+Kj95xsMI3yz0MoeWdzLfpRzzvRKbYW4wdCzjwjzvRHRzbjz0GiVKm3twqHTwiPnSlD7nurruwbXUJHPiA3L0C5FkBwz03aC93WiA4jbnRAAIdAR39v11yFNoaazCDbc/6hR24+R4xAY79zBYkkw+Wzx4+cpOTn1MjroQyY6d5R3lAEaPk1iwNhgRuggAwKaSoQNcspDk0HysPzXkKVQvY0zIGLZjEclz15Hy3E6jFbsvXPr5TAxMRHwA0X+TTevjxHvkqNIBkdJ2a2lmHM109eHDBxDhF4FIvXitS5cQyjuHWUh6mVD+7PRwhOg1Q/4+JSgFfio/9Fp7UdoxsiOkKAo3AVueBXb+r1PNOY6Dv9pfnrEwJiMkA2qFGp3mTvbOiUVbgX/kAF/8cMhTXjz8Iuasm4Mvir9gO5Z+BPupEax3LmgS6x+LUG0orLyMOodUhzRqHBAgrdyUBjLGRYyOeR4A7K3eiz8d+hP2VY9sROESIsrbhdfPS+bJK3Pi4KdW4mJjN46WX2oupVKo8OyMZ3Fj+o3yBf6qjxJDqYBoICJTUlOVQoXcqFwhEcOb8QXSLnNoeeeZZsauIUmzHdloRiBmMhA8tBZUZij5QM2Jm8N2TCII0qmx0mGP/mFe+aD142nBaQhQE1FuKnLtMg2O9HeJ6dY95h5hkucNO71i6O+c+F35d2w7i3WIyrZcHPTX/fWV5sXPYzqUytYebDpdCwC4W6L4bH+CNEHQKkm25PYKmQwv6I7nuJskNbPb7egwEy3E+QnSdjg9CZ0Y7K0ZXtfHZSbfTY7GjiHFkGkwmZZMepqcxFBEBJAF5itDlHcK+hlyle2U7iLHCOklDzTL4L5x98kzFh8+fEgjbREQP3XYz++7y9/FO9e8gxkxM9w3LpEMNs9TKpR4fu7z+ODaD5AUJJMbsFBWt1BSM57nR4UuVX8GOCey1lnyCwXaykggd5AsfZ7v23RMCU5hOhST1YazNR0uX4fjOPnLY8deDzy8A7hmZIfJ79M/I220kFebh/UX1mNfDeNAWvJcABzQXAR01Q96irc5Tgbq1LhxMqmYWHu4wj2d0o2WlHmAxKzGBQkL8P617+PXM3/NYGDy4gukXebQjLTDdYfxw+9+iL3VjBaSaj/HzQXApDuGLBez2W2CaHVOdA6bsUjk3pnJAIDNZ+tx938vdabjOE4QK73QNvhCUxLtVaSsE5BsNPDFxS9g5+3wU/m5b/dZBgTnRLmd/77P1PvJ0WYG6i4NHu+o2iF8z3qC/6evC2Cx8QjxU2NKYohL16JllLQs2iXsdqDCYfwwZbWkpkfrjwrfX5VwletjcRN0gn+xffAAq2ykXwVwDt29i5cGPZt7m2G1WwEAy1KWsR2LBFY5xLjP1XaiexDTAbr5sbtqtzwdtpSQ4xhpZgsmm0nYrR8tGwk+fLiLTSWb8Oj2R9ln3o69FnhkJzDr0SFP8Vf7Y3rMdMFsyBuoaOnByv8cwPX/3A/bIKYDy1OWY0rUFGHjyiWsJqDSMd+RuGFa2lGKqz65Cr/cw7hMV2Zo9iF122NG3BRAE0DkARovDTo1GBrQ3NsMJadkboi05Ww9VvxrP374wdByNmIRdKpaZXr9lCogYRqZl0ig29wtVB54S0apGPqXFzNFH0Y2EpJmExfP78HzPBp6GgB41zyFlnduOVePHpP1kt+bbCacaDiBLWVb5OmwvF8g7TLGF0i7zBkfPh4KToFOcyfy6vJwouEEu87ozbpkx5CnlHeWw2A1wE/lh7Rg7yjNGRcXhMkJZLJXUNeFM9WX7i7JmpFx8kNyVKiAxJmSmlJ9L5ohN1qYEjUFAGC0Da1pIQthqQCtpz/x/iW/dpe+kt1uxy5Hmdz8jAiXNSboBEuWEu2GM4CxnVjIx02R1HRnFdGe81P5Qa/Ruz4WNzE7jpRcthqlCZ5KRqEAxt9Cvm+6tAyypJ0EkKL10UgNTmU7Fgn85KoMBOlU4AHsKLy0vJMuLGXJbK7NBxw6j0LgWySnGk/BylsRpgtDjH+M62Px4eMyoryzHPtr9uNI/RFPD8UriQ7Soay5BzXtvdhbLLN5yvehZU3+UUCkNLHss81n0dzbjAZDA6PBsWF+/HzcNfYuzIyVNq+VjFINJDsqWgYpr6PzdHfoK1H5juzYIJevJXvmt5MUtBaAB484/ziE6cI8OhYp0NfvQusFQUuaGQ9tAx7cAkRfGijjOA6bb9mMbbdt85p1LgBMSgjG71aMw7anF8Jfe6k+eVVnFX6w5Qf43cHfua6TZukl90AASJG2kWC0GtFDk01GAb5A2mWOXq0f4Ix5voXhThHVSas4CHTWEaHV7/HKsVcAAGlBaR41Gvg+D87rW9SuOXRp2uuYUKJntKd6j+udFW0lx9AUyemuNJuPZoiMFm7NuBUAUN8zeBq0rEQ6diBp+Vg/6ASFdRDjg7xKWGxkx/u567Jdvt7cOJLt2WHqcN1w4MA/yTEqW7L7VX5jPgAImlmjhWXJJPvLzttR2MLYPTbNMWkYRD+I7pROipzEdgwS0agUgkjyR46FQX+oTqSNt6Giw8WygJNryFHlR+6BElh/YT0AEtjzCSD78DEQupBkOs/rj6kLaLi0rzdOvYGXj7wszFe8BZ1aiVtyybPro0OX3uesdis2lmzES0degsXm4kK8v1uixHsV1VfylrIwsSxMXIjfzPoN5sbPZd8ZzfIbJJBGyzpZv34XG7txuKwVCg64a8bQcjZiodlfF9svwmh1cdP5whZg4xPDGm8NhbfpG4olKSgJgepAmGwmYdOSGSNsxHMchxj/GCgVSrbjkADHcXhwXioSwwbfBE8NThV0Il3etK86TCqDAmOB8PSRz+/H3uq9mL12Nn62+2eujcFN+AJpVwC0vBNg7KgTmQUExpFduL9lAxt/MuDXdt6Ow3WHAQDJwclsxuAkyyfEIEhHggpf5teg63vlTdlhJBjSae5EabuLQrQ0UyVtsfSmvWQX2FMHBQABAABJREFUlfmOn8zQB3JFZwU6zZ1sOxt7LTm2lV/yqzoDMYtgbdTw1n7yHkmL8EdciOs7olclkWxPHjwudrhYnljqCAbrwyU3pVpj3lSWKIYIfQQ0CqIDtq1yG9vOqKNT9bFLLL/pAsmb0v0pd0xPgIIDjpS1oqhhoNtZfEC8sPGxrcLF16/KkS0jMUsDIDvlABAXEOfaGHz4uAyhmcsl7SWuL8RHoiIPeCkZ+HjVJb/6uvRrrClYw95cyAnucZQ37SxsQF1H74DfKTklXj7yMj4q+AjF7S4KvvcPpEnE2/SVvBLBOfEAYBtYpuau5+y6IyQYe1VWlNNmUv2J1kcjJSgF02Kmod3U7trFCjeRqoySSzeUR+K2zNvw9rK3cf/4+10bg5tRcArhHkjfA8zpbQNM3e7pS2bs3ytvVyqUwlrX5fLY5mKAU5DPqcSNhHMt58CD9ypZgOHwBdKuAGggjQOHTnOnfIL534fj+tXi80DN8QE3mIrOCiHdlmbYeAtalVKYYJmsdnyVXzvg9wmBCVBxZCG5vdIFwfe2ChJoBIBcaWLZ5R3lsPEk3ZZm2IwWgrXBiPMni1/mjjr0dbVb+7TAALT0tsBsIyLDLF+/0qZuVLeRCfpji6XtxAxFgCZAeMBVdLqQEWQ2AA7XQ0y8TVLT/vqGVydJ07byBqL9owEAx+pd1zIZltAUYrzCW4Fj7w74FdUYSwx0ffdabmKD/ZCbFAoA+Nn6/Et+H+lHNBldLhtzmAVg5tD6SkNBdUeoZqUPHz76iNZHI1wXDhtvk0fPddjOHEGKtjKgo8+lscPUITyjvFGofExUIGakhsHOA+uPVg34HcdxfeV1riwkrSag7jT5XmIgzWKzoLCVbLZ644bLSBitRuQ35qOso4xtRzETieu4uQuo63PJ5nneLYFIo8WGz06Q9/0qF8yk+sNxHDbdvAlvLXvLdekCJ40uADLfnBE7w+sy58VAN+2Z66QBwBc/Bl5OBQq/HvDjn+z4CZ7Y+YTrSReMOF/biYffP4pnPrnUXV42w4sZjwDPlgNX/0FyU9q3Nz4/BsMXSLsCEAJpjqgw00h9uiPLSqEmgYyqPnH5U019H9rJUZPZjcFJ7pnVlyX37oGySzL3ovTEPvpInQsLyRbHLqd/FJkISGBrOSkJVSlUiA8cXaV1AMlIBMhuNVOCYgF9BPme1ugDwuRUp9QxTVl/YTPJmtGpFbg1N0G268rygDvzieMbDhi3UlLT8s5y9Fp7vUrfUAo0EMlsI4HCcSSdHQCKvhV+XNBSIGwk5EaxzYh0lnljyOfmXG0nOr7nbCeLYUNHDdBaSnYqs66V1LTZ0AyznYxpNAZyffhgDcdxwrONeUaGLqhPY7NfGTtdwCYEJCBEF8J2DE5CN03XH62C1TZQKkFYiLvynFVpgV8UA/dtlFy+XtReBIvdgiBNkFduuIzE34//Hau/XY0NFzaw7UihJC7Z0x4EtH2awVbeiqdyn8KtGbciI1S6K7RYvj1bh3aDBfEhfliYGcWsH6doKwfaK4kOc9IsT4/GrdDgM910Y0pAFAB+wP3PZDPhQO0B7KraBa1KBtMSBtjsPLYXNOLr03Vo7Rk4zxMMQ+SQB9AFA8HS1qp23i70PVpKi32BtCsAKrhJAxmnm08z7OwqAFyfmHS/G8yBmgMAALVCjaRAmezFZSQhVI+FmWQhWdLUg9PfMx0YGybDQpLuEmUslZzuSh0To/y87KEtEjqpoa57TJn6A3Js6JsMU82EWXHsJhY8z2NfcTMA4JrxMbLqONGHSv+AtGTOfUGOgdGS9dG+vPglALJA8ibdB7HckXkHAMBsM7Mrb6fQLIR+7z/qhKRRahBBA71exmOLx0DJceAB/GXrwIwWWk7eYrzUpUo01MUpNodMsiRAM4EVUCA73HXdQR8+LkdoFs7pJobzPEqqo7yun04VDUD1lxTxNpZPiEGYvwZ1HUbsKRpoOkCzIFzOaNH4A2kLpZc1UX2q8PGjUgdS1oX4SFz7ErDi7wNkAtQKNe4Yewf+MOcPUCvUzLrecpbo/d45PRFKhfx/J5ckUOjnMX7agCCjGM40ncFfjv6FfeUII+bFz8OeO/fgtatfY99ZyqU6fQUtBbDaiSESrcLxNiYmBGNCfBDMNjs+O1494Hc0EFnYWig4zLuTqq4qdFm6oFVqB+i7ezO+QNoVgEqhErJZdEodODB8OOvDBjoBlvfdjKnjW1JQktdOEB6YS0To/dQKpEX6D/jdnDjiEtRqbHV+IU4Di07oZlDNhNESpf8+s2JJAKu5t5l9Z6n9BN8dfytBgJZhuvCh0laYrHZolRx+LYPJQH+i/RyliQ3HnH/A1Z4kx8TZkpvSQLg3mYRIISc6BypOhTZTG2p7akdu4ApT7iVHi4FkYYH83QB47eQKIKYDM1KJS9f3y9uXJhPDATtvx8U2JzcT9v6VHEOla2RSx+Iwv9HjIubDh7uZHDEZfio/KDk3bHYIOlV9z1k6z/PmeYpWpcSvlmfh9XtysSAzcsDv6LiL24rZ68wNQpA2CLlRuZgWM83tfcsBXYgXtBZ4ZCHuLv6zKhdvrp6Ku6bLmzXYbe7GtZ9diwUfL4DBYnDuIlQH14l1Rl5dHj44/wE2lW5yrm8Po1fr3ec0mjSLZP11VAqazPT+NylikteucwFg1QwyB1t3pHLAejY5KBn+an8YbUaUdjhZmrrrBeCtpcD5ryQ3pRsJY8PGMg2Ey4kvkHaFQHcHV6StwC+m/4JtZ4JOGsjC3dgBi82Cuh4i9D4lcsoQDT3PgoxIJIXp0Wux45vTdQN+d3UyKSfiwTu321abD9SeIN/TCagE2kxtAIB7su+R3rcXsDx1OQDi/MfcOTFxJikv7qoFKonBBS3JZak7stYhPnvL1EREB+lkvfaM2BnC9zSoJQlDK2BsJ99Pkf4eoiWRkyO9ryxbDFqlVsiKZG4vnziDTLAA4MQHAICyTqIZ4+26I89dR1xvu0xW7CjoK4+I9o8WdNKqu6oHbTsibQ4nrSjpn0FqNJAePDp2KX348AQzYmcg7+48vDD/BfadJc0iz9mOKmEhORoy0gDgjumJuHZiLNTKgcsgl3XmetuBNxcC3/0PYLdJbr48ZTnev/Z9/HDSD6X37QWkBqciQB2AXmuve6oPbBYyx3O8/3ZU7sD5lvOCjAIrVEoFrhkfgyiZ53kBmgBYeStsvM25rEie78uQSpOujyY4xo4SfSqPog0A4h16rY4kCZoJPDHSu+9/N+bEwV+jRGlzDw6V9pliKTgFnp/zPD689kMkBzlpCliyE6g+4pQJg7ARM4r0IX2BtCsEOqlxiwDjmCXkyCkA3g5U5KG+p17IhKOZXd6IQsHh3lmk7PSDvIoBkfoIvz7nvz3Ve6Rf/MSH5KjSSa4bbzI0odHQCAWnELSeRhtR+iholOT1+7b82xHOdhG1HymtAICj/0VVV5VQkpYUxKasuK69F9+eIVk8q2bI34dOpUOAmqTp76jcIf0Chd+QI6cA0pdIamowG2Cwkt3RxUnS3Wa9hVAtEdNfU7DGDZ2lkGPRFpitZnQ5RPYXJ3r36zcpIQSRgUTb42/fFQ34HS3vLGgrkH7h8v19C0uasScBmh2yKGmR9L59+LhCUClU7iu91/j3W0juRae5Ezx4KDgFssKy3DMGmemvM+dUIKjiAFCXD1zYQnS8rjAUnEJYb7ilvPirnwDvLAPy18Fqt+JXe3+FO7++E1WdVSO3dQKLzX6Jrp7cTIogm21OyXj0NBHZBLUeSJguqSnP831C76PYMfZQ3SH8aNuP8PKRl9l31j8rF32BIG/fSAjQqnBjDlmHUvdZyrKUZciJyoFW6YTGm7ETqHEkjKRKTxiZFjMNN6bfiHnx86T37SF8gbQrBJoFUdRWhF5rL9vdmoTpgCaQBNEWPAPETUGkPlIISnn7Dfr2qYlQKzmcr+vETf85MCCYRheSTpVNlO4mx0jpE8zDdSSrKi04DXq1XnrfXkJCABHfd9n5TwyxjsypyoN9Rg2cilkg7TdfnoHVDiSG+mFiAhvb5pSgFABOTrBaHdlA41YCCmm3/q0V5PXjwGFWzOgVr43wc2ggOvTymJLmCJg1XcCemr7A+4J46eUW7oZuJpyv60R7P9MBeu92SsicbiRoA4khiASae5vRZmoDBw4r01dK79uHjysQ1lk5AICZPwKuewVIvwpBmiDsvGMndty+Y1TMUwxmK/65oxgr/3MAln7BkV9O/yV23bELt2VKc7YG0M8tUfp9vtPciW6z9CwOb4OaiTHVY6YkO2QqyvaipL0ERpsR/mp/pASnMOluY34t5v/fLnyYV87k+kDfeu1M0xnpjQOigJ8eA54+R0wvJFDfU4/G3kaoONWo1iE1WU04WHsQh+oOjXyyq/TTiWwxNKOmuwYcOK9f5wJ9pitbztZfYjrgNBUHAN4GhKUDIdLXWkuSluDP8/6MBQneP0+m+AJpVwjR+mhE+EXAxttw/efX47cHfsuuM6W6bxKh8gMCo1HYWgg77AjThblu68yYUH8Nlo8nC73T1R04Udkm/G52HHloS87s43liEw8AmdLc6gDgo4KPAEDI6Bqt0LLA8o5y9p2Nu4kcO+twpJY8UCP1kcM0cB6bzY69RUT7bXoKO30GqpvilPMk1c3IWCa56d5qsjgI0YZAITEI503Qh3OnuZO9fkvufeRo7cW5OqKPFqwJhkbl/Z/hRxeOgU6tAA9g2/l64edZoWQT4FDtIdjtEnfly/eTY4z00mCa2ZAeko4AjTTxZB8+rjSO1B3BDV/cgMe3P86+swm3ADMeAUL6tKLohoW3o1Io8EFeOfKr2geUsScHJTv/f3AhkPZZ0WeYs24OXjryknN9ewk0o8o9hheO17n6KM41kEyY8eHjoeDYzFPWHqlEXYcRHb3sgtQ0kHa6+bTzesx66fPQU81kgzYzLBN+Kj/n+vUCaEZpaUep8zpzYkmcCUy6E1j0HNp7W5ATmYOssCwEagLZ9isDE+KDcUtuPH57wzjo1H2fF5vdho0lG/GnQ39Cr7VX2kVpwkjaItnG6e2M3hWRD0lwHCc83Jp6m9g/4NId2RgluwD0BZ4mREzwagFGykPzU4Xv39lfLnxP67YlayxVHCRRegDIXS15PFRfaWzo2BHO9G6uT7seAHFOZB7ImHSX4xseFY6dPVblJm/vL4PVTiY8v7qWXUnL8hSiM2eymdBskGDa0N3Yp8/nhG4G/fxmhmZKbutNLEjsW9ww362MnQREkNervZWUCN2acSvbPmVCo1Lg8UVjAAAbjvXpodGycrPdjIO1B8Vf0GYFOh3B3/ErJY+HljLTZ5gPHz6GJlgbjPLOcpxuPg2bEzpdVwoalQJ3TCMBwI8OV45wtgi6G4FGh36uEzq4p5pOgQePKP3odGanTIqchGemPYPn5z7PvrPQVCA4EbBbcKp8JwB2ZXUX6rtwvKINKgUnvG9YkB2WDRWnQnNvs6AtLQq7DbA6n1l0qpEE0karDi4lwi8C0fpo2Hm7oK3KDLUfcMv/A6b+AOnhY/HhdR9i/Yr1bPuUkb/dkYPVs5Kh1/SZiCk4BV49/irWX1gvfa0rBNKkrzOK2opwofXCqDMp8QXSriCmRPWJ/Fd1VaHV2DrM2S5CddIq89C97y/497G/ARg9gaDJCcFId7h2bjlXL5Q3ZYVlgQOHxt5GHG84Lv6C+SSjDBp/yfpovZZe9Fh6APQZHoxWpsdMR6AmEGa7GUVtRSM3cAWtP6APBw+gxfH6sdKnevdgOQAgIypAdvHZ/oyP6Ntp3VK+RXzDvH+Toy4ECJLuGkmdVmlG5mjFT+UHvYqUHO2q2sW+Q8di6nQrMdeYFDV6AkF3TE+EUsHhaHkbihqIvpteo4e/mtwXt1VsE3+x818BcOys50g3uqB/KxvvCwr48DES6SHp8FP5ocfSg7KOMvYdtlXAfuQt3LBhCX6y4ydo6W1h36dM3D0jCRwH7CtuRkVLj/DzNefX4NHtjyK/MV/8xahLffREwD9c0jh4nhckG0Z7ICNYG4wfjP/BgDUHMzhOyErLbyWL/pyoHCZdrT1cAQC4Ojua6TxPp9IhM4xswkkqj60+BrycAnz2iFP9VnSS/5+3GyKJgSY9OCVD4SKjIVlkODiOE+5BkmRkuuqBpkIAnFMbCf89/V/ctuk2vHfuPcltPYkvkHYFQR8udCHuVP29WMLSiNg2b8PpA6+g224eMAZvh+M4/HB+GgDAZufxiSMrQ6/WCwKMOyokCL73n2BJhC5YOXCYGzdXcntvQsEp3Jv2Hz8V5WoVTAryYLsm+RrZuyis60RdBxFCf2LJGNmv/32SAonuQG1PrfhGxY6gh5NBNKq1c1P6TZLbexsJgUSnzy3vv5S56AIwro3sKo+mjKroIB0WZJDypl9/0fesoDp9+U354i9WfZQcA2IAjTTtJKPVKBg1XJV41Qhn+/DhQ6VQCRo9btGpOvUxLm77Fcp7G3Gk/giCtWw0QlmQGKbH/Awi+bDuSJ9A/bGGY9hfs1/aQtKFss66njo09zZDxalGlWOdV5C6AB0KBUqt5DnBIhBpMFvx+UmSVb1qJhud3f4sT1mO2zNvR7y/hI33sj2ApQewmZzq8z9L/oOtt27FooRFTrX3Juj9zy0GezwPe/1Z9Bx6ncj4jDK6TVZ8dLgCf/uuz6WYfoYkzZPNPUD2jaQizYnSYsHx1MuNGr6PL5B2BTEufBw0Cg3sPNG2YT7BcjgDntL1aQKNpgnCjTnx0KnIR+Tt/WWCVkFcAAlGnGw6Kf5ive3kmHW95HHsrt4NgOhTuc2NiyEZIRkAgK9Lvmbf2fhbcEpLAp8Ban/oJS7ixfCnb0gph16jxA2TpWUbOgMtD6ztlhBIa3G4jzmhj0bT/TNCMxChHx3aN8NBJwhVXWxcvQYQPQGFOi2+CfSHP6dmptHHitxk4nJ6rLxNyMqdETMDAFDdXT1ku0ug77+5T0gew56qfkYNiaNHgNaHD0/iXp2q+cjXaYV+VQrVCA28C+qy/cmxKpitZH4sLMSllDZpg4CAaKcCaTRgNzZsLHQqdtlO7qLD1IFNJZsEfV+mpMzHaS1ZZ6QEJiJUFyp7Fxvza9FltCI5XI95Y9jPgx6Y8AB+N/t3mBgpIahAdXBTpZfVASSBIC4g7rLQIXVahscZbGaUv7cMcwr/g3u+utV5XTsPUdHSg998cRav7ylBczcJwlLDkFNNp8T/f8LTgTs/BFZ/IXkMTYYm1PbUjhqjhv74AmlXEBqlZsAblL1OGskeOOmYYMWpAhHuJy3d3ZP4aZS43aGDUN9pxOEyUgpLo+UVHRXiLtRZC5g6AXDAlHslj4M+CDJCMyS39UYCtUSE0y07RRNuBc8pkGi2YE6I/C5ERrMVeSWkjGXlFPZBNADCxOp0k0gh2pqTgM0hjDvtAcn9UQHa0ZRNNRyLEhcBICXTzLUYIsfisJ8eVo5DvERtfm/g0QXpUDqyOV/YTLRGlqcSnT6zzYxGQ+PIF7GaiEYk4JQA7c4qonsTrAkedQt0Hz48hfCccEdGWvw05PuRTaocKRk0XsKS7ChEB2nR0mPG1nPEXGVc+DgAwJlmCZUby/4X+PkFIGOp5DFcLmWdlLqeOvx6/6/xr5P/Yq/TFxyPqQt+h//mPIOnc5+W/fI8z+ODPDLfv3dmMhQKLyzdM/cAVYfJ91eQ0PtQjI8Yj0BNIOIC4ti7F6u0OB07FnaOg8rcM+pKO8fHBWNyQjAsNh4bjpEN5nHh46BSqNBibHHO3Ewi9Dk1JnSMIB8yWvAF0q4w+pdWnmk+w/YBl7oANk4pZARdp5J/l4g1D87rMx2gQYslSSTTrsvShR5zz6DtBlDmKOuMy3Eq3bXBQNyk5sTNkdzWG7ku9ToAgJW3oqydsX6LSoMPImNQpVHjekWQ7JffU9QEGw9oVQo8e4179P/GhY+DEko09TaJE1I9/h45qvWk3FoiXxST3aUYvXe77Yplbtxc6FV62GHHxfaLzPvL05MF5sxeI/O+5EalUmDuGLL5sekUKU8dFz4OSo5kxn5T+s3IFynaAlgMgH8kEDVO8hjoQjYtJE1yWx8+rlToxsfFtouCxiozVBrk+5MNsimj8D6nVirwgzkpuH1qAjKiSTbOpIhJUHAKVHdXi9swoHAc4ETlAM38vhz0qQBgTMgYt+r06Wc/jlmTf4CrUqQHMUeC4zi8fOsk3D0jEbdNTZD9+kNhsVmQ35iP+p76kU+uzAPsFmK8ECb9WfmnQ3/CEzufkKYJ6MUEa4Nx4K4D+O+y/0KtUDPv73QgmSdNMjlv9uBJ7pmVDAD46FAlbHYeWqVWMJcSVd7e0wK0lDhd2koTe0bjhj3TQFpbWxtWr16N4OBgBAcHY/Xq1Whvbx/yfIvFgmeffRYTJ06Ev78/4uLicN9996G2dmAJ06JFi8Bx3ICvu+66a4ir+uhPTmQOAECr1GJF2goYbQwnPbogXEycAoNCAb3djut7R5cTBwCkRvhjvkMnaE8REVyfHz8fHMiOgyjB90JHCaMT6f5NhiZBYJs6Xo52EgIThAfb5vLNTPvqNHfiIu9IVW4olv36axxOXw/MTUWwXjPC2fLgp/KDVkWC059c+GTkBmW7yTFaelm1wWpAu6kdAJAdIX9GnydQKpTChoIkwxAnsNvtKHDYii/ubAXsoy8t7XfXk+BXr8WGTx27lZF+pET1aP3RkS9w6HVy1AWTRaZEqGvZrNhZktv6YI9vnuedROojMTduLm7JuAUGi4FpX829zaiCBRzPY1JdIdO+WPHYojH4y+2TkRVDNtwCNAGCOdaJhhMjX6Cj2iV9pNvH3o4b029EblSu09fwJvrr9EnSmfNSJiYE48VbJiHU3z3zPAB4dt+zWP3tanxb9u3IJ/cv63TiOburahd2Ve1in73lRtyZGXbS3g0AyGkq76sAGUXcODkOIXo1atp7sauQbBzQ7NjSjtKRL3D2M+BfucCnDzrVPw3gjsaMXKaBtFWrViE/Px9btmzBli1bkJ+fj9WrVw95vsFgwIkTJ/Db3/4WJ06cwOeff46ioiLceOONl5z7yCOPoK6uTvh68803Wf5XLhvoAtJkM+GnU37KPIXyRGQKAGCSyYTkpoujUohxtSNSv+FYFYwWG1RKlVCiuqNyBMMBcw9QsJF8Hyd9gkSzMdKD0xHjf3lkBAFArH8sAOBQ7SGm/Xx18SuA57Gsuxvh5XlAd7Ns1y5t6sa+4mZwHHCPG8Rn+5MaTDIljzUcG/5Eux1oJ8E+ZK2Q3M/28u3C96Pd6KI/U6OnAgAO1x5m2s++mn2wcBy0djtyenuJGPAoY0x0IBJD/QAA/9pJMvhWjlkp/gL1jtKoxJmS+y5sKRTKb28aM/qNLi5HfPM87+WNpW/gD3P+wFybkWZTjbFYEFh5CLCMvqy0waDPiRE3XOx24M2FwCuZQLNzG3a3ZNyCP8/7M2IDYp1q743Q7BLWgbSyjjK8cvQV7D34f8DGnxI5lcsA+vqJCuSW7ibHNOn6aPU99Wg0NELJKUeVjrVYOkwdTK/fbmzHxR5S/jilu524p44ydGol7nBIGX14iJQx3z/+fuy6Yxd+OuWnI1+Azm1jpOubGa1GYa1L77mjCWaBtIKCAmzZsgVvvfUWZs+ejdmzZ+O///0vvv76a1y4cGHQNsHBwdi2bRvuuOMOjB07FrNmzcK//vUvHD9+HJWVlQPO1ev1iImJEb6Cg0ePS5AnCdWF9rmuuSGF1xCaBI7nkWs0QWXs7FvUjyKuyopCbJAWrT1m/OjDY7DbeUEnbUTB7VMf932fId0xkj5Ap0S7wUbcjdDMSNalddsrtgMcBw4KgLcDx9+V7do/30Amh7NSw5EYJr+JwXDQMt8RtQsaz5P/Nzgg9z7J/XxX8R0AIEwXdlnpU8UHEB2f3dW7YWeYJfZtOdlJHmOxQg0AJ95n1hdLHltM3GgrWg0obeoWdObym/KHlwdorwLMZKcWufdL7re4nSxKo/RRwt/Mh/fgm+f5AAC1Uo3cqFzMtCpJNkaDG/RPGXG2pgN/2HgORosNU6OnQq/Sg8cIG8ANZwBDM9k4DUl2z0BHAVOiyLz1RKOIQJALHK47jPfPv4+1Fz4GTnwAlOyS7drv7C/Ds5+eRlFDl2zXFEtuNNl8P9F4QjCJGxSeBybcQrLRnKh8oZnl2WHZ0KvdO5dlSZuxDSu+WIGrNlwFk5NOpmKg7+80Tocwux0o2cmsL5bcMzMJHEcka8qbexDtH40IPxHGGjZrn4SRE/p8SoUS/1nyHzyZ+yQSAxMlt/c0zAJpeXl5CA4OxsyZfbvQs2bNQnBwMA4ePCj6Oh0dHeA4DiEhIQN+/tFHHyEiIgLjx4/HM888g64u99/kRiv04Xas/hjyG/OZOowERWSB5zi0KpTgVn0ChI6+SYZKqRBMB/YUNeNgSQvuzSamAR2mjuFfv7Ofk2NgLKDxk9w3zXibGjX6ovTDsSKdZEd1W7rRbmxn1g8N1KVypBQShZtkuW5Ltwknq9oBALPSpOveucpN6SQ7x2K34GLbMMHIyjxyTJ3vlD7f2eazAIAJ4aPLRWck5ifMBwDw4JFXl8esH7pZkaJ0TE4r2PXFkjunJcDPUaL6ybEqjA0bC3+1P7rMXShqKxq6IQ1cK9RA0gzJ/VLdjKuTrpbc1gd7fPM878dit+B002lYGJYbLUhYgPevfR/P3rQWeLYMSBid8xWbnccjHxzDewfLseVsPRYmLsSBuw/gf2b9z/ANaeAmdT6gkl76t7NyJ4raioYPloxCpkRPAQcOFZ0VaDI0MevnZONJAMDkkEzyg1J5Amk2O4+395dh/bEqnHLM99xJdng2/FR+6DR3oqS9ZOgTOQ6Y9zTwg41AoPTKFZpxOS1mmrND9UpCtCHoNnfDbDcLc1kW0ISHXEcpOMr3MeuLJcnh/rhqbBSWZEXBYpNwL6rLB0wdRL4jNkdyv2qFGrPjZuPhiQ+POqMGgGEgrb6+HlFRUZf8PCoqCvX1IoQTARiNRvzqV7/CqlWrEBTUJxR+zz33YN26ddi9ezd++9vf4rPPPsMtt9wy5HVMJhM6OzsHfF3J0EDaBwUfYPW3q5k6cuQ7nDgCeTtQKX5i7W3cOzsZ1Kjn9T0XkROVA61Si1ZjK8o6hxFSrcsnRyd2iWq7a4WMt/SQdMntvZmZMTOhcNx+ROnMOUG3uRudZvJZnxYznfywUR79lhc3k+soFRweXzRGlmtKITk4GRoFmbBvLNk49Ik03d8JO3Sz1YwWI3EkXZayTHJ7byZIE4QANRGV3lzGTqePinxPSnVko5p7RqV+hkKhwG9XEK20r8/UgYMSCQFEdPm/Z/47dMOireQY7tz963gjmeCPxnT/KwHfPM+74XkeKz5fgXs23+Mel+y4HLKYGqUoFRzumk5kGtYeroRaoRaXiU0zUBxO9VKw2C341b5f4daNt6K4TX4dV08SpAlCVlgWADALZPA8j2P1pJRuKjUaKNklix7p7guNqGnvRbCfGjdMjnP5elJRK9SC+YSo8k4noRIh06Ivr0Aax3Hiy7NdYGr0VKxIW4EFWbcD93wG3PsZs75Y8+bqqXj7/unIiCbmMXur9+KH3/0Qb5x6Y+hGwjpjgVNGK6MdyYG0P/zhD5cIwH7/69gx8qEcLLLI87yoiKPFYsFdd90Fu92O1157bcDvHnnkEVx99dWYMGEC7rrrLnz66afYvn07TpwY/Ebz4osvCkK4wcHBSEwcfamDckJ10mgm1Yg6S07SZGgSMjJyjCbg4gh6Yl5MVKAO8zOIzsjBkha0G+zCA25Ina+2in5lTT+Q3OcXF4lboopTITv88hB6pygUCsyMI1kMbcY2Jn3QABMHDtPn/Yb80GYC6k67dF273Y6vzxANjgUZEVCpPGN+TFOgh8yoshj7JvhOpFvvqOr7vF6TIr0s2dsZE0ICoKwmqHXddWg3tUPJKbFy7m8AvzDA3AXUsDU4YMXNUxIQ7KdGVWsv9hY1CbpLNBtgUJod2WoZ0p3UqrqqhIUl3fzx4R5887zLA47jhEAGq4Vkq7GVuQaRO7lzeiKUCg5HyltR3K+cz2gdQvfNbOjL/E5bLLm/gpYC9Fp7EaQJQkZohjND9mqen/s8dt6+E4uTpL82YqjorEBjbyMJOmXfDqj9SZltg+uBO6oVdce0BOjUngkQ0GoUuqk0KOc3At3OZfw1GZpQ0VkBDtxlJyEDSNA5dIHFSYvx4vwXsTjjJiDjakDDVnucJSrlwPVMm7ENeXV52FczTJadCxv2FrsFfzv2N+yo3CHo4Y42JK8Af/KTn6CgoGDYrwkTJiAmJgYNDQ2XtG9qakJ0dPSwfVgsFtxxxx0oKyvDtm3bBuxSDkZubi7UajWKiwffzXnuuefQ0dEhfFVVVYn/D1+GpASlIEQbIug+sLrB/PXYX1HZRTRPJpvMZAH52hygbC+T/ljzuEMniOeBNXkVgnPd22ffHryBUNakApLnSO5vf81+ALhstYFoudbRBhHOf06wvZII5Ufro6GIygTUjtLaY0P8vUTyyfFqGC1kt5Nm6XiC6Y4su/KO8sFPOPUxYDEA4IAY6ZbSVEA6VBcKnUrn5Ci9l4UJ5KFPXSHlhk58s8OyodcG9okAy6jf4k78NErcPpVkob34bQGWJpPgWEtvy+AToNp8wOawgp8q3cnpy4tfAiDub6zF0n0MxDfPu3yg5VqsNkzXnF+D+R/Pxz9P/JP84Ni7wH+X9MlajDJignVYkkWyLNceqcTpptO44Ysb8ODWIe5hlQfJfS4oAYiQHgij8+/c6FwoOM9syrEkKyyL6f37SP0RAMTtT6cLAlLmkV+4WN5Z0dKDPUUkOHXvLM9J0gg6aQ0nBpeRaSsHNqwG/pZNMt4l0mZqQ25ULiZGTESQZvh78GiEBtLyG/NHbaDGE1S3GfDO/jLh9TvffH5w92ezAahymHY5sZFQ2FKId8+9i98d+N2ovf9JHnVERASysrKG/dLpdJg9ezY6Ojpw5MgRoe3hw4fR0dGBOXOGDirQyVVxcTG2b9+O8PDwEcd07tw5WCwWxMYO7naj1WoRFBQ04OtKhuO4ARbbNC1abg7VkUytWP9YBIdnAuCBxnOjVohxekooYoJIQOGDvApMdgjmNxmaBte2uOCwrI7IcMqOmmoi0IDJ5Qad4J9uOg0zXXDLSGErKb+kExFEO3S+XAxk/GcX0SRLCtMjLTLApWu5ws0ZNwMgDry0hHAAZzaQY2CsU7ot9QZSmrU6e2gHvtHMjWOIS6CNtwlaXHLyXTkxahCyqah2xNFhSiG9nGsmEP2VooZuhNtJRikPfnD34jqHU1tgLBCeJrmvAzUHAEAoIfXhPnzzvMsHuhA62XhyeGMQJzlSfwQ8+D6R6NZSoObYqK5AWOVw4f7seDWC1GEo7yzH+ZYhFpJ0PpG+2Kl53uVaVucuqFD+jBiHBme6YzHv4jxv7eFK8DywMDMSyeGeyzCaFDkJT+Y+ib8s/MvgJ9DPWcJ0pzKhMkMz8f617+PD6z50YZTey5iQMQjUBMJgNeBC6+AGOK5wtvnsQH3Dnhbgu98Ca++UvS930WOyYunf9uL5r8+jqc0fcf5xsPJW5DflX3qyQgXc8QEw/xmnJDyoUUNu1OjdSGA26uzsbCxfvhyPPPIIDh06hEOHDuGRRx7BihUrMHbsWOG8rKwsfPEFKWGzWq247bbbcOzYMXz00Uew2Wyor69HfX09zGay0C4pKcHzzz+PY8eOoby8HJs3b8btt9+OKVOmYO7cuaz+O5cdM2L7hJ+ru6tR3yNOz0QsNd01gr7S3Li5A7UjRmkgjeM4PLKALAjbey0Its0CQBaSB2sH0X+jWkjZN0nuq6m3Cb3WXgDADek3ODdgLyc1KBV6lR4mmwmfF8u7e22wGNBlJmUZK9KIsQHGrSTHrjqSVugEJU3dqGwlf5efXOV+bbT+jAsfh/iAePDgBy+vo/p8TpR12nm7sFN+uQZyo/RR0ClJYHxTiTwmFP2hqfBalcPoIskRWDC0kLLvUcj0lDCE6tUAgL9uK0OINgQAsKVsEJ3DcpJRi8l3OdVXaUcp6fMyff9dDvjmed7P2NCxCFAHoMfSgwtt8i4keyw9gvbVzFiH4YQQyNjp9HPW0yzIiERCqB86jVYcKwHi/ONg422DLyTHrQTmPklcEyVis9sEaYHLTei9P19e/BI/2vYj7KqUPxubVr0IzwmaFWNodlonzWixYf0xktG62oPZaADgp/LDwxMfxpSoKYOXy9NA2pglLvUzWoMYI6FUKIXEERZZuX899lfcuvHWvjWMSgsceh0o2kI2FUYh/loVrp1INk0/PFTRl9U8WNKNSgOMvRZY8luXNhJGsw4u00/ORx99hIkTJ2LZsmVYtmwZJk2ahA8/HBj1vnDhAjo6iL5CdXU1Nm7ciOrqauTk5CA2Nlb4og5QGo0GO3bswDXXXIOxY8fiiSeewLJly7B9+3YolVeeyJ2zzIwhkx4O5I0v9w3mSF3fDvWc+DlAer+bfN1poKdZ1v7cxZ3TE6FyuA5crLf0CZaXfk+w3NDadxOder/kfr4q/goAoOSUl60+EMdxQir5toptsl6bCiuHakNJIBcApv4AUPmRMozG805d96t8YswRoFUJZW6ehC5eLgnktpT2pflPf1jydc82n0W7qR1+Kj+Mjxjv6jC9lgkRJEux0dAo63VPNZ4Syghuy7yN/DBpBnGvBFwuL/Yk98wkC4sz1R3ICCbajaeaTg08yW4HSugEX7o+Wl13Xd9GQtrluZFwueCb53k3SkXfHIJm78jFiYYTsPE2xAfEIy7AIcaeNBtQ6YCuWqBJ/gwQd6BQcLh7RhKiArWw87ywyBtUTzNxOrD0eaeMBi60XUC3pRv+an+MDR07coNRSkFLAQ7WHhx8w9lFPr7+Y3xz8zeYHDmZ/CByLPD0OeDH+wGFc0tcq53HA3NSMS05FIuzLjVT8Rqs5j6pHCcCaUarUTDkupxZmrwUt2fejnHh8kqx9Fh6hOA6XVNDGwAkOhJVRqmMBwDcNzsFAPD16TpkheQAkD9OYLVbhQ17XyBtCMLCwrBmzRrBQWnNmjWX2JvzPI/7778fAJCSkgKe5wf9WrRoEQAgMTERe/bsQUtLC0wmEy5evIh//OMfCAsLY/lfuexID0lHmC5M0EnLqx1CsNxJqL4X4PiAJM8BlI7MDPB94oSjjACtCrc5Aijn6zqRGUrsti/R+SrdDYAHosYBQYOXogwH1feKC4gblXbAYqHGF7QMUy6obsasuFlQ0MmUNhBIcQTVnHjA2ew8vjhJAmm/WzHOK/4u9OFzSUbVkf9HjkoNkCD9AfXeufcAENctNQ3+XIY8MOEBAOT9N6j+iJNsKCJltXqVfqDGYQS5XwhulqOQJ5ZkQMlx4AH0tJAFenNv80D9kXNfkMw7lb5vUimBdYXrABB9tMtRAPlywjfP837ohsuQxjROQp+zQlkdQLRIk2aT713UqfIkD85NxYFfXYU7piUKz1kqVyIXdN49LXqaOHfQUQrNFpM7kAuQDdmkoCSolWr6AyDYtU3OAK0KT16dgU8fnQOlwvPzPIvNgq3lW/Hi4RcHyshUHyEGRvoIIGay5Ovuqd6Deevm4Zk9z8g4Wu/jpjE34Xezfyd7dvux+mOw2q2ID4hHYlA/c5v+WbmjlJzEEExKCIbZakdNHVnDnmk+I2xwAgA6aoDtfwQqnHuunG0+iy5zF4I0QbIHOd3J5ZnL6WNEOI4TIuizYmfhgfEPyHZtnudxuI6ID8b5xyFMFwZo9EDy7L6TRvMEa14qAGDb+QbMjCK7QA09DQN1vg6/SY4pC5zqw2wn17ppjPSy0NEELVvtNHei2SBfliLNiBwwwQf6do0vbpd8zb1FTahq9ZwV+mBMiSRBhnZTO841n+v7RTHR50Kkc26vdJeIOlterkyLnga1Qo3anlqUd5bLdl36/rvEbTfrOnJsuShbX+5Go1JgbgbRtDp9IQEcOPDgB5rWnHifHHVBgFJ6IHZ39W4AQFqwdG01Hz58DGRx4mI8nvM4fjrlp7JeVwikxQ7xnB3FC0k/jRJqh4PdnDhSln+m+cxAh9JT64HibURw2wnuyb4Hb1z9Bh6cIN2MZTQxLXoaOHAo6SiRXUZmWKzya+96it8d+B3WFq4duOlMyzrTFzuVfXeg5gB48IJxmg9p0I0Jen8QSPv/7J13fFPV+8ffGU33pqWltLSMUqBskL2UKSAbBEQRRUGGyA/3ABcofhEFRYYKCApuREaVrSwpo8yyC2W0FErpXknu74/bppTOtEmTtuf9euWV2+Tcc56bpPee+5zn+Tw557+of0BXeQsc5BbZ+PNoJoEugbTzbUdCRkJeg4vbYO+nsH12mfrfd1PWwe1QqwMqZeWNNBeOtGpM7uQnW59NfXfT3TBfTbpKYpY82ejkd5+eST6dtF2VVj8juKYznevXQC/BfyfqQc6N5ObLm+UGWWlwLWfl0tt4R8ad9DtcSJArkw1vMNxEVlsnnWt1RqWQT6Drzq0zSZ9xaXEGActmNR6oVlk7Z8J/eZfR6cXv/Sk7qoa38sNeYx0nfX8Xf+zVcjXSH8/9KL+o10NClLzd2HhHbFJWEncz7gIwtIHxui+VCQcbB0NU5E/nfjJJn1naLG6lyZUM+wX1y/9mm2fkZ70WooopJ27lvNNfXj1Mz1ZS37Y/QH6dvhs5KVBBxi8kSJLE9eTrADwSUD7dF4FAAAEuAUxqPokmnqZL00/MTDTc1BdcsMqJyLiyF7SZJhvTEuj0EsevKKjjXBe9pM+LStPr4e+34PvhcLOQlM9SYKe2o5Nfp7yCSFUUNzs3mnnJc7HcIjLlRafXMfD3gby06yXupD8wl9Np4YfH4eNASDKuKvfX/14m7FQsWl3Z9NXMgY3KxvA/li891iCf0NPoPiVJMmQOdandpdw2Wjs6vY7jt4+bNKo097voUKtD/jdqtQA7N8hMKvO5wRp4rHkt3BxsuJGQwfSGy1jac2leCj/kBSSU4fcHcP7ueYA8+Z1KinCkVWNyI9KO3z6eP1yznLjbuRtWOPKdYAw6aQoIaA+FVUCqJEzoHAjAnnP3cJZCALh4LyfK5NSveQ2bjjC679yTc2PPxnjal1zNrDKjVCoJcpUj/HZcNU2Vr7Vn1gKgVqgLRlT5tYYcxx2HSl89cfe5OKLi5d/rI41qmsROU9HIQ3bWGiYIt8+CpJer6bQxPtJ0/dn1gCw+2zOgbBfIyoSD2gEgzxFeTsKuhBlS5gsUCnHxBducaoJHVplkPEtQv6Yz/u6yAzfxrlypKbe4AglX5HQTgLbPGN13VFIU2fps1Ao1jzcsW6ECgUBgXmyUNrzb8V3GhIzB2+EBHSnvJlCjITToDen3LGKfqZj6w1EmrT2Ct6Ijw4OHU9s5J20w7jSkxoGNY94CnaBIOvt1Bu67TpSTE3dOcCXpCv/F/mcoemNApZa/m+xUo7IP7qRkMj/sHJPWHuHkjcSSd6hAOvrJUU/5ZHjG/AyDl5bJkXEu4Ry3029jr7avFhVjt17ZyhNbnuDTw5+apL/Y1FiiEqNQKpQFFxKUKqjbTd6uxNWL7WxUjGzjj6NGxa2k7Pxv6rLh8h55u4yFLj7r8RkbB2/kkTqVe8FUONKqMbWda+Pr6ItWr2VpxFKWn1hukn51ko7b6beBBwQEazYBp5qABK2eLFOpZmuhe7A3Ho4aAJKjRyNJ9+l8nciJDHKqCbbGH+M3J2Uh8qY1mprEVmunVx1ZjPxK0hX0ZayydD87ouULV333+nn6aLkolVCjgbwdubHUfc7bIn+3NZw0dKxfo9w2mpLcqKfY1FhZpyp3lTKoGzgYryn09xU5LTTAOaDg51cFyU2fTshMMInw7uYo2SFXw76GwUmXD7+c6IM40+oCVjTvDpKjW67Fyee4E7dPcCP5Rp6DWqWRF0yMZP8NeSGhtU9rPOyFJpZAYAoytBn8feVvPj/6uUn6c7BxYHD9wbze7vWCbyqVMOU/GLkanK1r4clY+obK1etOnmnN623fzovqu5BTICmwk1y5zki+OfkNCw4v4NK9S6Yy1arJjXo6cPMA2brsElqXzJ5r8k18Z7/OhevL5Ra5yZW5KAU//BdNlk5Pc383Wga4l9tGU5KbPng07ihpuUEIzjWhxWhwNH5OmhuN1s6nHRqV8b/fykZ7X3kuEnk3smAEYxnIdWiG1gjF1da1YIN6j4Cjl+zUrcRM7laP/97saUjzjE2N5V7GPbgeLkfcOXiCb9l0bBUKBUGuQYaic5WVqn+XJCgShUJhEKH99vS3fBXxFanZqeXuN1cfrb5bfVkfLW/AKqGdAXJVp+e6yvo9yWkadGn1OBp3VP78buRoBQV1M7rfTF0mlxPlap9VuYrT/YwOGQ3IDtjcaptlRavXci1ZLlveP6h/4Y0a5UQJ3TlXqvLo1xPSOHdLjrB5tov1aTY9Vv8xACQktkZtLXc59EuJ8sS+h38Pk9hn7Tzi/wjKnEvhz+d+Lnd/6dlydG+/wH6FN3j4Lfk5IapSpz31aOhN89quZGW4YKOQo9NWnV4ll32HMuvz5U7wO9fqbAozBQIBsu7qK/+8wtcnv+Zmyk3zD2gFxXhMQb9QX7ydbbmdnMnWU/elCeY60hr0LlO/v5z/hVWnVxGdFG0CK62fRh6N8HPyo1XNViRkJpS8QwnsuS470rrX7l54g9zv5fJuOXqmBLK0etYcvArAhE6B5bbP1AQ4B+Dn5IdWrzVJ9cR/r8uRgbmRglWdGvY1DNkbpiiu1y+oH8t6LuOF5i8U3qD5aPi/89D15XKPZUncHTU42crOwPcOvEevX3qx8dLGvEjPeg+XSZ/PlMW9LI1wpFVzutaWNWzUSjVaSWtwgpWVw7GH+e70d0ARefe5jrQLOyDmOGSV33FnKca1r4ONSp4sKu51Q6vX8ufhL/JSVh96zug+N13aZNgukBZWRXG3czestuUTLC8Df1z8w5BWN7LhyMIbPfS8/KzX5UVvFcOcjbJzT6NW8lyXoHLZZw4c1A7UsJdXJP8893NeuHVQd6P7OnjzoKH64thGY01koXWjVCrxc5Yra+ZG45WVhIwETtw5AcDoRqMLb+TXBpx85LSTq6bRi7EECoXCUHglK0Verfzn+j9wV14IKKs+X64ArajWKRCYDheNS55O1c3ynXfO3j3L6tOrS3YCSZIceZt2t1zjWRKNWsnYdvL57dt9l4mIi+Bo9B64ljNXLoMjLTopmusp11Er1AULNVRRlAolm4ds5queXxVMBTaS68nXuXjvIiqFKr8O8/3UailXs8xMyvuuimHLyRhuJ2fi7WxLv1DfctlnDhQKhWGe/O/1f+DHcbBvUZnuoZKykjh++zgAnWtXD0ca5EX1lff8B7K+YUe/jkX//tSaMjmYrBVJklBp5ejif2/8Wy59NEmSGLpxKDN3z+R22m1TmmkRqs63LCgTHXw7yE60nJvnf67/U67+fj7/M6fiTwHQ1a8Qoem6OVEucadgWVe56EAlxdFWzaAWsvCiVnMFgI25gu8qW6htvO7ArxdkfTUfBx/s1HYmsbMykOvQLa9+xh+X/gCgpkNNHGwKSasDcPIC+5yw/cPfFttfllbPrnPyib5/qK/Vpjp28JW1CG/FnQT0sg5cGQpd5P7v+jr6UtOxcqfkGEN3/+6ArBtSnvTiXdd2oZN0hHiE4O/sX3gjhQIa5KSdnPy18DaVhH6hvtioFKTflqNvY1NvopVyPr8y6KPl6hsqFUqaelaP1HaBoKLIFXX+51r55nmbLm3if4f/x7ITy4pv+PN4WNIOTv9ervEszZh2AdioFJxJ+YtxW8fxefh8kHTgFQLudYzuL1d+onXN1jjaVF6JE2MxVWW+3PuUFt4tCk+rA9mJkXuTX0J6pyRJrNwnF2h6on0dNGrrnOflzpNvxJ+VpUn2fAxK46tiqxVqZneYzRONnsDPyc/UZlotucEd/1z7xyTpxaVCr4eEqxUzlhl56ccIvt5mC8DhW4dJS86Jar6/iGApibwbycV7F9l7Yy8utpU7rROEI63a46Rxyic0uevaLnR6XZn60uq1hnBre5W9oRpe/gG9wOe+SooX/irTWNbCi48EA6BNkXUzzpJFmkIBvs2MTm3Q6XWciT8DQO86ZUsXqKx08ZMvcEdijxCTalyVpfvJ/fxyJxxFEpBTrjp6f7HNFm47j04voQDeHtC4zHaZm+eby1F2o+Pj5Bc865VpNexQzCEAHg+pXiLvz4TKTh+dpDNonJWFpceXAtDepwRtMM+cIhi5eoqVFI1aSbsgD3TpAUg6DXrgVycHCOgA9m5G97clagsA9VzrWa3TWiCorOQuGOy/ub9cMh6587wSr7O+OXM9I3SqrBEvZ1sGNquFNlmeAxxLieaWSpW3IGIkf12R5729A6vXPC+X68nXScgoe3pniWmdueR+P7lpuEVwNPoex68nolEpGdMuoMx2mZsOtTqwZegWljjmLDIFdSuTPp+DjQNDGgzh1YdeNbGF1k0LrxZ42XuRnJ3MgZiyp3cuOrqIT8I/4WpSCQ6yu5fh0xBY1kWuJFuJ6VDPEymrBgptDbR6LfuHL4HJB8DJ+OjS7VflaLbOfp2xVdma2tQKR8xUBXSrLUcTqBQq7mbc5Whc2cr1RsRFGCZnnWsXIQAKENw3b/vCNjn8v5Li7+FAqwA39Bm10Gc7k61QsMrVGVpPMLqvzZc3o5NkJ+YzzYyP5qjMBLgE4GTjhA4dy46XsMpdBNeTr5OpkzWnxjUeV3zjNjnfT0YyZKYU2WzXOdkx1cLfDQ8n6xVkreNSh1ZeLeiWllN9t/Fgo/tIyEjgUKzsSHskoHJX0TEWT3tPajrIEXg/ny+bTtqNlBsGJ3Bbn7bFN242Sn7WZ1fqqFyAOQObACq0KfJN5i8uzmVK68zQZhhSxR6r95gpTRQIBECwezABzgFk6bMMGknGciXxCleSrqBWqg0RbkVi0KnaA9kZZRrPWniqYyCS1hV7XT0k4O/eb0LbiUb3cy35GqfjT6NUKKvddRbgw4Mf0u+3fvx+sexRig/5PESoZyhd/Utw5NZ7GAK7yNfbYiLNdXqJ5rVdeaxFLWo4We+Nva3KVo50P79VfqFh3+J3EORDpVQZipuV9fyXrc/mx3M/8t2Z74hLiyu+sVsd0GVBRqIszl+JGdTCD3cHDZmJIQD8Hb0dapYtuGB7tOxI6xlgfFqoNSIcaQKDI02fk5Kz7WrxqzdFkbvKBnkrn4XS8D4R7uQYiD1RpvGshVf6hgAKbHVyKubvzk7Q2PgbwXVn1wFyWme+Ig3VhMae8kl5Z3TZClHkrnK08m5FkGsJWmb1HwH3QDk9I6rwNJfImCTOxiajVMCCUc3LZFNFMlztja9OR7xSAe0mGb3/V8e/QifpqO9WnzouxqerVHaeaPwEALdSb5VJCDW32q6N0qbkCb6LL9i5ydvhXxs9ljVRv6YzQTUc0SXJq+TnNRq0ZSh0sf7seiQkFCiqXUSkQFARKBQKetaRb17+vlq2KLHced5DPg/hpHEqvnHNUHCuBdp0uLK3TONZC8393dj6Yhde7DACgLD4o2VK68ydX7f1aYunvadJbawMBHvIWRxhUWFl7uOZps+wbsA66rqWUPzJwQPGb4LOM4qN0H8oyIMNUzrxweDQMttUYSTFwM1jJCsUSPWNj2g8cusIK0+t5EbKDTMYZ/2MDhnNqr6rCq82XArCY8NJykrCw86DVt6tim+sVN2XXly5s6/sbFSMbVcHbc48b/e13WRojV8cuZhwkajEKHmeXFJEcyVBONIE+Lv4E+QaZBBpL9HLXgjZ+mzCruRdGIutBFOrJTjfJ+ZZycP+2wV5EOrnQvN7slZDrFrNXX2WUX1IkkR0shyN0Sewj8ltrAw81eQpABIyE7iWdM3o/XPTwvrXLaJa5/0oFHmr5UX8/lbvvwJA31Af6tYo4YbBCuhy8wzTvGvwSEBtjiRfMXr/zZfllMbyCgFXVkY1HIW92p6bqTfLVD121zU5siy0Rikn4wGyrl1lLjiQyyt9G9I7/S4Oegm9QsEvd4yPav7p/E8ABLoGVit9SIGgIsmdX8SnxxsWT0uLJEmG1Pd+QUVUJb6f+/UgK/mNJEAjXxd61+mNAgUnbp8oU/VTlUKFp51ntZ3nPRLwCCqFisi7kSWnxlUgCoUCOxvTaLiZlQt/82YND7rX8edkpvH3aj+f/5lPj3xq0COtbgS6BtK6ZmuUirK5P3IX7Hv49yid5p/hPqNsASrWxLgOdWifnYJ3tp50bXqZNK3/vPwnIPsISlyIqSQIR5oAyItK6+bXjc96fGb0/gdvHuRe5j0Amno2LT6iSqHIH5V2vnI70hQKBRM6BvJ52n68tXJqZm50Smk5l3COpKwkNEoNzzUzvtpnVaBr7a6GfPnPjn5m1L77b+wn8m4kKlSl15ernzPBP72hQNj/pdsp/HLkOgBPd7K+Sp2F4XbjOOlKJTqFgqUnlhq17/G44yRlJQHyam91xF5tb9Bc2XJ5i1H7Xk28yp30OwCMDSlltdNcMf70BEi+ZdR41ka/UF9Gqg4w424C/W87GbQKS0tCRgLXkmXn+ZiQMeYwUSAQAI08GrFpyCZW91tt9M3k2btniUqMwlZlW/q0nOAch9GFvyu1jEcuXr9MpJVCLhBQlirPTzV5ih0jdjConvHp71UBDzsP2vvKGqIbL200at+7GXf589KfpGWnGTdo6h04/iNoM/O9nJGt49u9USSmV5DwvCk4H4ZWoSBLkbf4WVqSs5LZcVUudFEqR3gVx1g98CxdliGSt/T3GT0BBdw6BYnXjbTQuqjpYsdE73NMu5fApBQ/oyPK9JLe8JsdWG+gOUy0CMKRJgDyTgqHbh0y/iIFRCdHo0AW1+8VWAoB1oaP5m1fD4fUeKPHtCYGeFzHRZHBoCT5s9txbYdR+2+4uAGAbv7dqkQVk7KSW3QgV0y2tHx+9HMAPOw9cMtNmSuJ2jk6VhkJcOaPfG/N2XgarV7C0VZFmzruRtliERJvQHYq/VJkjcLDsYcNlXhLw6JjiwBw1jjzkM9DZjGxMpBbCv77s9+Tpi39eTDX8WursjVocJRIvUcgV0fyUNl0Aa2GrDQ6Kk8yOjmFSymdCYv6y6jrSG4VO1uVLSODR5rLSoGg2qNQKMqcun858bK84ODfvfTRBEHdQKWBhCtw50KZxrUakm/B5V08elvOHjh863CZulEpVWhU1qu5am4GNxgMwB8X/zDKmfHHxT94Y+8bTNkxpfSDSRIs7Qy/P1cgvfiPiBu8t+kMI5buL5OcQ4UjSaDSMCBNznjZHLXZoAtcGsKuhJGhy6Ceaz2a1qi+VbEztBl8fOhjev/am+Ss5FLvtzN6J4mZiXg7eNPOt13pdnLwyLvXqOxRaZJEB+0hBqekcjulO0qMqxibrc9mTKMxtPRuWWXSOkE40gQ5hNYIpbZTbdK16fxz/R9up93mXsa9Uu/fu05vQ2poqULWg7pC7kTs0U/ArnI7jzRHvwWgdqI/aVcmceOuzhChUhJ30u/w24XfABjaYKjZbKwMzGg1A4BMXSabLm0q1T6JmYlE3o0EYFiDYaUfzMEdHHPSGA9/a3g5LUvLvovyd/dY81oojKy+ahEuy2mF3R0DAdBKWladWlWqXbO0WRy5dQSAvoHVW7y2Z52eKFCgl/R8eezLUu2j1+v554ass9e1dtfSV5tUKsFLFm7ljHEr81ZH+NfYoCNLUhPpe4h0XVo+zcyS+OOi7Mh+tumzolqnQFBB3Mu4x+2026Vu379uf3aM2MH/tf6/0g9i6wS9P4AxP4Ob9VZELBU5MhB1UrxIu/oMYwJnl3rXbH02+27sMzoKpirysP/DuNq6civtFvtulk7aQC/pDYWAjCpGc3968bm8SHNJkli57woAw1vXrhzzPIUCRq6m47Qz+Dr6kpiZWOqoSEmS+PX8rwAMrj+4chyvmbBV2XIw5iBxaXFsuly6+wyAXy/In9+geoNKl9aZS3BO9Nr5Sp7efvsctsnR6JUa3n5xCjYq4+ZqtipbJoRO4Lt+31WJap25iBmrAJBXKXNDfb+M+JLev/RmbWTpc+hzb5qaezWnllOtkndQ28oVdQBS4kBlnGfb6rgkR1Rcz66HLj2QjDudDBetkph/aD7p2nTsVHZ0rNXRnFZaPXVc6+DrKOvnLT+xvFT7LDyyEAkJlULFxKZGVtHKnWDdV1Hn461n0UvynOWNRxsZ15+lOCdXcfIMGUiwuyzmW9r/30URi9BJOhQomNZymtlMrAw4qB1o4d0CwODcLon9N/eTpZNXiF9q/ZJxA7bISQNNvVNsVTGr56Ssb5buUIusJFmAd96heehLcUyR8ZFE3I5ArVQzPHi4Wc0UCAQyP537iZ6/9GTJ8SVG7eesccbXybfkhvfT7nn5ZtKmkmsfnpVvupO9H0aX1oBv/r1S6l23X93OpO2TeDLsSTMZV3nQqDQGZ9ifl/4s1T77b+7nWvI1nG2cjdeXC8lJIzu7xXCdPXApnrOxydjbqBjVpnI5eFV2LowIloterD+7vlTRdMfijnE6/jQapaZKpdWVBYVCke/zK41WpF7S07RGU9xt3Y0PeAgZCB2mQmcj54fWRo4jWlm3G9lqG7499S1jNo8hW1eJUqPNgHCkCQzknlyvJl1FK2n58dyPpGanFrtPWnYap26f4reL8k1nqYTec8lN78xxAlRaEq5CmpyamuUnh/tmJ7XkuxO/l7jam6nLNOTcd/brXGYBzKrEzNYzAYhOiiY2NbbYtlq91qCz0c63HRq1kekSnV7M6Sgdog+i1+v58bCs1dQ+yBNnu0rg4M1Mhos5qcQN+zK95XQA4jPiDcKoRaHX6/n5nLzK29yrOe52lSCN1cy83OZlAFKyUwyfTXH8cPYHAEYEj5BL0xtD22fB1kVOL75RtjQhi6PXQ5wcEWrXbDCajIeQJEjXpvP1qZIrkr7+r1w9q6d/T2rY1zCrqQKBQCbINYhMXSZ/XvqzxHmKVq/l6K2jlSP9zVxkJsMlOfK7YY/RKBSw42wcJ2/GkZCRUOyukiQZdHOLLcRVjXii0RN80OkD5naeW6r2X5+UryWD6g/CwcbBuMFyM2CSb0LMMQCW/3sZkKPRXB0qwTxPkuBeXhGuIQ2GoFFqOHHnRKlSjFefXg3I93nVsVrsgwysNxAnGycuJ15m97XdJbZXKpRMbzWdHSN2UNu5tnGDeYdAnw8hoJTpoNZK7r16w36oFCrWnFnLyTsn2Xql5Hv4ladW8sfFP4ySnKksiLt2gYEg1yBaebdCQsLN1o17mfdYd3Zdsfv8eO5HRm8ZzYWEC9iqbI1zpAX3AYUSbp2EDZMrb3n0AzkpYEoVI0aMASRsXA+TqI3ljX/fKHbXjw99bIgGeqv9W+a3tRLQN6gvbWq2QYeOFSdWFNv20yOfkq2XV0Pe6/ie8YN5NZQdGQD7v+CbvVFkZMurUx8OqQSl0AH+Wyo7AlW24NOcbv7dDJU3FxxeUOyue27sMWiBvd3+bbObWhlo6tWUOs6yhtDiY4uLbXv89nH+vfEvSoWS8U3GGz+YWpNX1emsccLBVsP5rZAzObLtPI0OdRqQnSBXJP3m5DfFRqXtvLqTS4mXAOgTVD2r2AkElqBNzTY092pOpi6Tb099W2zbLVFbeCrsKWbsmlH2AWNOwLZ3Ku957uJ20GWCR138G7ahZ6OaqJ2P89S2QXx65NNid919bTfnEs5hr7YXxVRyqOVUi0H1B2FTimyUw7GHOXLrCDZKm7JdZ23sckTfgchNnItNZve52ygV8GyXylFMitiT8FkofN0TJIka9jUY0mAIAN+d/q7YXfWSnlpOtbBR2jCu8biKsNbqcdY483jI4wAsO7Gs1BWMS/N7rZKkxOVl7gT35fi1ZBJiZD3lZceXGe7DCiMuLY4vjn3BW/ve4ugt4yu6WzvCkSbIR27Iau5J5duT3xap9RWfHp8v/a5nnZ64aIzQOnPwgAD5houIH+BkydEfVklOuD81m1HHy5WWAe5IWmcUSh0HYw9yNv5sobslZCQYcu471OogVonuY0oLWUz2l/O/EB4TXmibexn3+CFSjgZqXbM1NR1rlm2wQLnAAVf+4as98iplw5rO1PWqJKWZT+WkILrXkXW3gFfavgLA9ZTrRMRFFLqbTq8zOIpGBY8i2CPY7KZWFt5oLzvAEzITikwx1uq1vLRLDtUfXH8wAS5lTA/J1c84srps+1ua6APys0ttcPbm+a51ybrTA0mvIU2bxv+O/K/Q3fR6PXMOzAHAy96LnnVKWQVQIBCUG4VCwQvNXwDkBdEriVcKbZeWnWYo5tPUqxwC5ee2wL7P4dj3Ze/DkkTmzPNCBoBCwXNd66LPdiebFP64+AeR8ZGF7patzzY42saEjMHV1rWiLK40ZOmyiEmJKfQ9nV7H/PD5AAypP6Ts87xGuemdm1mRE43WN9SHOp6OZeuvojkfJj87esu6I8Azoc8wpcUU5nYpPqpPqVDy6kOvsn3Eduq51TO3pZWGJxo9gYPagTPxZ4qtgPr50c/Zf2N/+QbT6+TMkbDXQVcJo7IkPXScBo0Hg6sfDWo6k323PXqtE9HJ0fx07qcid110dBFZ+ixaerekrU/birO5ghCONEE++gX1w9POk6SsJPyc/EjOTmbef/MKhPRLksRHhz4iJTvF8FqZVtrur955n35BpUGvl0P+AVrL2hdv9W+MNqUR2lR5pWvKzimFrnY8v+159JIelULF/C7zK8zkykAbnza08GqBHj1Td04lXZteoM2XEV+ik3QoFUr+17Xwm/VS0V6+mZAyEtGnyk7jOY81Lnt/FYlOC7dzHLWNBxte7hPYh8H15b/fO/heoZWdVpxYwYWECzhrnJnWqnproz1Ix1odaeQh6+MtP7GclKyUAm1e+/c1bqfLKVFjQ8aWfbA6Oak+GQlwvnTCwVZF9H/ycxc5JbtNoAd+LjXJipcd1GvPrOXyvcsFdvvo0EckZMopUXM6zqkQUwUCQR4danWgU61OZOuzee/ge4UK4X9+9HPi0uLwc/IrXzRLSE62wqWdkGV8ZXiL49cafJsbHDJt6rjT1KsZ2qQWSEjMOTCnUK2gZceXcSXpCh52Hjzb9NmKttrqOXXnFI9teIzntj1XaKXnNG0aAS4BOGuceaHFC2UfqEEvUNog3TmPW9YtVEoFE7vULYflFUxkjpZcw7yCUL5OvkxqPglnjXORu91/7+Zh52E28yojnvaePN/8eQBWnV5VaOr631f+5uuTXzN5x2SuJV0r8H6pkST49Rk4uASuHSx7P5bC2Qd6vw8j5QVfV3sbRrcNJuu2vAC66OgiriUX/HwOxhzkj0t/oEDBzNYzq2SRC+FIE+RDo9IwtpF8U6hAgUqh4u+rfxt0gHJZfXo1YVfCUCD/U7TybkUzr2bGD9j4vuo7qXGVTyfo1knITAK1PTSTw4Rb13GncS03su7Jnve4tDim7ZyW7yT96ZFPDZUmn2n6DK52YpXyQf6vjVwZLE2bxpjNY/KliP115S/Wn1sPwDvt36GGQzm0lYI6g2sACuARZQQPN/SiQ71KotUU8b28UgSymOl9zGw9EzdbNy4kXGD81vFkabMM7y2JWMKXx+WU5Ncfel2skhfCwh4LcVA7kKnL5I29b+S7Sfr+zPeGAis9A3qWL5rP1Q/scya4h5aVx+SKJ/lWvnD/XF7p25Cs+O7oMr2RkBi3dVy+KtB/XvqTdedk2YC2NdtWqVLoAkFlQaFQ8Gb7N7FT2REeG86XEfkrFW+8tNEw93uj3Rvlq7RWM1Su2qlNl51plY0OL8Dz/4C/nM6kUCiYOySUn0Z8gKutK2fiz/DBfx/kWzTdf3M/K07K8hSvPfQaTppKEuVegfg7+5Otz+ZK0hXe3vd2gRQxZ40z/+v2P/4Y9Ef5sjbsXOHx71HMOs9bY3tz4LWHaRlQSTRh70ZB7AlQqKBh4fI5Or2On879lG+eEhEXwVNhT3Hp3qWKsrTS8USjJxjVcBTLei0r4OQ5d/ecIWr+mdBn8HcxUgP3flTqvDnS2S3Ft60kTOgchJTUDm1aIGnaNP5v9/+RlJVkeD86KZpX9sjZMSMbjjQU8qpqCEeaoACjQkbhonHhesp1+gT2Qa1U09hTjtDR6XW8e+BdFhyRtZdyxfHLvNLmFgC1WuX9HVm6Cj5WQ264f/1HQJMngPp/vYLRJbVCSpWjWv65/g9DNw4lPCactWfWsva0XFEx2D242ldKLIoW3i14qvFTAFy8d5GHf36Yz498ztNhTzNrzyxAvggOCx5W7rFSGo0EoLfqMFMfaVDu/iqMIyvlZ1d/sM/vDHO3c2d+VznS8VT8KR7++WH+d/h/PLn1Sb46/hUAAc4BDKg7oEJNriz4OfmxrNcy1Eo1u67t4umwp/n40MdM/HsiH4V/BICnnSefdPuk/IPV6yE/Xy1n+kBFs28hIMnncFc/w8uPNffDzc6BjBuPI+lVJGUlMT5sPGFXwpizfw5v7JVTZ101rnzR8wsLGS8QCPyd/Xmv03soUNC0hpy6KUkSi44u4s29bwLwdOjT5Xd2KxRyWiTkyWFUcprUcqWpTx0+6PQBChT8duE3pu6YaojMaOzRmGD3YIY1GEa/oH4WttY6cbV15aMuH6FWqPn76t88v+15tl3dxqpTq/JFqHk5eJV/sOA+4CTrx3q7VKIKsrn3RYGdwLFwZ+LL/7zM+wffl6+zUWF8ffJrntv2HMfijrHo6KIKNLZyoVFpeKv9W4ZCR9n6bMKuhPHd6e94OuxpkrOSaendkknNJ5V/sNyo3MiNcoRaZeHGUbiwDe5bjAeo5WbPwOa1ybg5EhuciUqMIioxCoDTd04zZssYEjITaOLZxFBErioiHGmCArhoXHiu2XMAHLh5gCWPLKGld0sAVEoVO67KFQKDXIPQSToe8nmofJWI7o9KO/NH5TnBZKXC8ZxiDI3yl5Pu0dCb+t5OpESPw0MhT04v3rvIhL8n8HH4x2RL2XSp1YV1jxZfzKG6M6vtLIbUlwVV4zPi+frU14YKRcMaDDNErZWXD24055q+Bt1VJ2nlU45V94pEr5cFaAGaDC60SYdaHQxph4lZiaw+vZpjcXLVKh8HH35+7OcqGWptKlp4t2Dxw4uxU9lx/M5x1kau5WCMHJbvbuvOhkEbUCvV5R+oi+wYJjsNov4tf38VRUROpLJTQd2a57rVRZ9Zi/ToZ7DFlUuJl3h5z8sGXUhnjTM/D/wZB7WRFdgEAoFJ6RfUj3UD1tEjQHboKxQKdl2TK1SOCRnDiy1fNM1AuY60c1sK3JRZLXodnN6QJ+FRCN39uzO92duoFWr+vfGvodq4m50b3/T5RhSSKoG2Pm1Z2GMhGqWG8NhwZu6eyYIjC3hn/zsmrRS798IdLsYVlGmweiLlyvQ0eqzIJsMbDMdZ48yJOyd4+Z+X+fzo56Rr02nv256Pun5UQYZWfn6/8Dsv73mZTw5/QnJ2Ms29mvPFI1+gUWnK33n9nnL12MRrcL0SZV8d+AK+Hw67C2rxTexSFynbg8SoZ5j90AKaezUHwMHGgcTMRBp5NOKLR74wvtJuJUI40gSFMjpkNPXd6pOQmcAPkT/k087oG9SXp5o8RVRiFGqlmlfavlK+m/H7Lw73rsLNSlLVI+J7+YQIeZX3clAqFTzbOQhQcvXMWB7zm4KLxgVbpS313erzZrs3WfzIYjRqE5ycqzjvdXqPhd0X4ufkh53KDk87TyY0mcCcjnNM4sS4lZTB+gsqvtANQSsB/1QSvbpTvxiqJdKx6Bud19q9xhcPf0Fd17rYqmxxt3XnycZP8tewv4QToxR09uvMhsEbCHYPxk5lh5utG4PrDWb3yN242bmZZpCajSG3r32fmaZPc3PrLGQkytsdpxZ4e1LXutiplejS69JKNY+xjcbStEZTHqr5EP2D+rN7xG58nXwr2GiBQFAYTTyb5Pu7a+2uLHlkCa899Boqpco0gwS0Bycf+bxRWdI7rx2Cn5+CRa2K1PCdsf4YH/zowMzGS3ms3mMEu+el+rtoXEyz2FLF6e7fnQ2DNzCswTCa1mhKp1qd6O7f3WT9Z+v0vPrrCRZ8Np+EJX0qT3GfxBt58gkhRWcPdPTryM8Df+aJRk/QyKMRHXw78H6n91nacyn2avsKMrbycy/zHk1rNKWtT1tee+g1VvVdZVwRveKwsYeGOZGpp383TZ/mJjsdzssyJoX9/hrXcqFLgxroMnxIiM8ruBXkGsTsDrNZ++haQ7RfVUWc3QWFolFpmNt5LmO2jGH39d28sfcN3unwDg5qB4Ldg3n/4PsATG0xlYYeDcs3mGc98GkqR9fYu8tldisDx+T0TFxqyxVIH2BIKz/mbDxNhlbP8chQ9k3bV8EGVh161ulptqp+b204BUBz5SUcFZlw6lfoOccsY5mUmJxoNO9G4FR82kM3/2508+9WAUZVTfyc/Pj1sV/NO0iD3nDyJ7hSSc4Te3MKfNjYQ2DBiGSlUsmk7vX4bPsFjl7JYNGol7GzMdENuUAgMCsvtX7J9J0qVXL09KnfID3B9P2bg9w01Ho9DFWxH6SWm+yo2BCu45dJH4go7zLi7+xvtsIzW07GcONeOo873ME97iCctoPWT5llLJPi4AEjv4Nbp8Gl+IUnPyc/Xn3o1QoyrGryXLPnDBlZZqHJEDj5M5zZAL0/KPKcYjVc2glZKfJ9rl/rQpu88WgjOXPfJ7/DcXjw8Iqw0OJY+TcosCSNPBsxv+t8lAolW6K28MjPj9Dzl568e+Bd9JKeIfWH8HTo0yYabJD87Nsyz2NvzWRnQKzsgMmXmnoftmoVY9vXAeDUjUTOxSQV2k5gOVIytOyIvAVAUq0cDZh70ZBTvdNqkSS4kFMOvbNp0lsFFqarLMqKNh1iTljWltJwcbv8XKdTkU2m9KhPLVc77qRk8dvRGxVkmEAgsFp6vAn/dxZajLa0JSWj18OZnLS6YqKBxncMRKNWcuRqAoei7laQcYLSIkkSS/fIlaOdW8t6uET9UzkW7W3sofEg6PGGpS0RmIJ6j4CtC6g0kBxjaWtK5swf8nPjQbLOZSE08nUp4ESrTpjVkZaQkMC4ceNwdXXF1dWVcePGce/evWL3GT9+PAqFIt+jffv2+dpkZmYybdo0atSogaOjI4899hjXr18345FUX3rV6cXyXsup7VSb1OxU4tLisFfb82KrF5nTcY6h2EC5CR0qP0ftrhwXt+PrQMpJd21fdEnuF3s2QK2UTz7vbDxdEZYJjGD2xlPoJVAqYPS4yXJVJIC9Cy1rWEnEnoQ750FlKwvoCio/Xg0goIO8feEvy9pSErcv5EWUdCy6WIqNSsmEzkEALNl9kSxt4alRgsqLmOcJjMLORY5MqwxcPwSJ0aBxhga9imzm7WLHiNa1Afhyt6iQaG3sPBtHZEwSjhoVg3t0BL82crXzXCeBQFBR2NjB5H0w/Vi+Ak1WiTYTzm2VtxsPKtUuN+6lk56lK7lhFcKsjrQxY8YQERFBWFgYYWFhREREMG7cuBL369u3LzExMYbHli35S8XOmDGD33//nfXr17N3715SUlIYMGAAOl31+vIqina+7dg0ZBO/DPyF1X1Xs2vkLp5t+qzpnGggp3f6tZYvbid+hjsXTNe3OTi0XH528QO3oksiu9jZMLSVfLL8L+ou1+6mVoR1glKQma3jj4ibAPRo6IWrkx3UkotqcMrMaXzl5e8c8eKgrvKNiaBq0GKM/Hx6g0XNKJE9OeLFajuo273YpqMfCkCjVnI9IZ0Pt0Sa3zZBhSLmeYIyodfD7XOWtqJ4Tv4sPzcaKEcGFcPzXeuhUir45/xtTl5PrADjBKVBkiS+2HURgCfa18HNQQOhOZXerX2eF7EOds2Du1GWtkRgStwCiozusioubIPMJHCuBbXbltj8023n6TZ/F+sORVeAcdaD2RxpkZGRhIWF8fXXX9OhQwc6dOjAihUr2LRpE+fOFX/xtLW1xcfHx/Dw8MjTn0pMTOSbb75hwYIF9OzZk5YtW7J27VpOnjzJ9u3bzXU41R6VUkVDj4a0qtkKRxtH8wzSNCfkevs7sGqAXC3JGslIhLicG8JSpCe81q8ROUFpzN54xoyGCYxh7pZItHoJBTBvaDP5xdzowuQYWeTVGtFp4cpeedu7sWVtEZiWkAGgVMOtU3DdiouuXJYr+hHUtcSmjrZqWge4A7DuUDRaEZVWZRDzPEGZSIqBhY1heXe5+rk1osvOEwRvWrLWT4CnA481rwXI0bcC6+DApXiORd9Do1byTBc5Olqucq6A6AOQaMVRrv99JS9aXdphaUsE5kCbCWlWnAp+NUevN3RoqbTcfFzs0Oollv1ziUytld6/mwGzOdIOHDiAq6sr7dq1M7zWvn17XF1d2b9/f7H77t69G29vb4KDg5k4cSJxcXmpfkeOHCE7O5vevfOqJNaqVYvQ0NAi+83MzCQpKSnfQ2CFhA4FlHIlwpRY+SJnjRxfD+SU5G5XdFpnLh6OGvqF+gCw7+IdsqvRCcZakSSJsNNyifr2dT3xdrGT32gyBJQ28vY//7OQdSVw+Nu8tOIuMy1ri8C0OHiAe85kf/s7lrWlKO5FQ1q8vP3w26XaZf4w2VGdpdXz6fbz5rJMUMGIeZ6gTDj7gNoWstPgfJilrSmcG0fk85yjFwSVrlDP5O71ADhyNYHkjGxzWicoJbdTMnF3sOHxtv54O+fM81xqQZ2O8ra1Vk+8cwFijssLa42HWNoagak5+h180gB2fmBpS4qm7zyYtA/aPV+q5sNa++HjYsetpEx+OWLFDmoTYzZHWmxsLN7e3gVe9/b2JjY2tsj9+vXrx/fff8/OnTtZsGAB4eHhPPzww2RmZhr61Wg0uLu759uvZs2aRfY7b948g36Hq6sr/v5Fp+IJLIiTt1wZKZdTv1nOluLIvYms3RYcPUu1yzsDGqNWKsjU6jl89Z75bBOUih2RcdxKysTBRsn/RjTLe0OpzJtgxVqp4Pvhb+Rnj3pg72ZRUwRmoE6OTtq1/+T0J2sjN90psAv4Niu+bQ7+ng60CnADYOW+K+it8bgERiPmeYIyoVBAkxxdXGud5wW0hxmnYOgKUKlLtUtwTWe+Hd+Gf17pgbOdjZkNFJSGQS382Pvqw8zsFZz/jWajoH4vqNHQMoaVxMlf5Oe6PUp9nyGoRDjXgsxEWadPp7W0NUXjEyqnopYCW7WK57rWBeCr3ZfI1lWPeZ7RjrQ5c+YUEIl98HH48GGAQktAS5JUbGnoUaNG0b9/f0JDQxk4cCBbt27l/PnzbN68uVi7iuv39ddfJzEx0fC4du2aEUcsqFCajczbPv2bHPpqTUhS3gXuodKXSK7pas/jD8kT+y93ibB/S3K/Zsa4joH4uTvkb9D/U/n55jFILvpm0CJkpeXpyrR8wrK2CMxDbvVOXRac+NGytjyIXp8TkUv+c3UpyI1KS8/WsSSngprAOhHzPIHZydWpuvC39aY3ufnnX9wtBQ+H1MTOppIUU6gmONqqZW20+2n9FDzxCwT3LnwnSyJJeQtWTUdY1haBeajbDRxqQNod60zdLeO99+iHAvB01HA9IZ2NORrUVR2jHWlTp04lMjKy2EdoaCg+Pj7cunWrwP63b9+mZs2apR7P19eXOnXqcOGCLD7v4+NDVlYWCQkJ+drFxcUV2a+trS0uLi75HgIrJaQ/qHLCr9MT4NyW4ttXNDeOwN1LoLaHho8atWuuGO3ei3f4/Wj1CXu1NtYcvErEtXtoVAqe7Vy3YIMa9aH2Q3L65ImfKt7A4vjnE0AChbLYarGCSoybP7jmRNPsX2RZWx7k9O9ytViFEkIGGrVr/ZrONKklX3uXicp2Vo2Y5wnMjk8o+DSVFwxynQbWggkiZnV6idM3RdEBS3ExLpltZ24hSZKlTTGe64fz7jNCjLvPEFQSVDZ5i5ER31vWlgdJugnz68FvzxkdLWevUfFsF/m+6svdF9HpK+H/n5EY7UirUaMGISEhxT7s7Ozo0KEDiYmJHDp0yLDvf//9R2JiIh07diz1ePHx8Vy7dg1fX18AWrdujY2NDdu2bTO0iYmJ4dSpU0b1K7BSbJ2hUf+8v49Z0QlGkmB9TlW9wM5g62TU7v4eDvRqLN8EvPzLCZKEhoZF+N9fckRX09queDnbFt4ot3ri/i+sK73u2Fr52beFXEZbUDVp/bT8HBcJGVak9bTvc/nZqSY4uBffthA+GtYUgORMLVtOVI/VysqImOcJKoSWOdVdc69r1sK6x2HtcIgpm7xDfEomvRbuYciS/cQmZpjYOEFp+Gz7BSZ+d5h5W88W3zDxBuxbJBeXsBaOfSc/Nxks3xMJqia59xnntlpXVO6JnyArGRKuljqt/X6eaB+Ai52a2MQMzt9KNoOB1oXZNNIaNWpE3759mThxIgcPHuTgwYNMnDiRAQMG0LBhXk56SEgIv/8uiz2mpKQwa9YsDhw4wJUrV9i9ezcDBw6kRo0aDBkiiy26urryzDPP8H//93/s2LGDY8eO8cQTT9C0aVN69uxprsMRVCTNRuVtX9oBKXFFt61Irh2ClJzV9/ttNIJZveXfvlYvMW9LCRd4gclZe/AKSRnyCstb/YupeNmgj/ycest60uuSYiD1trzd/TXL2iIwLx2nyVFfSLD7I0tbI5ORlKcb2OqpMnXR1M+NHg29AFgXLlLvKjtinicoF01HgEojn1dyK6FbmoSrcrrpxW1GL5bm4uGooYajLVlavajgaQEu3U5hy8kYAAa38Cu6oV4PK3rAtrfhohWl19m6gMZZyHdUdXya3heV+4ulrZGRJLkQApT59+dsZ8PSca3Z9+rDNPKt+pHhZnOkAXz//fc0bdqU3r1707t3b5o1a8aaNWvytTl37hyJiXL4s0ql4uTJkwwaNIjg4GCeeuopgoODOXDgAM7OeV75hQsXMnjwYEaOHEmnTp1wcHDgzz//RKUSugRVgnqPgLO8Ms0js+UiBNbArg/lZ7WdXN2xDNT3dqJdkAcAPx++RmK6Fa2CVQM+yYlGq1vDkZYBxUTUuNayvvS6EznVYmu1huA+lrZGYE7UGqjdRt62Fkfurg8xpBV3fqnM3bw3KBSVUsG/F+5wNDqh5B0EVo2Y5wnKjIMH9JsPz+4ErxBLWyNzbA0gQd3u4FGI9EMpUCgUzOjVAID1h65x81666ewTlMjn2y+gl6BnI28a1yrmRl6phNDh8rY1pdf1+RBmnYM6nSxticDctMhxVlnL7+/KXjmtWONU5vtcgI71auDuqCm5YRVAIVXKBPLykZSUhKurK4mJiUJHw1rZ+SH8M18uO/7URktbA5nJ8FEdWTer6UgYtqLMXUXdTqXHgt0AjGxTm/nDm5vISEFxfLP3Mu9vkle9f5ncgTZ1PIrf4d8FsOM9QAGvXJYn/ZZCkuCLNhB/ER5bDK2etJwtgorh4k5YOwRQwMwz4FLLsvZ8HCjrVgZ0gAlh5erq5Z+P8/OR6zSr7crGqZ1NY18FIuYQ1o/4jgRGo9PCZ6GQHAPDV0Lo0HJ1N2rZAf6LussT7QP4YHBTExkpKI5zscn0/fwfJAk2T+9Mk1quxe8QewqWdgKlDcyMBCevijFUIABIjYeDX0KLseBZz9LWwK8T4eRP0Ho8DPy83N1JkkT4lQTa1HFHqSy6AJE1Uto5hPHJr9UInU5HdraIGLIIoaPh6Hq4fRlizssC3MVUATM7h9eCY86NbMeXIaPsuhe+zioGNPLk2PV7HLgQy+17dUWpdDOj1+tZf+Ayfs4qAj0dCK3pQEZJ32HLifDfd4AOdv4Per5TIbYWyrkwyMwEt4ZQv3+5fn/WjEajQak0a6B05aH+wxDQEaL3w5HV0ON1y9kS9a/sRAN4pPz/B1N61OeXI9c5cT2Rb/dGMaFzULn7FAgElRxJsuw878LfshPNoQaEDCh3dy/1Cubx5Qf5Mfwak7vXx8/N3gRGCopj4bbzSBL0C/Up2YkGctGLWq3g5lFZm6zL/5nfyKJIvC5LeNRuY9n/A0HF4ehpkjmVSUi7C2f+kLdNtFj/3JojbDtziy/GtGRAMwsvBpsJ4UgrBEmSiI2N5d69e5Y2pXrT7UvQpsO163AzDhw8LWeLTTB0WiCvWt3Twb2ocnX3fBtnYhvIYa8XLl6uNiGwliI5I5tXOskRZd7OtkRFlfL767ZY/g0qFHD5suUmNxnO8u9PpYEbVqIZaAaUSiVBQUFoNOL/AYCHns1xpK2CrrPkSk+WYOf78rO9O9Qpv9h7YA1HGtR04vytFBZuO8/4jnWEA1UgqK4k3oA9H8uOhHG/Wc6Oo6vl5xaj5fT6ctK+ricd6npy4HI8X+66yNwhIirNnJy6kUjY6VgUCtmJWWoemggbJsPhldBpBigtlD5+4Es4uATaPAMDPrWMDYLqy4mfQJcJNXOcyyYgtJYr287c4tNt5+nbxAe1qurN84QjrRBynWje3t44ODigECsDliHDE5Ku5/3t4WeSyY3RZKWDY47GhZNvmarVFYbd3VTSsnSolAoCvZzE78xMSJLElTtp2Op02KpVBNZwLP3O2bUg4ZK87eRusu/eKO7//TnXAnu3irehAtDr9dy8eZOYmBgCAgLE/wNAyECwc4OUWNj2DvSdV/E2ZGfA7fPydqvxJuv2fyOa89gX+0jO1PLVnstM6VHfZH0LBIJKhEIpawTptXKlTN9mFW9D4g05Ig1Mep57qVcwB5Yd4GJcCjq9hKqSpTdVJrJ0ehr7utCgphPBNY2odtlkCPz1BiReg/N/Qcij5jOyKDKT86rXWmJ8gWWJ/k+uil6vh+zYtQRNh8u/Q7cAkwUNTOgcyMr9UVy+ncofETcZ1rq2Sfq1JoQj7QF0Op3BiebpacEIKAHYaiDjljy5AtCngJ0FQkOlDFArAAW41ZQFSk1AHW8bzsWmoEdCp1DjJNI7zUJSejbZChUqGzVBNZ2wVRux2mhnB2m2clUdfTLY+ZrP0KJIiZZ/fwoluPtU/PgViJeXFzdv3kSr1WJjI/4fUGvAuxFEH5An2ZZwpJ38GTISwKU2PPyWybptVtuNJrVcOH0ziS92XmRyt7oiKk0gqI64+ELjQXDqVzi0DAZ9WfE2OHjKmkDXD0MN0zn1Hwry4LcXOtLS300sDpmZVgHubJrWmbRsnXE72thDy3FwaAXcizaPcSVxfD1kJoFnA6j7sGVsEFiO2BNwbjPcOQ9tn7VM9otjDej2skm7dLazYVK3eny09Syf7TjPYy1qYVPFotKq1tGYgFxNNAcHBwtbIkChlP+xc0m9I5errmjS7sjPjjVM5kQD0KhVeDrJEXaxSZlUw7ofZkeSJG4lyXpink4a45xoueRW78xKA10FaybqdZCVKm871Ci+bRUgN6VTpzNyIlyV6ZPjPMtMgjMVXHhFkuDgV/J2u+dAZdq1t4WjWgCQnq1jwbYLJu1bIBBUItpNkp9P/CwLcFc0NnayLtBjpq/S3SrAXTjRKgilUoGTbRmuU51fgv+LhPaTTG9USej18N8yebvd8ya9zxBUEpo/LlfKjL8Al3dZ2hqT8mSHOtRwsuXa3XR+Pny95B0qGeK/tQjERc9KcKgB5HwXki5P8LqiyE6XQ10BHE1fzcfL2RalQkFalpaYxKopIG9JbiVlkJ6tQ6lQ4OVkW7ZO7FzAxgGQIPW2Se0rkaQbedvOFoiGq2DEebcQ/FrmVez8++2KHXv/Yog7DSo7s1SKDa7pTKsANwC+/vcyWq0FFkoEAoHlqd0WarWUNXqOrrK0NWYhMS2b345WvRtJS3Pk6l2W7L5Iaqa27J04eMgaoJbg8k7ZgWLrIjtUBNUPW2doMUbe3md6Z36x3DoNK/vD2S1m6d5Bo2ZKD7ki6eKdF8gwNmLUyhGONIF1o7KRL3C5pMbJURIVgV4P8Tn6WLauoC6jI6YYbFRKPHIKDdxJyaxyJxhLotdL3E7JAsBBoyqfyKVTTfk59TZos0xgXSmQJLmKDsgXWbFKWX15ZLb8fO8KXNlXcePmTug865rtJuOzUS0ByNTqWRd+zSxjCAQCK0ehyItK+2+ZvIhZEej1sHY4hH8t60GaiaSMbHos2M3Mn45zLLqCF4SrMJIk8dHWs8wPO8eCv8+bptPo/yA51jR9lYa9n8nPLcbKcz1B9aTDVFCo5Ii0m8cqbtyDS+DqXjix3mxDjH4oAF9XO+xsVNy4V0Hn9gpC3JkJrB9H77xtbQZk3KuYcVPjQJ+Tyudk+mi0XGq62Bkica7dTTPbONWNG/fSDemytd3LWXbezlVONZb0siBtRZB2B8hxGuemlwqqJ80fz3Nkbfm/ihnzxE+QlhOB2Weu2YYJ8HRgfMdAAL7Ze5ksEZUmEFRPmgyVr3Upt+DodxUz5pkNcHEb7HgvT4/XDLjY2fBwiDyX/WjrWSHlYSK2nblF+JUEbNVKJnYNKn+HYa/Dt73laOyKIO0uJMeA0gY6Tq2YMQXWiXsdWfAf4N8Kqtp6LxqO/yhvdzDf78/ORsX3z7Zj20tdqeflZLZxLIFwpAmsHxs7OeQZ5BS73G1zotfLkzmQL3Aa8/3jq5QKvHK00tKzdaSUMjxdoVCwYcMGs9mVS2BgIJ999pnV9FMasnV67qXJkWOu9jZoStBGK9E2hSLPkZGZZNYJNyBHo+XqxDh4miUasjh2796NQqHg3r17FTquoBi6zJKf4yIh9pT5x/vrTfnZLUCuJGVGZvVpSA0nW67Ep/HDf1fNOpZAILBS1Bro8SZ0fRmajjD/eHo97PlY3m4/BWzNe4P3Uq9gNGol/0XdZff5CpaJqIJodXo+CjsLwDOdg/B1LeeCKUC9R+TnwyvzMgLMiYMHTDkEE/4C16pX0VBgJJ1fkp8j/8yrlm5O/vmfHDBStzv4P2TWoep6OZUvM8hKqXpHJOCtt97C1taWMWPGWNoU05GbWpedbn4nBuSkkOZERrj6mb2CireLHcqcMa4npBEXF8fzzz9PQEAAtra2+Pj40KdPHw4cOGDYJyYmhn79+pnVrrKwatUq3NzcCrweHh7Oc889VyE2XLubhgQoUJQqGq1Utrn45W3fM31UWj7HaEYiaNPlKDjniq9U27FjR2JiYnB1dTVZn1euXEGhUBAREWGyPqsV7V+QnaogV/A0JxHr5HMgQP/PzDsW4GSr5qVeDQD4OOws1xJEZK5AUC1pMVquDny/pIe5OLMBbp+VI87bPW/24fzc7HmqQx0APt56Fr1eRKWVhx8PX+Py7VQ8HDVM6l7PNJ3WfwR8mkF2KhyooOqxShXUbl0xYwmsG+9G8NDzMOBTeRHTnCRcgYjv5e3ub5h3rPvI0ur5Zm8UMYlVI8VTONKqIK+88gqffvop69at4+LFiybv/59//mHgwIHUqlWrxKio8ePH89prrzFv3jzatm2Ls7Mz3t7eDB48mHPnzpV+UFsn0DgDkqxdIEnm00rT6/JHo9m5mWec+1AqFPi42gHySWbQkKEcP36c1atXc/78eTZu3Ej37t25ezdvhczHxwdb24qNVCoPXl5eFVINN+O+qD5PJw2qUmiLlco2pQrscqLSMu6ZTytN0kNSjiCxo1e+Som5VYXNjUajwcfHR4j/WxNKJQxZLm8f/kaeBJmLbTlFDdwCocEj5hvnPka18cfeRkV6tp5pP1SgPohAILBOJMl8ldp12vzRaPZu5hnnAV7oXh9nOzVnY5P54/iNkncQFEpqppaFOZWepz9cHxc7G9N0rFBAt1fk7QNfQtJN0/T7IJIkyyeYUZdPUEl5dD60mSBnY5mTf/4nB6bUexgC2pl3rPt4+ZfjvL/pjOk0DS2McKRVQVxcXJgwYQJKpZKTJ0+avP/U1FSaN2/OF198UWw7vV7P5s2bGTRoEHv27GHKlCkcPHiQbdu2odVq6d27N6mpqaUf2CWnamH6XXkV0Vxh1ymxedFoLuaPRsvF01GDWqkkKTGRg/v38dFHH9GjRw/q1KnDQw89xOuvv07//v0N7e93YuZG+/z000906dIFe3t72rZty/nz5wkPD6dNmzY4OTnRt29fbt/OSyno3r07M2bMyGfH4MGDGT9+fJF2fvrppzRt2hRHR0f8/f154YUXSElJAeSUwKeffprExEQUCgUKhYI5c+YABdMno6OjGTRoEE5OTri4uDBy5Ehu3bpleH/OnDm0aNGCNWvWEBgYiKurK48//jjJyclF2rZq1Sq8a3iyZ3sYg7q1pX4tT4YPH05qaiqrV68mMDAQd3d3pk2bhk6XV9jhQdsUCgVff/01Q4YMwcHBgQYNGrBx40Zw8wcUrPpxI26eNfKNvWHDhnyOp+PHj9OjRw+cnZ1xcXGhdevWHD58uFC7AwMDARgyZAgKpYrANr3lz+B/X9GiRQu+/fZb6tati62tLZIkERYWRufOnXFzc8PT05MBAwZw6dIlQ3+5v4fffvuNHj164ODgQPPmzfNFNF69epWBAwfi7u6Oo6MjTZo0YcuWLYbv8cHUzv3799O1a1fs7e3x9/dn+vTp+f5/AwMDmTt3LhMmTMDZ2ZmAgACWL19ueD8oSNYvadmyJQqFgu7duxf5PQqKoP4jENQNdFmw7V3zjBH+TV512oGfmWeMQlCrlDzTWf6NRFy7x5GrQpBbIKi2XN0PK/vBvoXm6f/o6pxoNLcKiUbLxd1Rw+Sc6KlPws6RniUKTJWFFf9e5k5KJnU8HRjTro5pOw8ZAP7t5ayAnR+atu9czv8Fv02EpZ0rroCVoPKhzTJP0MjdyxDxg7zd/XXT918MuZq4vx69zpmbSRU6tjkQjrQqilarxcHBgVOnTK+l069fPz744AOGDh1abLt9+/ahVCpp164dYWFhjB8/niZNmtC8eXNWrlxJdHQ0R44cKf3AGkc5BB/kogPJN02f5qnTQkrOTaRKU2GrlCA7b2q72+Pg6IiDoxO//PY7mZmZRvUxe/Zs3nrrLY4ePYparWb06NG88sorfP755/z7779cunSJd955p1x2KpVKFi1axKlTp1i9ejU7d+7klVfkFbyOHTvy2Wef4eLiQkxMDDExMcyaNatAH5IkMXjwYO7evcuePXvYtm0bly5dYtSoUfnaXbp0iQ0bNrBp0yY2bdrEnj17+Oijj4q0LVunJz09jR++Xc43q9cSFhbG7t27GTp0KFu2bGHLli2sWbOG5cuX88svvxR7nO+++y4jR47kxIkTPProo4wdO5a79xLBKbf4hQRZRTuCx44dS+3atQkPD+fIkSO89tpr2NgUvmoaHh4OwMqvVxBz7G/Ct6yVK8UqVVy8eJGffvqJX3/91ZAWmZqaysyZMwkPD2fHjh0olUqGDBmC/oHV+zfffJNZs2YRERFBcHAwo0ePRquV/2emTJlCZmYm//zzDydPnuTjjz/GyalwjZiTJ0/Sp08fhg4dyokTJ/jxxx/Zu3cvU6fmFyddsGABbdq04dixY7zwwgtMnjyZs2dlDZNDhw4BsH37dmJiYvjtt9+K/vAFhaNQQO/35e0zv+WF5ZsKnRYOrZC3fZubXRvtQWb2aoCLnRyBOWO9iEoTCKot965B9AE5asLUUgrZGbB7nrzd440KnecBTOgUhL+HPV2DvcjSieIqZWFQCz8eberDK31C0KhNfCurUECfHAdaxPem1yTVZsFfOc6LkEdlbUCB4EFOb4AvWsOFv03ft3sQDP8WHnrO7NpoD9IywJ0BzXyRJJi3NbLSF15Rl9xEIEkS6dmWWTWyt1GVKb3qrbfeIiUlpVhH2ty5c5k7t/hqbFu3bqVLly5Gjw+wceNGBg4ciLKQ1LrExEQAPDyM1MFw9pX1o0B2oiXF5EQJGUdaVhEOuOxMlFo9dmqFXD1KoSi6LXJKpp1NnpB9UW0dNKX7V3Oxt8HT2Z73P/2S916dwTcrltOqVSu6devG448/TrNmzYrdf9asWfTp0weAF198kdGjR7Njxw46deoEwDPPPMOqVatKZUtR3B/BFhQUxPvvv8/kyZNZsmQJGo0GV1dXFAoFPj4+Rfaxfft2Tpw4QVRUFP7+8ve3Zs0amjRpQnh4OG3btgXkqMZVq1bh7CyXBB83bhw7duzgww8LrhJKkkRCWhba7Gw+WvA53do2BWD48OGsWbOGW7du4eTkROPGjenRowe7du0q4Li7n/HjxzN69GhA/l9ZvHgxhw4dom+fPrJ2Gci/vxr1C90/Ojqal19+mZCQEAAaNGhQ5FheXnJVWDd1Jj7eNQCFXMEHyMrKYs2aNYY2AMOGDcu3/zfffIO3tzdnzpwhNDTU8PqsWbMMUYzvvvsuTZo04eLFi4SEhBAdHc2wYcNo2lT+nOrWrVukfZ988gljxowxfPcNGjRg0aJFdOvWja+++go7OzkE/dFHH+WFF14A4NVXX2XhwoXs3r2bkJAQg/2enp7F/jYEJeDbHNwD5dTOLS9D6AjTTcTDV8DtSLD3gHEbTNOnESiVSt59LJSXforgWkI66w5FM/ohM+uECAQC66PZSDiyCqL3w19vwKg1puvbxg7G/CgvGrSZYLp+S4mdjYq/ZnQt9bxQUJCgGo4sGWtGXbHabeQqsjeOQLqJo6P/WypHBDnVlAtrCASFceOIXFXzrzchqCvYmKCYRi4KBTQZLD8swCt9Qvj79C3+vXCHHZFx9Gxc0yJ2mAJxFi8F6dk6Gr/zl0XGPvNeH6MvtkeOHGHp0qX079+/WEfapEmTGDlyZLF9+fn5Fft+cWzcuJH//e9/BV6XJImZM2fSuXPnfDf9pcLGXhahzU3rTLsjC3BrjNPeKu777BFoy8oRQWAnVwdt/f72Ih2p7YI8+PH5Doa/O3+8i7upBcO0r3zUv8BrReHrak/v/oPo8nBvrkce5eSxw4SFhTF//ny+/vrrYtMu73e01awpn5hyHSW5r8XFxZXalsLYtWsXc+fO5cyZMyQlJaHVasnIyCA1NRVHR8dS9REZGYm/v7/BiQbQuHFj3NzciIyMNDjSAgMDDU40AF9f3yLtT0zPJjNbj529A+1bNDa8XrNmTQIDA/NFW5Xmc7j/s3R0dMTZ2VneR6EAx5y0zqxkSL9X6Ir2zJkzefbZZ1mzZg09e/ZkxIgR1KtXgiCuLicC0bmmrMkG1KlTJ58TDeRIvbfffpuDBw9y584dQyRadHR0vv+p+4/B11dOjY6LiyMkJITp06czefJk/v77b3r27MmwYcOKdNQeOXKEixcv8v33eRFQkiSh1+uJioqiUaNGBcbLdaaW9/cmKIRh38LXD8sRkT+Nk28Ky0tcZF4aS8/ZFSP2XQhDWvnx2fbzXL2bxnt/nmFEq9qoTR1xIBAIrBuFAh79BJZ1hciNcHGHnNpuKvxawxDLCbwLJ1rZyMjW5Vu8Niv9F8iZMKasmp5wBfbMl7cfmQ22zsU2F1RjuvwfnPgR4i/Arrl52QjlITMZdNkWm9/lEuDpwNOdA1m25zLvbTpD5wY1Ku7/2sSI2WkVQ6/X8/zzzzN16lSefPJJzp8/T1ZW4fn3Hh4e1K9fv9iHvX3ZPOCRkZFcv36dnj17Fnhv6tSpnDhxgnXr1pWpb5z9QHnfJORetOkFaV3K7kAsLxq1Ei9nW2zt7KjfqhOzXnuD/fv3M378eGbPnl3svvenDuZGMj742v3pf0qlskBYbXGC9levXuXRRx8lNDSUX3/9lSNHjvDll1+WuN+DSJJUaKTlg68/mAr5oP25aHV6rt2VK8BobGywve+ErFAoSt3P/RS3j9LWEYkcOxOvgS67wPHPmTOH06dP079/f3bu3Enjxo35/fffCx9Md9++SrUceZlDYc7JgQMHEh8fz4oVK/jvv//477//AAr8rxf2e8g9hmeffZbLly8zbtw4Tp48SZs2bVi8eHGh5uWeVyIiIgyP48ePc+HChXzOwbJ8zoIyULs1NMuJpjwfBhe2la8/nVbWI8pKBo960HJc+W0sB1+NawXIi1iv/nbCorYIBAIL4ROap1/254zyRwZlp8Ot0+U2y5Rcvp3ChFXhHLwcb2lTrB6dXmL40v3M/DGC+BTjZE/KhINHfidaeVPQdFr47Xn5OuvfHpqPLl9/gqqNvRsM+EzePvAFXAsvX3+SBJtegi/ayGmjFmbaww3wdrYl+m4aX/972dLmlBmxJFIK7G1UnHmvj8XGNobFixdz+/Zt3nvvPaKjo9FqtZw7dy5fVFIu5kzt3LhxI7169SrgiJs2bRobN27kn3/+oXbt2kb3C8hVDF384N5V+W9tulzl0IhSwfm+T0mCu1cgSxY9VDr75KuWcuTtgs7AXJQPOIP2vmoaTSEvJ1tuJ2eilySi49No6ONM48aNi62QWqZxvLyIiYkx/K3T6Th16hQ9ehR+HIcPH0ar1bJgwQJDyu5PP/2Ur41Go8kn5F8YjRs3Jjo6mmvXrhmi0s6cOUNiYqIhuskYou+mIeW4tiqiNoSXlxfJySmkZupxtNXC7XNEHCuo6RQcHExwcDAvvfQSo0ePZuXKlQwZMqRgh/GXsLFRo9PpwbPoFFCA+Ph4IiMjWbZsmeF/c+/evWU6Dn9/fyZNmsSkSZN4/fXXWbFiBdOmTSvQrlWrVpw+fZr69QtPYy0NGo2cfljSb0NQSgYvlR1o6Xfhp6dg1nm5unFZ+GFk3k1qn7mGaEhL0djXlV6NvNkWGceOyDgS07NxtTdRVTaBQFB56P46nNsKCVGwYQo8/n3ZL/J/vwVHVsvnuHbPmdbOMrJy3xV2no0jJjGDTdM6o1KKStlF8dPha5y6kcTV+DTe6G/8PLHM6PVypezzf8Ho9fkqqRvFgcVw7SDYusDQ5XIlboGgOEIehWaPw4n1sGESPLe77FGMET/AyZ9BoQJny8urONmqebN/I345cp2+oZa3p6yI/+JSoFAocNCoLfIwRh/txo0bvP322yxZsgRHR0caNGiAra1tkemdkyZNyhdhUtijTZs2ZfrM/vjjDx577DHD35IkMXXqVH777Td27txpqOBXZuzd859MdNl5lTZLQb7POSseBykFBxslDnZ22Ln7Ft32gceDoahFtTOG+Ph4evZ8hH+3/sb5yFNcjopi5dp1zJ8/n0GDBhnVV0k8/PDDbN68mc2bN3P27FleeOGFfJUaH6RevXpotVoWL17M5cuXWbNmDUuXLs3XJjAwkJSUFHbs2MGdO3dIS0sr0E/Pnj1p1qwZY8eO5ejRoxw6dIgnn3ySbt26Gf2bS8vUkpIpa9M52lXM2kC7du1wcHDgjf8t52JUND/8upFVK781vJ+ens7UqVPZvXs3V69eZd++fYSHhxfuJExPAG06gbVrseO/U8TG3yMhoeiVd3d3dzw9PVm+fDkXL15k586dzJw50+hjmDFjBn/99RdRUVEcPXqUnTt3FunEfPXVVzlw4ABTpkwhIiKCCxcusHHjxkKdbkXh7e2Nvb09YWFh3Lp1y6CTKCgjSiWMzknpzE6Fb4p2+BfLoRVwaYe83WIsNOxrGvvKyZdjW9HA24l76dks3FY1yqQLBAIjsXOBEavk4k8Z9+TUpLJweCWEfw36bPAo5/zThLzUKxgXOzWRMUmsOxRtaXOslsS0bD756xwAL/UMpoaTCdMtSyI5BrbPgYvbYHvxWSHF0uxxqNtDThl1N3GlUUHVpd9HcpZK/EXY8ELZMrDiImFLTuG3Hm9AQHvT2lhGHmtei+8mPER978qb4iwcaVWI6dOn069fP4O4uFqtplGjRkU60sqa2pmSkmJwtAFERUURERFBdLQ8CYiLiyM8PJwBAwYY9pkyZQpr167lhx9+wNnZmdjYWGJjY0lPTy/bwSpyiwHkOLKUaqAMK3lpd+WLZC7ugXlC8hbCycmJdu3a8fVXXzBh+ACG9ezI++/O4Zlnn+WLL74w6VgTJkzgqaeeMjixgoKCioxGA2jRogWffvopH3/8MaGhoXz//ffMmzcvX5uOHTsyadIkRo0ahZeXF/Pnzy/Qj0KhYMOGDbi7u9O1a1d69uxJ3bp1+fFH47Wert6VHXUqhQK3Copa8fDwYO3atWz5eydNe45i3YYw5sycaHhfpVIRHx/Pk08+SXBwMCNHjqRfv368++67+TvKTIEEObJywdw5bNuzD39/f1q2bFnk2EqlkvXr13PkyBFCQ0N56aWX+OSTT4w+Bp1Ox5QpU2jUqBF9+/alYcOGLFmypNC2zZo1Y8+ePVy4cIEuXbrQsmVL3n77bYPuWmlQq9UsWrSIZcuWUatWLZM7haslAQ9BV7liLnGR8O9C4/Y//7dcsADAtTY8ZtrzS3nQqFXMeawJAGsOXuVYtIkFnwUCQeWgVgsYvwWe3GjQrjWKSzvzbiIffgsa9DKpeeXBw1HDzF7BAHzy1znuVETKYiVk/l9nuZuaRQNvJ8Z1qGAnlKsfDJIlTDjwBfy3rGz9uPjCuN/lQhoCQWmxd4eR34HSJkef2cgU48QbsHY4ZKdBUDfo/JJZzCwLCoUiX8BQelbly1hRSJW97mgZSEpKwtXVlcTERFxc8l+UMzIyiIqKIigoyFCJrjKwadMmxo0bR2RkZL6KeE8++SSJiYn88ccfJhtr9+7dhTpbnnrqKVatWsU333zDypUr86WbFRVZt3LlymLF80skIwnuXpK3XWrLJ5ns9NIVH0i9I+tb5eJaGxy9im5vAdKytFyMSwHAxc6GwBqlE/OvLsQkpnM7WZ541vFwwNXBAmXEJQlunwVthvy3Q43SVZJNuSVX/USSQ/096lZMXqoVU1nPvxYn7A04mDPR7zkHOs0o+bd0egP88rQcyWvjAC+eACfrOv8BPLs6nO2RcThoVES80xuNFRQeKG4OIbAOxHdUhZEkiImAWkUvOBk4uxl+Hg+6LLkK4/Bvre46q9XpeeyLfZyJSWJwi1p89ngpjqsaEX7lLiOWHgBg3cT2dKjnaRlD9syHXTkFefrMgw4vlLzPzWMQfRDaTzavbYKqT8wJ8Glq3PnrbhSsGSwXufCsDxP+BkcL/f8UQ0qmlo+3nmXfpTtsmd7FKgoPlHYOYfkZqcAkDBgwgISEhHxONIDvvvvOpE40gO7duyNJUoHHqlWrgIJpnUCh7SVJKp8TDeTVSeda8nbSdbhzHu6ck6PMivIRSxIkXs/vRLP3kB0gVoaDRo2bvewcSsrIJiVDa2GLrIcsrZ47OU40B43aMk40kC9qXiFy6gnIlWRvnwV9Ed+VpIf4S5B0E5BAbQ/uQVY3uRdUIvrOha45kWXb58CXDxkiHQsgSXB8PfwyQf4tqu1k3Q0rdKIBzOgpR2ukZemYvu6oha0RCAQWRZIg7DVY3h22vgbawotpAbDnE/hxnOxEa/QYDFlqlddZtUrJvKFNUSpgQ8RN/r1w29ImWQ1ZWj1v/HYSgFFt/C3nRAP5GtsxR87ir9fhjynyYn5hSJKsx/dtP/n3GvFDxdkpqJr4Nss7f2WnQ9jrkBJX/D7hX8tONPdAORrSCp1oAHpJ4u8zsVy+ncrinRcsbY5RCEeawOR07tyZ0aMrsBqNk3deJFl2jh5XcqzszEi9A9pM0Ovy55VnZ+Rt27rKEURWOMECqO1hjyrHthv3ypgKWwWJSUxHAhQoCPQsRQSiOVEowLuxHNkD8kUu7qx8kcvOkDX8ctM4Y05AZs7kS6WRV4mE6KygvDz8lrxKDvKCwufNYfVAOLNRjny8fgT2LoRv+8Dvz4Okk3U3pvwHXg0ta3sxhPq58lgLebEk7PQtjlwVKZ4CQbVF0oMqR8Lhv6/kRYP/lsuLU1mp8rU3F5VaPs+1HAfDV+avwGhlNPd348kOgQB8uzfKssZYEVfiU7mXnk0NJw2vPxpiWWMUCuj1PvR8F1DAsbVw+1ze+1lp8iL98R/h60fgz+lyMbT6vSCkv8XMFlRB/noTDi6Bxa1h+7ty5GNGEiTfgpsRee0eeQdaj4enw4wqyFfRuNjZ8O5joQAs23OZyJgiHNRWiEjtrCKpndUeSYLkm8V751385BS6pJuQmSN0bucmi35aWBetJBLTs7kanwpAXS8nnGyrd8Hd+1Ne/dzs8axI4dmSSLoJqbdLLn5h7w5udazWgWsJxPnXBBxaAX+9IUdhFIXaTl5d7/ySxSt0lgatVk+L97eRkqnF01FD+JuPGKoGWwKRNmj9iO+oinN2C/z5IqQ+MOfr8n/yzSOATguXd0ODMhZiqWBSMrV8f/Aq4zsFYqu2/vNyRZGYns3l2ym0DHC3tCl5RP0LF7dDr/t0bxeG5s90UdvLwu4dplSK66ygEhF7Ev6YKqe4P4izL8yMrJT3FpPWHCHsdCzN/d34bXJHi1YxFqmdguqFQiE7ytzq5BUgkN/I20y5Bbcjc5xoCnCpZRXFBUqDq70NHo5y6uCNhDR0+mrn/zaglySuJ8irzm4OGutyooH8u6oZKmvuaRzJ9xtUKOVqs96Nc357le9CJ7ByHpoIr16FNs/IKev3o7aV9dOmR0DXWZVmcq9WK1k0WtYNik/N4t0/z1jYIoFAYFFCHoXpx6Dvx+DfLk9a4f4IIZW60jjRAJxs1TzfrZ5woj2Aq72NdTnRAIK65HeiAWSlyIXPvJtAt1dhxknoNL3SXGcFlQifpjBxF4xaC8H95MwqABTyfUfqHYuaV1beHdQEZzs1x6/dY9X+K5Y2p1SIiDQRkVb10GbJGmnpCRRa3cTWVa6eY1OwIqk1o9XruXArhWydHkeNmrpejkUWcajKXLqdQmqmFrVSSYOaTtiorNwRKkmyXppSVSmctpZEnH/NgF4PafFg5wpqC+kImojRyw9y4HI8CmDT9M40qeVa4j7mQEQ7WT/iO6pm6PWQnSrLK1QBx0W2Ts/ag1cZ/VCAVQhvVzTfHbiCg0bNsFZ+lWeem5UmO3RV1TtjRGAhqsjv7/v/rvLm76ews1GyeXoX6nk5WcQOq4hIS0hIYNy4cbi6uuLq6sq4ceO4d+9esfvklkJ98PHJJ58Y2nTv3r3A+48//rg5D0VQmVBr5HRNn1BwrytHCOVGn9UMBc+6lc6JBqBWKqntLtudmqWtlnppd1IySc2URfy9nG2t34kGctSZykY40QSWQamUCwlUcicawDfj22Bno0QC3vz9FNVwHdDqEPM8gVWgzIn2rgJONIDJa4/y7p9n+N9f50puXMU4G5vEB5simfXzcf69UIkiazQOld6JIajEVJHf3+i2AXRpUAM7GxU3K8F9rlnv7MaMGUNERARhYWGEhYURERHBuHHjit0nJiYm3+Pbb79FoVAwbNiwfO0mTpyYr92yZcvMeSiCyohSDfau4FRTfti754nUVlKc7WwM+mh3U7MMTqXqQLZWT8w9uUiErVqFl7OVpXQKBAKz4qBRs+LJ1mhUCiKu3WPtwSIqkwoqDDHPEwhMz9h2sjD4N/uiOHg53sLWVBxZWj0v/XicLJ2eno286dKghqVNEggEFYhSqWDBiOb8NaMrXRpYZzX5+zGb6zIyMpKwsDAOHjxIu3btAFixYgUdOnTg3LlzNGxYeJUwHx+ffH//8ccf9OjRg7p16+Z73cHBoUBbgaA6UMfTgciYZPSSxJX4VBr5uKC0oCBjRXH5TioSEgoUBNVwtLQ5AoHAAnRp4M3rjzbi3T/P8OGWSDrU86S+t7OlzaqWiHmeQGAeeoR483hbf9aHX+P/fjrOluldcHWo3AvBpeHzHeeJjEnCw1HDvKHNKk9ap0AgMBneLvmlXSRJstpzgdki0g4cOICrq6thcgXQvn17XF1d2b9/f6n6uHXrFps3b+aZZ54p8N73339PjRo1aNKkCbNmzSI5ObnIfjIzM0lKSsr3EAgqKyqlkgAPBwB0eomonGqeVZmYe+lkanUA+LjaoVGLNEmBoLryVIdAOtXzJCNbz+Av95GckW1pk6olYp4nEJiPN/s3IsDDgRv30nn11xNVPpV9/6U7fLX7EgAfDg4VWQcCgYC/TscyfOkBq53nme1uNDY2Fm9v7wKve3t7ExsbW6o+Vq9ejbOzM0OHDs33+tixY1m3bh27d+/m7bff5tdffy3Q5n7mzZtn0O9wdXXF39/fuIMRCKwMF3sbmvu7szNsM6mZWm4nZ5ptrMDAQD777DOL9ZOckc3tFPn4HDRqs0yuTHWMVYXdu3ejUChK1DoSCCyBUqngrQGNUAApmTpGLD1gaZOqJWKeJxCYD2c7G74Y0xIblYKw07GsqcKp7HFJGUxfF4FeghGta9Ovqa+lTRIIBBYmI1vHuxtPc+RqAq/9etIqFxOMdqTNmTOnSKHY3Mfhw4cBCg3DMyY879tvv2Xs2LEFqrdNnDiRnj17EhoayuOPP84vv/zC9u3bOXr0aKH9vP766yQmJhoe165dM/KoBYKKJS4ujueff56AgABsbW3x8fGhT58+HDiQd8N48+ZNHu7VG4DYxAy0Or2lzM3HqlWrcHNzK/B6eHg4zz33nFF96fUS1xNksUmVQkFdM6V0lsU2U6NQKNiwYYNFbcilY8eOxMTE4OpquqqIV65cQaFQEBERYbI+BdWXRr6uTH+kPgBnY5OZvfG0hS2qOoh5nkBgHTSr7cbr/RoBsHDbeVKqqC7u3ot3iE/NJMTHmfcGhVraHIFAYAXY2ahYPKYVaqWCzSdjWLnviqVNKoDRGmlTp04tsXJSYGAgJ06c4NatWwXeu337NjVr1ixxnH///Zdz587x448/lti2VatW2NjYcOHCBVq1alXgfVtbW2xtq0+I8FtvvcUnn3zCsGHD+OGHHyxtjqAMDBs2jOzsbFavXk3dunW5desWO3bs4O7du4Y2vr6+1NDpORcr66XdTMzA393eavPIvbyMF428mZhOtk6PSqEgyMvRbFpwZbHNEmRnZ2NjY36dFI1GI7SJBFbPS70asvfiHY5cvcfq/Vfo2qAGjzQqeX4hKB4xzxMIrIenOwVyKymDUW39DcWmqhpDW9XGy9mWWm722GuqRuVVgUBQflrXcefN/rIu7twtkTT3d6V1HQ9Lm5WHZCbOnDkjAdJ///1neO3gwYMSIJ09e7bE/Z966impdevWpRrr5MmTEiDt2bOnVO0TExMlQEpMTCzwXnp6unTmzBkpPT29VH1ZI4mJidIXX3whAdKFCxdM3v+ePXukAQMGSL6+vhIg/f777yYfozqTkJAgAdLu3buLbZf72SdnZEtb9x+XAGnFqjVS586dJTs7O6lNmzbSuXPnpEOHDkmtW7eWHB0dpT59+khxcXGGPrp16ya9+OKL+fodNGiQ9NRTTxn+rlOnjrRw4ULD3wsWLJBCQ0MlBwcHqXbt2tLkyZOl5ORkSZIkadeuXRKQ7zF79uxC+7l69ar02GOPSY6OjpKzs7M0YsQIKTY21vD+K6+/JTVsHCp98NlXUkBAHcnFxUUaNWqUlJSUVORnsnLlSsnV1VX6888/peDgYMne3l4aNmyYlJKSIq1atUqqU6eO5ObmJk2dOlXSarVFHiMgrVixQho8eLBkb28v1a9fX/rjjz8KjHM/v//+u3T/KTUiIkLq3r275OTkJDk7O0utWrWSwsPDC7W7Tp06+T6zOnXqSJIkSbNnz5aaN28uffPNN1JQUJCkUCgkvV4vbd26VerUqZPk6uoqeXh4SP3795cuXrxo6C8qKkoCpF9//VXq3r27ZG9vLzVr1kzav3+/oc2VK1ekAQMGSG5ubpKDg4PUuHFjafPmzfm+x4SEBEP7ffv2SV26dJHs7Oyk2rVrS9OmTZNSUlLyHcOHH34oPf3005KTk5Pk7+8vLVu2LN9nev+jW7duBT6HqnD+FVQs6ZnZUtPZYVKdVzdJ9d/YLMUmmv+3U9wcojpRWed5AoHAOtDr9ZY2QSAQWDl6vV564fsjUp1XN0ntPtwu3U7OMPuYpZ1DmE0jrVGjRvTt25eJEydy8OBBDh48yMSJExkwYEC+Sk4hISH8/vvv+fZNSkri559/5tlnny3Q76VLl3jvvfc4fPgwV65cYcuWLYwYMYKWLVvSqVMncx1OpcLFxYUJEyagVCo5efKkyftPTU2lefPmfPHFFybvu8LISi36kZ1hRNv00rU1AicnJ5ycnNiwYQOZmSVrnznZqvF2kVfi537wPs+/OIujR4+iVqsZPXo0r7zyCp9//jn//vsvly5d4p133jHKngdRKpUsWrSIU6dOsXr1anbu3Mkrr7wCyCmBn332GS4uLsTExBATE8OsWbMK9CFJEoMHD+bu3bvs2bOHbdu2cenSJUaNGgXA7eRMkjKyuXb1Cvt3hLF58yY2bdrEnj17+Oijj4q1Ly0tjUWLFrF+/XrCwsLYvXs3Q4cOZcuWLWzZsoU1a9awfPlyfvnll2L7effddxk5ciQnTpzg0UcfZezYsfkiAkti7Nix1K5dm/DwcI4cOcJrr71WZDRZeHg4ACtXriQmJsbwN8DFixf56aef+PXXXw1pkampqcycOZPw8HB27NiBUqlkyJAh6PX503vffPNNZs2aRUREBMHBwYwePRqtVk4NmTJlCpmZmfzzzz+cPHmSjz/+GCcnp0LtO3nyJH369GHo0KGcOHGCH3/8kb179zJ16tR87RYsWECbNm04duwYL7zwApMnT+bs2bMAHDp0CIDt27cTExPDb7/9VurPUiAoCjuNmp+e74BSAdk6if6f/0uW1jrS3Ks6Yp4nEFQ8+y/eYeG285Y2o9ycupHIoC/3ER2fZmlTBAKBFaNQKPh4WDPqejkSm5TBc98dJiNbZ2mzgDKkdhrD999/z/Tp0+ndW9Zxeuyxxwo4X86dO0diYmK+19avX48kSYwePbpAnxqNhh07dvD555+TkpKCv78//fv3Z/bs2ahUIhw4F61Wi4ODA6dOnWLIkCEm7btfv37069fPpH1WOHNrFf1eg94w9ue8vz+pD9lFXOjrdIanN+f9/VlTSIsv2G5OYsHXikCtVrNq1SomTpzI0qVLadWqFd26dePxxx+nWbNmhe7j7qAB4Mnnp9KsfTe83Ox58cUXGT16NDt27DDcfDzzzDOsWrWq1LYUxowZMwzbQUFBvP/++0yePJklS5ag0WhwdXVFoVAUmxq4fft2Tpw4QVRUlEEUes2aNTRp0oTdew/gEShrgkh6PevWfoeLiwsA48aNY8eOHXz44YdF9p2dnc1XX31FvXr1ABg+fDhr1qzh1q1bODk50bhxY3r06MGuXbsMjrvCGD9+vOEcNHfuXBYvXsyhQ4fo27dvqT6n6OhoXn75ZUJCQgBo0KBBkW1zU0vd3NwKfG5ZWVmsWbMmX/rpsGHD8rX55ptv8Pb25syZM4SG5umLzJo1i/79+wOyY7BJkyZcvHiRkJAQoqOjGTZsGE2bNgWgbt26Rdr3ySefMGbMGMN336BBAxYtWkS3bt346quvDPpGjz76KC+88AIAr776KgsXLmT37t2EhIQY7Pf09BRpowKTEuLrwryhTXn115PcSc3io62RvDOwiaXNqhaIeZ5AUHFcu5vGk98eQquX8HOzZ2TbyllUIyYxnWdWh3MrKZP//X2ORaNbWtokgUBgxTjZqlk+rg1Dl+wj1M8VtZmkfozFrI40Dw8P1q5dW2wbqZAKDM8991yRwt/+/v7s2bPHJPaVGkkq2pFibmwcoAyaV2+99RYpKSmcOnWqyDZz585l7ty5xfazdetWunTpYvT4gvIxbNgw+vfvz7///suBAwcICwtj/vz5fP3114wfP75A+1xdtEaNZSfKzXvpOLl5AhgcJQA1a9YkLi6uXLbt2rWLuXPncubMGZKSktBqtWRkZJCamoqjY+mKAURGRuLv75+vslrjxo1xc3Nj3+HjDAyUK/IFBQUanGgg68KVZL+Dg4PBiQbyMQcGBuaLtirN53C/09LR0RFnZ2ejPruZM2fy7LPPsmbNGnr27MmIESPy2VVa6tSpU0DD7dKlS7z99tscPHiQO3fuGCLRoqOj8znS7j8GX1+5ClZcXBwhISFMnz6dyZMn8/fff9OzZ0+GDRtWpKP2yJEjXLx4ke+//97wmiRJ6PV6oqKiaNSoUYHxcp2p5f29CQSlYVTbALJ0et7ecJpv912hrpcTT7SvY2mzqjxVZp4nEFQC/D0ceKFHfRbtuMDrv5/Ey9mWHiEFK+daMymZWiasOsytpEyCazrxwRBRXEAgEJRMfW8n/nqpK76u9pY2xUDVVK00NdlpxUcwmZM3boLGuEqFR44cYenSpfTv379YR9qkSZMYOXJksX35+fkZNXal4Y2bRb+neGDF++WLxbR9IDt6hulSae3s7OjVqxe9evXinXfe4dlnn2X27NmFOtJyqVvTFaVCgV6SiEuW00LvTydUKBT50v+USmWBm5zs7Owi+7969SqPPvookyZN4v3338fDw4O9e/fyzDPPFLvfg0iFVHXL1unR6fWgAAUKPBw1BVIhH7S/MArbx1T95O5Tms9tzpw5jBkzhs2bN7N161Zmz57N+vXrjY4QLcw5OXDgQPz9/VmxYgW1atVCr9cTGhpKVlZWkceQ+3nnHsOzzz5Lnz592Lx5M3///Tfz5s1jwYIFTJs2rcB4er2e559/nunTpxd4LyAgoNDxcscs6XMWCEzFuPaB3EvNZsG28yzacYEhLf1wrKLi3AKBoHryUs8GXE9I47ejN3jh+6Ose649LfzdLG1WqcjS6pny/VEiY5Ko4aThm6fa4mJn/gJKAoGgamBNTjQQjrQqR+4N79SpU2nXrh1jx44lKysLjUZToK2HhwceHlZU+aIiMcY5aa62RtK4cWM2bNhQbBsblZL63k5cuJVCrpunuDxyLy8vYmJiDH/rdDpOnTpFjx49Cm1/+PBhtFotCxYsQKmUnYg//fRTvjYajQadrvjc9caNGxMdHc21a9fw9/dHq9ez9d9wkpOSqFu/IXU8HVCrzCbhWG68vLxITk7OF4WXq192P8HBwQQHB/PSSy8xevRoVq5cWaQjzcbGpsTPDSA+Pp7IyEiWLVtmiBbdu3dvmY7D39+fSZMmMWnSJF5//XVWrFhRqCOtVatWnD59mvr165dpHMBwDirNMQoEZWXqw/XJ1ukZ0cZfONEEAkGVI1cv6E5KFv+cv83TKw/xw8T2NPJ1KXlnC6LV6Xlx/TH2nL+NnY2SFU+2wd/DwdJmCQQCQZkRs8zSYONQfASTucc2gsWLF3P79m3ee+89oqOj0Wq1nDt3Ll96Xy4itdM6iY+PZ8SIEUyYMIFmzZrh7OzM4cOHmT9/PoMGDSpxfzsbFUE1HMiVq790OwUPdzc06oLaMg8//DAzZ85k8+bN1KtXj4ULF3Lv3r0i+65Xrx5arZbFixczcOBA9u3bx9KlS/O1CQwMJCUlhR07dtC8eXMcHBxwcMj/O+7ZsyfNmjVj7NixfLpwIZdvJfHuazNp074Tfbp3xMXeulco27Vrh4ODA2+88QbTpk3j0KFD+bTn0tPTefnllxk+fDhBQUFcv36d8PDwAtpm9xMYGGjQs7O1tcXd3b3Qdu7u7nh6erJ8+XJ8fX2Jjo7mtddeM/oYZsyYQb9+/QgODiYhIYGdO3caUjQf5NVXX6V9+/ZMmTKFiRMn4ujoSGRkJNu2bWPx4sWlGs/b2xt7e3vCwsKoXbs2dnZ2uLq6Gm23QFAcCoWCmb0bltxQIBAIKik2KiVfjW3FmK//4/i1e4z9+j/+mNLJqh1TX+y6yNZTsWhUshOtZUDhcxyBQCCoLFhvyIc1oVDIkUaWeBihj3bjxg3efvttlixZgqOjIw0aNMDW1rbI9M5JkyYRERFR7KNNmzam+hQFpcTJyYl27dqxcOFCunbtSmhoKG+//TYTJ04sdaVUJzsbarrIAvCSBNF30+W0yQeYMGECTz31FE8++STdunUjKCioyGg0gBYtWvDpp5/y8ccfExoayvfff8+8efPytenYsSOTJk1i1KhReHl5MX/+/AL9KBQKNmzYgJubO926dmPCqEHUrhPIqrU/4OFoW6pjtCS5ukBbtmyhadOmrFu3jjlz5hjeV6lUxMfH8+STTxIcHMzIkSPp168f7777bpF9LliwgG3btuHv70/LlkUL7yqVStavX8+RI0cIDQ3lpZde4pNPPjH6GHQ6HVOmTDFU3mvYsCFLliwptG2zZs3Ys2cPFy5coEuXLrRs2ZK3337boLtWGtRqNYsWLWLZsmXUqlWrVE5hgUAgEAgEBXG0VfPdhIdoVtuVh0O8qeVmXSlPD/JUh0Aa+7rw5dhWdGngVfIOAoFAYOUopMJUYKs4SUlJuLq6kpiYmE/IHCAjI4OoqCiCgoIMlegqC8OGDUOtVvPjjz8aXmvZsiWPPvposVUOjSUlJYWLFy8a+v/000/p0aMHHh4e+fSSBJYnJSObq/Fp6CQJexsVgTUcsbGSlEmtTs/Vu2mkZmpRKhQEeDhYfSSawLxU5vOvoPpQ3BxCYB2I70hQXUjJ1GJvo0JlJVXs7idTq8P2vmwIrU5v1bIdAoFAAKWfQ4izWRVh06ZN7Ny5k88//zzf602bNi224EBZOHz4MC1btjREzcycOZOWLVvyzjvvmHQcQflxsrMhyMsRtVJJeraOc7HJxKdkWtosUjKyiYxNJjVTi0qhIKiGo3CiCQQCgUAgEBiBk63a4ETT6SWmrTvGHxE3LGwVxCSmM3TJfr47cMXwmnCiCQSCqoTQSKsiDBgwgISEhAKvf/fddyYfq3v37oWWsxdYJw4aNfW8HbkUl4JWL3HjXjqpWTr83e0LVM6sCOKSM4hNzADk6pxBNRxxEKLgAoFAIBAIBGXm1yPX+fP4Tf48fpOT1xN5tV+IRbIQjly9y+S1R4lLzuSLnRcZ1qq2KP4iEAiqHGJpQCCoBtiqVTSo6Yw6p9LmvbQszt1KJltbUDfNXOj0ei7EJRucaEqFgvrewokmEAgEAoFAUF6Gt67N893qAvD13ijGfv0fN++lV9j4Wp2ehdvOM2LpAeKSMwmu6cSvkzsKJ5pAIKiSCEeaQFBNsFEpCfF1xilnQpOl1XM2Npm45Ayzj52Unk1kTDLpWTpAduyF+DhjrxGTK4FAIBAIBILyolQqeL1fI5Y+0QonWzWHou7SZ+E//BgebfZMkuj4NEYtP8jnOy6gl2BISz9+ndzRqiuJCgQCQXkQd7ECQTVCqVBQ18uJ28mZxCZmICERm5hBcrqWOp4OJtev0OslYpMyuHOfLpuXsy2+rtZdXUogEAgEAoGgMtI31Jfgms7838/HORZ9j1d/PUnUnTRe6xdilvHSs3Q89uVe7qVl42yr5oMhoQxq4WeWsQQCgcBaEBFpAkE1xMvZloY+ztjlVFNKzdJyLjaZuKQMsnXlT/fM1um5nZzB2dhkgxNNrVTSwNtJONEEAoFAIBAIzEhdLyd+mdSRNx9thL2NioHNfQ3vmWKel5KpNUS52WtUTOgURMd6nmx5sYtwogkEgmqBiEgTCKopGrWSYB9nEtOyiEvOJD1bR2xSBreSMlCrlLg72FDDybbUUWp6vcTdtCziU7LI1OryxlEp8XG1w9XexiLFDQQCgUAgEAiqGyqlgold6zKiTW3cHDSG19/47SRX49MY1tqPPk188r1XHFqdnqPR9/j92A02Hb/Jkida0aWBFwAvdK/HtIfri3meQCCoNghHmkBQzXF10OBib0Nieja3kjLJ1OrI1umJS84kLjkTlVKBnVqFnUaFQ84DCbR6iaT0bNKzdWRq9QVWOFVKBb6u9rg52KAUEyuBQCAQCASCCud+R1lalpYtJ2NIzdJx6MpdXv/tJKF+rrQKcKeetxONfV1oXccdgIxsHWGnYrkSn8qpG0kcioonKUNr6OuPiJsGR5qppUEEAoHA2hGONIFAgEKhwM1Bg2uOQy0uOZPMbB0SoNNLpGZpSc3SEl+KvjRqJZ6OttRw0oiVSYFAIBAIBAIrwUGjZvv/deO3ozf48/hNzsYmc+J6IieuJwLQp0lNlo1rA4BekpjxY0S+/V3tbXikkTfDW9emfZBnRZsvEAgEVoNwpAkElRSFQsHvv//O4MGDTdqnm4MGNwcNekkiOT2bJiENePLZyYx9ZjIoQJJAASgUoJdArVRgZ6PC2U6Nu4MGpbJw51lgYCAzZsxgxowZJrPXVFizbZZg9+7d9OjRg4SEBNzc3CxtjkAgEAgEAhPh62rPlB71mdKjPjGJ6fx3+S5nYpK4GJdCUz9XQzsHjZpHQrxxc9DQpJYLLQLcaF7bDVUR8zyBQCCoTghHmkBghcTFxfH222+zdetWbt26hbu7O82bN2fOnDl06NABgJiYGNzd3c1mg1KhwNVBg1qpwNvFjib3Ta6KY9WqVcyYMYN79+7lez08PBxHR0czWFp+rME2czhGy0rHjh2JiYnB1bV033lpuHLlCkFBQRw7dowWLVqYrF+BQCAQCARlw9fVnsEt/RjcsvACAd+Mb1vBFgkEAkHlQDjSBAIrZNiwYWRnZ7N69Wrq1q3LrVu32LFjB3fv3jW08fHxsaCFxuPl5WVpE4rEmm27n+zsbGxsbMw+jkajqXS/L4FAIBAIBAKBQCCoCIQyZBXkrbfewtbWljFjxljaFEEZuHfvHnv37uXjjz+mR48e1KlTh4ceeojXX3+d/v37G9opFAo2bNgAyNE+CoWCn376iS5dumBvb0/btm05f/484eHhtGnTBicnJ/r27cvt27cNfXTv3r1AOuPgwYMZP358kfZ9+umnNG3aFEdHR/z9/XnhhRdISUkB5JTAp59+msTERBQKBQqFgjlz5gBy+uRnn31m6Cc6OppBgwbh5OSEi4sLI0eO5NatW4b358yZQ4sWLVizZg2BgYG4urry+OOPk5ycXKRtq1atws3NjU2bNtGwYUMcHBwYPnw4qamprF69msDAQNzd3Zk2bRo6XV5l0QdtUygUfP311wwZMgQHBwcaNGjAxo0bC4xzPxs2bMinCXf8+HF69OiBs7MzLi4utG7dmsOHDxdqd2BgIABDhgxBoVAY/s79DL799lvq1q2Lra0tkiQRFhZG586dcXNzw9PTkwEDBnDp0iVDf7m/h99++40ePXrg4OBA8+bNOXDggKHN1atXGThwIO7u7jg6OtKkSRO2bNli+B4VCkW+qML9+/fTtWtX7O3t8ff3Z/r06aSmpuY7hrlz5zJhwgScnZ0JCAhg+fLlhveDgoIAaNmyJQqFgu7duxf6WQgEAoFAIBAIBAKBNSMcaVWQV155hU8//ZR169Zx8eJFk/c/b9482rZti7OzM97e3gwePJhz586ZfBxzkpadVuQjU5dZ6rYZ2oxStTUGJycnnJyc2LBhA5mZmSXvcB+zZ8/mrbfe4ujRo6jVakaPHs0rr7zC559/zr///sulS5d45513jOrzQZRKJYsWLeLUqVOsXr2anTt38sorrwBySuBnn32Gi4sLMTExxMTEMGvWrAJ9SJLE4MGDuXv3Lnv27GHbtm1cunSJUaNG5Wt36dIlNmzYwKZNm9i0aRN79uzho48+Kta+tLQ0Fi1axPr16wkLC2P37t0MHTqULVu2sGXLFtasWcPy5cv55Zdfiu3n3XffZeTIkZw4cYJHH32UsWPH5osILImxY8dSu3ZtwsPDOXLkCK+99lqR0WTh4eEArFy5kpiYGMPfABcvXuSnn37i119/JSIiAoDU1FRmzpxJeHg4O3bsQKlUMmTIEPT6/JVT33zzTWbNmkVERATBwcGMHj0arVauuDVlyhQyMzP5559/OHnyJB9//DFOTk6F2nfy5En69OnD0KFDOXHiBD/++CN79+5l6tSp+dotWLCANm3acOzYMV544QUmT57M2bNnATh06BAA27dvJyYmht9++63Un6VAIBAIBAKBQCAQWAsitbMK4uLiwoQJE5g+fTonT56kfv36Ju1/z549TJkyhbZt26LVannzzTfp3bs3Z86csbjOVGlp90O7It/r4teFJT2XGP7u/lN30rXphbZtU7MNK/uuNPzd99e+JGQmFGh38qmTpbZNrVazatUqJk6cyNKlS2nVqhXdunXj8ccfp1mzZsXuO2vWLPr06QPAiy++yOjRo9mxYwedOnUC4JlnnmHVqlWltqUw7o9gCwoK4v3332fy5MksWbIEjUaDq6srCoWi2NTA7du3c+LECaKiovD39wdgzZo1NGnShPDwcNq2lTU59Ho9q1atwtnZGYBx48axY8cOPvzwwyL7zs7O5quvvqJevXoADB8+nDVr1nDr1i2cnJxo3LgxPXr0YNeuXQUcd/czfvx4Ro8eDcDcuXNZvHgxhw4dom/fvqX6nKKjo3n55ZcJCQkBoEGDBkW2zU0tdXNzK/C5ZWVlsWbNmnzpp8OGDcvX5ptvvsHb25szZ84QGhpqeH3WrFmGKMZ3332XJk2acPHiRUJCQoiOjmbYsGE0bdoUgLp16xZp3yeffMKYMWMM332DBg1YtGgR3bp146uvvsLOzg6ARx99lBdeeAGAV199lYULF7J7925CQkIM9nt6eoq0UYFAIBAIBAKBQFBpERFpVRStVouDgwOnTp0yed9hYWGMHz+eJk2a0Lx5c1auXEl0dDRHjhwx+VjVlWHDhnHz5k02btxInz592L17N61atSrRCXa/o61mzZoABkdJ7mtxcXHlsm3Xrl306tULPz8/nJ2defLJJ4mPj8+X5lcSkZGR+Pv7G5xoAI0bN8bNzY3IyEjDa4GBgQYnGoCvr2+J9js4OBicaCAfc2BgYL5oq9J8Dvd/lo6Ojjg7Oxv12c2cOZNnn32Wnj178tFHH+VLvTSGOnXqFNBwu3TpEmPGjKFu3bq4uLgY0iajo6OLPAZfX18AwzFMnz6dDz74gE6dOjF79mxOnDhRpA1Hjhxh1apVhmhJJycn+vTpg16vJyoqqtDxcp2p5f29CQQCgUAgEAgEAoE1ISLSSoEkSUVGJJkbe7V9Pt2l0vLWW2+RkpJSrCNt7ty5zJ07t9h+tm7dSpcuXYptk5iYCICHh4fRdlqK/8b8V+R7KqUq39+7R+4usq1Skd8XHTYsrFx23Y+dnR29evWiV69evPPOOzz77LPMnj27WP2y+1MHc383D752f/qfUqlEkqR8fWRnZxfZ/9WrV3n00UeZNGkS77//Ph4eHuzdu5dnnnmm2P0eRJKkQn/XD77+YCrkg/YXRmH7mKqf3H1K87nNmTOHMWPGsHnzZrZu3crs2bNZv349Q4YMKXbcByksynPgwIH4+/uzYsUKatWqhV6vJzQ0lKysrCKPIfdzzT2GZ599lj59+rB582b+/vtv5s2bx4IFC5g2bVqB8fR6Pc8//zzTp08v8F5AQECh4+WOWdLnLBAIBAKBQCAQCASVCeFIKwXp2vRiUwHNyX9j/sPBxsGofY4cOcLSpUvp379/sY60SZMmMXLkyGL78vMrvBx2LpIkMXPmTDp37pwvpczaMeYzNVdbY2ncuLGhuICp8PLyIiYmxvC3Tqfj1KlT9OjRo9D2hw8fRqvVsmDBApRK2Yn4008/5Wuj0WjyCfkXRuPGjYmOjubatWuGqLQzZ86QmJhIo0aNynNIFYKXlxfJycmkpqYaHF25+mX3ExwcTHBwMC+99BKjR49m5cqVRTrSbGxsSvzcAOLj44mMjGTZsmUGJ/fevXvLdBz+/v5MmjSJSZMm8frrr7NixYpCHWmtWrXi9OnT5UoT12g0AKU6RoFAIBAIBAKBQCCwVoQjrYqRGzkydepU2rVrx9ixY8nKyjLcxN6Ph4dHuaPIpk6dyokTJ8p8Iy8oSHx8PCNGjGDChAk0a9YMZ2dnDh8+zPz58xk0aJBJx3r44YeZOXMmmzdvpl69eixcuDBfpcYHqVevHlqtlsWLFzNw4ED27dvH0qVL87UJDAwkJSWFHTt20Lx5cxwcHHBwyO9g7NmzJ82aNWPs2LF89tlnaLVaXnjhBbp160abNm1MeozmoF27djg4OPDGG28wbdo0Dh06lC/tNj09nZdffpnhw4cTFBTE9evXCQ8PL6Btdj+BgYEGPTtbW1vc3d0Lbefu7o6npyfLly/H19eX6OhoXnvtNaOPYcaMGfTr14/g4GASEhLYuXNnkU7MV199lfbt2zNlyhQmTpyIo6MjkZGRbNu2jcWLF5dqPG9vb+zt7QkLC6N27drY2dnh6upqtN0CgUAgEAgEAoFAYEmEI60U2Kvti00FNPfYxrB48WJu377Ne++9R3R0NFqtlnPnzuXTycqlvKmd06ZNY+PGjfzzzz/Url3bKDsFRePk5ES7du1YuHAhly5dIjs7G39/fyZOnMgbb7xh0rEmTJjA8ePHefLJJ1Gr1bz00ktFRqMBtGjRgk8//ZSPP/6Y119/na5duzJv3jyefPJJQ5uOHTsyadIkRo0aRXx8PLNnz2bOnDn5+lEoFGzYsIFp06bRtWtXlEolffv2LbVTxtJ4eHiwdu1aXn75ZZYvX07Pnj2ZM2cOzz33HAAqlYr4+HiefPJJbt26RY0aNRg6dCjvvvtukX0uWLCAmTNnsmLFCvz8/Lhy5Uqh7ZRKJevXr2f69OmEhobSsGFDFi1aRPfu3Y06Bp1Ox5QpU7h+/TouLi707duXhQsXFtq2WbNm7NmzhzfffJMuXbogSRL16tUrtljDg6jVahYtWsR7773HO++8Q5cuXdi9e7dRNgsEAoFAIBAIBAKBpVFIDwr9mJAPP/yQzZs3ExERgUajKTbSJRdJknj33XdZvnw5CQkJtGvXji+//JImTZoY2mRmZjJr1izWrVtHeno6jzzyCEuWLCm1MycpKQlXV1cSExNxcXHJ915GRgZRUVEEBQUZKtFVFm7cuEGjRo1Yt24d/fv3R6vV4uTkxMqVKw3VB+/n7t273L17t9g+/fz8sLfP78yTJIlp06bx+++/s3v37mKrEQoEAkFpqcznX0H1obg5RHWjMs7zBAKBQCAQCIqitHMIs1btzMrKYsSIEUyePLnU+8yfP59PP/2UL774gvDwcHx8fOjVqxfJycmGNjNmzOD3339n/fr17N27l5SUFAYMGFDttXemT59Ov3796N+/PyBHgDRq1KhInTQPDw/q169f7ONBJxrAlClTWLt2LT/88APOzs7ExsYSGxtLerplCjIIBAKBQCCoeMQ8TyAQCAQCQXXErBFpuaxatYoZM2aUuFIpSRK1atVixowZvPrqq4C8KlmzZk0+/vhjnn/+eRITE/Hy8mLNmjWGtKKbN2/i7+/Pli1b6NOnT4n2VMWItE2bNjFu3DgiIyPx8fExvP7kk0+SmJjIH3/8YbKxiqoiunLlymIrSgoEAkFxVNbzr6B6IaKdClKZ5nkCgUAgEAgERWEVEWnGEhUVRWxsLL179za8ZmtrS7du3di/fz8gV6TMzs7O16ZWrVqEhoYa2jxIZmYmSUlJ+R5VjQEDBpCQkJDPiQbw3XffmdSJBvJEuLCHcKIJBAKBQCAoCjHPEwgEAoFAUBWwKkdabGwsADVr1sz3es2aNQ3vxcbGotFoClS0u7/Ng8ybNw9XV1fDw9/f3wzWCwQCgUAgEAiKQszzBAKBQCAQVAWMdqTNmTMHhUJR7OPw4cPlMurB1EFJkopMJyxNm9dff53ExETD49q1a+WyTyAQCAQCgaAqIuZ5AoFAIBAIBMWjNnaHqVOn8vjjjxfbJjAwsEzG5KYlxsbG4uvra3g9Li7OsHrp4+NDVlYWCQkJ+VYr4+Li6NixY6H92traYmtrWyabBAKBQCAQCKoLYp4nEAgEAoFAUDxGO9Jq1KhBjRo1zGELQUFB+Pj4sG3bNlq2bAnIFaH27NnDxx9/DEDr1q2xsbFh27ZtjBw5EoCYmBhOnTrF/PnzzWKXQCAQCAQCQXVAzPMEAoFAIBAIisdoR5oxREdHc/fuXaKjo9HpdERERABQv359nJycAAgJCWHevHkMGTIEhULBjBkzmDt3Lg0aNKBBgwbMnTsXBwcHxowZA4CrqyvPPPMM//d//4enpyceHh7MmjWLpk2b0rNnT3MejkAgEAgEAoEgBzHPEwgEAoFAUB0xqyPtnXfeYfXq1Ya/c1cfd+3aRffu3QE4d+4ciYmJhjavvPIK6enpvPDCCyQkJNCuXTv+/vtvnJ2dDW0WLlyIWq1m5MiRpKen88gjj7Bq1SpUKpXJbNfr9SbrSyAQCAQlI0mSpU0QCARGUJnneQKBQCAQCARlRSFVwzuXpKQkXF1dSUxMxMXFJd97er2eCxcuoFKp8PLyQqPRlCiAKxAIBILyIUkSt2/fJi0tjQYNGogbZoHVUtwcQmAdiO9IIBAIBAJBWSjtHMKsEWmVEaVSSVBQEDExMdy8edPS5ggEAkG1QaFQULt2beFEEwgEAoFAIBAIBFaLcKQVgkajISAgAK1Wi06ns7Q5AoFAUC2wsbERTjTB/7d33/FR1Pkfx9+bTbLpCySkQYCA9F6kCoJiQAFFOQFL7NhPEblT7HIqP7GfCoinYgX0EOUUkVCkSKgSUEFABUJJCDWFkrbz+2PJypK2hGx2YV/P32Me7H73OzOf2Ym//dxnZr5fAAAAwKtRSCuHyWRSQECAAgICPB0KAAAAAAAAvICfpwMAAAAAAAAAzgUU0gAAAAAAAAAXUEgDAAAAAAAAXOCTY6QZhiHJPrUpAACAq0pyh5JcAt6HPA8AAFSFq3meTxbScnNzJUkJCQkejgQAAJyLcnNzZbVaPR0GykCeBwAAzkZleZ7J8MFLqjabTXv37lV4eLhMJlO1bz8nJ0cJCQnatWuXIiIiqn37qBrOi3fivHgnzot34rx4nmEYys3NVXx8vPz8GCHDG5Hn+SbOi3fivHgnzot34rx4nqt5nk/ekebn56f69eu7fT8RERH8B+CFOC/eifPinTgv3onz4lnciebdyPN8G+fFO3FevBPnxTtxXjzLlTyPS6kAAAAAAACACyikAQAAAAAAAC6gkOYGFotFTz/9tCwWi6dDwSk4L96J8+KdOC/eifMCeB7/HXonzot34rx4J86Ld+K8nDt8crIBAAAAAAAA4ExxRxoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCmhtMmjRJiYmJCgoKUufOnbVs2TJPh+TTJkyYoAsvvFDh4eGKjo7W0KFDtWXLFk+HhVNMmDBBJpNJo0eP9nQokLRnzx7deOONioyMVEhIiDp06KB169Z5OiyfVlRUpCeeeEKJiYkKDg5W48aNNX78eNlsNk+HBvgc8jzvQp53biDX8x7ked6HPO/cQyGtms2cOVOjR4/W448/rvXr16t37966/PLLlZ6e7unQfNaSJUt03333aeXKlUpJSVFRUZGSkpJ09OhRT4cGSWvWrNHUqVPVrl07T4cCSYcPH1avXr0UEBCg7777Tps2bdIrr7yiWrVqeTo0n/biiy9qypQpeuutt7R582ZNnDhRL730kt58801Phwb4FPI870Oe5/3I9bwHeZ53Is8795gMwzA8HcT5pFu3burUqZMmT57saGvZsqWGDh2qCRMmeDAylNi/f7+io6O1ZMkS9enTx9Ph+LS8vDx16tRJkyZN0nPPPacOHTro9ddf93RYPu3RRx/Vjz/+yB0WXmbw4MGKiYnRe++952gbNmyYQkJC9PHHH3swMsC3kOd5P/I870Ku513I87wTed65hzvSqlFBQYHWrVunpKQkp/akpCStWLHCQ1HhdNnZ2ZKkOnXqeDgS3HfffRo0aJD69+/v6VBw0pw5c9SlSxdde+21io6OVseOHfXuu+96Oiyfd9FFF2nhwoXaunWrJGnDhg1avny5rrjiCg9HBvgO8rxzA3medyHX8y7ked6JPO/c4+/pAM4nBw4cUHFxsWJiYpzaY2JilJmZ6aGocCrDMDRmzBhddNFFatOmjafD8WkzZszQTz/9pDVr1ng6FJzizz//1OTJkzVmzBg99thjWr16tR544AFZLBbddNNNng7PZz3yyCPKzs5WixYtZDabVVxcrOeff17XXXedp0MDfAZ5nvcjz/Mu5HrehzzPO5HnnXsopLmByWRyem8YRqk2eMb999+vjRs3avny5Z4Oxaft2rVLDz74oObPn6+goCBPh4NT2Gw2denSRS+88IIkqWPHjvr11181efJkEiwPmjlzpj755BN99tlnat26tdLS0jR69GjFx8fr5ptv9nR4gE8hz/Ne5Hneg1zPO5HneSfyvHMPhbRqFBUVJbPZXOqqZFZWVqmrl6h5f//73zVnzhwtXbpU9evX93Q4Pm3dunXKyspS586dHW3FxcVaunSp3nrrLeXn58tsNnswQt8VFxenVq1aObW1bNlSs2bN8lBEkKR//OMfevTRRzVy5EhJUtu2bbVz505NmDCBBAuoIeR53o08z7uQ63kn8jzvRJ537mGMtGoUGBiozp07KyUlxak9JSVFPXv29FBUMAxD999/v7788kstWrRIiYmJng7J51166aX6+eeflZaW5li6dOmiG264QWlpaSRWHtSrVy9t2bLFqW3r1q1q2LChhyKCJB07dkx+fs4/2WazmWnRgRpEnuedyPO8E7medyLP807keece7kirZmPGjFFycrK6dOmiHj16aOrUqUpPT9fdd9/t6dB81n333afPPvtMX3/9tcLDwx1Xkq1Wq4KDgz0cnW8KDw8vNXZJaGioIiMjGdPEwx566CH17NlTL7zwgoYPH67Vq1dr6tSpmjp1qqdD82lDhgzR888/rwYNGqh169Zav369Xn31Vd12222eDg3wKeR53oc8zzuR63kn8jzvRJ537jEZhmF4OojzzaRJkzRx4kRlZGSoTZs2eu2115h+24PKG7fkgw8+0C233FKzwaBcffv2ZUp0L/HNN99o3Lhx2rZtmxITEzVmzBiNGjXK02H5tNzcXD355JOaPXu2srKyFB8fr+uuu05PPfWUAgMDPR0e4FPI87wLed65g1zPO5DneR/yvHMPhTQAAAAAAADABYyRBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIa4AOmTZsmk8nkWIKCghQbG6t+/fppwoQJysrKcut+d+zY4ZbtV+SWW25Ro0aNKu03adIkTZs2ze3xVIe+ffuqb9++bt3Hpk2b9Mwzz3jknAEAcCZWrVqlq6++Wg0aNJDFYlFMTIx69Oihhx9+2KlfTfx+lueHH36QyWTSDz/8cMbrVvSb7Gqec64ymUx65plnPB3GGXFX3uvJc92oUSPdcsstHtl3Rc7Fvw+cX/w9HQCAmvPBBx+oRYsWKiwsVFZWlpYvX64XX3xRL7/8smbOnKn+/ftX6/4GDRqk1NRUxcXFVet2q9OkSZMUFRXllUnC6SZNmuT2fWzatEnPPvus+vbte14n6ACAc9u3336rK6+8Un379tXEiRMVFxenjIwMrV27VjNmzNArr7zi6FsTv5/uUNFv8pNPPqkHH3zQM4HVgNTUVNWvX9/TYXiF8/1cVwV/H/A0CmmAD2nTpo26dOnieD9s2DA99NBDuuiii3TNNddo27ZtiomJOev9HD9+XEFBQapbt67q1q171tvzFoWFhTKZTPL398z/62zVqpVH9gsAgLeZOHGiEhMT9f333zv9Lo8cOVITJ0506ns+/n42adLE0yG4Vffu3T0dgscdO3ZMISEh5/25rgr+PuBpPNoJ+LgGDRrolVdeUW5urt555x2nz9auXasrr7xSderUUVBQkDp27KjPP//cqU/Jbezz58/Xbbfdprp16yokJET5+fmlbnEfPXq0QkNDlZOTUyqOESNGKCYmRoWFhY62mTNnqkePHgoNDVVYWJgGDBig9evXl1p32rRpat68uSwWi1q2bKmPPvrIpWNv1KiRfv31Vy1ZssTx2GvJFd+SRzE+/vhjPfzww6pXr54sFot+//137d+/X/fee69atWqlsLAwRUdH65JLLtGyZcuctr9jxw6ZTCa9/PLLevXVV5WYmKiwsDD16NFDK1eudOr7559/auTIkYqPj3c8nnLppZcqLS3N0aesR1MKCgr03HPPqUWLFrJYLKpbt65uvfVW7d+/v9SxDh48WPPmzVOnTp0UHBysFi1a6P3333f6Hq+99lpJUr9+/RzfScmjr+vXr9fgwYMVHR0ti8Wi+Ph4DRo0SLt373bp+wYAoLocPHhQUVFRZV7c8vNz/p84p/9+lvw+v/TSS3rxxRfVqFEjBQcHq2/fvtq6dasKCwv16KOPKj4+XlarVVdffXWpYTDKe7TMlUfh1q5dq5EjRzr226hRI1133XXauXOno09lv8llPe534sQJjRs3TomJiQoMDFS9evV033336ciRI6VirCwnkOyFnLFjxyoxMVFBQUGqU6eOunTpounTp1d4fCX536JFizRq1ChFRkYqIiJCN910k44eParMzEwNHz5ctWrVUlxcnMaOHeuU/0mlv9+SbS5evFj33HOPoqKiFBkZqWuuuUZ79+6tcN1Tj/vUc1PV45OklStXqlevXgoKClJ8fLzGjRtX6hhKuJLP3nLLLQoLC9PPP/+spKQkhYeH69JLL3V8duq57tixo3r37l1qP8XFxapXr56uueYaR5ureWJhYaH++c9/KjY2ViEhIbrooou0evXqSr+HEvn5+Ro/frxatmypoKAgRUZGql+/flqxYoWjj6t/n4sWLVLfvn0VGRmp4OBgNWjQQMOGDdOxY8ccfc7m70Ny7Zy4kpvDd3FHGgBdccUVMpvNWrp0qaNt8eLFGjhwoLp166YpU6bIarVqxowZGjFihI4dO1YqSbzttts0aNAgffzxxzp69KgCAgJK7ee2227TG2+8oc8//1x33HGHo/3IkSP6+uuvdd999znWe+GFF/TEE0/o1ltv1RNPPKGCggK99NJL6t27t1avXu24ujxt2jTdeuutuuqqq/TKK68oOztbzzzzjPLz80sl0qebPXu2/va3v8lqtToe+7BYLE59xo0bpx49emjKlCny8/NTdHS0I/l4+umnFRsbq7y8PM2ePVt9+/bVwoULSxW73n77bbVo0UKvv/66JPst+ldccYW2b98uq9XqOAfFxcWaOHGiGjRooAMHDmjFihWlkotT2Ww2XXXVVVq2bJn++c9/qmfPntq5c6eefvpp9e3bV2vXrlVwcLCj/4YNG/Twww/r0UcfVUxMjP7zn//o9ttv1wUXXKA+ffpo0KBBeuGFF/TYY4/p7bffVqdOnSTZr3ofPXpUl112mRITE/X2228rJiZGmZmZWrx4sXJzcyv8ngEAqG49evTQf/7zHz3wwAO64YYb1KlTpzJzj4q8/fbbateund5++20dOXJEDz/8sIYMGaJu3bopICBA77//vnbu3KmxY8fqjjvu0Jw5c6ol9h07dqh58+YaOXKk6tSpo4yMDE2ePFkXXnihNm3apKioqAp/k8tiGIaGDh2qhQsXaty4cerdu7c2btyop59+WqmpqUpNTXXKcSrLCSRpzJgx+vjjj/Xcc8+pY8eOOnr0qH755RcdPHjQpeO84447dM0112jGjBlav369HnvsMRUVFWnLli265pprdOedd2rBggV68cUXFR8frzFjxri0zUGDBumzzz7Trl279I9//EM33nijFi1a5FJMp6rq8W3atEmXXnqpGjVqpGnTpikkJESTJk3SZ599Vqqvq/msZC96XXnllbrrrrv06KOPqqioqMz933rrrXrwwQe1bds2NW3a1NE+f/587d27V7feequkM8sTR40apY8++khjx47VZZddpl9++UXXXHONSzleUVGRLr/8ci1btkyjR4/WJZdcoqKiIq1cuVLp6enq2bOny3+fO3bs0KBBg9S7d2+9//77qlWrlvbs2aN58+apoKBAISEhFcbiyt+Hq+ekKrk5fIgB4Lz3wQcfGJKMNWvWlNsnJibGaNmypeN9ixYtjI4dOxqFhYVO/QYPHmzExcUZxcXFTtu+6aabyt3v9u3bHW2dOnUyevbs6dRv0qRJhiTj559/NgzDMNLT0w1/f3/j73//u1O/3NxcIzY21hg+fLhhGIZRXFxsxMfHG506dTJsNpuj344dO4yAgACjYcOGFXwrdq1btzYuvvjiUu2LFy82JBl9+vSpdBtFRUVGYWGhcemllxpXX321o3379u2GJKNt27ZGUVGRo3316tWGJGP69OmGYRjGgQMHDEnG66+/XuF+Lr74YqdYp0+fbkgyZs2a5dRvzZo1hiRj0qRJjraGDRsaQUFBxs6dOx1tx48fN+rUqWPcddddjrYvvvjCkGQsXrzYaZtr1641JBlfffVVpd8HAADuduDAAeOiiy4yJBmSjICAAKNnz57GhAkTjNzcXKe+p/9+lvw+t2/f3pHPGIZhvP7664Yk48orr3Raf/To0YYkIzs729EmyXj66adLxdWwYUPj5ptvdrwvySdO/109VVFRkZGXl2eEhoYab7zxhqO9vN9kwzCMm2++2SnPmTdvniHJmDhxolO/mTNnGpKMqVOnOsXoSk7Qpk0bY+jQoeXGXZ6S/O/0PG7o0KGGJOPVV191au/QoYPRqVMnp7bTv9+Sbd57771O/SZOnGhIMjIyMspdt8Tp56aqxzdixAgjODjYyMzMdLQVFRUZLVq0cMp7Xc1nDcN+PiUZ77//fqn9nX6uDxw4YAQGBhqPPfaYU7/hw4cbMTExjtzd1Txx8+bNhiTjoYcecur36aefGpKcvrOyfPTRR4Yk49133y23j6t/n//9738NSUZaWlqF+6zq34er58TV3By+i0c7AUiyX8ks8fvvv+u3337TDTfcIMl+palkueKKK5SRkaEtW7Y4rT9s2DCX9nPrrbdqxYoVTut/8MEHuvDCC9WmTRtJ0vfff6+ioiLddNNNTvsOCgrSxRdf7Jj5asuWLdq7d6+uv/56mUwmx/YaNmyonj17Vul7OF15xzVlyhR16tRJQUFB8vf3V0BAgBYuXKjNmzeX6jto0CCZzWbH+3bt2kmS4xGOOnXqqEmTJnrppZf06quvav369bLZbJXG9s0336hWrVoaMmSI0/fUoUMHxcbGlpohrEOHDmrQoIHjfVBQkJo1a+b0KEl5LrjgAtWuXVuPPPKIpkyZok2bNlW6DgAA7hIZGally5ZpzZo1+r//+z9dddVV2rp1q8aNG6e2bdvqwIEDlW7jiiuucLp7vWXLlpLsv9unKmlPT0+vltjz8vL0yCOP6IILLpC/v7/8/f0VFhamo0ePlplHuKLkjpvTnxi49tprFRoaqoULFzq1u5ITdO3aVd99950effRR/fDDDzp+/PgZxTR48GCn9xV9v67kIpJ05ZVXOr0/Pac6E1U9vsWLF+vSSy91GlfYbDZrxIgRTv1czWdP5Uo+HRkZqSFDhujDDz905IuHDx/W119/rZtuusnxuLOreeLixYslyZH3lxg+fLhL4wJ/9913CgoK0m233VZuH1f/Pjt06KDAwEDdeeed+vDDD/Xnn39Wuv9TVfb34eo5qWpuDt9BIQ2Ajh49qoMHDyo+Pl6StG/fPknS2LFjFRAQ4LTce++9klQqQXV1Zs4bbrhBFovFMcbHpk2btGbNGsdt6Kfu/8ILLyy1/5kzZzr2XXLrfWxsbKn9lNVWFWUd16uvvqp77rlH3bp106xZs7Ry5UqtWbNGAwcOLDMJi4yMdHpf8mhFSV+TyaSFCxdqwIABmjhxojp16qS6devqgQceqPCW+n379unIkSMKDAws9T1lZmaWOkenx1ESiyuJo9Vq1ZIlS9ShQwc99thjat26teLj4/X000+XOyYIAADu1qVLFz3yyCP64osvtHfvXj300EPasWNHqQkHylKnTh2n94GBgRW2nzhxolpivv766/XWW2/pjjvu0Pfff6/Vq1drzZo1qlu37hkXq0ocPHhQ/v7+pSZ5MplMio2NLfW4ois5wb///W898sgj+uqrr9SvXz/VqVNHQ4cO1bZt21yK6Uy+X1e/28pyqjNR1eM7ePCgS7mnq/lsiZCQEEVERLgU+2233aY9e/YoJSVFkjR9+nTl5+c7FapczRPLy6f9/f3L/Ds53f79+xUfH1/hkCqu/n02adJECxYsUHR0tO677z41adJETZo00RtvvFH5l6LK/z5cPSdVzc3hOxgjDYC+/fZbFRcXO8b2ioqKkmQfH+zUAUtP1bx5c6f3p94RVpHatWvrqquu0kcffaTnnntOH3zwgYKCgnTdddc5+pTs/7///a8aNmxY7rZKfiwzMzNLfVZWW1WUdVyffPKJ+vbtq8mTJzu1n80Pa8OGDfXee+9JkrZu3arPP/9czzzzjAoKCjRlypQy1ykZSHXevHllfh4eHl7leMrStm1bzZgxQ4ZhaOPGjZo2bZrGjx+v4OBgPfroo9W6LwAAzlRAQICefvppvfbaa/rll1/cui+LxaL8/PxS7ZWNr5Wdna1vvvlGTz/9tNNvZ35+vg4dOlTleCIjI1VUVKT9+/c7FSsMw1BmZqYuvPDCM95maGionn32WT377LPat2+f4+6tIUOG6LfffqtyrO7m6rmp6vFFRka6lHu6ms+WcDWXlqQBAwYoPj5eH3zwgQYMGKAPPvhA3bp1cxpzzdU88dR8ul69eo7Pi4qKXBoPr27dulq+fLlsNlu5xbQz+fvs3bu3evfureLiYq1du1ZvvvmmRo8erZiYGI0cObLSeCpyJuekKrk5fAd3pAE+Lj09XWPHjpXVatVdd90lyV4ka9q0qTZs2KAuXbqUuZxNkebWW2/V3r17NXfuXH3yySe6+uqrVatWLcfnAwYMkL+/v/74449y918SZ1xcnKZPn+70aOrOnTudZgmqiKt3ZJ3KZDKVmpRg48aNSk1NPaPtlKdZs2Z64okn1LZtW/3000/l9hs8eLAOHjyo4uLiMr+j04udrnDlyq7JZFL79u312muvqVatWhXGCACAO2RkZJTZXvJoZMld9u7SqFEjbdy40alt0aJFysvLq3A9k8kkwzBK5RH/+c9/VFxc7NR2Jndblczw+Mknnzi1z5o1S0ePHnV8XlUxMTG65ZZbdN1112nLli1OMyh6m6qcmzM5vn79+mnhwoWOu5sk+4yZM2fOdOrnaj5bFWazWcnJyfrqq6+0bNkyrV27ttSjla7miSUX0j/99FOn9T///PNyJzw41eWXX64TJ044njYpS1X+Ps1ms7p166a3335bkqol36zqOXE1N4fv4I40wIf88ssvjrEAsrKytGzZMn3wwQcym82aPXu20xWid955R5dffrkGDBigW265RfXq1dOhQ4e0efNm/fTTT/riiy+qHEdSUpLq16+ve++9V5mZmU6PdUr2BGj8+PF6/PHH9eeff2rgwIGqXbu29u3bp9WrVzuuIPr5+elf//qX7rjjDl199dUaNWqUjhw5omeeecblRztL7rKaOXOmGjdurKCgILVt27bCdQYPHqx//etfevrpp3XxxRdry5YtGj9+vBITE11KOE63ceNG3X///br22mvVtGlTBQYGatGiRdq4cWOFd3qNHDlSn376qa644go9+OCD6tq1qwICArR7924tXrxYV111la6++uoziqVknLqpU6cqPDxcQUFBSkxMVGpqqiZNmqShQ4eqcePGMgxDX375pY4cOaLLLrvsjI8ZAICzMWDAANWvX19DhgxRixYtZLPZlJaWpldeeUVhYWF68MEH3br/5ORkPfnkk3rqqad08cUXa9OmTXrrrbccs3GXJyIiQn369NFLL72kqKgoNWrUSEuWLNF7773ndFFRKv83uazH7S677DINGDBAjzzyiHJyctSrVy/HrIgdO3ZUcnLyGR9jt27dNHjwYLVr1061a9fW5s2b9fHHH6tHjx6Vzp7oSa6em6oe3xNPPKE5c+bokksu0VNPPaWQkBC9/fbbOnr0qFM/V/PZqrrtttv04osv6vrrr1dwcHCpMdpczRNbtmypG2+8Ua+//roCAgLUv39//fLLL3r55ZddetT0uuuu0wcffKC7775bW7ZsUb9+/WSz2bRq1Sq1bNlSI0eOdPnvc8qUKVq0aJEGDRqkBg0a6MSJE3r//fclSf3796/yd1XC1XNS1dwcPsSDEx0AqCElM9mULIGBgUZ0dLRx8cUXGy+88IKRlZVV5nobNmwwhg8fbkRHRxsBAQFGbGyscckllxhTpkwpte2yZgQta9bOEo899pghyUhISHCaMetUX331ldGvXz8jIiLCsFgsRsOGDY2//e1vxoIFC5z6/ec//zGaNm1qBAYGGs2aNTPef//9UjMclWfHjh1GUlKSER4ebkhyrFMyy9YXX3xRap38/Hxj7NixRr169YygoCCjU6dOxldffVVqnyWzgr300kultqFTZhvat2+fccsttxgtWrQwQkNDjbCwMKNdu3bGa6+95jTb5+mzjhmGYRQWFhovv/yy0b59eyMoKMgICwszWrRoYdx1113Gtm3bHP0aNmxoDBo0qFQcZW3z9ddfNxITEw2z2WxIMj744APjt99+M6677jqjSZMmRnBwsGG1Wo2uXbsa06ZNq+QbBgCg+s2cOdO4/vrrjaZNmxphYWFGQECA0aBBAyM5OdnYtGmTU9/yZu08/fe5vN/+snKd/Px845///KeRkJBgBAcHGxdffLGRlpbm0qydu3fvNoYNG2bUrl3bCA8PNwYOHGj88ssvpdY1jLJ/kw2j9EyOhmGfefORRx4xGjZsaAQEBBhxcXHGPffcYxw+fNipn6s5waOPPmp06dLFqF27tmGxWIzGjRsbDz30kHHgwIFS61b2fRmGYTz99NOGJGP//v1O7TfffLMRGhrq1KZyZmU8fZtlfb+unpuqHp9hGMaPP/5odO/e3bBYLEZsbKzxj3/8w5g6dWqZea8r+WxZ38Gpn5WX0/bs2dOQZNxwww1lfu5qnpifn288/PDDRnR0tBEUFGR0797dSE1NLfNvsizHjx83nnrqKUc+HhkZaVxyySXGihUrnPpU9veZmppqXH311UbDhg0Ni8ViREZGGhdffLExZ84cp/2dzd+HYVR+TlzNzeG7TIZxyvNQAAAAAAAAAMrEGGkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALvD3dACeYLPZtHfvXoWHh8tkMnk6HAAAcI4wDEO5ubmKj4+Xnx/XI70ReR4AAKgKV/M8nyyk7d27VwkJCZ4OAwAAnKN27dql+vXrezoMlIE8DwAAnI3K8jyfLKSFh4dLsn85ERERHo4GAACcK3JycpSQkODIJeB9yPMAAEBVuJrn+WQhreQ2/4iICBIsAABwxnhk0HuR5wEAgLNRWZ7H4B4AAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALjArYW0pUuXasiQIYqPj5fJZNJXX31V6TpLlixR586dFRQUpMaNG2vKlCml+syaNUutWrWSxWJRq1atNHv2bDdEDwAAgPKQ5wEAAF/k1kLa0aNH1b59e7311lsu9d++fbuuuOIK9e7dW+vXr9djjz2mBx54QLNmzXL0SU1N1YgRI5ScnKwNGzYoOTlZw4cP16pVq9x1GAAAADgNeR4AAPBFJsMwjBrZkcmk2bNna+jQoeX2eeSRRzRnzhxt3rzZ0Xb33Xdrw4YNSk1NlSSNGDFCOTk5+u677xx9Bg4cqNq1a2v69OkuxZKTkyOr1ars7GxFRERU7YAAAIDPIYcoG3keAAA417maQ3jVGGmpqalKSkpyahswYIDWrl2rwsLCCvusWLGixuIEAADAmSHPAwAA5wN/TwdwqszMTMXExDi1xcTEqKioSAcOHFBcXFy5fTIzM8vdbn5+vvLz8x3vc3JyqjdwAAAAVIg8DwAAnA+86o40yf5owKlKnjw9tb2sPqe3nWrChAmyWq2OJSEhoRojBgAAgCvI8wAAwLnOqwppsbGxpa44ZmVlyd/fX5GRkRX2Of3q5anGjRun7Oxsx7Jr167qDx4AAADlIs8DAADnA68qpPXo0UMpKSlObfPnz1eXLl0UEBBQYZ+ePXuWu12LxaKIiAinBQAAADWHPA8AAJwP3DpGWl5enn7//XfH++3btystLU116tRRgwYNNG7cOO3Zs0cfffSRJPvMTW+99ZbGjBmjUaNGKTU1Ve+9957TLE0PPvig+vTpoxdffFFXXXWVvv76ay1YsEDLly9356EAAADgFOR5AADAF7n1jrS1a9eqY8eO6tixoyRpzJgx6tixo5566ilJUkZGhtLT0x39ExMTNXfuXP3www/q0KGD/vWvf+nf//63hg0b5ujTs2dPzZgxQx988IHatWunadOmaebMmerWrZs7DwUAAACnIM8DAAC+yGSUjPLqQ3JycmS1WpWdnc3t/wAAwGXkEN6PcwQAAKrC1RzCq8ZIAwAAAAAAALwVhTQAAAAAAADABRTSAAAAAAAAABdQSAMAAAAAAABcQCENAAAAAAAAcAGFNAAAAAAAAMAFFNIAAAAAAAAAF1BIAwAAAAAAAFxAIQ0AAAAAAABwAYU0AAAAAAAAwAUU0gAAAAAAAAAXUEgDAAAAAAAAXEAhDQAAAAAAAHABhTQAAAAAAADABRTSAAAAAAAAABdQSAMAAAAAAABcQCENAAAAAAAAcAGFNAAAAAAAAMAFFNIAAAAAAAAAF1BIAwAAAAAAAFxAIQ0AAAAAAABwAYU0AAAAAAAAwAUU0gAAAAAAAAAXUEgDAAAAAAAAXEAhDQAAAAAAAHBBjRTSJk2apMTERAUFBalz585atmxZuX1vueUWmUymUkvr1q0dfaZNm1ZmnxMnTtTE4QAAAOAk8jwAAOBL3F5ImzlzpkaPHq3HH39c69evV+/evXX55ZcrPT29zP5vvPGGMjIyHMuuXbtUp04dXXvttU79IiIinPplZGQoKCjI3YcDAACAk8jzAACAr3F7Ie3VV1/V7bffrjvuuEMtW7bU66+/roSEBE2ePLnM/larVbGxsY5l7dq1Onz4sG699VanfiaTyalfbGysuw8FAAAApyDPAwAAvsathbSCggKtW7dOSUlJTu1JSUlasWKFS9t477331L9/fzVs2NCpPS8vTw0bNlT9+vU1ePBgrV+/vtxt5OfnKycnx2kBAABA1ZHnAQAAX+TWQtqBAwdUXFysmJgYp/aYmBhlZmZWun5GRoa+++473XHHHU7tLVq00LRp0zRnzhxNnz5dQUFB6tWrl7Zt21bmdiZMmCCr1epYEhISqn5QAAAAIM8DAAA+qUYmGzCZTE7vDcMo1VaWadOmqVatWho6dKhTe/fu3XXjjTeqffv26t27tz7//HM1a9ZMb775ZpnbGTdunLKzsx3Lrl27qnwsAAAA+At5HgAA8CX+7tx4VFSUzGZzqauSWVlZpa5ens4wDL3//vtKTk5WYGBghX39/Px04YUXlnul0mKxyGKxnFnwAAAAKBd5HgAA8EVuvSMtMDBQnTt3VkpKilN7SkqKevbsWeG6S5Ys0e+//67bb7+90v0YhqG0tDTFxcWdVbwAAABwDXkeAADwRW69I02SxowZo+TkZHXp0kU9evTQ1KlTlZ6errvvvluS/Xb8PXv26KOPPnJa77333lO3bt3Upk2bUtt89tln1b17dzVt2lQ5OTn697//rbS0NL399tvuPhwAAACcRJ4HAAB8jdsLaSNGjNDBgwc1fvx4ZWRkqE2bNpo7d65jdqaMjAylp6c7rZOdna1Zs2bpjTfeKHObR44c0Z133qnMzExZrVZ17NhRS5cuVdeuXd19OAAAADiJPA8AAPgak2EYhqeDqGk5OTmyWq3Kzs5WRESEp8MBAADnCHII78c5AgAAVeFqDlEjs3YCAAAAAAAA5zoKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4IIaKaRNmjRJiYmJCgoKUufOnbVs2bJy+/7www8ymUyllt9++82p36xZs9SqVStZLBa1atVKs2fPdvdhAAAA4DTkeQAAwJe4vZA2c+ZMjR49Wo8//rjWr1+v3r176/LLL1d6enqF623ZskUZGRmOpWnTpo7PUlNTNWLECCUnJ2vDhg1KTk7W8OHDtWrVKncfDgAAAE4izwMAAL7GZBiG4c4ddOvWTZ06ddLkyZMdbS1bttTQoUM1YcKEUv1/+OEH9evXT4cPH1atWrXK3OaIESOUk5Oj7777ztE2cOBA1a5dW9OnT680ppycHFmtVmVnZysiIuLMDwoAAPgkcghn5HkAAOB84WoO4dY70goKCrRu3TolJSU5tSclJWnFihUVrtuxY0fFxcXp0ksv1eLFi50+S01NLbXNAQMGlLvN/Px85eTkOC0AAACoOvI8AADgi9xaSDtw4ICKi4sVExPj1B4TE6PMzMwy14mLi9PUqVM1a9Ysffnll2revLkuvfRSLV261NEnMzPzjLY5YcIEWa1Wx5KQkHCWRwYAAODbyPMAAIAv8q+JnZhMJqf3hmGUaivRvHlzNW/e3PG+R48e2rVrl15++WX16dOnStscN26cxowZ43ifk5NDkgUAAFANyPMAAIAvcesdaVFRUTKbzaWuIGZlZZW60liR7t27a9u2bY73sbGxZ7RNi8WiiIgIpwUAAABVR54HAAB8kVsLaYGBgercubNSUlKc2lNSUtSzZ0+Xt7N+/XrFxcU53vfo0aPUNufPn39G2wQAAEDVkecBAABf5PZHO8eMGaPk5GR16dJFPXr00NSpU5Wenq67775bkv12/D179uijjz6SJL3++utq1KiRWrdurYKCAn3yySeaNWuWZs2a5djmgw8+qD59+ujFF1/UVVddpa+//loLFizQ8uXL3X04AAAAOIk8DwAA+Bq3F9JGjBihgwcPavz48crIyFCbNm00d+5cNWzYUJKUkZGh9PR0R/+CggKNHTtWe/bsUXBwsFq3bq1vv/1WV1xxhaNPz549NWPGDD3xxBN68skn1aRJE82cOVPdunVz9+EAAADgJPI8AADga0yGYRieDqKm5eTkyGq1Kjs7m3E0AACAy8ghvB/nCAAAVIWrOYRbx0gDAAAAAAAAzhcU0gAAAAAAAAAXUEgDAAAAAAAAXEAhDQAAAAAAAHABhTQAAAAAAADABRTSAAAAAAAAABdQSAMAAAAAAABcQCENAAAAAAAAcAGFNAAAAAAAAMAFFNIAAAAAAAAAF1BIAwAAAAAAAFxAIQ0AAAAAAABwAYU0AAAAAAAAwAUU0gAAAAAAAAAXUEgDAAAAAAAAXEAhDQAAAAAAAHABhTQAAAAAAADABRTSAAAAAAAAABdQSAMAAAAAAABcQCENAAAAAAAAcAGFNAAAAAAAAMAFFNIAAAAAAAAAF1BIAwAAAAAAAFxAIQ0AAAAAAABwAYU0AAAAAAAAwAU1UkibNGmSEhMTFRQUpM6dO2vZsmXl9v3yyy912WWXqW7duoqIiFCPHj30/fffO/WZNm2aTCZTqeXEiRPuPhQAAACcgjwPAAD4ErcX0mbOnKnRo0fr8ccf1/r169W7d29dfvnlSk9PL7P/0qVLddlll2nu3Llat26d+vXrpyFDhmj9+vVO/SIiIpSRkeG0BAUFuftwAAAAcBJ5HgAA8DUmwzAMd+6gW7du6tSpkyZPnuxoa9mypYYOHaoJEya4tI3WrVtrxIgReuqppyTZr1SOHj1aR44cqVJMOTk5slqtys7OVkRERJW2AQAAfA85hDPyPAAAcL5wNYdw6x1pBQUFWrdunZKSkpzak5KStGLFCpe2YbPZlJubqzp16ji15+XlqWHDhqpfv74GDx5c6krmqfLz85WTk+O0AAAAoOrI8wAAgC9yayHtwIEDKi4uVkxMjFN7TEyMMjMzXdrGK6+8oqNHj2r48OGOthYtWmjatGmaM2eOpk+frqCgIPXq1Uvbtm0rcxsTJkyQ1Wp1LAkJCVU/KAAAAJDnAQAAn1Qjkw2YTCan94ZhlGory/Tp0/XMM89o5syZio6OdrR3795dN954o9q3b6/evXvr888/V7NmzfTmm2+WuZ1x48YpOzvbsezatevsDggAAACSyPMAAIBv8XfnxqOiomQ2m0tdlczKyip19fJ0M2fO1O23364vvvhC/fv3r7Cvn5+fLrzwwnKvVFosFlksljMLHgAAAOUizwMAAL7IrXekBQYGqnPnzkpJSXFqT0lJUc+ePctdb/r06brlllv02WefadCgQZXuxzAMpaWlKS4u7qxjBgAAQOXI8wAAgC9y6x1pkjRmzBglJyerS5cu6tGjh6ZOnar09HTdfffdkuy34+/Zs0cfffSRJHtyddNNN+mNN95Q9+7dHVc5g4ODZbVaJUnPPvusunfvrqZNmyonJ0f//ve/lZaWprffftvdhwMAAICTyPMAAICvcXshbcSIETp48KDGjx+vjIwMtWnTRnPnzlXDhg0lSRkZGUpPT3f0f+edd1RUVKT77rtP9913n6P95ptv1rRp0yRJR44c0Z133qnMzExZrVZ17NhRS5cuVdeuXd19OAAAADiJPA8AAPgak2EYhqeDqGk5OTmyWq3Kzs5WRESEp8MBAADnCHII78c5AgAAVeFqDlEjs3YCAAAAAAAA5zoKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4oEYKaZMmTVJiYqKCgoLUuXNnLVu2rML+S5YsUefOnRUUFKTGjRtrypQppfrMmjVLrVq1ksViUatWrTR79mx3hQ8AAIBykOcBAABf4vZC2syZMzV69Gg9/vjjWr9+vXr37q3LL79c6enpZfbfvn27rrjiCvXu3Vvr16/XY489pgceeECzZs1y9ElNTdWIESOUnJysDRs2KDk5WcOHD9eqVavcfTgAAAA4iTwPAAD4GpNhGIY7d9CtWzd16tRJkydPdrS1bNlSQ4cO1YQJE0r1f+SRRzRnzhxt3rzZ0Xb33Xdrw4YNSk1NlSSNGDFCOTk5+u677xx9Bg4cqNq1a2v69OmVxpSTkyOr1ars7GxFRESczeEBAAAfQg7hjDwPAACcL1zNIfzdGURBQYHWrVunRx991Kk9KSlJK1asKHOd1NRUJSUlObUNGDBA7733ngoLCxUQEKDU1FQ99NBDpfq8/vrr1Ro/UBHDMGQzbLIZNhUbxWW+NmTIMAynf0vWdfxfSbuhk+9t9sVmk2EUS4ZNKqPdvo69rdhWbF+KbbLZTu7fdjIGm00yimWTIcNWso79Xxn2iByfyZBhyL7o5AtJxfYGyRGz/or55PdQxhdk/+eU1yVb0Oltjten1vVd/Nwoe5tOoThtq6wOzo3OezHK6lLmts977r3u4nV862jPL9bQSA266BZPh3HeI8/D+cyR5+lkfncyv6qOPK8kFzOM4pM5WbFznifbKf1sshnFKio+mecZxfYc77Q8z5Ahm4t5niTZShI+Oed5kiGbD+V5JWyV5Dg+ke+R5+EcERwYqqv73e3RGNxaSDtw4ICKi4sVExPj1B4TE6PMzMwy18nMzCyzf1FRkQ4cOKC4uLhy+5S3zfz8fOXn5zve5+TkVOVwUIPyi/N1vPC4ThSf0ImiE45/jxcd14miE/bPi075vOiECmwFKrIVqdBWqMLiQhXaCv96f+rr4kIVFuerqDhfhcUFKiwuUJGt8GSCVHxKgmRPHIpLEijD0MnU5uQCAPBGTfNNFNJqAHkeqqqguEDHi47reNFx5Rfn/5XjnZLXnfr6ePFxR253ek53aq5n/6zQnt8V5dvzvZPvi41i2Wz2PM8wDBWfLJIVG+R5AHAuiSm0nd+FtBImk8npvWEYpdoq6396+5lsc8KECXr22WfPKGacvcLiQh3OP6xDJw7p0IlDOnzisA6fOKwj+UeUV5in3IJc5RXk/fW6ME9HC48qtyBXhbZCT4dfbUyGIZPkWFTy2pBMMkq3n/K5vc0o/Zlj2yXvnfv89ZnJ0V4ew+nz0j3LWteo4LPy1j39qk9lkZmM8q8UVbzfqn9a2fEAODdEKszTIfgU8jzfVGQr0pH8Izp4/KAO59tzvEMnDik7P1u5BbnKLci153WFp+V7BXkqsBV4OvxqU5U879Q+9tfO26jJPK+sVqOCz8pbt6yczVTB2hXleRXv92yyQHI94HxgtQV5OgT3FtKioqJkNptLXUHMysoqdaWxRGxsbJn9/f39FRkZWWGf8rY5btw4jRkzxvE+JydHCQkJZ3w8sLMZNh06cUiZRzOVeTRT+47tc3p98PhBHT5xWLmFuWe9L3/5KdhkVpBMCjIMBdmKFVxcpKCiAlkMQ0GGoWCbTRbDkMUwFGBI/jIUUPLaMBSgcl4bhoqNABUZASo2AlRgBKpAgSo0AlRk+KtY/iqSv4ps/iqSvU1+gTJOLn5mi33xt8jPHCR//0CZ/QNk9nP+18/fX2ZzgMxm/5PvA+Tvb3/tf8p7+dn7mcx+8vczy8/sJz+Tn8xms/z8/ORnNsvfz09+fn4ym/3l52eS2Wy2L35+MvuZHItJJplMsi8yyc9k/x8lJp1sq+B/4AAA4AryvPOTYRg6nH/YkdtlHs1U5rGTed7RfY4LpDkFZ3/nn7/JT0EqK88rVJBhO9lmyGLYZDFkz+9kyL/ktWHIX+W8NiSbYVaxEahiI0D5RqAKFKBCI1BFhlnFClCR/FVs+KvI8FehESDDL1AyBUgnczyT2SKTv/21v79F/v4BMvsFyOwfKLOfv8zmQJn9/eVnDnDkeOZT8jzzKe9NfmaZzP7yM/vLbPKT2d8sP5Of/Mx+Mp/M+8wms8zmv9r+yvH8ZDbbcz0/k30hzwMAz3FrIS0wMFCdO3dWSkqKrr76akd7SkqKrrrqqjLX6dGjh/73v/85tc2fP19dunRRQECAo09KSorT+Bnz589Xz549y9ymxWKRxWI528PxKYZhaP/x/dqZs1M7cnZoZ/ZOx+s9eXtcvmPMz+Sn2pbaqh1UW3WC6qh2UG3VstRSuDlYYYUnFH4iV2FHDyksL0theQcUlpup8KOHFGazKfhkQlQem0w6rAgdsoXpiEKVbYQqW6HKNsKUbYRqn0J1xAhTtkKVawTrqILlHxQqS0iEgsOtCg0NU3iwRRHBAYoIClBEsL8iggJUNzhA1uAAhQf5K8zir+BAs0ICzQryN8vPj8QEAACJPO9cZhiGDp04pJ059vxue852R663O2+38ovzK9+I7HleLUstR65XO6i2altqK9w/RGGFJxR2Ildhxw4rPG+/wvL2Kyw3S+FH9yvUZlOIzVBAJds/onAdsIWfzO9CdUT2HC9Hodpv/JX75RihOqYgmSyhCgqJUHBYhIJDwxUeHCRr8F85XkRwgKKC/B2536l5XnAAeR4AwDVuf7RzzJgxSk5OVpcuXdSjRw9NnTpV6enpuvtu+zOt48aN0549e/TRRx9Jss/c9NZbb2nMmDEaNWqUUlNT9d577znN0vTggw+qT58+evHFF3XVVVfp66+/1oIFC7R8+XJ3H855Kb84X78f+V1bDm3Rb4d+05ZDW7T18FblFeaVu45JJtUNrqvY0FjFhMYoNjRWsSH211HBUfbCmaWOIgLD5ZezR9q7XtqbJu3+Rdo/XzqSropu6C4MiNChgBjtNurq94Ja2nEiXPtl1X6jlvYbtZRlWHVIESqWWZIUHuSverWC7UvtYMXXClaDMIsiwwIVFWZRVJhFdUIDFejvV83fHgAAvos8z/sV2gr155E/teXwX3neb4d+q/SOssigSHt+V7KE2P+NDI50XBy1Blplzss6meetlzJ+kfb/IB3efnKypLIV+YfqcFCc9hpR+qOglv7Mj1CWUZLn2f89qAgVnfyfKqGBZtWrY8/z4k8urcItjhwvMixQkWGBsvibq/OrAwCgTG4vpI0YMUIHDx7U+PHjlZGRoTZt2mju3Llq2LChJCkjI0Pp6emO/omJiZo7d64eeughvf3224qPj9e///1vDRs2zNGnZ8+emjFjhp544gk9+eSTatKkiWbOnKlu3bq5+3DOC/uO7tP6/euVlpWm9VnrtfXQVhUZRaX6+Zn8VC+snhpGNFSjiEZqFNFIDa0NlRCeoOiQaAX4lXEdseCYtHu19Os8ac86KSNNOnaw7ECCa6s4spkOBDXUb0XxWpdr1YqDodp6orZyToSW6h4ZGqjGdUPVtm6YGtcNVWJUmBLq2JOpiKDKrmkCAIDqRp7nfQ4eP6i0rDSl7bfneZsObirzSQKTTIoPi1fDiIaOpVFEIzUIb6CY0BgFmgNLb7wo357fbZll/3fveilvX9mBWCJki2qmg8GJ2maL19ocq1YdCtMvx2opW6E6fbQqa3CAmtQNVYu6YRpUN0yJUaFKqBOs+rVCFBHsz+OKAACvYTL+mm/YZ+Tk5MhqtSo7O1sRERGeDsftDp84rNS9qVqxd4XWZK7R3qN7S/WxWqxqUaeFWtRuoeZ1mqt5neZKjEhUgLmSAlXhCWnXSmnHcvuye610erLm5y9Ft5LiO6owpq02F8Zr8aFaWrLL0MY9OSqyOf8JBphNahYTrjbxVrWpF6GWcRG6IDpMtULKSOgAAKhBvpZDnIt87RzlFORodcZq/bj3R63OWK303PRSfcIDwtW8TnO1qHMyz6vdXInWRAX5VzJgc3GhtGv1yTxvmbR7jVR0wrmPyU+q20KK76jimHbaaovXksN1tGi3n9J2Z6ugyPnONLOfSU2jw9T6lDyvaXSY6oQGUiwDAHiUqzlEjczaiZplGIa2HdmmlJ0pWrp7qTYf3CzjlMco/Ux+al67uTpEd1DH6I5qX7e94kLjXE9e8vZL276Xtnwn/bFYKjzq/Hl4vJTYW6p/oVSvk/YFN9Gi33O06Lcs/bj2gI4VFEs64ugeZw1S18Q6urBRHXVIqKVmMeE8ggkAAFCOHdk7HHnezwd+VrFR7PjMJJOa1GqijtEd1SG6gzrU7aCE8ATX87zjh6VtC6St39n/zc92/jy0rtToIimhmxTfSYfCm2nRn0e1eEuWln6/X7kniiT9tU5UmEVdE2vrwkZ11LFBbbWIDVdQAI9gAgDOXRTSzhOGYei3Q78pZWeKUnamaEfODqfPm9Vupp7xPdUjrofaR7dXaEDpRycrdOyQtOkraeMXUnqqnMY3C4+TEi+2J1WNekm1E3XwaIG++yVT//tmr1bv+FGn3vdYN9yii5vVVY/GkeqaWEf1awdzBRIAAKACfx75U/N3ztf8nfO17fA2p88aRTRSr3q91DO+pzpEd1BE4BneiZefK23+Rto4U9q+VDqlMKeQyFPyvN5SVFNlnyjS/F8z9b8FGfrx91QVn/J0Qe2QAF3crK66n8zzEqNCyfMAAOcVCmnnuEMnDumbP77R7N9n6/cjvzvaA/0C1ateL13S4BL1iu+luiF1z3zjxYXSlrnShpnStvnOj2zGtZeaXyE1G2h/bTKpsNimhZv3afpXa7T89wNOSVX7hFq6tEW0LmkRrVZxEcyKBAAAUIncglx9t/07fbntS/168FdHu7/JX93iuumSBpfoonoXKT4s/sw3brNJvy+QNky3P2VQdPyvz+q2lJpfbl/qdZb8zLLZDC3dtl/T563T4t/2q6D4r0c2W8ZFqH/LaPVtHq0OCbVkJs8DAJzHKKSdgwzDUNr+NH2y6RMt2rVIRTb7RAEWs0V96vfRZQ0vU5/6fc78rrMSufuknz6U1r4v5Wb81R7TVmp3rdRmmGSt72jee+S4ZqzZpZlr0rUv56/p0tvUi9CQdvEa1C5O9WuHVC0WAAAAH7P54GZ9svkTzd8xXyeK7WOS+fv5q2d8T13W8DL1S+gnq8VatY0fOySlfSqt+Y90eMdf7ZFNpXbD7XleZBNH84G8fH2+drumr07XrkN/FdsuiA7Tle3jNbhdnBrXDataLAAAnIMopJ1DimxFWrBzgT7a9JF+PvCzo711ZGtd0/QaXZ54ucIDw6u+g8yfpR/fkH796q+7z0LrSh1ukNqNkGJaOXX/dW+2Jv/wh+b+nKGSm88iQwM1/MIEXdu5PkkVAACAi2yGTUt3L9VHmz7Smsw1jvYLal2gqy+4WoObDFadoDpV38GB36UVb9iH6Si5+yzIKrW/3l5Ai+8onfII5h/78/TOkj80e/0eFRbbE72IIH8N61xfw7skqEVsOI9sAgB8EoW0c0CRrUjf/vmtpmyYot15uyXZH90c0mSIrmtxnZrXaX52O9izTlr6sv0xzhL1u0pd75RaXSn5W5y6r9t5WP9euE1Ltu53tHVvXEc3dGuoAa1jmSgAAADARYZhaGH6Qr2d9rZjmA5/k7+SGiXp+pbXq11Uu7MrWO3bJC17Rfr1S8k4+ThmTFup251Sm79Jgc5PDWzam6M3F23TvF8zHWPctk+opRu7NdDgdvEKDmSiAACAb6OQ5sVshk3zd8zX22lvOyYPqBNURyObj9Tw5sMVGRx5djs4sE1KeeqUAppJanON1PMBKb5Dqe5b9+Vq4rwtWrB5nyTJzyQNahevey5uolbx5//08gAAANXFMAwt27NMb61/S5sPbZYkhQeE62/N/6brW1yv2NDYs9vBkV3SwvHSz5//1dbscqnXg1KD7k53n0nSrkPH9Mr8Lfp6w15HAa1/y2jd0/cCdW5Y++xiAQDgPEIhzUv9euBXPb/qeccjnFaLVbe1uU0jm49USMBZjjd29KC05P/sY6DZiiST2f7oZu8xUlTTUt0P5uVr4oFWagIAADGmSURBVLwt+mLdLtkMewHtb53r696+F6hRVBXHYQMAAPBRfxz5QxNWT9CqjFWSpBD/ECW3StZNrW868xk3T3ciR1r+mrRyklRkH19NLa+U+vxDimtXqnvOiUK9nrJNH6/c4XiEc1C7OD1wSVM1jz2LIUMAADhPUUjzModPHNYbP72hL7d9KUOGQvxDdGubW3VjyxsVFniWY47ZbNJP06SUZ6T8bHtbs4HSZeOluqUfDy22GZqxJl0T521R9nH7mGkDW8dq7IDmuiCa8c8AAADORF5BnqZsmKJPN3+qIqNIgX6BuqHlDbq1za2qHXSWd30Zhv3xze8elY5m2dsaXiQNeM4+/lmp7obmbNir577drP259smiejeN0j8HtFDb+lWcyAAAAB9AIc2LfLf9Oz2/6nllnyxyDW48WGM6j1HdkLpnv/H9W6X/PSilr7C/j20rJT0vNb64zO7b9uVq7H83asOuI5KkVnER+tfQ1urc8CwGuQUAAPBRy3Yv0zMrnlHWcXuRq29CX/3zwn8qITzh7Dd+JF369mFp23z7+zpNpKR/Sc2vKPUIp2R/jPPRLzfqx98PSpISo0L1zJWtdXGzasg5AQA4z1FI8wJHThzR86ue17wd8yRJzWo302PdHlPnmM5nv3GbTVo1WVrwjFRcIAWESpc+aZ9IwK/0YLE2m6H3f9yuid9vUUGRTeEWfz2c1Ew3dm8ofzOTCAAAAJyJY4XH9PLal/XF1i8kSQ3CG+iRro+oT/0+Z79xw5DSPpO++6dUkCeZA6XeY6WLRpeaLMre3dAX63Zr/P82KS+/SBZ/P93f7wLdeXFjWfyZRAAAAFdQSPOwH/f8qCd/fFL7j++X2WTWne3u1Kh2oxTgF3D2G8/JkL66R/pzsf39BZdJg1+VajUos3tG9nGNnpGmVdsPSZL6Nq+rF4e1U0xE0NnHAgAA4GPSstI0btk4x6zrN7a8UQ92elBB/tWQWx07JH3zkLTpK/v7hO7Slf8uc7gOSTp8tED/nLVRKZvsk0Z1aVhbrwxvr4aRjHcLAMCZoJDmIcW2Yk3eMFnvbHxHkpRoTdQLF72gNlFtqmcH25dKX9wiHTso+QdLA1+QOt9a5u39krTi9wP6+/T1Oni0QCGBZj0xqJWu65pwdtOtAwAA+CDDMPTxpo/16rpXVWwUKy40Tv/q9S91i+tWPTvYu16amSxl75L8/KV+j9tn4yzjaQNJ2rj7iO755CftOXJcgWY/jUlqplG9G8vsR54HAMCZopDmAUdOHNEjyx7Rir328cpGNB+hsV3GVs/VScOQUt+SUp6SDJsU01b623vlXp00DEPvLP1TE+f9JpshtYyL0OQbOjEbJwAAQBUcKzymp1Y8pe93fC9JurzR5Xqyx5MKD6ymGTDXf2q/E604X6rTWBr2nlSvU7ndZ6xO11Nf/6qCYpsaRYZo0g2d1Sr+LGcGBQDAh1FIq2E7c3bq3gX3Kj03XcH+wXqqx1Ma3Hhw9Wy8qECac7+0cab9fbuR0uDXpMCQMrvnFxXrH19s1JwNeyVJwzrV13ND2yg4kDEyAAAAztS+o/v090V/1+ZDm+Vv8tc/LvyHrmtxXfXc4W8rluY/Ia2cZH/fbKB09TtScK0yuxfbDI3/36/6MHWnJKl/yxi9Mry9rMHVMHwIAAA+jEJaDVqbuVajfxit7PxsxYfG681L31Sz2s2qZ+PHj0gzb5R2LJNMZmngBPuEAuUkbjknCnX3x+u04o+D8vcz6ZkrW+uGbg14lBMAAKAKfjv0m+5beJ+yjmWpTlAdvd7vdXWM7lg9Gy88Ln05Str8P/v7vuOkPv+U/MqeCOpEYbEempmm737JlMkkjU1qrnsubiI/HuUEAOCsUUirIT/s+kFjfhijQluh2ka11b8v+beigqOqZ+M5e6VPhklZm6TAMGn4h9IF/cvtvi/nhG5+f7V+y8xVaKBZU5I7q3dTpjsHAACoinX71um+hffpaOFRJVoTNenSSaofXr96Nn7skDR9pLRrlX1WzqGTpbZ/K7d79rFCjfporVbvOKRAs59eHdFeg9vFV08sAACAQlpNmLdjnsYtHacio0j9EvrpxT4vKtg/uHo2fmSX9OFg6fAOKSxWuuFzKa59ud13Hjyq699dpT1HjisqzKJpt16oNvWs1RMLAACAj0ndm6oHFj2gE8Un1CWmi17v97qslmrKrY4elD66Str3sxRklUZ+JjW6qNzuWbkndMO7q7QtK0/hFn9NvamLejSJrJ5YAACAJAppbjfnjzl68scnZTNsGtR4kJ7r9Zz8/arpaz+8015EO5Iu1W4k3TRHqt2w3O67Dh3TdVNXam/2CSVGherDW7uqQWTZ46cBAACgYkt2LdGYH8aowFagi+pdpNf6vlY9k0dJUt5+exEt61cptK49z4tpVW73A3n5uv7dVfo9K08xERZ9eFtXtYhlUgEAAKobhTQ3mvvnXD2x/AkZMjSs6TA92f1JmcuZlvyMHdklTRtkn/a8TmPp5v9J1vIfIdh9+JhGniyiNa4bqhl3dld0eDUlegAAAD7mxz0/avQPo1VkK9KlDS7VxD4TFWgOrJ6NHz0ofThE2r9ZCoux53nlzMAuSQfz8nXDySJabESQZt7VXQ0jmYEdAAB3oJDmJkt3L9Xjyx+XIUMjmo/Q490er76B/I8ekD6+2l5Ei7zAnlxFlD/2xb6cE7ru3ZXac+S4EqNCNX0URTQAAICqSstK00M/PKQiW5GSGibpxT4vVt8TB/l50mfX2oto4XHSzd9IUReU2z37eKFufG+1tuzLVXS4RdPvpIgGAIA7lT3VD87Kmsw1GvPDGBUZRRrceLAe6/ZY9RXR8nOlT/8mHdwmRdSXbvq6wiJaXn6Rbv1gjXYdOq4GdUL02ahuiomgiAYAAFAVWw5t0b0L7tXxouPqVa+X/q/3/1VfEa2owD4L+551UnBte55XQREtv6hYd328VpszchQVZi+iJUZRRAMAwJ0opFWzYluxxqeOV35xvvrW76vxvcbLz1RNX3NxofT5TdLe9VJwHSl5doWPcxYW23TPJ+u0KSNHUWGB+uT2boqzVtMkBwAAAD7GMAw9v+p55RbmqlN0J73W9zUFmAOqa+PS1/dKfy6WAkKkG/5b4eOcNpuhf/53o1b+eUihgWZ9eNuFalI3rHpiAQAA5aKQVs3MfmZN6j9JVza5Ui/3fVkBftWUXEnS949Lfyw6JblqVm5XwzD0xOxftGzbAQUHmPXezRcysQAAAMBZMJlMerXvqxrceLDevPTN6puFXZKWvSL9/IXk5y+N+Fiq36XC7q+kbNHXaXvl72fS5Bs7q3U8s7ADAFATGCPNDRLCE/T8Rc9X70bXTZNWv2N/fc1UqX7nCrt/lLpTM9fukp9Jeuv6jmqfUKt64wEAAPBBUcFRmtB7QvVu9LdvpUX/sr++4iXpgv4Vdv9m4169vfgPSdKEa9qqT7O61RsPAAAol1vvSDt8+LCSk5NltVpltVqVnJysI0eOlNu/sLBQjzzyiNq2bavQ0FDFx8frpptu0t69e5369e3bVyaTyWkZOXKkOw/Fs3amSt+Otb/u94TUckiF3dfsOKR/fbNJkjTu8pa6tGWMuyMEAAA+hjyvmuzbJH15p/31haOkLrdV2H1LZq7++d+NkqS7+jTWtV0S3B0hAAA4hVsLaddff73S0tI0b948zZs3T2lpaUpOTi63/7Fjx/TTTz/pySef1E8//aQvv/xSW7du1ZVXXlmq76hRo5SRkeFY3nnnHXceiufk7Ze+uEWyFUqtr5b6jK2w+76cE7r3059UZDM0uF2c7uidWDNxAgAAn0KeVw3y8+zj3xbkSY16SwMrvtMt+3ih7v5knY4VFKvXBZH6x4Dyx1ADAADu4bZHOzdv3qx58+Zp5cqV6tatmyTp3XffVY8ePbRlyxY1b176h99qtSolJcWp7c0331TXrl2Vnp6uBg0aONpDQkIUGxvrrvC9g80mfXW3lJcpRTWXrnpbqmD2z2Kbob9PX6/9uflqHhOuiX9rV32zhQIAAJxEnldN5v7DPhN7eLx07YdSBRMXGIahR/67UdsPHFW9WsH698iO8jcz3DEAADXNbb++qampslqtjuRKkrp37y6r1aoVK1a4vJ3s7GyZTCbVqlXLqf3TTz9VVFSUWrdurbFjxyo3N7e6QvceqW9Kvy+Q/IOka6dJgRVPZz5lyR9avd0+c9OU5M4KCWQIPAAAUP3I86rBhhnShs8kk5807D9SaGSF3T9fu0vzfs1UgNmkyTd2UmSYpYYCBQAAp3JbpSUzM1PR0dGl2qOjo5WZmenSNk6cOKFHH31U119/vSIiIhztN9xwgxITExUbG6tffvlF48aN04YNG0pd5SyRn5+v/Px8x/ucnJwzPBoP2L1OWjje/nrg/0kxrSrsvmHXEb2WslWS9OxVbZQYVXHRDQAAoKrI887SwT+kb8bYX1/8qNSoV4Xdtx84qmf/Zx//9uGk5mpXv5abAwQAAOU54zvSnnnmmVIDwJ6+rF27VpLKfKzQMAyXHjcsLCzUyJEjZbPZNGnSJKfPRo0apf79+6tNmzYaOXKk/vvf/2rBggX66aefytzWhAkTHAPhWq1WJSR4+aCshSekr+6RbEX2cdE631Jh96P5RRo9M01FNkOD2sZpWKd6NRMnAAA4r5Dn1QBbsfTVvVLhUfu4aJWMf1tYbNPoGet1rKBY3RvX0ajejWsoUAAAUJYzviPt/vvvr3TmpEaNGmnjxo3at29fqc/279+vmJiKZ5EsLCzU8OHDtX37di1atMjpKmVZOnXqpICAAG3btk2dOnUq9fm4ceM0ZswYx/ucnBzvTrKW/J90YIsUGi0NerXCcdEkaeK837T9wFHFWYP0/NVtGBcNAABUCXleDVg9Vdq1UgoMk4ZOkvzMFXaf8sMf2rA7WxFB/np1eAeZ/cjzAADwpDMupEVFRSkqKqrSfj169FB2drZWr16trl27SpJWrVql7Oxs9ezZs9z1SpKrbdu2afHixYqMrHi8CEn69ddfVVhYqLi4uDI/t1gssljOkXEk9qyTfnzD/nrwa1JInQq7r9t5WB+t3ClJmvi3dqoVEujuCAEAwHmKPM/NDv4hLXjW/jrpX1KtBhV2/2N/nt5c9LskafxVbRRfK9jdEQIAgEq4bbKBli1bauDAgRo1apRWrlyplStXatSoURo8eLDTTE4tWrTQ7NmzJUlFRUX629/+prVr1+rTTz9VcXGxMjMzlZmZqYKCAknSH3/8ofHjx2vt2rXasWOH5s6dq2uvvVYdO3ZUr14Vjy/h9Yrypa/ukwyb1GaY1HJwhd0Limwa9+VGGYY0rFN99W5at4YCBQAAvow8rwpsNmnO36Wi41JiH6nzrZV0NzTuy59VUGzTxc3q6qoO8TUUKAAAqIhb58z+9NNP1bZtWyUlJSkpKUnt2rXTxx9/7NRny5Ytys7OliTt3r1bc+bM0e7du9WhQwfFxcU5lpIZoAIDA7Vw4UINGDBAzZs31wMPPKCkpCQtWLBAZnPFt8Z7vdS3pP2bpZAo6fKXKu3+zpI/tHVfniJDA/XEoJY1ECAAAIAded4Z2vCZtPNHKSBUuvLNSofu+HztLq3efkjBAWY9N5ShOwAA8BYmwzAMTwdR03JycmS1WpWdnV3puBw15sgu6e2uUuExaegUqcN1FXbfceCokl5bqoJim94Y2UFXdWCCAQAA3M0rcwg48cpzdPyw9GYX6dgB6bLxUq8HK+x+IC9fl7z8g3JOFOmJQS11BxMMAADgdq7mEG69Iw1nYP7j9iJaQnepfcWD/ErS83M3q6DYpt5No3Rle271BwAA8FqLX7AX0aKaSd3uqbT7qylblXOiSG3qRejWXok1ECAAAHAVhTRv8MdiadPXkslPGvRypbf6//j7AaVs2iezn0lPD2nFrf4AAADeKmOjtOY/9tdXvCT5Vzwx1OaMHM1YnS5JenpIa2bpBADAy1BI8zRbsfT9Y/bXF46SYttW2L2o2Kbx/9skSUru3lAXRIe7O0IAAABUhWHY8zzDJrW+Wmrct5Luhsb/b5NshjS4XZwubFTx7O0AAKDmUUjztA0zpKxNUpBV6vtopd1nrNmlLftyZQ0O0Oj+TWsgQAAAAFTJ7wukHcskc6B9bLRKzN+0T6l/HlSgv58evbxFDQQIAADOFIU0Tyo8Li1+3v6698NSSMVXHY/mF+m1lK2SpIf6N1WtkIofDQAAAICH2IqllKftr7veKdVqUGH3omKb/u+73yRJd/ZurPq1Q9wdIQAAqAIKaZ60eqqUs0eKqC91vavS7tNW7NDBowVKjArVDd0b1kCAAAAAqJKNn0tZv9qfOuj9cKXdv/xpj7YfOKrI0EDd07dJDQQIAACqgkKapxw/LC17xf76kselgKAKu2cfL9Q7S/6QJI3u31QBZk4dAACAVyrK/+upg4vGVPrUQX5Rsd5YuE2SdE/fJgq1+Ls7QgAAUEVUYzxl1TvSiWwpupXUbkSl3d9bvl05J4rUNDpMg9vF10CAAAAAqJL1n0jZu6TweKlb5U8dfL5ml/YcOa6YCItu5KkDAAC8GoU0TziRI62cZH/d5x+Sn7nC7oePFuj95dslSQ9d1oxp0AEAALxVcaG0/HX764tGSwHBFXY/UVistxb/Lkm6r98FCgqoOC8EAACeRSHNE9a8a78bLaqZ1OqqSrtPXfan8vKL1CouQgNbx9ZAgAAAAKiSDTOk7HQpNFrqdFOl3T9dla59OfmqVytYIy5MqIEAAQDA2aCQVtMKjkqpb9tf9x5b6d1oOScK9XHqTkn2sdH8uBsNAADAOxUXSctftb/u9UCld6MVFNn07tI/JdnvRrP4czcaAADejkJaTVv7gXTsoFQ7UWozrNLun61KV16+fWy0/i1jaiBAAAAAVMmvX0qH/pSC60idb620+5wNe5WZc0J1wy0a1rleDQQIAADOFoW0mlR4Qlrxb/vr3mMkc8UzMuUXFTvGRrvr4ibcjQYAAOCtbDZp6cv21z3ukyxhlXQ3NHWpfUb223olcjcaAADnCAppNennL6S8fVJEPandyEq7f71+r7Jy8xUbEaQr2zNTJwAAgNf6PUU6sEWyREhdR1Xa/YetWdq6L09hFn9d361BDQQIAACqA4W0mmIYf83U2e0uyT+wwu42m6F3Tl6lvP2iRAX6c6oAAAC8VskYuJ1ukoKslXafssQ+Ntr13RrIGhzgzsgAAEA1ojpTU/5cLGVtkgJCpU43V9p90W9Z+mP/UYUH+WtkV2ZwAgAA8FqZP0vbl0gms/2CaSXWpx/W6u2HFGA26dZejdwfHwAAqDYU0mpK6sm70TreKAXXqrT7h6k7JNmvUoYHcZUSAADAa62cbP+31ZVSrcof0/zo5IzsQ9rHK85a8cyeAADAu1BIqwkHfrePmyGT1P3uSrv/uT9Py7YdkMkk3ditofvjAwAAQNUcPWAfB1eSut9XafeDefn6dmOGJOnmHo3cGBgAAHAHCmk1Yd0H9n+bJkl1Glfa/dNV6ZKkS5pHK6FOiDsjAwAAwNlI+1QqLpDiO0oJF1ba/fO1u1VQbFO7+la1T6jl/vgAAEC1opDmboUn7AmWJHW5rdLuxwuK9cXaXZKkG3twNxoAAIDXstmktScvmLqQ5xXbDH26yv5Y543dyfMAADgXUUhzt01fS8cPSxH1paaXVdr9fxv2KudEkRLqBOvipnVrIEAAAABUyfYfpMPbJUuE1GZYpd2XbM3S7sPHZQ0O0JB28e6PDwAAVDsKae629n37v51vkfzMFXY1DEMfrdwhyT42mp+fyb2xAQAAoOpK8rz2I6XA0Eq7f3xykoHhXeorOLDivBAAAHgnCmnutO9XaddK+1TonZIr7f7znmz9sidHgf5+urZLQg0ECAAAgCrJyZB+m2t/3fnWSrvvPnxMP2zdL0m6gcmkAAA4Z1FIc6d1H9r/bTFICo+ttPt/1+2WJA1sHas6oYHujAwAAABnI+0TySiWErpLMa0q7f7lT3tkGFLPJpFqFFX53WsAAMA7UUhzl6KCv6ZC73Rzpd3zi4r1ddpeSdLfOtd3Z2QAAAA4G4YhpU23v+50kwvdDc36yX7BlDwPAIBzm1sLaYcPH1ZycrKsVqusVquSk5N15MiRCte55ZZbZDKZnJbu3bs79cnPz9ff//53RUVFKTQ0VFdeeaV2797txiOpgm3fS8cPSWGxUpN+lXZftDlL2ccLFRsRpF4XRNVAgAAAAFXn03nertXSoT+kgBCp1ZWVdl+787B2Hjym0ECzBrap/CkFAADgvdxaSLv++uuVlpamefPmad68eUpLS1NycuVjhQ0cOFAZGRmOZe7cuU6fjx49WrNnz9aMGTO0fPly5eXlafDgwSouLnbXoZy5DTPs/7YbXukkA9Jfj3Ve3amezEwyAAAAvJxv53kn70ZreaVkCa+0+3/X2vO8K9rGKSTQ352RAQAAN3PbL/nmzZs1b948rVy5Ut26dZMkvfvuu+rRo4e2bNmi5s2bl7uuxWJRbGzZV+uys7P13nvv6eOPP1b//v0lSZ988okSEhK0YMECDRgwoPoP5kwdPSht/d7+usP1lXbfn5vvGHx2WCdu9wcAAN7Np/O8whPSr1/aX3e4rtLuxwuK9e3PGZJ4rBMAgPOB2+5IS01NldVqdSRXktS9e3dZrVatWLGiwnV/+OEHRUdHq1mzZho1apSysrIcn61bt06FhYVKSkpytMXHx6tNmzblbjc/P185OTlOi1v98l/JVijFdZCiW1ba/eu0PSq2GerYoJYuiA5zb2wAAABnyafzvC1zpRPZUkR9qVGfSrt//2um8vKL1KBOiC5sVMe9sQEAALdzWyEtMzNT0dHRpdqjo6OVmZlZ7nqXX365Pv30Uy1atEivvPKK1qxZo0suuUT5+fmO7QYGBqp27dpO68XExJS73QkTJjjG77BarUpISDiLI3NB2mf2f124G02SvkrbI4m70QAAwLnBp/O8ksc624+Q/CpPpUvyvGs61ZMfw3cAAHDOO+NC2jPPPFNqkNjTl7Vr10qSTKbSyYJhGGW2lxgxYoQGDRqkNm3aaMiQIfruu++0detWffvttxXGVdF2x40bp+zsbMeya9euMzjiKrj6HanXaKnNsEq77jhwVL/syZHZz6Qr2sa5Ny4AAIAKkOe54PKJUt9xUocbKu16+GiBlm87IEka0j7evXEBAIAaccZjpN1///0aOXJkhX0aNWqkjRs3at++faU+279/v2JiYlzeX1xcnBo2bKht27ZJkmJjY1VQUKDDhw87Xa3MyspSz549y9yGxWKRxWJxeZ9nLbqFdNmzLnX9ZuNeSVLPJpGqExrozqgAAAAqRJ7ngjqJUt9HXer6/a+ZKrIZahkXoSZ1Gb4DAIDzwRkX0qKiohQVFVVpvx49eig7O1urV69W165dJUmrVq1SdnZ2uYlQWQ4ePKhdu3YpLs5+t1bnzp0VEBCglJQUDR8+XJKUkZGhX375RRMnTjzTw/G4bzbaB58d0o6rlAAAwLPI86pXSZ43uB1PHQAAcL5w2xhpLVu21MCBAzVq1CitXLlSK1eu1KhRozR48GCnmZxatGih2bNnS5Ly8vI0duxYpaamaseOHfrhhx80ZMgQRUVF6eqrr5YkWa1W3X777Xr44Ye1cOFCrV+/XjfeeKPatm3rmN3pXPF7Vq5+y8xVgNmkAa3Lnr0KAADA25DnVe5gXr5W/GF/rJNCGgAA548zviPtTHz66ad64IEHHDMvXXnllXrrrbec+mzZskXZ2dmSJLPZrJ9//lkfffSRjhw5ori4OPXr108zZ85UeHi4Y53XXntN/v7+Gj58uI4fP65LL71U06ZNk9lsdufhVLuSq5QXXRAla0iAh6MBAABwHXlexb77JVM2Q2pbz6qGkaGeDgcAAFQTk2EYhqeDqGk5OTmyWq3Kzs5WRESER2IwDEOXvbZUv2fl6ZVr22tYZ2bsBADA23lDDoGKecs5Gjk1VSv/PKRxl7fQXRc38VgcAADANa7mEG57tBMV25aVp9+z8hRo9tNlrV0flBcAAADebX9uvlZtPyRJGsRjnQAAnFcopHlIyib7TFe9LohURBCPdQIAAJwvFv22T8bJxzrr1w7xdDgAAKAaUUjzkJJC2mWtmGQAAADgfJKyKUuSdFkrnjoAAOB8QyHNA7JyTyht1xFJ0qUtoz0bDAAAAKrN8YJiLf99vySpf0sKaQAAnG8opHnAos32q5Tt61sVExHk4WgAAABQXX78/YBOFNpUr1awWsaFV74CAAA4p1BI84AFm+2PdXKVEgAA4PzyV54XLZPJ5OFoAABAdaOQVsOOFxRr2bYDkqT+jJsBAABw3rDZDC04+eQBeR4AAOcnCmk1bPnvB5RfZL/dv0Ust/sDAACcLzbsPqIDefkKs/irW2Kkp8MBAABuQCGthi1wzNYZw+3+AAAA55GSxzovbl5Xgf6k2QAAnI/4ha9BNpuhhb+dvN2f8dEAAADOKwtPPtZ5GXkeAADnLQppNejnPdmO2/27JtbxdDgAAACoJnuPHNdvmbnyM0l9m9f1dDgAAMBNKKTVoGXb9kuSejaJ5HZ/AACA88jSrfY8r0NCLdUKCfRwNAAAwF2o5tSgpVvts3X2acZVSgAAgPPJ0pMXTMnzAAA4v1FIqyG5Jwr1U/phSdLFJFgAAADnjaJim5Zv44IpAAC+gEJaDVnxx0EV2QwlRoUqoU6Ip8MBAABANdmwO1s5J4pkDQ5Q+/q1PB0OAABwIwppNaRk3Iw+TaM8HAkAAACqU8k4uBddECWzn8nD0QAAAHeikFYDDMNwjJvRuym3+wMAAJxPSi6Y9uaCKQAA5z0KaTUg/dAx7Tp0XAFmk3o0ifR0OAAAAKgmOScKlbbriCSpN+OjAQBw3qOQVgNS/zgoyT4deqjF38PRAAAAoLqs/vOQbIaUGBWqerWCPR0OAABwMwppNSD1T3shrUdj7kYDAAA4n6w8med1J88DAMAnUEhzM8MwHHekdeexTgAAgPOK44IpeR4AAD6BQpqb/XngqLJy8xXo76dODWp7OhwAAABUkyPHCrQpI0eS1L1xHQ9HAwAAagKFNDcruRutY0ItBQWYPRwNAAAAqsuq7YdkGFKTuqGKDg/ydDgAAKAGUEhzs5Xc7g8AAHBeKrlgSp4HAIDvoJDmRoZhaOWfhyQx0QAAAMD5xnHBtHGUhyMBAAA1xa2FtMOHDys5OVlWq1VWq1XJyck6cuRIheuYTKYyl5deesnRp2/fvqU+HzlypDsPpUp+z8rTgbx8Wfz91KFBLU+HAwAAUG18Pc87mJev3zJzJTE+GgAAvsTfnRu//vrrtXv3bs2bN0+SdOeddyo5OVn/+9//yl0nIyPD6f13332n22+/XcOGDXNqHzVqlMaPH+94HxwcXI2RV4+SWZy6NKotiz/jowEAgPOHr+d5q7bbnzpoHhOuyDCLh6MBAAA1xW2FtM2bN2vevHlauXKlunXrJkl699131aNHD23ZskXNmzcvc73Y2Fin919//bX69eunxo0bO7WHhISU6uttShKs7ok81gkAAM4f5HnS6pI8j7vRAADwKW57tDM1NVVWq9WRXElS9+7dZbVatWLFCpe2sW/fPn377be6/fbbS3326aefKioqSq1bt9bYsWOVm5tb7nby8/OVk5PjtLibYRhau8OeYF2YSIIFAADOH76e50nSGvI8AAB8ktvuSMvMzFR0dHSp9ujoaGVmZrq0jQ8//FDh4eG65pprnNpvuOEGJSYmKjY2Vr/88ovGjRunDRs2KCUlpcztTJgwQc8+++yZH8RZ2H34uPbl5Mvfz6T29WvV6L4BAADcydfzvLz8Im3OsBfsujSkkAYAgC854zvSnnnmmXIHii1Z1q5dK8k+oOzpDMMos70s77//vm644QYFBQU5tY8aNUr9+/dXmzZtNHLkSP33v//VggUL9NNPP5W5nXHjxik7O9ux7Nq16wyP+syt23lYktS6nlXBgYyPBgAAvB95nmvS0o/IZkj1agUr1hpU+QoAAOC8ccZ3pN1///2VzpzUqFEjbdy4Ufv27Sv12f79+xUTE1PpfpYtW6YtW7Zo5syZlfbt1KmTAgICtG3bNnXq1KnU5xaLRRZLzQ4C67jdv2HtGt0vAABAVZHnuaYkz+vSiDwPAABfc8aFtKioKEVFRVXar0ePHsrOztbq1avVtWtXSdKqVauUnZ2tnj17Vrr+e++9p86dO6t9+/aV9v31119VWFiouLi4yg+ghpTckUaCBQAAzhXkea75K8/jsU4AAHyN2yYbaNmypQYOHKhRo0Zp5cqVWrlypUaNGqXBgwc7zeTUokULzZ4922ndnJwcffHFF7rjjjtKbfePP/7Q+PHjtXbtWu3YsUNz587Vtddeq44dO6pXr17uOpwzkn28UFv22QfF7cy4GQAA4Dzjy3leUbFN69NPFtJ48gAAAJ/jtkKaZJ9xqW3btkpKSlJSUpLatWunjz/+2KnPli1blJ2d7dQ2Y8YMGYah6667rtQ2AwMDtXDhQg0YMEDNmzfXAw88oKSkJC1YsEBms3eMRfZT+mEZhtQoMkR1w2v2UQMAAICa4Kt53m+ZuTpaUKxwi7+axYR7OhwAAFDDTIZhGJ4Ooqbl5OTIarUqOztbERER1b79l7/forcW/65hnerrleGVP7IAAADODe7OIXD23H2Opv24Xc/8b5P6NKurj27rWu3bBwAAnuFqDuHWO9J81dqdDEALAABwPlq7k8c6AQDwZWc82QAq9/SQ1lq9/ZB6N618sF4AAACcOx66rJl6NIlUF8bBBQDAJ1FIc4OWcRFqGcfjHgAAAOebJnXD1KRumKfDAAAAHsKjnQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC5wayHt+eefV8+ePRUSEqJatWq5tI5hGHrmmWcUHx+v4OBg9e3bV7/++qtTn/z8fP39739XVFSUQkNDdeWVV2r37t1uOAIAAACUhTwPAAD4IrcW0goKCnTttdfqnnvucXmdiRMn6tVXX9Vbb72lNWvWKDY2Vpdddplyc3MdfUaPHq3Zs2drxowZWr58ufLy8jR48GAVFxe74zAAAABwGvI8AADgi0yGYRju3sm0adM0evRoHTlypMJ+hmEoPj5eo0eP1iOPPCLJflUyJiZGL774ou666y5lZ2erbt26+vjjjzVixAhJ0t69e5WQkKC5c+dqwIABlcaTk5Mjq9Wq7OxsRUREnPXxAQAA30AOURp5HgAAOB+4mkN41Rhp27dvV2ZmppKSkhxtFotFF198sVasWCFJWrdunQoLC536xMfHq02bNo4+p8vPz1dOTo7TAgAAgJpDngcAAM4HXlVIy8zMlCTFxMQ4tcfExDg+y8zMVGBgoGrXrl1un9NNmDBBVqvVsSQkJLghegAAAJSHPA8AAJwPzriQ9swzz8hkMlW4rF279qyCMplMTu8NwyjVdrqK+owbN07Z2dmOZdeuXWcVHwAAwPmIPA8AAKBi/me6wv3336+RI0dW2KdRo0ZVCiY2NlaS/WpkXFycoz0rK8tx9TI2NlYFBQU6fPiw09XKrKws9ezZs8ztWiwWWSyWKsUEAADgK8jzAAAAKnbGhbSoqChFRUW5IxYlJiYqNjZWKSkp6tixoyT7jFBLlizRiy++KEnq3LmzAgIClJKSouHDh0uSMjIy9Msvv2jixIluiQsAAMAXkOcBAABU7IwLaWciPT1dhw4dUnp6uoqLi5WWliZJuuCCCxQWFiZJatGihSZMmKCrr75aJpNJo0eP1gsvvKCmTZuqadOmeuGFFxQSEqLrr79ekmS1WnX77bfr4YcfVmRkpOrUqaOxY8eqbdu26t+/vzsPBwAAACeR5wEAAF/k1kLaU089pQ8//NDxvuTq4+LFi9W3b19J0pYtW5Sdne3o889//lPHjx/Xvffeq8OHD6tbt26aP3++wsPDHX1ee+01+fv7a/jw4Tp+/LguvfRSTZs2TWaz2Z2HAwAAgJPI8wAAgC8yGYZheDqImpaTkyOr1ars7GxFRER4OhwAAHCOIIfwfpwjAABQFa7mEGc8aycAAAAAAADgiyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALvD3dACeYBiGJPvUpgAAAK4qyR1Kcgl4H/I8AABQFa7meT5ZSMvNzZUkJSQkeDgSAABwLsrNzZXVavV0GCgDeR4AADgbleV5JsMHL6nabDbt3btX4eHhMplM1b79nJwcJSQkaNeuXYqIiKj27aNqOC/eifPinTgv3onz4nmGYSg3N1fx8fHy82OEDG9EnuebOC/eifPinTgv3onz4nmu5nk+eUean5+f6tev7/b9RERE8B+AF+K8eCfOi3fivHgnzotncSeadyPP822cF+/EefFOnBfvxHnxLFfyPC6lAgAAAAAAAC6gkAYAAAAAAAC4gEKaG1gsFj399NOyWCyeDgWn4Lx4J86Ld+K8eCfOC+B5/HfonTgv3onz4p04L96J83Lu8MnJBgAAAAAAAIAzxR1pAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmluMGnSJCUmJiooKEidO3fWsmXLPB2ST5swYYIuvPBChYeHKzo6WkOHDtWWLVs8HRZOMWHCBJlMJo0ePdrToUDSnj17dOONNyoyMlIhISHq0KGD1q1b5+mwfFpRUZGeeOIJJSYmKjg4WI0bN9b48eNls9k8HRrgc8jzvAt53rmBXM97kOd5H/K8cw+FtGo2c+ZMjR49Wo8//rjWr1+v3r176/LLL1d6erqnQ/NZS5Ys0X333aeVK1cqJSVFRUVFSkpK0tGjRz0dGiStWbNGU6dOVbt27TwdCiQdPnxYvXr1UkBAgL777jtt2rRJr7zyimrVquXp0Hzaiy++qClTpuitt97S5s2bNXHiRL300kt68803PR0a4FPI87wPeZ73I9fzHuR53ok879xjMgzD8HQQ55Nu3bqpU6dOmjx5sqOtZcuWGjp0qCZMmODByFBi//79io6O1pIlS9SnTx9Ph+PT8vLy1KlTJ02aNEnPPfecOnTooNdff93TYfm0Rx99VD/++CN3WHiZwYMHKyYmRu+9956jbdiwYQoJCdHHH3/swcgA30Ke5/3I87wLuZ53Ic/zTuR55x7uSKtGBQUFWrdunZKSkpzak5KStGLFCg9FhdNlZ2dLkurUqePhSHDfffdp0KBB6t+/v6dDwUlz5sxRly5ddO211yo6OlodO3bUu+++6+mwfN5FF12khQsXauvWrZKkDRs2aPny5briiis8HBngO8jzzg3ked6FXM+7kOd5J/K8c4+/pwM4nxw4cEDFxcWKiYlxao+JiVFmZqaHosKpDMPQmDFjdNFFF6lNmzaeDsenzZgxQz/99JPWrFnj6VBwij///FOTJ0/WmDFj9Nhjj2n16tV64IEHZLFYdNNNN3k6PJ/1yCOPKDs7Wy1atJDZbFZxcbGef/55XXfddZ4ODfAZ5HnejzzPu5DreR/yPO9EnnfuoZDmBiaTyem9YRil2uAZ999/vzZu3Kjly5d7OhSftmvXLj344IOaP3++goKCPB0OTmGz2dSlSxe98MILkqSOHTvq119/1eTJk0mwPGjmzJn65JNP9Nlnn6l169ZKS0vT6NGjFR8fr5tvvtnT4QE+hTzPe5HneQ9yPe9EnuedyPPOPRTSqlFUVJTMZnOpq5JZWVmlrl6i5v3973/XnDlztHTpUtWvX9/T4fi0devWKSsrS507d3a0FRcXa+nSpXrrrbeUn58vs9nswQh9V1xcnFq1auXU1rJlS82aNctDEUGS/vGPf+jRRx/VyJEjJUlt27bVzp07NWHCBBIsoIaQ53k38jzvQq7nncjzvBN53rmHMdKqUWBgoDp37qyUlBSn9pSUFPXs2dNDUcEwDN1///368ssvtWjRIiUmJno6JJ936aWX6ueff1ZaWppj6dKli2644QalpaWRWHlQr169tGXLFqe2rVu3qmHDhh6KCJJ07Ngx+fk5/2SbzWamRQdqEHmedyLP807ket6JPM87keede7gjrZqNGTNGycnJ6tKli3r06KGpU6cqPT1dd999t6dD81n33XefPvvsM3399dcKDw93XEm2Wq0KDg72cHS+KTw8vNTYJaGhoYqMjGRMEw976KGH1LNnT73wwgsaPny4Vq9eralTp2rq1KmeDs2nDRkyRM8//7waNGig1q1ba/369Xr11Vd12223eTo0wKeQ53kf8jzvRK7nncjzvBN53rnHZBiG4ekgzjeTJk3SxIkTlZGRoTZt2ui1115j+m0PKm/ckg8++EC33HJLzQaDcvXt25cp0b3EN998o3Hjxmnbtm1KTEzUmDFjNGrUKE+H5dNyc3P15JNPavbs2crKylJ8fLyuu+46PfXUUwoMDPR0eIBPIc/zLuR55w5yPe9Anud9yPPOPRTSAAAAAAAAABcwRhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALjg/wHeQ3zsgn9TRAAAAABJRU5ErkJggg==", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1139,16 +1185,32 @@ "id": "147105b9", "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "<>:11: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:12: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:13: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:11: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:12: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:13: SyntaxWarning: invalid escape sequence '\\l'\n", + "/tmp/ipykernel_62986/1873408677.py:11: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", + "/tmp/ipykernel_62986/1873408677.py:12: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", + "/tmp/ipykernel_62986/1873408677.py:13: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n" + ] + }, { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1209,16 +1271,44 @@ "id": "e1c06f2c", "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "<>:6: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:7: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:8: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:24: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:25: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:26: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:6: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:7: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:8: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:24: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:25: SyntaxWarning: invalid escape sequence '\\l'\n", + "<>:26: SyntaxWarning: invalid escape sequence '\\l'\n", + "/tmp/ipykernel_62986/1872220516.py:6: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", + "/tmp/ipykernel_62986/1872220516.py:7: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", + "/tmp/ipykernel_62986/1872220516.py:8: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "/tmp/ipykernel_62986/1872220516.py:24: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, sin_transient(t, 0.5), label='$\\lambda=1/2$')\n", + "/tmp/ipykernel_62986/1872220516.py:25: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, sin_transient(t, 1), label='$\\lambda=1/2$')\n", + "/tmp/ipykernel_62986/1872220516.py:26: SyntaxWarning: invalid escape sequence '\\l'\n", + " ax.plot(t, sin_transient(t, 2), 'k:', label='$\\lambda=1/2$')\n" + ] + }, { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1287,16 +1377,32 @@ "id": "dc683065", "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "<>:11: SyntaxWarning: invalid escape sequence '\\i'\n", + "<>:19: SyntaxWarning: invalid escape sequence '\\i'\n", + "<>:27: SyntaxWarning: invalid escape sequence '\\i'\n", + "<>:11: SyntaxWarning: invalid escape sequence '\\i'\n", + "<>:19: SyntaxWarning: invalid escape sequence '\\i'\n", + "<>:27: SyntaxWarning: invalid escape sequence '\\i'\n", + "/tmp/ipykernel_62986/3767847795.py:11: SyntaxWarning: invalid escape sequence '\\i'\n", + " ax.text(2, 0.5, '$\\int h$ from 0 to $\\infty$')\n", + "/tmp/ipykernel_62986/3767847795.py:19: SyntaxWarning: invalid escape sequence '\\i'\n", + " ax.text(2.5, 0.5, '$\\int h$ from 2 to $\\infty$')\n", + "/tmp/ipykernel_62986/3767847795.py:27: SyntaxWarning: invalid escape sequence '\\i'\n", + " ax.text(4.5, 0.5, '$\\int h$ from 4 to $\\infty$')\n" + ] + }, { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1526,14 +1632,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1572,14 +1676,12 @@ "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkMAAAF3CAYAAACmOk+9AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjYuMywgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/P9b71AAAACXBIWXMAAAsTAAALEwEAmpwYAABMBElEQVR4nO3deXhU9dn/8fedyUYSCEsAIew7yCIYcQEUrFrEhWrdrbWKWq1abWuttvaxvz5ttfape933VkXFDfcdRaXIIjuyCAhhXwMBQpa5f3/MgDEmkEAmZ5L5vK5rrplzzvecc4/X18mH79nM3RERERFJVElBFyAiIiISJIUhERERSWgKQyIiIpLQFIZEREQkoSkMiYiISEJTGBIREZGElhx0AfEqJyfHO3XqBMD27dvJzMwMtiBpcNSvJBbUryQWGkK/mjZt2gZ3b1nZMoWhKnTq1ImpU6cCMGHCBIYPHx5sQdLgqF9JLKhfSSw0hH5lZt9UtUyHyURERCShJcTIkJllAvcBxcAEd3864JJEREQkTtTbkSEze8zM1pnZnArzR5rZAjNbbGY3RGefDoxz90uBU+u8WBEREYlb9Xlk6AngXuCp3TPMLAT8CzgeyAemmNl4oB0wO9qsrG7LFBFpeNwddwi744A7OJF5uz+HPdpu9/LoOh5dP1zh0ZiRlnsmKiwrv++q1/v+su/W/N3vsLfvV0Vd31tWcT3fy7KqKtt73XWtsv8u+dvCLFizLeb7TgkZXVpmxXw/FdXbMOTun5hZpwqzBwOL3X0JgJmNBUYTCUbtgBnU49EwEQlWOOzsKg1TVFJGUWkZRSXRzyVl7CoNU1K2++WUlIUpLXOKo+/fXxamuMwp3T0/7JSUhikNO2Vhp8ydcPRz2HfPY8+8PcvLvZeFYdu2HaRP/7jcvO8uj4SQqgOKEw045eftJcBIAvnsk5jvonNOJh9dNzzm+6mo3oahKuQCK8pN5wOHA3cD95rZScBrVa1sZpcBlwG0bt2aCRMmAFBYWLjns0htUb+KrbKws6MUtpc4RaXOzlIoKnOKSqGo1Ckqg52lvudzUWl0WZlTUgbFYae4DErCUFzmFIehNFy7NSYbJCdBKAlCZpHPBkkGFn1PApLMIp/LvYzy00bIIMUgNS1Miu0kKfnbZUnltmXRbVu0hqToBwMMwwwgaU8bi64DfGfed9aNvidFN/Ttevbttsuva9+dV5WKy2wvja3Kie9O7nV/e1nv+22rXrrX/VnVy/bWtq5V3HVRURHp6ekx329aqDSQ38WGFoYq6zru7tuBi/a1srs/BDwEkJeX57svI2wIlxRK/FG/qr6ysLN5RzHrt+3a89q8o5gtO0rYsjPyXrCz5DvT24pK97ldM8hMTSYzLURmWjJZ6ck0Tw3RKCVEekqItOQk0nd/TkkiPTkUnU769j3527YpyUmkhJJICVn0PYnkJCM1OfKekpxEanReKMn2+gd1f6lfSSw09H7V0MJQPtC+3HQ7YFVAtYhINRTuKmXVlp2s3LKTVdHX2q3fhp4NhbvYuL2YskqOzyQZNM1IpWmjFLIzUsjJSqVbqyyyG6XQNCNlz/ystBQy00JkpSVHQk/01SglRFJSgP/8FpG40NDC0BSgu5l1BlYC5wDnBVuSSGIrLQuTv3knSzduZ+n67XyzcTsrt+xk5ZYiVm7ewdYKIzihJKNV4zRaNk6jTXY6/XKzaRmd3v3KyUqjeWYqjdOSFWZE5IDV2zBkZs8Cw4EcM8sHbnb3R83sKuAdIAQ85u5zAyxTJGFsKyphwZptLFi7ja/XbWfZxu0s3bCdFZt2UFpuVCcrLZl2zRqR27QRh3VqRtumjWjbtBG5TdNp27QRrRqnE1LAEZE6VG/DkLufW8X8N4E367gckYQRDjtLNmxn7qqCSPhZs42v1mxj5Zade9o0SgnRKSeT3m0ac2Lfg+iUk0mXnEw65WTSIjM1JufKiIjsr3obhkQk9tyd1QVFzFyxhZn5BczK38Ls/AK27Yoc2kpOMrq2zOLQjs047/AO9DqoMT0Pakxu00YKPCJSbygMVWBmpwCndOvWLehSROpcWdj5as1WJi/ZxBdLNzH1m81sKNwFRG6G1uugJowe2Jb+7ZrSLzebri2zSE3WrbtEpH5TGKrA3V8DXsvLy7s06FpEYi0cduau2sqkJRuYvGQTU5Zt2nNCc7tmjTi6ew4D2jelf7tserdpQnpKKOCKRURqn8KQSILZULiLiYvW8/GC9UxctIGN24sB6JKTyUn92zC4c3MGd25BbtNGAVcqIlI3FIZEGjh3Z97qrbwzZw0fLVjP7JUFALTITOXoHi05pkdLjuraglZNYn93WRGReKQwJNIAhcPOlys28/acNbw9dw0rNu0kyWBQh2Zcd0IPjunRioPbNtE9ekREUBgSaTDcnRkrtvDKlyt5c84a1m/bRUrIGNoth6tGdOO43q1pkZUWdJkiInFHYUiknvtm43Ze/nIlr3y5kmUbd5CWnMSxvVoxsu9BjOjViibpKUGXKCIS1xSGROqhwl2ljJ+xinHTVjB9+RbM4MguLfjFiG6c2PcgGisAiYhUm8JQBbrPkMSzOSsLeOaL5bz65Uq2F5fRo3UWvxvZi9GHtKWtrv4SEdkvCkMV6D5DEm+KSsoYP3MVT09ezswVW0hLTuLk/m05/4gODGzfVHd6FhE5QApDInFq/bZd/Oe/3/Cf/37Dxu3FdG+Vxc2n9OH0ge3IztBhMBGR2qIwJBJnFqzZxqOfLuGVGasoLg1zXO9WXDy0M0d2aaFRIBGRGFAYEokT077ZzD0fLmLCgvWkpyRxVl47Lh7SmS4ts4IuTUSkQVMYEgnYf5ds5J4PF/HZ4o00z0zlN8f34CdHdKRZZmrQpYmIJASFIZEAuDtzN5Rx3wOT+GLZJnKy0vjDqN6cf0QHMlL1v6WISF3Sr65IHZu+fDO3vf0V/11SxEFN4E+n9OGcwR30RHgRkYAoDInUkYVrt/GPdxbw3ry15GSl8pPeqfzx/OGkJSsEiYgESWGoAt10UWrbyi07uf3dhbz0ZT5Zqclcd0IPLhrSmSmTPlUQEhGJAwpDFeimi1JbdhSX8sCEr3nwkyU4cOmwLlxxTFedGC0iEmcUhkRqmbvz6oxV3PrWV6zZWsQpA9pyw4m9yNXjMkRE4pLCkEgtmrFiC//vtbl8uXwL/XKzuee8gRzWqXnQZYmIyF4oDInUgs3bi7nlrfk8PzWfnKw0bjujP2cMakdSku4YLSIS7xSGRA6Au/Pi9JX87c35bN1ZwmVHd+HqY7vROF3PDhMRqS8SKgyZWRfgD0C2u58RdD1Svy1eV8hNr8zmv0s2MahDU/52ej96HdQk6LJERKSGkmK5cTNrambjzOwrM5tvZkfu53YeM7N1ZjankmUjzWyBmS02sxv2th13X+LuY/anBpHdikrKuP29hYy6ayLzVm3lb6f1Y9zlRykIiYjUU7EeGboLeNvdzzCzVCCj/EIzawXsdPdt5eZ1c/fFFbbzBHAv8FSF9UPAv4DjgXxgipmNB0LALRW2cbG7rzvwrySJbPryzfz2hZl8vX47PzqkLX84qQ8tG6cFXZaIiByAmIUhM2sCHA38DMDdi4HiCs2OAa4ws1HuXmRmlwKnAaPKN3L3T8ysUyW7GQwsdvcl0X2OBUa7+y3AybX4dSTBFZWUccd7C3l44hIOapLOUxcP5ugeLYMuS0REakEsR4a6AOuBx81sADANuMbdt+9u4O4vmFlnYKyZvQBcTGSUp7pygRXlpvOBw6tqbGYtgL8CA83sxmhoqthGd6CW75j2zWZ+O24mS9Zv59zBHfj9qF46QVpEpAGJ5TlDycAg4H53HwhsB753To+73wYUAfcDp7p7YQ32Udl1y15VY3ff6O6Xu3vXyoJQtM1r7n5ZdnZ2DcqQhqiopIy/vjGPMx74nF0lYf4z5nBuOb2fgpCISAMTy5GhfCDf3SdHp8dRSRgys2FAX+Bl4Gbgqhruo3256XbAqv2qVqScuasKuHbsDBatK+S8wzvw+1G9yUpLqIsvRUQSRsxGhtx9DbDCzHpGZ/0AmFe+jZkNBB4GRgMXAc3N7C812M0UoLuZdY6eoH0OMP6Ai5eEFQ47D33yNaf963O27CzhyYsH87fT+ikIiYg0YLH+hb8aeDoaVJYQCTzlZQBnuvvXAGZ2IdETrsszs2eB4UCOmeUDN7v7o+5eamZXAe8QuYLsMXefG6svIw3bmoIifvPCDD5bvJET+rTm1h/3p7keqioi0uDFNAy5+wwgby/LP6swXUJkpKhiu3P3so03gTf3v0oReGv2am54aTbFpWFuPb0fZx/WHjM9SkNEJBFo7F8S2s7iMv40fi7PTV1B/3bZ3Hn2IXRpmRV0WSIiUocUhiRhLV63jV88PZ1F6wr5xfCu/Or4HqSEYnpTdhERiUMKQ5KQXpqezx9enkNGaognL9INFEVEEpnCkCSUncVl3Dx+Ds9PzWdw5+bcc+5AWjdJD7osEREJkMKQJIzF6wq58unpLFy3jatGdOPa47qTrMNiIiIJT2FIEsKrM1Zy40uzSU8J8cRFgzlGh8VERCRKYagCPZusYSkpC/O3N+fz+GfLOKxTM+45dxAHZeuwmIiIfEvHCCrQs8kajvXbdvGTRybz+GfLuGhIJ5659AgFIRER+R6NDEmDNGPFFi7/9zS27CzmjrMHcNrAdkGXJCIicUphSBqcsV8s539enUurJmm8eMVRHNxWo3wiIlI1hSFpMHaVlvGn8fN49ovlDOuew93nDKSZni0mIiL7oDAkDcK6bUX8/N/T+HL5Fq4Y3pXrTuhJKEnPFhMRkX1TGJJ6b87KAi59aipbdpRw3/mDGNWvTdAliYhIPaIwJPXaW7NX8+vnZ9IsI4VxVxyp84NERKTGFIakXnJ37v1wMf98byEDOzTlwQsOpVVjXTYvIiI1pzAk9U5RSRnXj5vF+JmrOG1gLrec3o/0lFDQZYmISD2lMCT1ytqtRVz21FRmrSzg+pE9ueKYrpjpRGkREdl/CRWGzKwL8Acg293PCLoeqZk5Kwu45MmpbC0q4cGfHMoJBx8UdEkiItIAVPtxHGaWa2ZHmdnRu1/VXC9kZl+a2ev7W6SZPWZm68xsTiXLRprZAjNbbGY37G077r7E3cfsbx0SnPfnreXMByaRZDDu8qMUhEREpNZUa2TIzP4OnA3MA8qisx34pBqrXwPMB5pUst1WwE5331ZuXjd3X1yh6RPAvcBTFdYPAf8CjgfygSlmNh4IAbdU2MbF7r6uGvVKnHlq0jL+NH4ufXOzeeTCPJ0oLSIitaq6h8l+BPR091012biZtQNOAv4K/LqSJscAV5jZKHcvMrNLgdOAUeUbufsnZtapkvUHA4vdfUl0f2OB0e5+C3ByTWqV+BMOO7e8NZ+HJy7luN6tuPvcgWSkJtSRXRERqQPVPUy2BEjZj+3fCVwPhCtb6O4vAG8DY83sfOBi4KwabD8XWFFuOj86r1Jm1sLMHgAGmtmNVbQ5xcweKigoqEEZUtuKSsq48pnpPDxxKRce2ZEHL8hTEBIRkZio7l+XHcAMM/sA2DM65O6/rGoFMzsZWOfu08xseFXt3P226IjO/UBXdy+sZk0AlV1G5HvZ10bg8r1t0N1fA17Ly8u7tAZ1SC3aWLiLS56ayowVW7jppN6MGdpZV4yJiEjMVDcMjY++amIIcKqZjQLSgSZm9h93/0n5RmY2DOgLvAzcDFxVg33kA+3LTbcDVtWwTokjS9YXctETU1hTUMT95w9iZF89WkNERGKrWmHI3Z+s6Ybd/UbgRoDoyNB1lQShgcDDRM4rWgr8x8z+4u43VXM3U4DuZtYZWAmcA5xX01olPkxdtolLnppKkhnPXnYEgzo0C7okERFJAHs9Z8jMno++zzazWRVftbD/DOBMd//a3cPAhcA3ldTxLDAJ6Glm+WY2BsDdS4mMJL1D5Iq15919bi3UJXXszdmrOe+RyTTPSOXlXxylICQiInVmXyND10TfD+jKLHefAEyoZP5nFaZLiIwUVWx37l62/Sbw5oHUJ8F6atIybh4/l0M7NOPhn+bRLDM16JJERCSB7DUMufvq6Pv3RmtEDpS78893F3LvR4s5rndr7j1voJ4xJiIida5al9ab2RFmNsXMCs2s2MzKzGxrrIuThqu0LMwNL87m3o8Wc85h7XngJ4MUhEREJBDVvZrsXiInJ78A5AE/BbrFqihp2HYWl3H1s9N5f/46fnlsN351fA9dOi8iIoGp9l3s3H2xmYXcvQx43Mw+j2Fd0kBt2VHMmCenMn35Zv539MFccGSnoEsSEZEEV+2bLppZKjDTzG4DVgOZsStLGqJVW3by08e+YPnGHdx33iBO7Kd7CImISPCq+ziOC6JtrwS2E7m54Y9jVZQ0PAvXbuPH93/O2oIinhozWEFIRETixl5HhsxsNNDO3f8Vnf4YaEXkkReTgIpPlxf5nqnLNjHmyamkJSfx3M+PpE/bJkGXJCIisse+Roau57uP4UgDDgWGA1fEqCZpQD76ah3nPzKZFpmpvHjFUQpCIiISd/Z1zlCqu5d/Kvyn7r4J2GRmOmdI9mr8zFX8+rkZ9G7ThCcuOowWWWlBlyQiIvI9+wpD33kmgruXf4hqy9ovRxqKpyd/w02vzOGwTs159MI8GqenBF2SiIhIpfZ1mGyymV1acaaZ/Rz4IjYlxY6ZdTGzR81sXNC1NGT3TVjMH16ew4ierXjq4sEKQiIiEtf2NTL0K+AVMzsPmB6ddyiRc4d+tLcVzSwd+CTaNhkY5+4370+RZvYYkeejrXP3vhWWjQTuAkLAI+5+a1XbcfclwBiFodhwd/7+9gIe+PhrRh/Slv87cwApoepesCgiIhKMfT2bbB1wlJkdCxwcnf2Gu39YjW3vAo5190IzSwE+NbO33P2/uxuYWStgp7tvKzevm7tXvErtCSJ3wX6q/EwzCwH/Ao4H8oEpZjaeSDC6pcI2Lo5+H4mBsrDzx1fn8Mzk5fzkiA78+dS+JCXprtIiIhL/qnXTxWj4qU4AKr+OA4XRyZToyys0Owa4wsxGuXtR9JDcacCoCtv6xMw6VbKbwcDi6IgPZjYWGO3utxAZSaoxMzsFOKVbNz1tpLqKS8P8+vkZvD5rNb8Y3pXf/rCnHq8hIiL1RkyPYZhZyMxmAOuA99x9cvnl7v4C8DYw1szOBy4GzqrBLnKB8le75UfnVVVPCzN7ABhoZjdW1sbdX3P3y7Kzs2tQRuLaWVzGZf+eyuuzVnPjib24fmQvBSEREalXqv1ssv0RfY7ZIWbWFHjZzPq6+5wKbW6LjujcD3R198JKNlWVyv7qVhx9Kr+vjcDlNdi+7MXWohLGPDGFqd9s5pbT+3Hu4A5BlyQiIlJjdXJ2q7tvASYAIysuM7NhQF/gZaCmJ1jnA+3LTbcDVu1XkVIjGwp3ce5D/2XGii3cc+5ABSEREam3YhaGzKxldEQIM2sEHAd8VaHNQOBhYDRwEdDczP5Sg91MAbqbWefog2TP4bt3zJYYWF2wk7MenMTX6wt5+Kd5nNy/bdAliYiI7LdYjgy1AT4ys1lEQst77v56hTYZwJnu/rW7h4ELgW8qbsjMniXyLLSeZpZvZmMA3L0UuAp4B5gPPO/uc2P2jYQVm3Zw1oOTWLd1F/8eczjDe7YKuiQREZEDErNzhtx9FjBwH20+qzBdQmSkqGK7c/eyjTeBN/ezTKmBJesLOf+RyewoLuPpSw5nQPumQZckIiJywGJ6ArU0HAvWbOP8Rybj7oy97Ah6t9EDV0VEpGFQGJJ9mrOygAsenUxqchJPX3Ik3VplBV2SiIhIrVEYkr2a9s1mfvb4FzRJT+GZSw+nY4vMoEsSERGpVQpDUqVJX29kzJNTaNU4jacvPYLcpo2CLklERKTWKQxJpT5euJ7LnppKh+YZPH3J4bRqkh50SSIiIjGhMCTf8+7cNVz1zJd0a5XFv8cMpkVWWtAliYiIxIzCkHzHazNXce1zM+iXm82TFw0mOyMl6JJERERiSmFI9nhh6gp+9+Is8jo157GfHUZWmrqHiIg0fPprJwD8e9Iy/vjqXIZ1z+GhC/JolBoKuiQREZE6oTAkPDJxCX95Yz7H9W7FvecNIj1FQUhERBKHwlCCu2/CYm57ewEn9WvDneccQkoolo+rExERiT8KQwnsng8W8c/3FnLqgLbcftYAkhWEREQkASkMJSB35873F3HXB4s4fWAu/zhzAKEkC7osERGRQCgMJRh35/b3FnLPh4s549B2/P3H/RWEREQkoSkMJRB35+9vL+CBj7/mnMPa87fT+pGkICQiIglOYShBuDt/e3M+D09cyvmHd+B/R/dVEBIREUFhKCG4O39+fR6Pf7aMC4/syJ9OPRgzBSERERGAhLp8yMy6mNmjZjYu6Frqirtz8/i5PP7ZMi4a0klBSEREpIKYhSEza29mH5nZfDOba2bXHMC2HjOzdWY2p5JlI81sgZktNrMb9rYdd1/i7mP2t476Jhx2/vDKHJ6a9A2XHd2F/zm5j4KQiIhIBbE8TFYK/Mbdp5tZY2Camb3n7vN2NzCzVsBOd99Wbl43d19cYVtPAPcCT5WfaWYh4F/A8UA+MMXMxgMh4JYK27jY3dfVzleLf+Gwc+NLs3lu6gquGN6V63/YU0FIRESkEjELQ+6+Glgd/bzNzOYDucC8cs2OAa4ws1HuXmRmlwKnAaMqbOsTM+tUyW4GA4vdfQmAmY0FRrv7LcDJtf2d6ouysPO7F2cxblo+Vx/bjV8f30NBSEREpAp1cs5QNMgMBCaXn+/uLwBvA2PN7HzgYuCsGmw6F1hRbjo/Oq+qOlqY2QPAQDO7sYo2p5jZQwUFBTUoI36UhZ3rXpjJuGn5XHtcd35zgkaERERE9ibmYcjMsoAXgWvdfWvF5e5+G1AE3A+c6u6FNdl8JfO8qsbuvtHdL3f3rtHRo8ravObul2VnZ9egjPhQWhbm2udm8PKXK/nN8T249rgeQZckIiIS92IahswshUgQetrdX6qizTCgL/AycHMNd5EPtC833Q5YtR+l1nslZWGuGTuD12au4ncje3H1D7oHXZKIiEi9EMuryQx4FJjv7rdX0WYg8DAwGrgIaG5mf6nBbqYA3c2ss5mlAucA4w+s8vqnuDTMVc9M543Zq/nDqN5cMbxr0CWJiIjUG7EcGRoCXAAca2Yzoq9RFdpkAGe6+9fuHgYuBL6puCEzexaYBPQ0s3wzGwPg7qXAVcA7wHzgeXefG7uvFH+KS8Nc+cx03pm7lv85uQ+XHt0l6JJERETqlVheTfYplZ/TU77NZxWmS4iMFFVsd+5etvEm8OZ+llmv7Q5C781by59O6cPPhnQOuiQREZF6R4/jqKd2Hxp7b95a/t+pB3PhUZ2CLklERKReSqjHcTQUu4PQu9ERIQUhERGR/acwVM+UlIW5+tlvg5AOjYmIiBwYhaF6pKQsMiL0zty13KwgJCIiUisUhuqJ8kHof07uw0UKQiIiIrVCYageKCkLc/UzX+4JQhcPVRASERGpLQpDca6kLMwvn/2St+eu4Y8KQiIiIrVOYSiO7Q5Cb81Zw00n9WaMgpCIiEitUxiKU5FnjX0bhC4ZpjtLi4iIxILCUBwqKQtz7dgZvDlbQUhERCTWFIbizO4gtPuhqwpCIiIisaUwFEdKKwQhPXRVREQk9hSG4kRpWZhrnosEod+P6qUgJCIiUkcUhuLAniA0azU3ntiLy47uGnRJIiIiCUNhKGClZWGuLReEfn6MgpCIiEhdUhgKUGlZmF89P5PXZ63mBgUhERGRQCgMBaS0LMyvn5/JazNX8buRvbhcQUhERCQQCkMB2B2ExkeD0BXDFYRERESCojBUx0rLwvzmhUgQun5kTwUhERGRgCkM1aGysPObF2by6oxV/PaHPfnF8G5BlyQiIpLwFIbq0JRlmxg/MxKErhyhICQiIhIPkoMuIJEc0aUFb10zjF4HNQm6FBEREYnSyFAdUxASERGJLwpDIiIiktAUhkRERCShKQyJiIhIQlMYEhERkYRm7h50DXHJzNYD30Qnc4ANAZazP7KBgnq2nwPZVk3XrW77fbU7kOXqV3Wzr/rYr/bVRv0qPva1v9tSv6o75b9TR3dvWWkrd9drHy9gatA17EfND9W3/RzItmq6bnXb76vdgSxXv6qbfdXHfrWvNupX8bGv/d2W+lXdvar7306HyRqu1+rhfg5kWzVdt7rt99XuQJfXN3X5fWprX/WxX+2rjfpVfOxrf7elflV3qvWddJisGsxsqrvnBV2HNCzqVxIL6lcSCw29X2lkqHoeCroAaZDUryQW1K8kFhp0v9LIkIiIiCQ0jQyJiIhIQlMYEhERkYSmMCQiIiIJTWHoAJlZFzN71MzGBV2L1G9mlmlmT5rZw2Z2ftD1SMOg3yiJBTP7UfS36lUzOyHoeg5UQochM3vMzNaZ2ZwK80ea2QIzW2xmN+xtG+6+xN3HxLZSqa9q2MdOB8a5+6XAqXVerNQbNelX+o2S6qphv3ol+lv1M+DsAMqtVQkdhoAngJHlZ5hZCPgXcCLQBzjXzPqYWT8ze73Cq1Xdlyz1zBNUs48B7YAV0WZldVij1D9PUP1+JVJdT1DzfnVTdHm9lhx0AUFy90/MrFOF2YOBxe6+BMDMxgKj3f0W4OQ6LlHquZr0MSCfSCCagf6hIntRw341r47Lk3qqJv3KzOYDtwJvufv0uq209ukH9/ty+fZf5xD5A5VbVWMza2FmDwADzezGWBcnDUJVfewl4Mdmdj8N87b4EluV9iv9RskBqur36mrgOOAMM7s8iMJqU0KPDFXBKplX5Z0p3X0jUO87gtSpSvuYu28HLqrrYqTBqKpf6TdKDkRV/epu4O66LiZWNDL0fflA+3LT7YBVAdUiDZP6mMSC+pXEQkL0K4Wh75sCdDezzmaWCpwDjA+4JmlY1MckFtSvJBYSol8ldBgys2eBSUBPM8s3szHuXgpcBbwDzAeed/e5QdYp9Zf6mMSC+pXEQiL3Kz2oVURERBJaQo8MiYiIiCgMiYiISEJTGBIREZGEpjAkIiIiCU1hSERERBKawpCIiIgkNIUhERERSWgKQyIiIpLQFIZEREQkoSkMiYiISEJTGBIREZGElhx0AfEqJyfHO3XqBMD27dvJzMwMtiBpcNSvJBbUryQWGkK/mjZt2gZ3b1nZMoWhKnTq1ImpU6cCMGHCBIYPHx5sQdLgqF9JLKhfSSw0hH5lZt9UtUyHyURERCShKQyJiIhIQlMYEhERkYSmMFSHikrK+GD+WtZtKwq6FBEREYnSCdR1aP7qrYx5MnJSdpvsdPrlZjOgfVP65WbTv102TTNSA65QREQk8TS4MGRmvwIuARyYDVwEZADPAZ2AZcBZ7r65rmvr3aYJz//8SGblb2FWfgGz8rfw7ry1e5Z3aJ5B/3bZDGjXlEM7NaNv22xSkzV4JyIiEksNKgyZWS7wS6CPu+80s+eBc4A+wAfufquZ3QDcAPyurutLTwkxuHNzBnduvmdewY4SZq8sYNbKLczOL+DL5Vt4fdZqANKSkxjQvil5HZtxWKfmDOrQjOyMlLouW0REpEFrUGEoKhloZGYlREaEVgE3AsOjy58EJhBAGKpMdkYKQ7vnMLR7zp5567YWMfWbzUxdtplp32ziwU+WcN+ErwHo0TqLvE7NObJLC47q2oIWWWlBlS4iItIgmLsHXUOtMrNrgL8CO4F33f18M9vi7k3Ltdns7s0qWfcy4DKA1q1bHzp27FgACgsLycrKqovyK7Wr1FlSEGbRljIWbQ6zaHMZRWWRZe0bJ9G7eRJ9WoTo2TxEo2QLrE6pmaD7lTRM6lcSCw2hX40YMWKau+dVtqxBhSEzawa8CJwNbAFeAMYB91YnDJWXl5fn8XoH6tKyMLNXFvD51xv5/OsNTF22mV2lYUJJRv922QzpmsOIXi05pH0zQkkKR/Eq3vqVNAzqVxILDaFfmVmVYaihHSY7Dljq7usBzOwl4ChgrZm1cffVZtYGWBdkkQcqOZTEwA7NGNihGVeO6EZRSRnTl2/m88Ub+ezrDdw3YTH3frSYphkpHNOjJcf2asXR3VvSLFNXq4mIiFTU0MLQcuAIM8sgcpjsB8BUYDtwIXBr9P3VwCqMgfSUEEd1zeGorjlcR08KdpTwyaL1fPTVOiYsXM+rM1aRZDCwQzNG9GzJD3q3ptdBjTHTqJGIiEiDCkPuPtnMxgHTgVLgS+AhIAt43szGEAlMZwZXZexlZ6RwyoC2nDKgLeGwM2tlAR9+tY4JC9bxf+8u5P/eXUinFhn8sO9BjDz4IAa0a0qSDqeJiEiCalBhCMDdbwZurjB7F5FRooSTlGQc0r4ph7Rvyq+P78G6bUW8P28db89dw6MTl/Lgx0tok53ODw8+iJF9D+KwTs11npGIiCSUBheGZO9aNU7nvMM7cN7hHSjYUcIHX63lrTlrePaL5Tzx+TJyslI5uX9bTj2kLQPbN9WhNBERafAUhhJYdkYKpw9qx+mD2rF9VykTFqznjdmreCYajDq2yGD0gLaMHphL15b1+5JKERGRqigMCQCZacmc1L8NJ/Vvw9aiEt6es4ZXZ6zkno8Wc/eHi+mXm82PBuZy2sBcmuuqNBERaUAUhuR7mqSncFZee87Ka8/arUW8NnMVr85Yxf++Po+/v/UVxx/cmrPz2jO0W45OvBYRkXpPYUj2qnWTdC4Z1oVLhnXhqzVbeW7KCl7+ciVvzFpNbtNGnJnXjjPz2pPbtFHQpYqIiOwXPRJdqq3XQU24+ZSDmfz7H3DPuQPp0jKTO99fxNC/f8jPHv+Cj75aRzjccO5oLiIiiSFuR4aij9ZoS+TmicvcPRxwSRKVlhzacx+jFZt28MLUFTw7ZQUXPTGFji0yuOCIjpyZ157sRilBlyoiIrJPcRWGzCwbuBI4F0gF1gPpQGsz+y9wn7t/FGCJUkH75hn8+oSeXHVsd96eu4anPl/GX96Yzz/fXchpg3K58MhO9DyocdBlioiIVCmuwhCRh6o+BQxz9y3lF5jZocAFZtbF3R8NojipWmpyEqcOaMupA9oyZ2UBT01axovT8nlm8nKGdsvh58d0YWi3HN23SERE4k5chSF3P34vy6YB0+qwHNlPfXOzue2MAdx4Ym/GTlnB458t5YJHv6BPmyb8/JgunNSvDckhna4mIiLxIS7/IpnZEDPLjH7+iZndbmYdg65LaqZZZipXDO/KxN+N4LYz+lNcFuaasTM45h8TePyzpewoLg26RBERkfgMQ8D9wA4zGwBcD3xD5PCZ1ENpySHOymvPu9cezSM/zaNt03T+32vzOOrWD7nr/UVsLSoJukQREUlg8RqGSt3dgdHAXe5+F6CzcOu5pCTjuD6teeHyo3jxiqPI69icO95fyNBbP+TO9xdSsFOhSERE6l68hqFtZnYjcAHwhpmFAF2n3YAc2rEZj1yYx+tXD+WILi323K/ojvcUikREpG7Faxg6G9gFXOzua4Bc4B/BliSx0Dc3m4d+mscbvxzKUV1bcNcHixh664fc/t5CHT4TEZE6EZdhKBqAXgTSorM2AC8HV5HE2sFts3nwgjze/OUwhnTL4e4PFnH0bR/x8CdLKCopC7o8ERFpwOIyDJnZpUTuOfRgdFYu8EpgBUmd6dO2CQ9ccCivXz2U/u2a8tc353Ps/03g+akrKNOjPkREJAbiMgwRuQv1EGArgLsvAloFWpHUqb652Tx18WCeufRwWjZO4/pxsxh55ye8O3cNkXPrRUREake8hqFd7l68e8LMkgH9BUxAR3XN4ZUrh3D/+YMoCzuX/XsaZzwwiS+Xbw66NBERaSDiNQx9bGa/BxqZ2fHAC8BrAdckATEzTuzXhnd/dTR/O60fyzft4LT7PudXz81gTUFR0OWJiEg9F69h6AYiD2mdDfwceBO4KdCKJHDJoSTOO7wDH103nF8M78obs1cz4v8mcNf7i9hZrJOsRURk/8TVs8l2c/ewmf0H+MTdFwRdj8SXrLRkrh/Zi3MHd+Bvb87njvcX8tyU5dwwqjen9G+jh8GKiEiNxOXIkJmdCswA3o5OH2Jm4wMtSuJO++YZ3P+TQxl72RE0zUjll89+yZkPTGL+6q1BlyYiIvVIXIYh4GZgMLAFwN1nAJ2CK0fi2RFdWvDa1UO59fR+LNmwnZPv+ZT/fX0ehbv0IFgREdm3eA1Dpe5esD8rmllTMxtnZl+Z2XwzO9LMmpvZe2a2KPrerLYLlmCFkoxzBnfgw98cw9mHteexz5byg39O4PVZq3QpvoiI7FW8hqE5ZnYeEDKz7mZ2D/B5Nde9C3jb3XsBA4D5RE7I/sDduwMfRKelAWqakcrfTuvHS1ccRU5WGlc98yU/fewLlqwvDLo0ERGJU/Eahq4GDibyfLJngALg2n2tZGZNgKOBRwHcvdjdtwCjgSejzZ4EflTbBUt8GdihGeOvGsr/O/VgZizfwsg7J3L7uwv0aA8REfkei7dDCNEn1L/j7sftx7qHAA8B84iMCk0DrgFWunvTcu02u/v3DpWZ2WXAZQCtW7c+dOzYsQAUFhaSlZVV4+8i8WHLrjDPfVXMpNVlHJRhXNQ3jZ7NQ0GXpX4lMaF+JbHQEPrViBEjprl7XmXL4i4MAUSvHLugpucNmVke8F9giLtPNrO7iDzS4+rqhKHy8vLyfOrUqQBMmDCB4cOH1+xLSNyZuGg9N740m/zNO/nJER343cheNE5PCawe9SuJBfUriYWG0K/MrMowFK+HyYqA2Wb2qJndvftVjfXygXx3nxydHgcMAtaaWRuA6Pu6mFQtcW1Y95a8+6ujGTO0M89MXs4Jd3zCB/PXBl2WiIgELF7D0BvAH4FPiBzq2v3aK3dfA6wws57RWT8gcshsPHBhdN6FwKu1XbDUDxmpyfzx5D689IshNElPYcyTU7n62S/ZULgr6NJERCQg8XoH6if33apKVwNPm1kqsAS4iEjoe97MxgDLgTMPvEqpzw5p35TXrh7K/RO+5t6PFvHpovX8zyl9+NEhubqDtYhIgonLMGRms/n+U+oLgKnAX9x9Y1XrRm/QWNkxwR/UWoHSIKQmJ3HNcd0Z1e8gfvfiLH713EzenL2Gv53Wj5aN04IuT0RE6ki8HiZ7i8ihsvOjr9eAicAa4IngypKGqHvrxrxw+VHcdFJvPl64nhPu+Jg3Zq0OuiwREakjcTkyRORqsCHlpmeb2WfuPsTMfhJYVdJghZKMS4Z1YXjPlvzm+Zlc+cx03p7blj+fejDNMlODLk9ERGIoXkeGsszs8N0TZjYY2H2DAz1wSmKmW6vGvHjFUVx3Qg/enrOaE+7UFWciIg1dvIahS4BHzGypmS0FHgEuNbNM4JZgS5OGLjmUxFXHdufVK4fSIjOVMU9O5fpxM9laVBJ0aSIiEgNxeZjM3acA/cwsm8iNIbeUW/x8MFVJounTtgnjrxrK3R8s4r4Ji/l00Qb+ceYAhnTLCbo0ERGpRXE5MmRmrc3sUWCsu28xsz7Ry+JF6lRqchLX/bAnL/1iCI1SQ5z/yGT+8vo8dpXqGWciIg1FXIYhIleMvQO0jU4vpBoPahWJlUPaN+X1q4fx0yM78sinSxl972csWLMt6LJERKQWxGsYynH354EwgLuXAvqnuASqUWqIP4/uy+M/O4wNhbs45d5PeezTpYTD8fd8PxERqb54DUPbzawF0RsvmtkRRG66KBK4Eb1a8fa1RzOsWw5/fn0eFz7+Beu2FgVdloiI7Kd4DUO/JvI8sa5m9hnwFJHHbIjEhZysNB65MI+//KgvU5Zt4od3fsLbc9YEXZaIiOyHuAxD7j4dOAY4Cvg5cLC7zwq2KpHvMjN+ckRHXr96GLnNGnH5f6bxu3Gz2L5Lt8ISEalP4urSejM7vYpFPcwMd3+pTgsSqYZurbJ46Yoh3Pn+Qu7/+Gv+u3Qjd559CAM7NAu6NBERqYZ4Gxk6JfoaAzzKt88mewTQYzgkbqUmJ3H9yF6MvfQISsucMx6YxN0fLKJMJ1eLiMS9uApD7n6Ru19E5MTpPu7+Y3f/MXBwwKWJVMvhXVrw5jXDOKlfG25/byHnPDSJFZt2BF2WiIjsRVyFoXI6uXv5x4avBXoEVYxITWQ3SuGucw7hjrMHMH/1NkbdNZFXZ6wMuiwREalCvIahCWb2jpn9zMwuBN4APgq6KJHqMjNOG9iOt64ZRvfWWVwzdga/em4G2/R8MxGRuBOXYcjdrwIeAAYAhwAPubsurZd6p33zDJ7/+ZFce1x3Xp2xklF3T2TaN5uCLktERMqJt6vJzN0dwN1fBl7eWxuR+iA5lMS1x/VgWPccrhk7gzMfmMTVx3anf0jdWEQkHsTbyNBHZna1mXUoP9PMUs3sWDN7ErgwoNpEDsihHZvz1jXD+NEhudz1wSJu+aJIJ1eLiMSBeAtDI4k8g+xZM1tlZvPMbCmwCDgXuMPdnwiyQJED0Tg9hdvPPoS7zjmElYVhTrxrIi9/mR90WSIiCS2uDpO5exFwH3CfmaUAOcBOd98SaGEitWz0IbkUr1rA88vT+dVzM5mwYD1/Ht2X7EYpQZcmIpJw4m1kaA93L3H31QpC0lC1zEji2UuP4DfH9+D1WasZdddEpizTydUiInUtbsOQSCJIDiVx9Q+6M+7yIwklGWc/OIl/vruAkrJw0KWJiCSMBheGzCxkZl+a2evR6eZm9p6ZLYq+64FREncGdmjGm9cM47SB7bjnw8Wc+cAkvtm4PeiyREQSQlyGITP7e3XmVeEaYH656RuAD9y9O/BBdFok7mSlJfPPswZwz7kDWbK+kFF3TWTctHx0JwkRkdiKyzAEHF/JvBP3tZKZtQNOIvJg191GA09GPz8J/OhAixOJpVMGtOWta4/m4NxsrnthJlc9+yUFO3TnahGRWImrMGRmV5jZbKCXmc0q91oKzKrGJu4ErgfKn3DRevdzzqLvrWq7bpHaltu0Ec9eegS//WFP3pmzhhPv+oT/LtkYdFkiIg2SxdMQvJkNAVYCtwK/K7dom7vv9TIbMzsZGOXuvzCz4cB17n6ymW1x96bl2m1290rPGzKzy4DLAFq3bn3o2LFjASgsLCQrK2u/v5dIZarbr5YUlPHgzF2s2+Gc1CWFH3VLITnJ6qBCqY/0eyWx0BD61YgRI6a5e15ly+ItDE1z90PNbLq7D6rhurcAFwClQDrQBHgJOAwY7u6rzawNMMHde+5re3l5eT516lQAJkyYwPDhw2v2ZUT2oSb9avuuUv782jyem7qCAe2yufOcgXTOyYxtgVIv6fdKYqEh9Ktoxqg0DMXVYTKgxMweB3LN7O6Kr72t6O43uns7d+8EnAN86O4/Acbz7SM8LgRejeUXEImFzLRk/n5Gf+4/fxDLNu7gpLsn8vyUFTq5WkSkFsTVHaiBk4HjgGOBabW0zVuB581sDLAcOLOWtitS507s14ZDOjTl18/N5PoXZ/HRgnXccno/mmakBl2aiEi9FVdhyN03AGPNbL67zzyA7UwAJkQ/bwR+UCsFisSBNtmN+M8lh/PwxCX8890FfHnnFm4/ewBHdc0JujQRkXoprsKQmV3v7rcBl5jZ98b/3f2XAZQlEndCScblx3RlSNccrhn7Jec/MpmfH92VXx/fg9TkeDv6LSIS3+IqDPHtzRKnBlqFSD3Rr102r/9yKP/7+nwe+PhrPl28nrvOGUjXlvX7qg8RkboUV2HI3V+Lvj+5r7YiEpGRmswtp/djeM+W3PDiLEbdNZHf/rAnFw3pTEiX4IuI7FNchaHdzKwHcB3QiXI1uvuxQdUkEu9+ePBBDGzflN+/PJu/vDGft+es4bYz+tNFo0QiInsVl2EIeAF4gMhjNcoCrkWk3mjVJJ2Hf5rHy1+u5E/j53KiRolERPYpXsNQqbvfH3QRIvWRmXH6oHYM7ZbznVGif5w5QDdqFBGpRFxddmJmzc2sOfCamf3CzNrsnhedLyLVtHuU6PazBrBw7TZG3vkJj0xcQllYN2oUESkv3kaGpgEO7B7P/225ZQ50qfOKROqx3aNEQ7rl8PuXvh0l+vsZ/XXFmYhIVFyNDLl7Z3fvEn2v+FIQEtlPrZuk88iF344SnXjnRO7+YBHFpeGgSxMRCVxchaHdzOxMM2sc/XyTmb1kZgODrkukPts9SvT+b47hhINbc/t7Cxl190SmLNsUdGkiIoGKyzAE/NHdt5nZUOCHwJNEri4TkQPUqnE69543iMd/dhg7i8s484FJ/P7l2RTsLAm6NBGRQMRrGNp9Of1JwP3u/iqgJ1GK1KIRvVrx7q+O5pKhnRn7xXKOu/1j3pi1GnedYC0iiSVew9BKM3sQOAt408zSiN9aReqtzLRkbjq5D69eOZTWTdK48pnpjHlyKis27Qi6NBGROhOvAeMs4B1gpLtvAZrz3SvLRKQW9WuXzSu/GMJNJ/Vm0tcbOe72j7njvYUUleiepyLS8MVlGHL3He7+krsvik6vdvd3g65LpCFLDiVxybAufHjdMRzfpzV3fbCI427/mHfnrtGhMxFp0OIyDIlIcNpkN+Le8wbxzKWH0yglxGX/nsbPHp/C0g3bgy5NRCQmFIZEpFJHdc3hzWuG8ceT+zD9m8388I5PuO3tr9i+qzTo0kREalXchiEz62hmx0U/N9p93yERqTspoSTGDO3MB9cdwykD2nLfhK8Z8X8TeG7Kcj3WQ0QajLgMQ2Z2KTAOeDA6qx3wSmAFiSS4Vo3T+edZA3jxiqNo16wRv3txNifdPZFPFq4PujQRkQMWl2EIuBIYAmwFiJ5I3SrQikSEQzs248UrjuJf5w1iR3EZP33sC3762BcsWLMt6NJERPZbvIahXe5evHvCzJKJPKhVRAJmZpzUvw3v/fpobjqpNzNXbOHEuz7hhhdnsaagKOjyRERqLF7D0Mdm9nugkZkdD7wAvBZwTSJSTlpyiEuGdeHj3w7noiGdeXF6Psf84yP+8vo8NhbuCro8EZFqi9cwdAOwHpgN/Bx4E7gp0IpEpFJNM1L548l9+PA3wzm5f1se+2wpR9/2Ef98d4GedyYi9UJchiF3D7v7w+5+JnAZMNl11zeRuNa+eQb/PGsA7/7qaIb3bMU9Hy5m2N8/5F8fLdbl+CIS1+IyDJnZBDNrYmbNgRnA42Z2ezXWa29mH5nZfDOba2bXROc3N7P3zGxR9L1ZjL+CSMLq1qox/zp/EK9fPZS8Ts35xzsLOOYfH/HAx19TqFAkInEoLsMQkO3uW4HTgcfd/VDguGqsVwr8xt17A0cAV5pZHyKH3T5w9+7AB9FpEYmhvrnZPPazw3jxiqPo3aYJt771FUNu/ZA73lvIlh3F+96AiEgdidcwlGxmbYg8sPX16q4UfYbZ9OjnbcB8IBcYDTwZbfYk8KNarVZEqnRox2b8e8zhvHLlEA7r1Jy7PljEkFs/5JY357Num64+E5HgJQddQBX+TOSp9Z+6+xQz6wIsqskGzKwTMBCYDLR299UQCUxmpnsWidSxQ9o35ZEL8/hqzVb+9dHXPDxxCU98voyzD2vPmKGd6dgiM+gSRSRBWUM8L9nMsoCPgb+6+0tmtsXdm5Zbvtndv3fekJldRuSEbVq3bn3o2LFjASgsLCQrK6tOapfEkej9as32MG8sKeHzVaWEHQa1DnFCxxR6NEvCzIIur95K9H4lsdEQ+tWIESOmuXteZcviMgyZWTowBjgYSN89390vrsa6KUQOrb3j7rdH5y0AhkdHhdoAE9y95962k5eX51OnTgVgwoQJDB8+fD+/jUjl1K8i1m4t4qlJy3h68nK27Cihf7tsxgztzKh+bUgJxeuR/PilfiWx0BD6lZlVGYbi9Zfm38BBwA+JjPC0A/Z5v3+L/HPyUWD+7iAUNR64MPr5QuDVWq1WRPZb6ybp/PaHvZh0ww/4y4/6UlhUyjVjZ3D0bR9x34TFuoGjiMRcvIahbu7+R2C7uz8JnAT0q8Z6Q4ALgGPNbEb0NQq4FTjezBYBx0enRSSONEoN8ZMjOvL+r4/hsZ/l0Tknk9veXsCRt3zINWO/5Iulm4jHkWwRqf/i9QTq3bet3WJmfYE1QKd9reTunwJVnWzwg9opTURiKSnJOLZXa47t1ZrF67bxn/8u58Xp+bw6YxU9Wmdx/uEdOW1QLk3SU4IuVUQaiHgdGXooemPEPxI5xDUPuC3YkkSkrnVr1Zg/nXowX/z+OG77cX8apYS4efxcDv/rB/z2hZlMXrJRo0UicsDicmTI3R+JfvwY6BJkLSISvEapIc46rD1nHdae2fkFPD35G16buYoXpuXToXkGpw/K5ceD2tG+eUbQpYpIPRSXYcjM0oAfEzk0tqdGd/9zUDWJSHzo1y6bW9v1539O6cM7c9cwblo+d32wiDvfX8ThnZtzxqHtOLFfG7LS4vLnTUTiULz+WrwKFADTAF1KIiLfk5GazGkD23HawHas3LKTl6fnM25aPr8dN4ubXpnDiJ6tOHlAG47t1YqM1Hj9qROReBCvvxDt3H1k0EWISP2Q27QRVx3bnStHdGP68s28NnM1b8xezdtz19AoJcQPerfi5P5tGd6zJekpoaDLFZE4E69h6HMz6+fus4MuRETqDzPj0I7NObRjc/54ch++WLqJ12et4q05a3h91mqy0pI5rncrju9zEMf0bKlDaSICxFkYMrPZgBOp6yIzW0LkMJkB7u79g6xPROqPUJJxZNcWHNm1Bf/v1IOZtGQjr89czbvz1vDKjFWkhpI4smsLjuvTmuN7t+ag7PR9b1REGqS4CkPAyUEXICINT3IoiWHdWzKse0v+WtaX6cu38N68Nbw3by1/fGUOf3xlDv3bZXNc79Yc06Ml/XKzSUrS89FEEkW8haG1wOVAN2A28Ki7lwZbkog0JMmhJAZ3bs7gzs35/ajefL2+kHfnreX9eWu54/2F3P7eQpplpDC0e0uO7p7D0T1a0rqJRo1EGrJ4C0NPErn79ETgRKAPcE2gFYlIg2VmdGvVmG6tGvOL4d3YWLiLTxdv4OOF65m4aAOvzVwFQM/WjTm6Rw7Durfk0I7NyNS5RiINSrz9H93H3fsBmNmjwBcB1yMiCaRFVhqjD8ll9CG5uDtfrdnGJwvX88mi9Tz5+Tc8PHEpyUlGv3bZHN65BUd0aU5ep+Y6EVuknou3/4N3P5MMdy+NPIReRKTumRm92zShd5sm/PyYruwoLmXqss1MXrqR/y7ZxKOfLuGBj78mlGT0bduEw7u04LBOzRnUoSktstKCLl9EaiDewtAAM9sa/WxAo+j07qvJmgRXmogksozUZI7u0ZKje7QEYEdxKdO/2cLkpRuZvGQTT3y2jIc+WQJAxxYZDGzflEEdmzGwfTN6tWlMSiheHwUpInEVhtxdd0MTkXohIzWZod1zGNo9B4CikjJmryxg+jeb+XL5Fj7/eiOvzIicc5SekkT/3KYc0qEpfXOz6du2CZ1aZOqKNZE4EVdhSESkvkpPCXFYp+Yc1qk5AO7OqoKiPeFo+vLNPPHZMorLwgBkpobo07YJB7fNjgSk3CZ0a5lFskaQROqcwpCISAyYGblNG5HbtBGnDGgLQElZmEVrC5mzqoC5KwuYu2orz09dwROfLwMgLTmJHq0b0711Fj1bN6bHQY3p2boxbbLT0TmUIrGjMCQiUkdSQkn0aduEPm2bQF57AMrCztIN25m7qoA5Kwv4as02Plu8gZemr9yzXuO05EhAOqgx3VtFwlLnnEzaZjfSoTaRWqAwJCISoFCS0a1VFt1aZTH6kNw98wt2lLBw3TYWrNnGwrWR19tz1vDsjhV72qQlJ9GpRSadczLplJNJl5xMtmwuo2/hLlpkpmo0SaSaFIZEROJQdkbKd85Bgsh5SBsKi/l6fSFLN2xn6YbtLFm/ncXrC/ngq7WUlDkAf5v8Po3Tk+nUIpN2zRrRvnlG5L1Z5L1dswwapep6FZHdFIZEROoJM6Nl4zRaNk7jiC4tvrOstCzMqi1FvPLh5zRu25Ul67ezfNMOFq7dxodfrWNXafg77XOyUsltlkH7aDhqk53OQdnpHNQknTbZ6bTISiOkQ3CSIBSGREQagORQEh1aZNC/ZTLDh3T+zrJw2NmwfRcrNu0kf/MO8jd/+z5nZQHvzF2zZ1Rpt1CS0bpxGq2zI+GodZNIUDooO51WjdNp2TiVnKw0shul6HCc1HsKQyIiDVxSktGqcSTEHNqx2feWh8POxu3FrN1axOqCItZsLWJNwU7WFOxizdadLFizjY8XrGd7cdn31k0JGS0y08hpnBp5z4p8bpkV/Rydbp6RSnZGCmnJOjwn8UdhSEQkwSUlfXv4rW9udpXtthWVsKagiPXbdrG+cBcbCovZULiLDdt2Rd4Li1m4dhsbCnd9b6Rpt4zUEM0yUslulEKzzBSaZqTStFEKzTJSaZoRmW4WfW+akUJ2oxQapycrRElMKQyJiEi1NE5PoXF6Ct1bN95rO3dn685SNmzfHZSK2bSjmIIdxWzeUcLmHcUURN9XF2xly44StuwoJlx5fgIgNTmJJunJNE5PISstmcbpu18pe96blJu3u01mWjIZqSEyUiPvaclJOqwn35MwYcjMRgJ3ASHgEXe/NeCSREQaJDMjOyOF7IwUurbMqtY64bCzbVcpW6KBacuOYrbsKGFrUQnbikr3vEdekc8bN+zY83nbrtJq7SfJIDM1mUapITLTkmmUEiIzLUSj1GQyU0OR+anfDVCNUkOkp0SCVHpKiPSUJNKSv/tefnlqKEn3f6pnEiIMmVkI+BdwPJAPTDGz8e4+L9jKREQEIofqshtFDot1bLHv9hWFw05h8bdhqTAanHYUl7G9uJQdu0rZUVLGjl1l7CguY0dx6XfeC3aWsHrLzu/Mq3gFXk2kJieRnpxEWjQ8pSeHSCv3nhJKIjWUREpy9D1kkXl7pqOvZCM1On/PvJCR9p3pJFKTjdRQiJRkIzkpieQkI5QU2WYoySLTIdszPzkpSVcLlpMQYQgYDCx29yUAZjYWGA0oDImINABJSUaT9BSapKcAjWplm6VlYXaWRMLTrpIwu0rLKCoJU1QamS4qiQSmopKyb+dF2+wqrbBO+bYlYbYVlVJcGqakLExJme/5XFz27byyvR03rAVmfC8cfTttJIe+DVVFO3eSPXsioWjQiiy3PdNJZoSSIlchRj5H3quaH4pu1wxC5ea3yErlp0d2iun3rkyihKFcYEW56Xzg8IBqERGReiA5lETjUBKN01MC2X9Z2L8NSKXfhqZvA1PktSu6rKRcoCoLO6Vh//a9LPyd6dIypyz83XmR9zClZRXWDYdZs3YnzRqnUxKdLi1zdpWEKQ2XURoOUxaOjM6VuRMOO2Hf/TnyPXbPL/PIdiNt+M58d+iSk6kwFEOVjQV+L3Kb2WXAZQCtW7dmwoQJABQWFu75LFJb1K8kFtSvEldy9LXPcTGjxn/9C7NLycraXoM1jMr/9FbN3XE8kP6bKGEoH2hfbrodsKpiI3d/CHgIIC8vz4cPHw7AhAkT2P1ZpLaoX0ksqF9JLDT0fpUUdAF1ZArQ3cw6m1kqcA4wPuCaREREJA4kxMiQu5ea2VXAO0QurX/M3ecGXJaIiIjEgYQIQwDu/ibwZtB1iIiISHxJlMNkIiIiIpUy99jex6C+MrP1wDfRyRxgQ4Dl7I9soKCe7edAtlXTdavbfl/tDmS5+lXd7Ks+9qt9tVG/io997e+21K/qTvnv1NHdW1bayt312scLmBp0DftR80P1bT8Hsq2arlvd9vtqdyDL1a/qZl/1sV/tq436VXzsa3+3pX5Vd6/q/rfTYbKG67V6uJ8D2VZN161u+321O9Dl9U1dfp/a2ld97Ff7aqN+FR/72t9tqV/VnWp9Jx0mqwYzm+rueUHXIQ2L+pXEgvqVxEJD71caGaqeh4IuQBok9SuJBfUriYUG3a80MiQiIiIJTSNDIiIiktAUhkRERCShKQyJiIhIQlMYOkBm1sXMHjWzcUHXIvWbmWWa2ZNm9rCZnR90PdIw6DdKYsHMfhT9rXrVzE4Iup4DldBhyMweM7N1ZjanwvyRZrbAzBab2Q1724a7L3H3MbGtVOqrGvax04Fx7n4pcGqdFyv1Rk36lX6jpLpq2K9eif5W/Qw4O4Bya1VChyHgCWBk+RlmFgL+BZwI9AHONbM+ZtbPzF6v8GpV9yVLPfME1exjQDtgRbRZWR3WKPXPE1S/X4lU1xPUvF/dFF1eryXMU+sr4+6fmFmnCrMHA4vdfQmAmY0FRrv7LcDJdVyi1HM16WNAPpFANAP9Q0X2oob9al4dlyf1VE36lZnNB24F3nL36XVbae3TD+735fLtv84h8gcqt6rGZtbCzB4ABprZjbEuThqEqvrYS8CPzex+GuZt8SW2Ku1X+o2SA1TV79XVwHHAGWZ2eRCF1aaEHhmqglUyr8o7U7r7RqDedwSpU5X2MXffDlxU18VIg1FVv9JvlByIqvrV3cDddV1MrGhk6PvygfblptsBqwKqRRom9TGJBfUriYWE6FcKQ983BehuZp3NLBU4BxgfcE3SsKiPSSyoX0ksJES/SugwZGbPApOAnmaWb2Zj3L0UuAp4B5gPPO/uc4OsU+ov9TGJBfUriYVE7ld6UKuIiIgktIQeGRIRERFRGBIREZGEpjAkIiIiCU1hSERERBKawpCIiIgkNIUhERERSWgKQyJSq8zsNDNzM+sVw30UHuD6w8xsrpnNMLNGtVWXiNRPCkMiUtvOBT4lcqfawFlExd+684H/c/dD3H1nubahuq1OROKBwpCI1BozywKGAGMoF4bMbLiZTTCzcWb2lZk9bWYWXTYqOu9TM7vbzF6Pzv+TmV1XbhtzzKxTxf2Z2QdmNt3MZpvZ6Oj8TmY238zuA6ZT7tlKZnYJcBbwP9E6hpvZR2b2DDDbzEJm9g8zm2Jms8zs59H1zMzuNbN5ZvaGmb1pZmdEly0zs5zo5zwzmxD9nGlmj0W39WW5+n5mZi+Z2dtmtsjMbitX38jo95kZ/W5J0TYto8uTzGzx7v2JyIHTU+tFpDb9CHjb3Rea2SYzG+Tu06PLBgIHE3nI42fAEDObCjwIHO3uS6OPA6iJIuA0d98aDQf/NbPdz03qCVzk7r8ov4K7P2JmQ4HX3X2cmQ0HBgN9ozVcBhS4+2FmlgZ8ZmbvRuvvCfQDWgPzgMf2Ud8fgA/d/WIzawp8YWbvR5cdEt3mLmCBmd0T/T4Pl/vv0dzdw2b2HyKjWXcCxwEz3X1DDf9biUgVNDIkIrXpXGBs9PPY6PRuX7h7vruHgRlAJ6AXsMTdl0bb1DQMGfA3M5sFvA/kEgkqAN+4+3+ruZ0vytVwAvBTM5sBTAZaAN2Bo4Fn3b3M3VcBH1ZjuycAN0S3NQFIBzpEl33g7gXuXkQkWHUEjgA+2V2Lu2+Ktn0M+Gn088XA49X8XiJSDRoZEpFaYWYtgGOBvmbmQAhwM7s+2mRXueZlRH5/bC+bLOW7/2BLr6TN+UBL4FB3LzGzZeXaba9B+eXbGnC1u79TvoGZjQKqephj+VrL12nAj919QYVtHU7V/z2+tw93X2Fma83sWOBwIt9bRGqJRoZEpLacATzl7h3dvZO7tweWAkP3ss5XQJdy5wKdXW7ZMmAQgJkNAjpXsn42sC4ahEYQGV05UO8AV5hZSnTfPcwsE/gEOCd6TlEbYESFWg+Nfv5xhW1dXe78qIH72Pck4Bgz6xxt37zcskeA/xB5anjZfn0zEamUwpCI1JZzgZcrzHsROK+qFaJXcv0CeNvMPgXWAgXl1m0ePcR0BbCwkk08DeRFzz06n0i4OlCPEDlsNd3M5hA5pymZyHdbBMwG7gc+LrfO/wPuMrOJREZ5dvtfIAWYFd3W/+5tx+6+HrgMeMnMZgLPlVs8HshCh8hEap25VzXqKyISe2aW5e6F0dGTfwGL3P2OoOvaFzN7guhJ2HW0vzzgDncfVhf7E0kkGhkSkaBdGh39mUvksNeDwZYTf8zsBiIjZTcGXYtIQ6SRIREREUloGhkSERGRhKYwJCIiIglNYUhEREQSmsKQiIiIJDSFIREREUloCkMiIiKS0P4/UsD9dlQVZKwAAAAASUVORK5CYII=\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1617,14 +1719,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1720,14 +1820,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAf0AAAGdCAYAAAAYOKrSAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAABU00lEQVR4nO3deVxU97038M8MzAzrjMCwDIKAyqKisomAWzDI0pil9z5Rk5Qm96Y26W2e1FifJDZNomYxydOmSZuY1lxzvVmexN4amyZRFBdcIi4g4I4rgiyyz7DDzJznD69zMwERdGbOLJ/363VeLWd+c873nIx8+J35/c6RCIIggIiIiJyeVOwCiIiIyDYY+kRERC6CoU9EROQiGPpEREQugqFPRETkIhj6RERELoKhT0RE5CIY+kRERC7CXewCxGA0GlFXVwdfX19IJBKxyyEiIrptgiCgo6MDoaGhkEqH78u7ZOjX1dUhPDxc7DKIiIgspqamBmFhYcO2ccnQ9/X1BXD9BCmVSpGrISJrKywsxIIFC8Qug8gqdDodwsPDTdk2HJcM/RuX9JVKJUOfyAV4e3vz3zo5vZF8Xc2BfERERC6CoU9EROQiGPpEREQugqFPRETkIhj6RERELoKhT0RE5CIY+kRERC6CoU9EROQiGPpEREQuwqqhv2/fPtx7770IDQ2FRCLB3//+91u+Z+/evUhOToaHhwfGjx+PP//5z4PabN68GZMnT4ZCocDkyZOxZcsWK1RPRETkXKwa+l1dXZg+fTree++9EbW/fPkyfvSjH2HOnDkoKyvDb37zGzz99NPYvHmzqU1xcTEWL16M/Px8VFRUID8/H4sWLcLhw4etdRhEREROQSIIgmCTHUkk2LJlCx544IGbtnnuuefwj3/8A2fOnDGte/LJJ1FRUYHi4mIAwOLFi6HT6bBt2zZTm9zcXPj5+eHzzz8fUS06nQ4qlQparZb34yZyAQUFBcjNzRW7DCKrGE2m2dV3+sXFxcjOzjZbl5OTg5KSEgwMDAzb5uDBgzfdbl9fH3Q6ndlCRETkauzqKXsNDQ0IDg42WxccHAy9Xo/m5mZoNJqbtmloaLjpdteuXYvVq1dbpWYisi5BENDX14fOzk50dXWhp6cHAwMDGBgYgF6vN/3/gYEBGAyGIbfR1NSEgoICs3XffyKZRCKBQqGAh4eH2eLp6Wn6/zKZbERPMSOyZ3YV+sDgRwPe+Pbhh/9Af9hmuH+MK1euxPLly00/33j2MBGJT6/XQ6vVmkL9xv/29fWZ2nh4eMDHxwfe3t7w9PSEr68vZDIZ3N3dIZPJTIubm9uQ+7jV5X2j0Yi+vj709vaalu7ubrS0tJh+HhgYMP0+ksvlUCqVUKlUUKlUUCqV8PDwsOyJIbICuwr9kJCQQT32xsZGuLu7IyAgYNg2P+z9f59CoYBCobB8wUQ0YoIgoKOjA62traZlYGAAbm5uGDNmDHx8fODj44Pg4GD4+PhALpfbrGctlUrh6ekJT0/PEbXv7++HVquFVqvF1atXodVqTX+kyOVy0x8DarUaKpWKVwjIbthV6Kenp+Prr782W7djxw6kpKRAJpOZ2hQWFuKZZ54xa5ORkWHTWono5gwGA5qamtDc3IzW1lZ0dXVBIpHA19cX/v7+GDt2LOLj4yGXy8Uu9bbI5XIEBgYiMDBw0Gt9fX3QarVob2/H6dOnodVqIZFI4O/vj6CgIAQGBsLb21uEqomsHPqdnZ24cOGC6efLly+jvLwc/v7+GDduHFauXIna2lp8/PHHAK6P1H/vvfewfPlyLF26FMXFxdiwYYPZqPxf/epXmDt3Lt58803cf//9+Oqrr7Bz504cOHDAmodCRMPQ6/W4du0a6uvr0dzcDACmgIuKioKXl5fL9HYVCgWCgoIQFBSEmJgYANe/PmhtbUVTUxNKS0vR1dUFd3d3qNVqBAYGIigoyGH/ACLHYtUpe0VFRcjMzBy0/tFHH8XGjRvx2GOPoaqqCkVFRabX9u7di2eeeQanTp1CaGgonnvuOTz55JNm7//b3/6G3/72t7h06RImTJiA1157Df/0T/804ro4ZY/ozvT396OhoQH19fVobW2Fm5sbgoODodFooFarIZXa1cQgu5yyNzAwgJaWFjQ2NuLatWswGAwIDg5GWFgY1Gq1y/yRRHduNJlms3n69oShTzQ6giCgtbUVVVVVaGxshEwmQ0hICEJCQuDv7293If9D9hj6P2QwGHDt2jVcvXoVLS0tUCgUCAsLQ1hYGLy8vMQuj+zYaDLNrr7TJyL7YTQa0dDQgKqqKrS1tcHf3x+RkZFITEy0+5B3RG5ubggNDUVoaCgAoKenB1evXsWRI0fQ3d1t+lo0JCSE559uG0OfiEz0ej2uXr2Kqqoq9PT0IDg4GHFxcfDz8+PlZhvz9PREdHQ0oqOjTVdarly5grKyMvj5+WHixIkIDAzkfxcaFYY+kYszGAyorq7GxYsXYTAYEBYWhpSUFPj4+IhdGv03iUSCgIAA09Tl1tZWXLhwAUePHkVgYCAmTJgAf39//gFAt8TQJ3JRra2tOHv2LNrb2zFu3DjMnj2bN5hxEP7+/khNTYUgCGhqakJlZSXa2tqg0WgwYcIEqFQqsUskO8XQJ3IhfX19uHjxIqqqqjBmzBjExMRArVaLXRbdJolEYpoeeGMMxvHjx9HZ2Ynx48djwoQJcHfnr3n6H/w0EDk5QRBQW1uLc+fOQa/XY+LEicjJybnpLWvJMUmlUtNAQL1ej4sXL2LHjh0ICAjApEmTOFOJADD0iZxWf38/zp49i5qaGoSGhiI1NZXf07sId3d3xMbGIiYmBo2NjSgtLYVer0dcXBzCwsL43b8LY+gTOZnu7m6cPHkSLS0tiI2NRV5eHqd4uSiJRILg4GAEBwejp6cHZ8+exfHjxxEeHo7Y2Fg+k8QFMfSJnERbWxuOHz+O/v5+xMfHY8aMGezRkYmnpycSExMxffp0XLlyBUVFRfD29kZCQgKvALkQhj6RAxMEAfX19Th16hQUCgWmTZsGPz8/scsiOyaVShEVFYWoqCg0Nzfj0KFDUCgUSEhIgK+vr9jlkZUx9IkckCAIqKqqwpkzZ6BWqzFr1izeqpVGTa1WIysrC83NzThy5AhkMhkSEhI46M+JMfSJHExdXR3Ky8sRGhqKBQsWmB47TXS71Go17r77brS0tODo0aNwd3dHYmIiw98JMfSJHERzczOOHTsGpVKJ+fPn80Y6ZHEBAQG4++670draipKSEkilUiQmJvJmP06EoU9k53Q6HUpLS+Hm5oaMjAwOuiKr8/f3x/z589HW1obS0lLI5XKkpKTwD00nwNAnslM9PT04duwYenp6kJyczAF6ZHN+fn6YP38+GhoasHv3bowbNw6TJ0/mFFAHxtAnsjN6vR4VFRVoampCYmIigoODxS6JXFxISAjy8vJw/vx5bN26FQkJCQgLCxO7LLoNDH0iO1JdXY3jx48jPj4eSUlJnGdPdkMikSAmJgaRkZEoLy/HmTNnkJqayu/7HQxDn8gOdHV14fDhw/D29kZOTg5H5JPdksvlSE1NhU6nw5EjR+Dj44OkpCTI5XKxS6MRYOgTichoNOL06dOoqanBzJkz4e/vL3ZJRCOiVCqRlZWF2tpaFBYWIiYmBhMnTuTVKTvH0RhEImlubkZBQQHc3NyQm5vLwCeHNHbsWOTl5aGjowO7du1Cd3e32CXRMNjTJ7Kx/v5+lJSUoL+/H5mZmfD09BS7JKI7IpVKkZSUhNbWVuzZs4e9fjvGnj6RDV29ehU7duxAREQE7rrrLgY+ORV/f3/k5eWhs7MTO3fuRFdXl9gl0Q+wp09kAwaDAUeOHIHBYEBubi7c3flPj5zTjbv4tba2Yu/evZg4cSKio6PZ67cT7OkTWVlbWxsKCgqg0Wgwe/ZsBj65BH9/f+Tm5qKrq4u9fjvC3z5EViIIAk6fPo3a2lpkZmbyKXjkcn7Y64+Li8P48ePFLsulsadPZAU9PT3YuXMnjEYjFixYwMAnl3aj19/Y2IiDBw/CYDCIXZLLYugTWVh1dTV27dqFpKQkTJ06ld9lEuF6rz8tLQ0ajQbbt29HZ2en2CW5JF7eJ7IQo9GIw4cPw2g0crAe0U1ERUUhICAAe/fuxdSpUzFu3DixS3Ip7OkTWUBPTw927NiB4OBgzJo1i4FPNAylUomcnBxUV1fjyJEjMBqNYpfkMhj6RHeopaUFu3btwowZMzhIiWiE3N3dMXv2bPj5+WHHjh28k5+NsDtCdAcuXbqEc+fOISsrCx4eHmKXQ+RwoqOjoVarsXv3biQlJSE0NFTskpyaTXr669atQ1RUFDw8PJCcnIz9+/fftO1jjz0GiUQyaJkyZYqpzcaNG4ds09vba4vDIYIgCDh69CgaGhqQnZ3NwCe6A35+fsjJycGZM2dw7tw5sctxalYP/U2bNmHZsmV44YUXUFZWhjlz5iAvLw/V1dVDtn/33XdRX19vWmpqauDv748HH3zQrJ1SqTRrV19fz1+8ZBP9/f3YuXMnfH19kZGRAamU35IR3SmZTIb58+ejtbUVJSUlEARB7JKcktV/W7399tt4/PHH8bOf/QyTJk3CO++8g/DwcHzwwQdDtlepVAgJCTEtJSUlaGtrw7/8y7+YtZNIJGbtQkJCrH0oRNBqtdixYwemTZuGuLg4scshcioSiQRpaWnw9PTE3r17OZ/fCqwa+v39/SgtLUV2drbZ+uzsbBw8eHBE29iwYQOysrIQERFhtr6zsxMREREICwvDwoULUVZWdtNt9PX1QafTmS1Eo9XQ0IDvvvsOmZmZCA4OFrscIqc1ZcoUREVFobCwEH19fWKX41SsGvrNzc0wGAyDfkEGBwejoaHhlu+vr6/Htm3b8LOf/cxsfVxcHDZu3Ih//OMf+Pzzz+Hh4YFZs2bh/PnzQ25n7dq1UKlUpiU8PPz2D4pcUnV1NSoqKrBgwQJ4e3uLXQ6R04uIiEBKSgoKCwvZUbMgm3wZ+cM7kgmCMKK7lG3cuBFjxozBAw88YLY+LS0NP/nJTzB9+nTMmTMHf/3rXxETE4M//elPQ25n5cqV0Gq1pqWmpua2j4Vcz/nz53HhwgVkZWVBJpOJXQ6Ry1Cr1bjrrruwf/9+NDY2il2OU7DqlD21Wg03N7dBvfrGxsZbXh4VBAEfffQR8vPzIZfLh20rlUoxY8aMm/b0FQoFFArF6IonAnDy5Em0tbXhrrvu4oA9IhH4+PhgwYIF2LNnD2JjYxEZGSl2SQ7Nqr/F5HI5kpOTUVhYaLa+sLAQGRkZw7537969uHDhAh5//PFb7kcQBJSXl0Oj0dxRvUQ3CIKAkpISdHd3Y/bs2Qx8IhHJ5XIsWLAAly9fxoULF8Qux6FZ/eY8y5cvR35+PlJSUpCeno7169ejuroaTz75JIDrl95ra2vx8ccfm71vw4YNmDlzJuLj4wdtc/Xq1UhLS0N0dDR0Oh3++Mc/ory8HO+//761D4dcgCAIOHjwIHx9fTFt2jSxyyEiXL+iO2/ePOzbtw9GoxExMTFil+SQrN59Wbx4Md555x2sWbMGCQkJ2LdvH7Zu3WoajV9fXz9ozr5Wq8XmzZtv2stvb2/Hz3/+c0yaNAnZ2dmora3Fvn37kJqaau3DIQupr6+Hj48PlixZYrb+m2++gUwmwwsvvCBKXUajEUVFRVCr1Qx8IjsjlUoxd+5cNDQ0oLKyUuxyHJJEcME7IOh0OqhUKmi1WiiVSrHLcVlr1qzBqlWrcPToUSQnJ6OoqAh5eXlYunQp/vjHP9q8HoPBgN27dyM6OprfGzqZgoIC5Obmil0GWYggCDhw4AACAwN5vwyMLtP4RSWJZsWKFdBoNHjuuedw9OhR3HfffXjooYfw7rvvmto0NTXhnnvugbe3N2JiYgaND7EUo9HIgUJEDkIikWD27Nlobm7G6dOnxS7HoTD0STReXl549dVXsWvXLmRmZiIvLw8ffvih2XTOX/7ylwgJCUFTUxN+97vfYdGiRWhpabFoHUajEXv37sX48eP5bG8iByGRSDBr1iy0tbXh5MmTYpfjMBj6JKobg3EkEgk2btwINzc302udnZ34+9//jlWrVsHLywv33Xcfpk+fjq+++spi+79xmXDs2LF8LC6Rg5FIJMjIyIBOp8OJEyfELschMPRJNOXl5Vi4cCFmzZqFzs5OfPTRR2avnz9/Hj4+PmZ3UJw6dSpOnTo1uh2tWgW88sqg1YIgoObnP8ekTZs4EpjIQUkkEqSnp6Orq4s9/hFg6JMoKisrkZOTg/T0dOzZswf3338/Vq1aBa1Wa2rT2dk5aFCKUqlEZ2fn6Hbm5ga89NKg4K/9xS8w7t//HYF8WBORQ5NIJJg5cyZaWlpw6dIlscuxawx9srmqqipkZWUhNjYWmzdvhkwmwxtvvIG2tja8/vrrpnY+Pj6D7rmt0+ng4+Mzuh2++CKwZo1Z8Nf9278h7C9/ub7+xRfv+JiISFwSiQRz5szBhQsXUF9fL3Y5douhTzZVX1+PrKwsBAUF4ZtvvoGnpyeA6w9R+td//Ve8++67qKqqAgBER0ejs7MTV69eNb3/5MmTmDJlyuh3/L3gN8rlCP3gAwirVzPwiZyIVCpFZmYmysrK0NraKnY5donz9DlP3649+OCDUKlU+NOf/oRdu3YhPz8f58+fh1qtvq3tCXI5JAMD1/+Xj+x0GZyn71p6enqwa9cu3HXXXaO/MuiAOE+fnMa6detQV1eHgIAAPPPMM9i0adNtB37nc8/9T+D39w85uI+IHJ+npyfmzp2LoqIi9PGPezMMfbJrgYGB2Lp1K7q7u3H+/HlkZ2ff1nb6XnwRPm+9Bf3LL1/v4f/gO34ici5KpRIzZ87E7t27odfrxS7Hblj9gTtEYtOvWgXFq6+i94UX4LFq1fWVN77Lf+kl85+JyGkEBgZi6tSp2Lt3LzIzM/m0TDD0yckZjUZcuXgRQf/n/8D31VfNX7wR9AaD7QsjIpsICwtDd3c3jhw5grS0NLHLER1Dn5zakSNH4P/ii/C92c132MMncnoxMTE4dOgQLl68iAkTJohdjqh4rYOcVmVlJSQSCe+2R0RITU3F+fPnXX4qH0OfnFJdXR1qamqQmpoqdilEZAekUinmzZuHgwcPor+/X+xyRMPQJ6fT2dmJsrIyzJs3z+yJfUTk2jw9PTFjxgzs27cPLniLGgAMfXIyRqMR+/fvx+zZsyGTycQuh4jsTHBwMEJDQ1FWViZ2KaJg6JNTKSkpQXR0NFQqldilEJGdmjRpEjo7O1FTUyN2KTbH0CencfXqVfT29mLixIlil0JEdkwikSAjIwPHjx8f9FAvZ8fQJ6fQ3d2N8vJypKeni10KETkAd3d3zJ07F/v373epO/Yx9MnhCYKAAwcOICMjg9/jE9GI+fr6Ij4+HiUlJWKXYjMMfXJ4FRUVCAsLg7+/v9ilEJGDiYiIQH9/P+rr68UuxSYY+uTQrl27htbWVkyaNEnsUojIQaWlpaG0tNQl5u8z9Mlh9fX14ejRo5g1axbn4xPRbZPL5UhKSsKhQ4fELsXqGPrksA4dOoQZM2ZAoVCIXQoRObjQ0FDI5XJcuXJF7FKsiqFPDunq1auQyWQIDg4WuxQichIpKSk4ceIEenp6xC7Fahj65HAGBgZQXl6OGTNmiF0KETkRd3d3pKWl4bvvvnPa2/Qy9MnhlJSUYPr06ZyeR0QWp1ar4e/vj/Pnz4tdilUw9MmhNDY2oq+vD+Hh4WKXQkROKiEhARcuXEBXV5fYpVgcQ58chtFoxNGjR5GWliZ2KUTkxKRSKWbOnIkjR46IXYrFMfTJYZSXlyM2NhYeHh5il0JETi4gIAAKhQJ1dXVil2JRNgn9devWISoqCh4eHkhOTsb+/ftv2raoqAgSiWTQcvbsWbN2mzdvxuTJk6FQKDB58mRs2bLF2odBImpvb0dzczMmTJggdilE5CKSk5NRVlYGo9EodikWY/XQ37RpE5YtW4YXXngBZWVlmDNnDvLy8lBdXT3s+yorK1FfX29aoqOjTa8VFxdj8eLFyM/PR0VFBfLz87Fo0SIcPnzY2odDIhAEAcXFxcjIyOBNeIjIZhQKBaKjo3Hy5EmxS7EYiWDleQkzZ85EUlISPvjgA9O6SZMm4YEHHsDatWsHtS8qKkJmZiba2towZsyYIbe5ePFi6HQ6bNu2zbQuNzcXfn5++Pzzz29Zk06ng0qlglarhVKpHP1BkU2dO3cOvb29mDZtmtilkIMqKChAbm6u2GWQAxIEAQUFBZg3bx68vLzELmdIo8k0q/b0+/v7UVpaiuzsbLP12dnZOHjw4LDvTUxMhEajwd133409e/aYvVZcXDxomzk5OTfdZl9fH3Q6ndlCjkGv1+PcuXOYMmWK2KUQkQuSSCRITU11mkF9Vg395uZmGAyGQXdNCw4ORkNDw5Dv0Wg0WL9+PTZv3owvv/wSsbGxuPvuu7Fv3z5Tm4aGhlFtc+3atVCpVKaF070cx4kTJzBlyhS4ubmJXQoRuaiAgADIZDKneBKfuy128sPvYQVBuOl3s7GxsYiNjTX9nJ6ejpqaGvzud7/D3Llzb2ubK1euxPLly00/63Q6Br8D6O7uRkNDAxISEsQuhYhcXEpKCnbu3Im8vDxIpY478c2qlavVari5uQ3qgTc2No7qnulpaWlmd0cKCQkZ1TYVCgWUSqXZQvbv2LFjSE5O5uA9IhKdQqHAxIkTcerUKbFLuSNWDX25XI7k5GQUFhaarS8sLERGRsaIt1NWVgaNRmP6OT09fdA2d+zYMaptkn1ra2vDwMAAgoKCxC6FiAgAEBMTg+rqagwMDIhdym2z+uX95cuXIz8/HykpKUhPT8f69etRXV2NJ598EsD1S++1tbX4+OOPAQDvvPMOIiMjMWXKFPT39+PTTz/F5s2bsXnzZtM2f/WrX2Hu3Ll48803cf/99+Orr77Czp07ceDAAWsfDtlISUkJ77xHRHZFIpFgypQpOHnyJBITE8Uu57ZYPfQXL16MlpYWrFmzBvX19YiPj8fWrVsREREBAKivrzebs9/f348VK1agtrYWnp6emDJlCr799lv86Ec/MrXJyMjAF198gd/+9rd48cUXMWHCBGzatAkzZ8609uGQDdTW1kKpVMLX11fsUoiIzEREROD06dOmm8M5GqvP07dHnKdvv4xGI7Zt24asrCyH/AdF9onz9MmSrl69ioaGBqSkpIhdCgA7mqdPNFqXLl1CREQEA5+I7NbYsWPR1NSE3t5esUsZNYY+2Q1BEFBZWYm4uDixSyEiuimJRIKEhASUl5eLXcqoMfTJbly5cgVjx46Fu7tNbh9BRHTbNBoNtFoturu7xS5lVBj6ZBcEQTANjiEicgSJiYkoKysTu4xRYeiTXairq0NQUBDkcrnYpRARjUhQUBC6u7vR2dkpdikjxtAnu3DixAnEx8eLXQYR0agkJiaioqJC7DJGjKFPomtsbIRSqYSHh4fYpRARjYparUZHRwf6+vrELmVEGPokuuPHj2PatGlil0FEdFvi4uJQWVkpdhkjwtAnUbW2tkIul8PHx0fsUoiIbsu4ceNQXV0No9Eodim3xNAnUVVUVGD69Olil0FEdNukUikiIiJw5coVsUu5JYY+iaa7uxsGgwEqlUrsUoiI7khsbKxDXOJn6JNoePc9InIWcrkcSqUSTU1NYpcyLIY+iUIQBNTV1WHs2LFil0JEZBHx8fE4deqU2GUMi6FPorh69SpCQ0MhkUjELoWIyCKUSiX0er1d35qXoU+iqKysRGxsrNhlEBFZ1OTJk+26t8/QJ5vr6uqCRCKBl5eX2KUQEVmURqNBY2Oj3U7fY+iTzbGXT0TOSiKRIDQ0FHV1dWKXMiSGPtmU0WhEfX09B/ARkdOKjo7GhQsXxC5jSAx9sqna2lqMHTuWA/iIyGn5+Pigt7cXAwMDYpcyCEOfbKqyshIxMTFil0FEZFWRkZGoqqoSu4xBGPpkM319fRAEgQP4iMjpjR8/HpcvXxa7jEEY+mQzV65cQUREhNhlEBFZnVwuh7u7O7q6usQuxQxDn2yGoU9ErmTixIl2N6CPoU820d/fD0EQoFAoxC6FiMgmwsLCcPXqVbHLMMPQJ5uorq5mL5+IXIpUKoWfnx9aWlrELsWEoU82UVVVxdAnIpczYcIEuxrQx9AnqxsYGIDBYICHh4fYpRAR2VRgYKBdPW6XoU9WV11djXHjxoldBhGRzUmlUnh4eNjNk/cY+mR1VVVViIyMFLsMIiJRjBs3DtXV1WKXAYChT1am1+sxMDAAT09PsUshIhKFPY3iZ+iTVdXV1SEsLEzsMoiIRKNQKKDX62EwGMQuxTahv27dOkRFRcHDwwPJycnYv3//Tdt++eWXWLBgAQIDA6FUKpGeno7t27ebtdm4cSMkEsmgpbe319qHQqNUW1uL0NBQscsgIhJVSEgI6uvrxS7D+qG/adMmLFu2DC+88ALKysowZ84c5OXl3fT7jX379mHBggXYunUrSktLkZmZiXvvvRdlZWVm7ZRKJerr680Wjg63P+3t7fDz8xO7DCIiUdnL9/ru1t7B22+/jccffxw/+9nPAADvvPMOtm/fjg8++ABr164d1P6dd94x+/n111/HV199ha+//hqJiYmm9RKJBCEhIVatne5Mb28vFAoFH6NLRC7Pz88PbW1tYpdh3Z5+f38/SktLkZ2dbbY+OzsbBw8eHNE2jEYjOjo64O/vb7a+s7MTERERCAsLw8KFCwddCfi+vr4+6HQ6s4Wsr66ujpf2iYhwvaOqUqnQ3t4uah1WDf3m5mYYDAYEBwebrQ8ODkZDQ8OItvH73/8eXV1dWLRokWldXFwcNm7ciH/84x/4/PPP4eHhgVmzZuH8+fNDbmPt2rVQqVSmJTw8/PYPikaMoU9E9D/Cw8NFH8Vvk4F8P7y8KwjCiC75fv7551i1ahU2bdqEoKAg0/q0tDT85Cc/wfTp0zFnzhz89a9/RUxMDP70pz8NuZ2VK1dCq9Walpqamjs7IBoRnU4HpVIpdhlERHYhODgYjY2NotZg1e/01Wo13NzcBvXqGxsbB/X+f2jTpk14/PHH8V//9V/Iysoatq1UKsWMGTNu2tNXKBR8upuNdXZ2wtvbW+wyiIjshoeHB/r6+kStwao9fblcjuTkZBQWFpqtLywsREZGxk3f9/nnn+Oxxx7D//t//w/33HPPLfcjCALKy8uh0WjuuGayjLq6OowdO1bsMoiI7IqXl5eot+S1+uj95cuXIz8/HykpKUhPT8f69etRXV2NJ598EsD1S++1tbX4+OOPAVwP/J/+9Kd49913kZaWZrpK4OnpCZVKBQBYvXo10tLSEB0dDZ1Ohz/+8Y8oLy/H+++/b+3DoRGqq6tDamqq2GUQEdmV4OBgXLt2DVFRUaLs3+qhv3jxYrS0tGDNmjWor69HfHw8tm7danrMan19vdncxb/85S/Q6/X45S9/iV/+8pem9Y8++ig2btwI4Prc75///OdoaGiASqVCYmIi9u3bx5CxIz09PfDy8hK7DCIiuxIcHIzKykrRQl8iCIIgyp5FpNPpoFKpoNVqOdDMCnp7e3Hw4EHMnz9f7FKIAAAFBQXIzc0VuwwiCIKAgoIC5OXlWWybo8k03nufLK6lpQVqtVrsMoiI7I5EIoGbmxv0er0o+2fok8U1Nzcz9ImIbkKtVqO5uVmUfTP0yeJaWloQEBAgdhlERHZJzPn6DH2yuL6+Pt4XgYjoJgIDAxn65BwGBgYgk8nELoOIyG7J5XJ+p0/OoaWlZdDDkYiIyJxUKoXBYLD9fm2+R3JqHMRHRHRrSqVSlCe+MvTJojhdj4jo1vz8/NDW1mbz/TL0yaK6u7t5Jz4iolsYM2YM2tvbbb5fhj5ZzEgfmUxE5OrY0yeH19vbCw8PD7HLICKye3K5HP39/TbfL0OfLKajowO+vr5il0FE5BAkEgls/fgbhj5ZDEOfiGjkfHx80NHRYdN9MvTJYjo6OvjUQiKiERJjMB9Dnyymo6MDPj4+YpdBROQQVCqVzefqM/TJYrq6uuDt7S12GUREDsHLywvd3d023SdDnyyKU/aIiEaGoU8Oy9YjUImIHJ2Hhwd6e3ttuk+GPlkE78RHRDQ6YlwZZeiTRXR2dnK6HhGRnWPok0X09fVBoVCIXQYRkUORSCQwGo022x9DnyyCoU9ENHqenp42/V6foU8WwdAnIho9T09Pm47gZ+iTRfT390Mul4tdBhGRQ7H1tD2GPllEX18fQ5+IaJQY+nTb6uvr4ePjgyVLlpit/+abbyCTyfDCCy9Ybd/9/f28vE9ENEoymQx6vd5m+2PoOxGNRoNnn30Wf/3rX1FaWgoAKCoqwoMPPohf/OIXeO2116y2b/b0iYhGz93dnaFPt2/FihXQaDR47rnncPToUdx333146KGH8O6775rafPDBB0hKSoJMJsOqVasssl+j0Qg3NzeLbIuIyFW4ubnBYDDYbH8MfSfj5eWFV199Fbt27UJmZiby8vLw4Ycfmt35SaPRYPXq1XjggQfEK5SIiGwe+u422xPZTExMDIDrN33YuHHjoB74jbD/6quvbF0aERF9j5ubGy/v0+0rLy/HwoULMWvWLHR2duKjjz4SuyQiIroJp7y8v27dOkRFRcHDwwPJycnYv3//sO337t2L5ORkeHh4YPz48fjzn/88qM3mzZsxefJkKBQKTJ48GVu2bLFW+Q6jsrISOTk5SE9Px549e3D//fdj1apV0Gq1lt/ZqlXAK68M/dorr1x/nYiIhuXu7u5cob9p0yYsW7YML7zwAsrKyjBnzhzk5eWhurp6yPaXL1/Gj370I8yZMwdlZWX4zW9+g6effhqbN282tSkuLsbixYuRn5+PiooK5OfnY9GiRTh8+LC1D8duVVVVISsrC7Gxsdi8eTNkMhneeOMNtLW14fXXX7f8Dt3cgJdeGhz8r7xyfT0H9RER3ZKte/oQrCw1NVV48sknzdbFxcUJzz///JDtn332WSEuLs5s3RNPPCGkpaWZfl60aJGQm5tr1iYnJ0dYsmTJiGrSarUCAEGr1Y6ovb2rq6sTJkyYICQlJQ06pqVLlwoKhUK4fPnyoPc9/vjjwssvv3z7O16zRhAAQVizRti2bZvZz0T2ZNu2bWKXQDQkg8EgbN++/Y62MZpMs+pAvv7+fpSWluL55583W5+dnY2DBw8O+Z7i4mJkZ2ebrcvJycGGDRswMDAAmUyG4uJiPPPMM4PavPPOO0Nus6+vD319faafdTrdbRyN/dJoNLhw4cKQr61fvx7r1683W6fX66HX62EwGKDX69Hb2wuZTDb6KXcvvnj9f196Cdnu7oBeD6xZ8z/riYhoWFKp1KZP2bNq6Dc3N8NgMCA4ONhsfXBwMBoaGoZ8T0NDw5Dt9Xo9mpubodFobtrmZttcu3YtVq9ePWh9YWEhvL29R3NITuHTTz/FZ599Zvr5tddew/Lly7FgwYLRb2zGDGS7u0Oq18Po7o4dM2YABQUWrJbozjU1NaGAn0uyU9XV1Xf0+ezq6hpxW5tM2fv+HHEAEARh0Lpbtf/h+tFsc+XKlVi+fLnpZ51Oh/DwcCxYsABKpXJkB+FEcnNz8emnn1pmY6+8Avx34Ev1euQePcqePtmdgoIC5Obmil0G0ZDu9PM5mqvXVg19tVoNNze3QT3wxsbGQT31G0JCQoZs7+7ujoCAgGHb3GybCoWC94W3hhuD9taswY4ZM64H/ksvXX+NwU9EdEtGoxFSqe1mz1t1T3K5HMnJySgsLDRbX1hYiIyMjCHfk56ePqj9jh07kJKSAplMNmybm22TrOB7gW8K+BdfvP7zUKP6iYhoEIPBYNNbmFv98v7y5cuRn5+PlJQUpKenY/369aiursaTTz4J4Pql99raWnz88ccAgCeffBLvvfceli9fjqVLl6K4uBgbNmzA559/btrmr371K8ydOxdvvvkm7r//fnz11VfYuXMnDhw4YO3DoRsMhqEH7d342ZZTUIiIHJTThf7ixYvR0tKCNWvWoL6+HvHx8di6dSsiIiIAXH8c7Pfn7EdFRWHr1q145pln8P777yM0NBR//OMf8c///M+mNhkZGfjiiy/w29/+Fi+++CImTJiATZs2YebMmdY+HLphuJvv8NI+EdGI6PV6uLvb7o74EuHGKDkXotPpoFKpoNVqXXIgnzVwoBTZM34+yV5ptVqcPn0a6enpt72N0WQa771PREQkEltf3mfoExERiYShTw5JKpXa9v7RREROgKFPDkmhUKC/v1/sMoiIHIqtB/Ix9MkiFAqF2fMNiIjo1vr7+033oLEFhj5ZhFwuZ0+fiGiUuru74eXlZbP9MfTJItjTJyIavZ6eHoY+OR729ImIRq+7uxuenp422x9DnyyCPX0iotHr6emBh4eHzfbH0CeLUCgU6O3tFbsMIiKH4zRP2SPX4ePjg87OTrHLICKiYTD0ySK8vLzQ3d0tdhlERA7DaDRCIpHYdJ8MfbIIW39wiYgcXW9vr02/zwcY+mRhRqNR7BKIiByCrafrAQx9siBvb29e4iciGiFbT9cDGPpkQb6+vujo6BC7DCIih6DVaqFSqWy6T4Y+WQxDn4ho5Nrb2zFmzBib7pOhTxbD0CciGrnOzk74+PjYdJ8MfbIYpVLJ0CciGgVO2SOHxbvyERGNTF9fH+Ryuc33y9Ani5FIJBAEAYIgiF0KEZFda2trs/n3+QBDnyyM0/aIiG6tvb0dfn5+Nt8vQ58sSq1Wo7m5WewyiIjsmhgj9wGGPllYQEAAQ5+I6BbEmKMPMPTJwgICAtDa2ip2GUREdk0QBJs+UvcGhj5ZlLu7O/R6vdhlEBHZrf7+fshkMlH2zdAni+PUPSKim2tsbERgYKAo+2bok8Wp1Wq0tLSIXQYRkV26du0aQkJCRNk3Q58sjiP4iYhurrm5GQEBAaLsm6FPFhcQEMCePhHREG7cwMzNzU2U/TP0yeIUCgX6+vrELoOIyO6IdSe+G6wa+m1tbcjPz4dKpYJKpUJ+fj7a29tv2n5gYADPPfccpk6dCm9vb4SGhuKnP/0p6urqzNrdddddkEgkZsuSJUuseSg0Sl5eXujq6hK7DCIiuyLm9/mAlUP/4YcfRnl5OQoKClBQUIDy8nLk5+fftH13dzeOHTuGF198EceOHcOXX36Jc+fO4b777hvUdunSpaivrzctf/nLX6x5KDRKoaGhqK2tFbsMIiK7cu3aNQQFBYm2f3drbfjMmTMoKCjAoUOHMHPmTADAhx9+iPT0dFRWViI2NnbQe1QqFQoLC83W/elPf0Jqaiqqq6sxbtw403ovLy9R/1qi4Y0dOxZHjhxBTEyM2KUQEdmNnp4eeHl5ibZ/q/X0i4uLoVKpTIEPAGlpaVCpVDh48OCIt6PVaiGRSAZ9B/LZZ59BrVZjypQpWLFixbDPce/r64NOpzNbyLq8vLzQ09PDJ+4REf23np4eeHh4iFqD1Xr6DQ0NQ17CCAoKQkNDw4i20dvbi+effx4PP/wwlEqlaf0jjzyCqKgohISE4OTJk1i5ciUqKioGXSW4Ye3atVi9evXtHQjdNqVSCZ1OJ8r9pYmI7M21a9cQHBwsag2j7umvWrVq0CC6Hy4lJSUArj9f/YcEQRhy/Q8NDAxgyZIlMBqNWLdundlrS5cuRVZWFuLj47FkyRL87W9/w86dO3Hs2LEht7Vy5UpotVrTUlNTM9rDptvA7/WJiP5HTU0NwsLCRK1h1D39p5566pYj5SMjI3H8+HFcu3Zt0GtNTU23/EtnYGAAixYtwuXLl7F7926zXv5QkpKSIJPJcP78eSQlJQ16XaFQQKFQDLsNsrzQ0FAcOHAAkydPFrsUIiJRCYKAjo6OW+aZtY069NVqNdRq9S3bpaenQ6vV4siRI0hNTQUAHD58GFqtFhkZGTd9343AP3/+PPbs2TOiuxadOnUKAwMD0Gg0Iz8QsjqFQoH+/v4RX90hInJWra2t8Pf3F7sM6w3kmzRpEnJzc7F06VIcOnQIhw4dwtKlS7Fw4UKzkftxcXHYsmULAECv1+N//a//hZKSEnz22WcwGAxoaGhAQ0MD+vv7AQAXL17EmjVrUFJSgqqqKmzduhUPPvggEhMTMWvWLGsdDt0mPz8/PmqXiFzeD2egicWq8/Q/++wzTJ06FdnZ2cjOzsa0adPwySefmLWprKyEVqsFAFy9ehX/+Mc/cPXqVSQkJECj0ZiWGyP+5XI5du3ahZycHMTGxuLpp59GdnY2du7cKdptDenmxo4dy+/1icjlNTQ02MU0c6uN3gcAf39/fPrpp8O2+f6UrsjIyFtO8QoPD8fevXstUh9Zn0ajwalTpzBt2jSxSyEiEkVvby/kcjmkUvHvfC9+BeTU3N3dIZfL0d3dLXYpRESiqKmpQXh4uNhlAGDokw1ERUWhqqpK7DKIiETB0CeXEh4eznsjEJFLMhqN6Ovrg6enp9ilAGDokw24u7vD3d0dPT09YpdCRGRTYj9g54cY+mQTkZGRvMRPRC7n4sWLGD9+vNhlmDD0ySbGjRuH6upqscsgIrIZg8EAnU4HPz8/sUsxYeiTTchkMri5uaG3t1fsUoiIbMKeBvDdwNAnm4mMjMSVK1fELoOIyCYuXLiACRMmiF2GGYY+2cy4ceMY+kTkEvr6+mA0GuHl5SV2KWYY+mQzcrkcbm5u6OrqErsUIiKrunTpkl0N4LuBoU82FRcXh8rKSrHLICKyqitXriAyMlLsMgZh6JNNhYaGor6+HkajUexSiIisQqfTwdPTE+7uVn28zW1h6JNNSSQSjB07FlevXhW7FCIiq7hw4QKio6PFLmNIDH2yudjYWJw7d07sMoiILE4QBNTX10Oj0YhdypAY+mRznp6ekEqlHNBHRE6ntrYWISEhkEgkYpcyJIY+iSI2NpYD+ojI6Zw5cwaTJ08Wu4ybYuiTKDigj4icTXt7O+Ryud08UW8oDH0SBQf0EZGzOXnyJOLj48UuY1gMfRINL/ETkbPo6+tDZ2cnAgICxC5lWAx9Eo2npyfkcjna2trELoWI6I6cPXsWkyZNEruMW2Lok6imT5+OiooKscsgIrptRqMRNTU1GDdunNil3BJDn0Q1ZswYGAwGdHR0iF0KEdFtqaqqQmRkpN1O0/s+hj6Jjr19InJklZWViImJEbuMEWHok+jUajW6u7vR3d0tdilERKPS2NiIMWPGQC6Xi13KiDD0yS5MnToVJ06cELsMIqJRKS8vx/Tp08UuY8QY+mQXNBoNWltb0dfXJ3YpREQjUl9fD6VSCS8vL7FLGTGGPtmNKVOm4NSpU2KXQUR0S4IgoKKiwqF6+QBDn+xIeHg46uvrMTAwIHYpRETDqq2tRUBAgF3fcncoDH2yGxKJBJMmTcLp06fFLoWI6KYEQcDx48cxbdo0sUsZNYY+2ZWoqCjU1tait7dX7FKIiIZUXV2NkJAQKBQKsUsZNYY+2RWJRILExEQcO3ZM7FKIiAYRBMEhHqxzM1YN/ba2NuTn50OlUkGlUiE/Px/t7e3Dvuexxx6DRCIxW9LS0sza9PX14X//7/8NtVoNb29v3HfffXxamxPRaDTo7u6GVqsVuxQiIjOXL19GWFiYw8zL/yGrhv7DDz+M8vJyFBQUoKCgAOXl5cjPz7/l+3Jzc1FfX29atm7davb6smXLsGXLFnzxxRc4cOAAOjs7sXDhQhgMBmsdCtnYjBkzUFJSInYZREQmRqMRZ86cwZQpU8Qu5ba5W2vDZ86cQUFBAQ4dOoSZM2cCAD788EOkp6ejsrISsbGxN32vQqFASEjIkK9ptVps2LABn3zyCbKysgAAn376KcLDw7Fz507k5ORY/mDI5lQqFTw9PdHQ0HDTzwIRkS1VVlYiKioK7u5Wi06rs1pPv7i4GCqVyhT4AJCWlgaVSoWDBw8O+96ioiIEBQUhJiYGS5cuRWNjo+m10tJSDAwMIDs727QuNDQU8fHxN91uX18fdDqd2UL2LykpCceOHYMgCGKXQkQurre3F5cuXUJcXJzYpdwRq4V+Q0MDgoKCBq0PCgpCQ0PDTd+Xl5eHzz77DLt378bvf/97HD16FPPnzzfdqa2hoQFyuRx+fn5m7wsODr7pdteuXWsaV6BSqRAeHn4HR0a24uHhgbFjx+LixYtil0JELu7o0aNISUmBVOrY499HXf2qVasGDbT74XLju9ihHjMoCMKwjx9cvHgx7rnnHsTHx+Pee+/Ftm3bcO7cOXz77bfD1jXcdleuXAmtVmtaampqRnHEJKb4+HicPXsWer1e7FKIyEU1NjZCEAQEBweLXcodG/UXE0899RSWLFkybJvIyEgcP34c165dG/RaU1PTqE6cRqNBREQEzp8/DwAICQlBf38/2trazHr7jY2NyMjIGHIbCoXCIedTEuDm5oZJkybh5MmTSEhIELscInIxgiCgpKQEmZmZYpdiEaMOfbVaDbVafct26enp0Gq1OHLkCFJTUwEAhw8fhlarvWk4D6WlpQU1NTXQaDQAgOTkZMhkMhQWFmLRokUArj/04OTJk3jrrbdGezjkAMaPH4/t27dDp9NBqVSKXQ4RuZCzZ88iIiLC4W63ezNW+3Ji0qRJyM3NxdKlS3Ho0CEcOnQIS5cuxcKFC81G7sfFxWHLli0AgM7OTqxYsQLFxcWoqqpCUVER7r33XqjVavz4xz8GcH1U9+OPP45f//rX2LVrF8rKyvCTn/wEU6dONY3mJ+cikUiQkZGB4uJiDuojIpu5MXhv0qRJYpdiMVYdkfDZZ59h6tSpyM7ORnZ2NqZNm4ZPPvnErE1lZaXpJixubm44ceIE7r//fsTExODRRx9FTEwMiouL4evra3rPH/7wBzzwwANYtGgRZs2aBS8vL3z99ddwc3Oz5uGQiJRKJUJCQnDu3DmxSyEiF+Esg/e+TyK4YNdJp9NBpVJBq9XycrEDMRqN2LZtGzIzMx3q+dUkvoKCAuTm5opdBjmQpqYmnD59GvPmzRO7lFsaTaY5z58v5PSkUilmzpyJQ4cOiV0KETkxg8FgNh7NmTD0yaGo1Wr4+PigqqpK7FKIyEkdO3YMkyZNcprBe9/H0CeHk5SUhJMnT6K/v1/sUojIyVy7dg2dnZ0YP3682KVYBUOfHI67uzuSk5Nx5MgRsUshIiei1+tx9OjRUU0rdzQMfXJIGo0GgiCgrq5O7FKIyEkcPnwYCQkJTn0zN4Y+OayZM2fi2LFj6O3tFbsUInJwV69eBQCEhYWJXIl1MfTJYcnlcqSlpWH//v28aQ8R3ba+vj6Ul5c75Wj9H2Lok0NTq9XQaDQ4efKk2KUQkYM6ePAgZsyYAZlMJnYpVsfQJ4c3ZcoUXLt2DU1NTWKXQkQO5tKlS/Dx8XGKJ+iNBEOfHJ5EIsHs2bNx+PBhTuMjohHTarU4e/YskpKSxC7FZhj65BQ8PDyQkpKC7777jt/vE9EtDQwM4MCBA5g7d65LPbeFoU9OIyQkBCqVig/lIaJhCYKAAwcOIDExET4+PmKXY1MMfXIqCQkJuHz5Mtra2sQuhYjs1MmTJxEQEIDQ0FCxS7E5hj6Jor6+Hj4+PliyZInZ+m+++QYymQwvvPDCbW1XKpVi7ty5+O677/j9PhENUldXh6amJkydOlXsUkTB0CdRaDQaPPvss/jrX/+K0tJSAEBRUREefPBB/OIXv8Brr71229v28vJCamoqioqKYDQaLVUyETm4rq4uHDt2DHPmzIFEIhG7HFEw9Ek0K1asgEajwXPPPYejR4/ivvvuw0MPPYR3330XwPUbZvzLv/wLwsPDoVQqkZaWhoMHD45o20FBQRg/fjwfw0tEAK4/Lnffvn2YPXu2S8zHvxmGPonGy8sLr776Knbt2oXMzEzk5eXhww8/NP0FrtfrERUVhe+++w7t7e34xS9+gfvuuw/d3d0j2v7EiRMhl8tx+vRpax4GETmA4uJiTJo0CWPGjBG7FFEx9ElUMTExAK7Ptd+4caPZ1Blvb2+89NJLGDduHKRSKR599FEYjUacP39+xNtPTk6G55tvQrtixdANXnkFWLXqTg6BiOzcmTNnoFAoEBkZKXYpomPok2jKy8uxcOFCzJo1C52dnfjoo4+GbX/27Fn09PRgwoQJI96HRCLBuPHjofr979Hzw8GBr7wCvPQS4EJzdIlcTVVVFa5du4aUlBSxS7ELDH0SRWVlJXJycpCeno49e/bg/vvvx6pVq6DVaods393djfz8fPz2t78d9bxat5dfRv+LL8Lz9dcx8PLL11feCPw1a4AXX7zTwyEiO3Tt2jVUVla69MC9H2Lok81VVVUhKysLsbGx2Lx5M2QyGd544w20tbXh9ddfH9R+YGAAixYtwuTJk/Gb3/zmtvYpX7MGXc8/D9maNRAUCgY+kZNrb29HSUkJMjMzXeqOe7fC0Cebqq+vR1ZWFoKCgvDNN9/A09MTABAXF4d//dd/xbvvvouqqipTe6PRiJ/+9Kdwc3PDhg0b7uivde+1ayHI5ZD090OQyxn4RE6qq6sLBw4cQGZmJuRyudjl2BWGPtmURqPBhQsXUFpaCqVSafba+vXr0dvbazbY5oknnkB9fT02bdoEd3f3O9v5K69A0t8Po0x2PfjXrLmz7RGR3env70dRURFmz54NLy8vscuxOwx9sltXrlzBv//7v+Pw4cNQq9Xw8fGBj48P9u/fP/qNfe87fGl/P6798peQvPwyg5/IiRgMBuzevRszZsxw+al5N3OHXSci64mIiLDME/OGGLQX/N57qDMaEfryy4BEwkv9RA5OEATs3bsXkydPRlBQkNjl2C2GPjk/g2HIQXuh69bhqsEAWV0dgkUqjYjunCAI+O677xAaGopx48aJXY5dY+iT8xvm5jtj//xnFBcXo+X0aUyePNl2NRGRRdx4TG5gYCDi4uLELsfu8Tt9cmkSiQTp6elobm7GuXPnxC6HiEbBaDRi//79CAoKYuCPEEOfXJ5EIsHs2bNRW1uLS5cuiV0OEY2A0WjEvn37EBISgtjYWLHLcRgMfSIAUqkU8+bNw+XLl3HhwgWxyyGiYRiNRuzduxdhYWGm53fQyDD0if6bVCpFZmYm6urqcOrUKbHLIaIhGI1GFBUVYdy4cZg4caLY5Tgcq4Z+W1sb8vPzoVKpoFKpkJ+fj/b29mHfI5FIhlz+7//9v6Y2d91116DXlyxZYs1DIRchlUoxZ84cdHZ2orS01DJTBonIIoxGI/bs2YPIyMhRPXiL/odVQ//hhx9GeXk5CgoKUFBQgPLycuTn5w/7nvr6erPlo48+gkQiwT//8z+btVu6dKlZu7/85S/WPBRyIRKJBDNnzoS7uzuKi4sZ/ER2QK/XY/fu3ZgwYQLGjx8vdjkOy2pT9s6cOYOCggIcOnQIM2fOBAB8+OGHSE9PR2Vl5U0HXoSEhJj9/NVXXyEzM3PQf2QvL69BbYksafr06Th79iz27t2LuXPnQirlt2FEYujt7cWePXsQHx+P8PBwsctxaFb7LVZcXAyVSmUKfABIS0uDSqXCwYMHR7SNa9eu4dtvv8Xjjz8+6LXPPvsMarUaU6ZMwYoVK9DR0XHT7fT19UGn05ktRCMRFxeHiIgI7Nq1C3q9XuxyiFyOVqvFzp07kZqaysC3AKv19BsaGoa8FWJQUBAaGhpGtI3//M//hK+vL/7pn/7JbP0jjzyCqKgohISE4OTJk1i5ciUqKipQWFg45HbWrl2L1atXj/4giABERUVBLpejsLAQ8+fPh0KhELskIpfQ0NCA0tJSZGZmwtvbW+xynMKoe/qrVq266WC7G0tJSQkADPkYVEEQRvx41I8++giPPPIIPDw8zNYvXboUWVlZiI+Px5IlS/C3v/0NO3fuxLFjx4bczsqVK6HVak1LTU3NKI+aXN3YsWORkpKCwsJCXikisoGLFy/i+PHjWLBgAQPfgkbd03/qqaduOVI+MjISx48fx7Vr1wa91tTUhODgW9/pfP/+/aisrMSmTZtu2TYpKQkymQznz59HUlLSoNcVCgV7Z3THAgMDMW/ePOzbtw8JCQkYO3as2CUROR1BEFBRUYHOzk5kZWVxLI2FjTr01Wo11Gr1Ldulp6dDq9XiyJEjSE1NBQAcPnwYWq0WGRkZt3z/hg0bkJycjOnTp9+y7alTpzAwMACNRnPrAyC6A76+vsjJycG+ffvQ1taGKVOmjPjKFRENz2g04rvvvoNSqcSsWbP4b8sKrPYn1KRJk5Cbm4ulS5fi0KFDOHToEJYuXYqFCxeajdyPi4vDli1bzN6r0+nwX//1X/jZz342aLsXL17EmjVrUFJSgqqqKmzduhUPPvggEhMTMWvWLGsdDpGJu7s7MjMzodfrsX//fhgMBrFLInJ4fX192LlzJ8aOHYvp06cz8K3EqtdNPvvsM0ydOhXZ2dnIzs7GtGnT8Mknn5i1qayshFarNVv3xRdfQBAEPPTQQ4O2KZfLsWvXLuTk5CA2NhZPP/00srOzsXPnTri5uVnzcIhMJBIJEhISEBkZie3bt6O7u1vskogcVnNzMwoLC5GQkMA5+FYmEVzwziM6nQ4qlQparRZKpVLscsjBtbe348CBA0hNTR1yxgqJr6CgALm5uWKXQT8gCALOnDmD2tpazJkzZ9CgbRqZ0WQaR0gQ3aExY8ZgwYIFKC8v5+N5iUZoYGAARUVF6O/vR1ZWFgPfRhj6RBagUCiQlZUFrVaLvXv3or+/X+ySiOxWW1sbtm/fjtjYWCQkJPD7exti6BNZiFQqxYwZMxAdHY3t27ejsbFR7JKI7M758+dx+PBhzJ8/H6GhoWKX43Ksdkc+IlcVGhqKgIAAfPfddxgzZgwSEhI415hcnl6vR3FxMTw8PJCdnc1/EyLhWSeyAoVCgczMTPj4+GD79u3DPhuCyNk1NTVh+/btiIiIwIwZMxj4ImJPn8hKJBIJYmJiEBISgv379yMmJgYTJ04UuywimzEYDDh27Bg6Oztx9913c7CeHeCfW0RWplQqkZubi/b2dtNoZSJn19TUhIKCAqjVamRmZjLw7QR7+kQ2IJVKkZKSgoaGBuzYsQPx8fGIjIwUuywiizMYDCgtLUVXVxd793aIPX0iGwoJCUFubi6ampqwc+dOdHZ2il0SkcU0NjZi27ZtCAoKYu/eTrGnT2Rj7u7umDFjBtra2nDgwAGEhoYiPj6eg5vIYen1ehw7dgxdXV280Y6d428ZIpH4+fkhJycHCoUC27Zt47x+cjiCIKCqqgoFBQXs3TsI9vSJRCSRSBAbG4uIiAgcOXIE586dQ2pqKuRyudilEQ2rtbUVR48ehVqtRm5uLtzdGSeOgP+ViOyAh4cH5s6di/r6euzYsQNxcXGYMGECb09Kdqe3txclJSUYGBjArFmz4OPjI3ZJNAq8vE9kRzQaDfLy8tDV1YWtW7fi6tWrYpdEBAAwGo04efIkdu/ejYkTJ5puPkWOhT19Ijvj5uaG6dOnIy4uDhUVFTh16hSSkpIQGBgodmnkoq5evYry8nJER0cjLy+PV6AcGEOfyE4pFAqkpqaiq6sLx44dw4kTJ5CcnAyVSiV2aeQimpqaUFZWBpVKhezsbI41cQIMfSI75+3tjTlz5qC9vR0lJSVQKBRISkqCl5eX2KWRk2psbER5eTm8vb2RkZHBy/hOhKFP5CDGjBmDu+++G42Njdi3bx/8/f0xbdo0TpEii7l27RoqKirg4+ODWbNmwdvbW+ySyMIY+kQOJigoCDk5OaitrUVRURF8fX0xdepUKJVKsUsjB9XQ0ICKigr4+vpi9uzZvIrkxBj6RA5IIpEgLCwMYWFhaGpqQklJCQBg6tSpHPBHI1ZfX4/jx49DqVRizpw5DHsXwNAncnCBgYGYP38+Ojo6cOLECZSWlmLy5MkIDw/nKGsaRK/X49KlS7h48SL8/PwY9i6GoU/kJHx9fZGRkYHe3l6cPn0aJ06cQHR0NCZMmAA3NzexyyORdXR04MyZM2hqasL48eORlZUFmUwmdllkYwx9Iifj4eGBpKQk6PV6nD9/HgUFBQgMDERsbCyn+7kYQRBQW1uLs2fPQiqVYvLkyZgxYwavALkwhj6Rk3J3d8ekSZMQFxeHxsZGVFRUoKurC+PHj8f48ePZy3Ni/f39qKysRHV1NTQaDTIyMngJnwAw9ImcnkQiQXBwMIKDgzEwMIDLly9j165d8PT0RGxsLIKDg9nzcwIGgwFXr17FxYsXMTAwYLp7Hh/ZTN/H0CdyITKZDDExMYiJiYFOp0NlZSVKS0sxduxYREdHc162gzEajaivr8fFixfR1dWFsLAwzJw5k/8d6aYY+kQuSqlUYsaMGTAajairq8ORI0fQ29sLjUaDyMhIjBkzRuwSaQiCIKCxsREXLlxAe3s7QkNDkZCQwPs00Igw9IlcnFQqNc35NxgMqK+vx8mTJ6HVahEYGIiIiAgEBQXxKwARGY1GNDY24sqVK2hubkZQUBAmTZoEf39/sUsjB8PQJyITNzc30x8AgiCgubkZV65cQWlpKXx8fBAZGYmxY8dyCqANdHR0oKamBnV1dRgYGEBQUBCioqKQmprKP8DotjH0iWhIEokEgYGBpjv86XQ6VFVV4fTp05BIJAgKCoJGo0FgYCD/CLCAgYEB1NfXo6amBlqtFj4+PggLC8OcOXOgUCjELo+cBEOfiEZEqVRi2rRpmDZtGvR6PZqamlBXV4eKigoIggC1Wg2NRoOgoCBOBxyB3t5eNDU1obGxEU1NTZBKpQgNDcWUKVOgUqnYmyersGrov/baa/j2229RXl4OuVyO9vb2W75HEASsXr0a69evR1tbG2bOnIn3338fU6ZMMbXp6+vDihUr8Pnnn6Onpwd333031q1bh7CwMCseDRHd4O7uDo1GA41GA+D6d87Nzc2or6/HqVOnYDAY4O/vj8DAQPj7+0OpVLr01LGBgQG0tLSYAr6/vx8KhQJBQUEICwtDQkICr5aQTVg19Pv7+/Hggw8iPT0dGzZsGNF73nrrLbz99tvYuHEjYmJi8Oqrr2LBggWorKyEr68vAGDZsmX4+uuv8cUXXyAgIAC//vWvsXDhQpSWlvIfDpEIpFIpgoKCEBQUBOD6H++tra1oamrC6dOnodPpIAgCPD094e/vb1qc7YYxgiCgq6sLOp0O7e3taGpqQnd3N9zd3aFWq013RuTlehKLRBAEwdo72bhxI5YtW3bLnr4gCAgNDcWyZcvw3HPPAbjeqw8ODsabb76JJ554wjSi+JNPPsHixYsBAHV1dQgPD8fWrVuRk5Nzy3p0Oh1UKhW0Wi2nuRDZUHd3N1pbW01LT08PJBIJfH194evrC29vb/j4+MDb2xteXl4WuzpQUFCA3Nxci2xLEAR0d3dDq9Walo6ODhgMBgCAt7c3VCoVVCoV1Go158yT1Y0m0+zqO/3Lly+joaEB2dnZpnUKhQLz5s3DwYMH8cQTT6C0tBQDAwNmbUJDQxEfH4+DBw8OGfp9fX3o6+sz/azT6ax7IEQ0JC8vL3h5eZl9FWc0GtHZ2Wla6urq0NXVhe7ubhiNRgDXv07w9vaGt7c3PD09IZPJTIu7u7vZzyO92icIgmkxGAzo7e0dtPT09KC3txd9fX2mWm4cx41gDw4OhlKphLu7Xf06JRqSXX1KGxoaAADBwcFm64ODg3HlyhVTG7lcDj8/v0Ftbrz/h9auXYvVq1dboWIiulNSqRRKpXLYHoper0dXVxe6urpMQdzR0YGBgQHo9XoMDAyYlhs97u9rampCQUHBkPuWSCRwc3ODh4eH2aJWq81+duUxCeQ8Rh36q1atumWAHj16FCkpKbdd1A9HrQqCcMuRrMO1WblyJZYvX276WafTITw8/LbrIyLbcnd3N/Wsb4clL+8TObJRh/5TTz2FJUuWDNsmMjLytooJCQkBcL03f2NUMAA0Njaaev8hISHo7+9HW1ubWW+/sbERGRkZQ25XoVBw4AwREbm8UYe+Wq2GWq22Ri2IiopCSEgICgsLkZiYCOD6DIC9e/fizTffBAAkJydDJpOhsLAQixYtAgDTbUPfeustq9RFRETkDKz6nX51dTVaW1tRXV0Ng8GA8vJyAMDEiRPh4+MDAIiLi8PatWvx4x//GBKJBMuWLcPrr7+O6OhoREdH4/XXX4eXlxcefvhhAIBKpcLjjz+OX//61wgICIC/vz9WrFiBqVOnIisry5qHQ0RE5NCsGvovvfQS/vM//9P0843e+549e3DXXXcBACorK6HVak1tnn32WfT09ODf/u3fTDfn2bFjh2mOPgD84Q9/gLu7OxYtWmS6Oc/GjRs5R5+IiGgYNpmnb284T5/ItXAgHzmz0WQa56AQERG5CIY+ERGRi2DoExERuQiGPhERkYtg6BMREbkIhj4REZGLYOgTERG5CIY+ERGRi2DoExERuQir3obXXt24CaFOpxO5EiKyha6uLv57J6d147M9khvsumTot7S0AADCw8NFroSIiMgyOjo6oFKphm3jkqHv7+8P4PpTAG91gujWdDodwsPDUVNTw2cZWADPp2XxfFoWz6dlWeJ8CoKAjo4OhIaG3rKtS4a+VHp9KINKpeKH1oKUSiXPpwXxfFoWz6dl8Xxa1p2ez5F2YDmQj4iIyEUw9ImIiFyES4a+QqHAyy+/DIVCIXYpToHn07J4Pi2L59OyeD4ty9bnUyKMZIw/EREROTyX7OkTERG5IoY+ERGRi2DoExERuQiGPhERkYtwmdB/7bXXkJGRAS8vL4wZM2ZE73nssccgkUjMlrS0NOsW6iBu53wKgoBVq1YhNDQUnp6euOuuu3Dq1CnrFuog2trakJ+fD5VKBZVKhfz8fLS3tw/7Hn4+/8e6desQFRUFDw8PJCcnY//+/cO237t3L5KTk+Hh4YHx48fjz3/+s40qdQyjOZ9FRUWDPocSiQRnz561YcX2a9++fbj33nsRGhoKiUSCv//977d8jzU/ny4T+v39/XjwwQfxi1/8YlTvy83NRX19vWnZunWrlSp0LLdzPt966y28/fbbeO+993D06FGEhIRgwYIF6OjosGKljuHhhx9GeXk5CgoKUFBQgPLycuTn59/yffx8Aps2bcKyZcvwwgsvoKysDHPmzEFeXh6qq6uHbH/58mX86Ec/wpw5c1BWVobf/OY3ePrpp7F582YbV26fRns+b6isrDT7LEZHR9uoYvvW1dWF6dOn47333htRe6t/PgUX8x//8R+CSqUaUdtHH31UuP/++61aj6Mb6fk0Go1CSEiI8MYbb5jW9fb2CiqVSvjzn/9sxQrt3+nTpwUAwqFDh0zriouLBQDC2bNnb/o+fj6vS01NFZ588kmzdXFxccLzzz8/ZPtnn31WiIuLM1v3xBNPCGlpaVar0ZGM9nzu2bNHACC0tbXZoDrHBkDYsmXLsG2s/fl0mZ7+7SoqKkJQUBBiYmKwdOlSNDY2il2SQ7p8+TIaGhqQnZ1tWqdQKDBv3jwcPHhQxMrEV1xcDJVKhZkzZ5rWpaWlQaVS3fLcuPrns7+/H6WlpWafKwDIzs6+6bkrLi4e1D4nJwclJSUYGBiwWq2O4HbO5w2JiYnQaDS4++67sWfPHmuW6dSs/flk6A8jLy8Pn332GXbv3o3f//73OHr0KObPn4++vj6xS3M4DQ0NAIDg4GCz9cHBwabXXFVDQwOCgoIGrQ8KChr23PDzCTQ3N8NgMIzqc9XQ0DBke71ej+bmZqvV6ghu53xqNBqsX78emzdvxpdffonY2Fjcfffd2Ldvny1KdjrW/nw6dOivWrVqyAEk319KSkpue/uLFy/GPffcg/j4eNx7773Ytm0bzp07h2+//daCR2E/rH0+AUAikZj9LAjCoHXOYjTnc6hzcKtz42qfz+GM9nM1VPuh1ruq0ZzP2NhYLF26FElJSUhPT8e6detwzz334He/+50tSnVK1vx8OvSjdZ966iksWbJk2DaRkZEW259Go0FERATOnz9vsW3aE2uez5CQEADX/4rVaDSm9Y2NjYP+qnUWIz2fx48fx7Vr1wa91tTUNKpz4+yfz6Go1Wq4ubkN6oUO97kKCQkZsr27uzsCAgKsVqsjuJ3zOZS0tDR8+umnli7PJVj78+nQoa9Wq6FWq222v5aWFtTU1JiFljOx5vmMiopCSEgICgsLkZiYCOD694d79+7Fm2++aZV9im2k5zM9PR1arRZHjhxBamoqAODw4cPQarXIyMgY8f6c/fM5FLlcjuTkZBQWFuLHP/6xaX1hYSHuv//+Id+Tnp6Or7/+2mzdjh07kJKSAplMZtV67d3tnM+hlJWVudTn0JKs/vm0yHBAB3DlyhWhrKxMWL16teDj4yOUlZUJZWVlQkdHh6lNbGys8OWXXwqCIAgdHR3Cr3/9a+HgwYPC5cuXhT179gjp6enC2LFjBZ1OJ9Zh2I3Rnk9BEIQ33nhDUKlUwpdffimcOHFCeOihhwSNRsPzKQhCbm6uMG3aNKG4uFgoLi4Wpk6dKixcuNCsDT+fQ/viiy8EmUwmbNiwQTh9+rSwbNkywdvbW6iqqhIEQRCef/55IT8/39T+0qVLgpeXl/DMM88Ip0+fFjZs2CDIZDLhb3/7m1iHYFdGez7/8Ic/CFu2bBHOnTsnnDx5Unj++ecFAMLmzZvFOgS70tHRYfr9CEB4++23hbKyMuHKlSuCINj+8+kyof/oo48KAAYte/bsMbUBIPzHf/yHIAiC0N3dLWRnZwuBgYGCTCYTxo0bJzz66KNCdXW1OAdgZ0Z7PgXh+rS9l19+WQgJCREUCoUwd+5c4cSJE7Yv3g61tLQIjzzyiODr6yv4+voKjzzyyKApUPx83tz7778vRERECHK5XEhKShL27t1reu3RRx8V5s2bZ9a+qKhISExMFORyuRAZGSl88MEHNq7Yvo3mfL755pvChAkTBA8PD8HPz0+YPXu28O2334pQtX26MaXxh8ujjz4qCILtP598tC4REZGLcOjR+0RERDRyDH0iIiIXwdAnIiJyEQx9IiIiF8HQJyIichEMfSIiIhfB0CciInIRDH0iIiIXwdAnIiJyEQx9IiIiF8HQJyIichEMfSIiIhfx/wG6c88ld0yaiAAAAABJRU5ErkJggg==", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1796,14 +1894,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1837,14 +1933,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1878,20 +1972,18 @@ }, { "cell_type": "code", - "execution_count": 35, + "execution_count": 21, "id": "51c10ea0", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -1939,20 +2031,18 @@ }, { "cell_type": "code", - "execution_count": 46, + "execution_count": 22, "id": "5d02eec3", "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], diff --git a/artefacts/appendix-A4-bessel-filters.ipynb b/artefacts/appendix-A4-bessel-filters.ipynb index e87cd32..6e1102e 100644 --- a/artefacts/appendix-A4-bessel-filters.ipynb +++ b/artefacts/appendix-A4-bessel-filters.ipynb @@ -169,7 +169,7 @@ "\n", "Unfortunately the function to do this has a lot of arguments. The main ones are:\n", "- `N`: The order of the filter\n", - "- `Wn`: When `norm=phase` and `analog=True` this is the angular \"critical frequency\". To get solutions to the equatiosn above, we use `Wn=1`\n", + "- `Wn`: When `norm=phase` and `analog=True` this is the angular \"critical frequency\". To get solutions to the equations above, we use `Wn=1`\n", "- `btype`: Filter type, we leave this at the default of `lowpass`.\n", "- `analog`: In the above, we're assuming an analog filter, that is, a filter that operates on a continuous signal. Later we will set this to `digital` to create filters we can use on a sampled filter.\n", "- `output`: Set this to `zpk` to obtain the zeroes (z), poles (p), and a gain factor (k).\n", @@ -369,11 +369,11 @@ "outputs": [], "source": [ "def mag(w):\n", - " x = 0 + 1j * w\n", + " x = 1j * w\n", " return np.abs(15 / (x**3 + 6*x**2 + 15*x + 15))\n", " \n", "def arg(w):\n", - " x = 0 + 1j * w\n", + " x = 1j * w\n", " return -np.angle(15 / (x**3 + 6*x**2 + 15*x + 15))" ] }, @@ -436,7 +436,7 @@ ], "source": [ "def bes(w, n):\n", - " x = 0 + 1j * w\n", + " x = 1j * w\n", " a = revbes(n)\n", " b = np.array([x**i for i in range(1 + n)])\n", " return a[0] / np.sum(a * b.T, axis=1)\n", @@ -519,6 +519,20 @@ "For this, we use the method [lsim](https://docs.scipy.org/doc/scipy/reference/generated/scipy.signal.lsim.html)." ] }, + { + "cell_type": "markdown", + "id": "29eeb668-01f9-491a-97eb-d1ce15ab45bb", + "metadata": {}, + "source": [ + "#### The `norm` argument again\n", + "\n", + "Above, we used `Wn=1, norm='delay'` to obtain the poles of a filter without any scaling.\n", + "With these settings, `Wn` is interpreted as something related to \"group delay\", and using `Wn=1` just gives the normal scaling.\n", + "\n", + "When applying a filter, we'll use `Wn=w, norm='mag'`.\n", + "With this setting, the filter is _normalised_ (hence `norm`) so that `Wn` becomes the cutoff point, i.e. the point at which the gain is -3dB." + ] + }, { "cell_type": "code", "execution_count": 11, @@ -527,7 +541,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -543,13 +557,13 @@ " \"\"\" Return a sine wave with frequency w (in Hz). \"\"\"\n", " return np.sin(2 * np.pi * w * x)\n", "\n", - "def low_pass(time, data, w, n=3):\n", + "def low_pass(time, data, f, n=3):\n", " \"\"\"\n", - " Emulate an analog Bessel low-pass filter with cut-off w (in Hz).\n", + " Emulate an analog Bessel low-pass filter with cut-off f (in Hz).\n", " \n", " Returns a tuple ``(t, y)``.\n", " \"\"\"\n", - " b, a = scipy.signal.bessel(n, 2 * np.pi * w, btype='lowpass', analog=True)\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", " return t, y\n", "\n", @@ -560,8 +574,8 @@ "ax = fig.add_subplot()\n", "ax.plot(t, x, label='Original (2 Hz)')\n", "ax.plot(t, y, label='Noisy (+50Hz)')\n", - "ax.plot(*low_pass(t, y, w=5, n=3), label='Filtered 5Hz, n=3')\n", - "ax.plot(*low_pass(t, y, w=10, n=6), label='Filtered 10Hz, n=6')\n", + "ax.plot(*low_pass(t, y, f=5, n=3), label='Filtered 5Hz, n=3')\n", + "ax.plot(*low_pass(t, y, f=10, n=6), label='Filtered 10Hz, n=6')\n", "ax.legend(ncol=4, framealpha=1)\n", "plt.show()" ] @@ -600,7 +614,7 @@ "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABMkAAAFfCAYAAABUV5ttAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOydd5hU5dmH7+nbK2xlYQHpIs0CKgoWmr372WONGo0aY6LG2EuMUWIUS2LvxoINUSSKIkWq9L7LwsL23qZ/f5w5M2dmp5xzZlZA3vu69pr+zDtl533OU36Pwev1ehEIBAKBQCAQCAQCgUAgEAgOYoz7egECgUAgEAgEAoFAIBAIBALBvkYEyQQCgUAgEAgEAoFAIBAIBAc9IkgmEAgEAoFAIBAIBAKBQCA46BFBMoFAIBAIBAKBQCAQCAQCwUGPCJIJBAKBQCAQCAQCgUAgEAgOekSQTCAQCAQCgUAgEAgEAoFAcNAjgmQCgUAgEAgEAoFAIBAIBIKDHvO+XkCi8Xg87Nmzh/T0dAwGw75ejkAgEAgEAoFAIBAIBAKBYB/i9XppbW2lqKgIozFyvdivLki2Z88eSkpK9vUyBAKBQCAQCAQCgUAgEAgE+xG7du2iT58+EW//1QXJ0tPTAemFZ2Rk7OPVCAQCgUAgEAgEAoFAIBAI9iUtLS2UlJT4Y0aR+NUFyeQWy4yMDBEkEwgEAoFAIBAIBAKBQCAQAMSU5RLC/QKBQCAQCAQCgUAgEAgEgoMeESQTCAQCgUAgEAgEAoFAIBAc9IggmUAgEAgEAoFAIBAIBAKB4KDnV6dJJhAIBAKBQPBrweVy4XA49vUyBAKBQCAQCPZrrFYrZnP8IS4RJBMIBAKBQCDYz/B6vVRUVFBXV7evlyIQCAQCgUBwQNCrVy/69u0bU5w/GiJIJhAIBAKBQLCfIQfIiouLSUtLw2gUChkCgUAgEAgE4fB4PLS1tVFZWQlAv379dNsSQTKBQCAQCASC/QiXy+UPkBUUFOzr5QgEAoFAIBDs96SlpQFQWVlJcXGx7tZLkZYUCAQCgUAg2I+QNchkZ08gEAgEAoFAEBvZd4pHz7VHg2SPPvooRxxxBOnp6eTl5XHmmWeyefPmmI9bsGAB48aNIykpiQEDBvD888/35DIFAoFAIBAI9jtEi6VAIBAIBAKBehLhO/Wo97VgwQJuvPFGlixZwrx583C5XEyZMoX29vaIjykrK2PGjBlMnDiRVatWcdddd3HzzTfz4Ycf9uRSBQKBQCAQCAQCgUAgEAgEBzE9GiSbO3cuV1xxBSNGjGDUqFG88sorVFRUsGLFioiPef755+nbty8zZ85k2LBhXH311Vx55ZU88cQTYe9vt9tpaWkJ+hMIftVs+Qre+T/Y+/O+XolAIBAIBAmjvLwcg8HA6tWrVT/m1VdfJSsra5+vQ6Cd0tJSZs6cua+XIRAIBAJBEL9oHX9zczMAOTk5Ee+zePFipkyZEnTd1KlTWb58OU6ns9v9H330UTIzM/1/JSUliV20QLA/4bLDR9fC5jnwyY37ejUCgUAgEHRj165dXHXVVRQVFWG1WunXrx+///3vqa+vj/q4kpIS9u7dy6GHHqr6uS644AK2bNkS75IFGrjiiiswGAw89thjQdfPnj0bg8Gg2s6yZcu49tprE708QRQmTZrELbfc4r+8PwYqDQYDs2fP3tfLEPwKEN93gV5+sSCZ1+vltttu49hjj43q/FRVVZGfnx90XX5+vn/SUyh33nknzc3N/r9du3YlfO0CgW52LYMFfwd7a2Ls7fwRupqk81Vroa0mMXabdsF3j0mnAoFAIBDoZMeOHRx++OFs2bKFd955h23btvH8888zf/58JkyYQENDQ9jHORwOTCYTBQUFmqZRJScnk5eXl6jlC1SSlJTE3/72NxobG3Xb6N27NykpKQlclQACQczQv23btvHRRx/x4IMPRnzsgXDAft9993V7baFTgEODIzI9UXmaaO677z6GDh1Kamoq2dnZnHTSSSxdunRfL2u/5df+ff/+++857bTTKCoqirher9fLfffdR1FREcnJyUyaNIn169cH3SdSgPC+++5j9OjRPbP4BLJ48WJOOOEEUlNTycrKYtKkSXR2dvbY8/1iQbLf/e53rFmzhnfeeSfmfUOzUF6vN+z1ADabjYyMjKA/gWC/wNEBb50D3z4EX/45MTar1gZf3vVTYux+cCV89yi8fQH4/t8EAoFAINDKjTfeiNVq5euvv+b444+nb9++TJ8+nW+++YbKykruvvtuQHLYH3roIa644goyMzO55pprwrY5fvrppwwaNIjk5GQmT57Ma6+9hsFgoKmpCeh+0Cs7/G+88QalpaVkZmZy4YUX0toaSFbNnTuXY489lqysLHJzczn11FPZvn37L/H2/Go46aSTKCgo4NFHH414nw8//JARI0Zgs9koLS3lH//4R9DtoQdt9913H3379sVms1FUVMTNN98MwAMPPMDIkSO72R83bhx//etfE/OCfmVMmzaNvXv3Bv3179+fnJwc0tPTe/z5w3X/JJIRI0YEvba1a9fGftABwuDBg3nmmWdYu3YtCxcupLS0lClTplBbW7uvl7bf8mv+vre3tzNq1CieeeaZiPd5/PHHefLJJ3nmmWdYtmwZBQUFnHzyyUH73oHM4sWLmTZtGlOmTOGnn35i2bJl/O53v+vR4Ua/SJDspptu4tNPP+Xbb7+lT58+Ue9bUFBAVVVV0HU1NTWYzWZyc3N7cpkCQWLZNg+6pBZjNn4Gblf8NqvWBV+u3RS/zZY9sNsXbKtZD3WibUUgEAj2N7xeLx0O1y/+59WQOGloaOCrr77ihhtuIDk5Oei2goICLr74Yt577z2/zb///e8ceuihrFixgnvuuaebvfLycs4991zOPPNMVq9ezXXXXecPskVj+/btzJ49m88//5zPP/+cBQsWBLUGtre3c9ttt7Fs2TLmz5+P0WjkrLPOwuPxqH6tPYLXC472ffOnMUFmMpl45JFH+Ne//sXu3bu73b5ixQrOP/98LrzwQtauXct9993HPffcw6uvvhrW3gcffMBTTz3FCy+8wNatW5k9e7Y/MHbllVeyYcMGli1b5r//mjVrWLVqFVdccYWmdceL1+ulw9nxi/9p+T8EqYigoKAg6M9kMkWssAIpaAlw1llnYTAY/JcBPvvsM8aNG0dSUhIDBgzg/vvvx+UK+LUGg4Hnn3+eM844g9TUVB566CFVj9u6dSvHHXccSUlJDB8+nHnz5ql6fWazOei19e7dW9P7o3zN4aqQ1CIH6b/66iuGDRtGWlqaP2Cjl4suuoiTTjqJAQMGMGLECJ588klaWlpYs2aNbpt68Hq9eDo69smf+L4HmD59Og899BBnn3122Nu9Xi8zZ87k7rvv5uyzz+bQQw/ltddeo6Ojg7fffjum/VDC/T8o35tYqElUaeXWW2/l5ptv5s9//jMjRoxg0KBBnHvuudhsNt02Y6G+nl0HXq+Xm266iY8//pjvvvuO/v37x3zMhAkT+Oyzz4Ku+/rrrzn88MOxWCw9tVSBIPFUrgyctzdDw3boPSQ+m3JQrHA07F0NjWXx2QPYuSj4cuXK+NcpEAgEgoTS6XQz/K9f/eLPu+GBqaRY1bmLW7duxev1MmzYsLC3Dxs2jMbGRn9FxAknnMDtt9/uv728vDzo/s8//zxDhgzh73//OwBDhgxh3bp1PPzww1HX4fF4ePXVV/0VBJdeeinz58/3P+6cc84Juv9LL71EXl4eGzZs0KSHlnCcHfBI0b557rv2gDVV00POOussRo8ezb333stLL70UdNuTTz7JiSee6A9+Dh48mA0bNvD3v/89bGCroqKCgoICTjrpJCwWC3379uXII48EoE+fPkydOpVXXnmFI444AoBXXnmF448/ngEDBuh4sfrpdHVy1NtH/aLPCbD0oqWkWHq2NXXZsmXk5eXxyiuvMG3aNEwmEwBfffUVl1xyCU8//TQTJ05k+/btfi25e++91//4e++9l0cffZSnnnoKk8kU83Eej4ezzz6bXr16sWTJElpaWiIGNELZunUrRUVF2Gw2jjrqKB555BFd34Vly5bhdrsBcLvdnHvuuZqPNzs6OnjiiSd44403MBqNXHLJJdx+++289dZbALz11ltcd911UW288MILXHzxxd2udzgcvPjii2RmZjJq1ChN64oXb2cnm8eO+0WfU2bIyhUYergV+0D6vkejrKyMqqqqIE13m83G8ccfz6JFi2J+90JRBnjb29uZNm0aEyZM0GRDmahqbGzk/PPP57HHHvPvwY888giPPPJIVBtffvklEydOpKamhqVLl3LxxRdz9NFHs337doYOHcrDDz/Mscceq2ldWujRINmNN97I22+/zSeffEJ6erq/QiwzM9OfYbzzzjuprKzk9ddfB+C3v/0tzzzzDLfddhvXXHMNixcv5qWXXlLVpikQ7FfUbAi+XL0u/uBTsy9bO+B4KUjWUB6fPeheOVa9Lvz9BAKBQCCIg1D5jMMPPzzq/Tdv3uwPisjIgZNolJaWBrXYFBYWUlMT0PDcvn0799xzD0uWLKGurs5fQVZRUbFvg2QHIH/729844YQT+MMf/hB0/caNGznjjDOCrjvmmGOYOXMmbrfbf0Aqc9555zFz5kwGDBjAtGnTmDFjBqeddppfn+6aa67hyiuv5Mknn8RkMvHWW291a98UBPj8889JS0vzX54+fTr//e9/oz5GrsbKysoK0vh6+OGH+fOf/8zll18OwIABA3jwwQe54447goIGF110EVdeeaX/8qWXXhr1cd988w0bN26kvLzc32n0yCOPMH369KjrPOqoo3j99dcZPHgw1dXVPPTQQxx99NGsX78+qOto1qxZ/Oc//wl6rMvlIikpqdtrBvj973/P3r17gyoW1eB0Onn++ecZOHAgIEkMPfDAA/7bTz/9dI46KnpgNVSP+/PPP+fCCy+ko6ODwsJC5s2bR69evTSt62Di1/x9j4UcXwmn6b5z586g6/70pz/xl7/8Jeg6h8PB8OHD/Zfl98Lr9XLOOeeQmZnJCy+8oGlNsRJVv/3tbzn//POj2iguLgYknVOQKtSeeOIJRo8ezeuvv86JJ57IunXrGDRokKa1qaVHg2TPPfccIIknKnnllVf8WaS9e/dSUVHhv61///7MmTOHW2+9lWeffZaioiKefvrpblk/gWC/p2ajdNprsBSIqt0cnz1nJ3T6BI9LJ8KP/0xMJVndVuk0Z6BU7Va/LX6bAoFAIEgoyRYTGx6Yuk+eVy2HHHIIBoOBDRs2cOaZZ3a7fdOmTWRnZ/sP9lJTo1cueb3eiDq10QitBDEYDEGtlKeddholJSX8+9//pqioCI/Hw6GHHorD4Yhpu0expEgVXfvquXVw3HHHMXXqVO66666gCjGtn11JSQmbN29m3rx5fPPNN9xwww38/e9/Z8GCBVgsFk477TRsNhsff/wxNpsNu92+T44Nks3JLL3olxdRTzYnx76TgsmTJ/uPwyD2/1o0VqxYwbJly4IqON1uN11dXXR0dPiHL4QGvWM9buPGjfTt2zdIikdNxYoyqDBy5EgmTJjAwIEDee2117jtttv8t1188cXd2rM/+uijsBUsL774Ii+99BI//vij5tbNlJQUf4AMugfl09PTNetiTZ48mdWrV1NXV8e///1vzj//fJYuXfqLDikxJCczZOWKX+z5Qp9bC7/m77tawv3ehl73xz/+sVsl79NPP83333/fzd5dd93F4sWLWbZsWTf5hFjESlTl5OSQk5Ojypa8d1933XX85je/AWDMmDHMnz+fl19+OaouZjz0eLtlLMJpExx//PGsXLmy+50Fgp7E7QK3A6wJKO91OxVVX5OlIFm8kyNbfI6zJRXyfZnu1irwuMGo/iCmG/W+INkhJ8JP26GpIvr9tWBvk9o3NOg7CAQCgaA7BoNBddvjviI3N5eTTz6ZWbNmceuttwY51lVVVbz11ltcdtllqjV/hg4dypw5c4KuW758eVxrrK+vZ+PGjbzwwgtMnDgRgIULF8ZlM2EYDJpbHvcHHnvsMUaPHs3gwYP91w0fPrzb+7po0SIGDx7crYpMJjk5mdNPP53TTz+dG2+8kaFDh7J27VrGjh2L2Wzm8ssv55VXXsFms3HhhRfuk8mYBoOhx9seE0FqaiqHHHJIQmx5PB7uv//+sJpIyqqs0MBErMeFO07UogemfN6RI0eydevWoOszMzO7vQfhgkzfffcdN910E++8846ulsZwQXnla9PTbil/focccgjjx49n0KBBvPTSS9x5552a16cXg8HQ4y2PieJg+r6HIld+VVVVUVhY6L++pqamW3VZr169ur1P4YJVb775Jk899RTfffddTD35cMRKVGlpt5Rfk7LaDST5BmWhVaLZv70tgeCXwu2El6ZI1V4XvQv9j4vPXls14AWjBYrHStc1xxkkk4NumcWQ2gswgNcNHQ2Qpk+wNMhu6bHw04tSMM/rjT+wtfIN+OxmOOwCOOv5+GwJBAKB4IDgmWee4eijj2bq1Kk89NBD9O/fn/Xr1/PHP/6R4uLimHpiSq677jqefPJJ/vSnP3HVVVexevVqf3JV78FFdnY2ubm5vPjiixQWFlJRUcGf/5ygCdQHKSNHjuTiiy/mX//6l/+6P/zhDxxxxBE8+OCDXHDBBSxevJhnnnmGWbNmhbXx6quv4na7Oeqoo0hJSeGNN94gOTmZfv36+e9z9dVX+/Xufvzxx559UQcpFovFr9ElM3bsWDZv3qw5CBHrccOHD6eiooI9e/ZQVCRp8S1evFjzmu12Oxs3bvQHvbWwbds2zjnnHO66666IwujxoqfdMhSv14vdbk/ksgQcmN/3UPr3709BQQHz5s1jzJgxgNRCuWDBAv72t79ptrd48WKuvvpqXnjhBcaPHx/3+sKhpd2ytLSUoqIiNm8O7sjasmVL3K2q0RBBMoEAYMd3sMdXvfjjP+MPkslVXxmFkNVXOh9vkKyl0mezGEwWSMmFjjopIKc3SObsgs5G6Xwfn86Lo1W6LkVdGWxYvF5Y8Dh4PfDzO3D8nyAn9uAOgUAgEBzYDBo0iOXLl3PfffdxwQUXUF9fT0FBAWeeeSb33nuv6hYLkJz/Dz74gD/84Q/885//ZMKECdx9991cf/31uqdaGY1G3n33XW6++WYOPfRQhgwZwtNPP91NGkSgjQcffJD333/ff3ns2LG8//77/PWvf+XBBx+ksLCQBx54IOI0yqysLB577DFuu+023G43I0eO5LPPPgvSmBo0aBBHH3009fX1MYMOAn2UlpYyf/58jjnmGGw2G9nZ2fz1r3/l1FNPpaSkhPPOOw+j0ciaNWtYu3atf6pfOGI97qSTTmLIkCFcdtll/OMf/6ClpUXV9Nrbb7+d0047jb59+1JTU8NDDz1ES0uLXwtKLZ2dnZx22mmMHj2aa6+91q/tBARpVMWLlnbL9vZ2Hn74YU4//XQKCwupr69n1qxZ7N69m/POOy9haxJIHAjf97a2NrZtC0jhlJWVsXr1anJycujbty8Gg4FbbrmFRx55hEGDBjFo0CAeeeQRUlJSuOiiizS9H1VVVZx11llceOGFTJ061f8/YTKZdE+QDYeWdkuDwcAf//hH7r33XkaNGsXo0aN57bXX2LRpEx988EHC1hSKCJIJBADb5gfOl/8YfwujXJ2VUQyZJb7rKsHjAaNRn822auk0vSBwKgfJ0Ck03OZzCMxJkr3U3tBeKwXk4gmS1W+HZkUJbNn3IkgmEAgEBwn9+vXjlVdeiXqf0EmWIB2whLakyO13Mg8//DB9+vTxt71cccUVQYGX++67j/vuuy/Ixi233BI0Reykk05iw4bg4TrK5w23DkGAcFIp/fr1o6urK+i6c845J6pumPI7cOaZZ4bVsVPi9Xqprq7WPK1NoJ5//OMf3Hbbbfz73/+muLiY8vJypk6dyueff84DDzzA448/jsViYejQoVx99dVRbcV6nNFo5OOPP+aqq67iyCOPpLS0lKeffppp06ZFtbt7927+7//+j7q6Onr37s348eNZsmRJUNWhGqqrq9m0aRObNm3yV/bIyP//kyZNorS0NOx3vicwmUxs2rSJ1157jbq6OnJzczniiCP44YcfGDFixC+yhoOJA+H7vnz5ciZPnuy/LOvuXX755f7v5R133EFnZyc33HADjY2NHHXUUXz99deatfA2bdpEdXU1r732Gq+99pr/+n79+vl/r0tLS7niiiu67bM9yS233EJXVxe33norDQ0NjBo1innz5gVpASYag/dX5gW0tLSQmZlJc3MzGRkZ+3o5ggOF106HsgWByzcug96DI98/Fouega/vhkPPgTOfh4d80fc/7oDU3OiPjcTXf4FF/4IJv4OpD8MbZ8H2/0n2R/+fPpsVS+DlqZBdCr//GZ47RppueclHkkaZXjZ8Au9fFrh85HUw43H99gQCgeAgQhb5HTZs2D7RXdqfmDVrFkcccQS5ubn8+OOP3HTTTfzud7+LmtEX/PqoqanhjTfe4N5772XXrl1kZ2fv6yUJDgJKS0u57777IlZACgQHE52dneTk5DBnzpygwN3+RjQfSm2sSFSSCQQAtZuCL1eviy9I1rpXOk0vBLMVkrKgq0mq0tIbJOvwTbZM8T0+zadfIFeYxbtO8GmdIa0zHmq3BF+uXh+fPYFAIBAclGzdupWHHnqIhoYG+vbtyx/+8IdfVLxasH+Qn59Pr169ePHFF0WATPCLsGnTJtLT07nsssti31kgOAhYsGABJ5xwwn4dIEsUIkgmEHQ1BwJNQ2bA5jnQtDM+m+110mmab4pOau9AkIyh+mx21Eun/iCZz3ZcQTJfu6XcwpnqsxlvkKzOFyRL1PspEAgEgoOSp556iqeeempfL0Owj/mVNb4IDgDk6aoCgUBi2rRpMdtDfy3oFEcSCH5FNPsE8ZNzIE+amkRTnCL7kQJa7TWJsymfysL7epADbHJVWqqvLbQtjnUCNJZLp/2Pl05b9oDbFZ9NgUAgEAgEAoFAIBAIehARJBMI/JMoixI3iTI0oOVvY6xLnM1kX7uB3Iapy6bvsck+kX55SmY864RAhVrRaDBawOsOtHYKBAKBQCAQCAQCgUCwHyKCZAJBqyJIJk+ibKqIfH81dIYEn+QKrXjaGLsFyXy246kkkx+bErrOOCrJPJ5AQCyjGDKLpfPyxE+BQCAQqMLj8ezrJQgEAoFAIBAcMMi+k8Fg0G1DaJIJBMpKsgxfQEeuhNJLqMh+vFpfbqeknaa0KVeSJSJIJttKhCZZRz14nNL59ALI6CO1X7ZU6rcpEAgEBxFJSUkYjUbKysooLi7GZrPF5ewJBAKBQCAQ/Jrxer3Y7XYqKysxGo3YbDbdtkSQTHDg4fHAV3dBy24441lIyozPnhy8SS8KVFJ1NYHLIU2m1IrLAfYW6bxcoSWf6m1jlINZBiMkZ0nn/UGyBLRbyutLROBNrsxL7Q0mS2JaTWUay2HOHyWts6N/F789gUAg2A8xGo0MHz6c8vJyysrK9vVyBAKBQCAQCA4I0tLSGDx4MEaj/qZJESQTHHhsmQtLn5PO5w2HyXfFZ0854TE5GwwmSUOrvTbQKqgFOWhlMEJSlnReDj7J1WBakQNMydlgNAXb7GyUAod6fghC20LlAFynznWC4v0slE7TEjQxE+Cb+2Dr19LfkOmQOzB+mwKBQLAfYrPZGDx4ME6nE5dLDD5JCB43vHUedNRBUjZc8iGY4nCFyxfBV3+GXoPhnP/AD0/Bho9hzKVw5DX6bM69C3YuhIl/gOFnSNe9PB2c7XD+m5DdV7vNT34HVWvgpPth4GTpui//DBWLYOLtMPx07TZXvAbLX4Khp8Dxf5Ku+/T3sHcVnPBXGHSSdpsAb54rST6c+TxYkuG/l4MtHa74Qp89mcqV8Pkt0vmRF8DRN8ZnTyAQCAT7HWazGYvFEnf1vQiSCQ48tv8vcH7bN/EHyWStr9ReUqAptTe0VekPkinF8OXAlRws62yKb41yMAsCQTKvBxyt2ivqvN7IlWT2ZulgQg7IaSHSxMx4g2RuJ2ydF7i89WvIvT4+mwKBQLAfYzAYsFqtWK06qpoF3aneAHsXS+ebgdYd0oAZvdiroXkr9OoLKSmQZJEut2yXLuuhaZNkIyUlYMPZAM0V4GnVZ7d+rWQzLT3weJNLuq5zjz6bbTulx5sJPN7QKV1nr9Zn0+uFqp8kyYbsfClI1rxVus1mkarT9bJ9TsDWxvfgpD/qtyUQCASCXzVCuF9w4FG5InB+7xpwdsVnr5t+WJxBHb/AvjKglSWddjXpsym3byoDYZYksPicUD0TLp0d4Lb71pfT3b7eqreemOwJUL8NHG2By3t/js+eQCAQCA4u9qwMvrx7WXz2OkP9B3m/S8CQnqCkmG9v1utDdIXxIeKVbOgMSbJBICGo29dpDWiapuT6qvt9hyry+6KXPasC5+u3gqM9PnsCgUAg+NUigmSCAwuPG6rXKS47oWFHfDY7QloO03xBsjadEx5Dg0QQfyWZ3RccsqUHXx+Phpj8uk1WsKb6zlvAmqbfptJut4mZcVaS1W4Ovly9Pj57AoFAIDi4CE2u1G6Kz15oUkwefqPXfwiyqfAh/Ht9kz6bjjA+hF9eIc69PjlMQlDvOuXAmzkJrClSNbv8PsTrQ9SF+BA1cX72AoFAIPjVIoJkggOL1ipwO8BohvyR0nWN5frtuZ1SayEkbhJlqM4XBBxHRyu4dWjLOFqlU1ta8PXyc+jJBPvXmQ3Kvu14M8Gh2eVEBcnqtkinfY6UTuu3Sa0ZAoFAIBCooXGndFo01ne5PD57oZXo8Wpwer2BoFWiKrQ8nkCQzKoMkvnsd+gMkil9CJm4pSXC+E+JmLrdXhcIPhaPk07rt+q3JxAIBIJfNSJIJjiwaN4lnWYUBUTbG+OY/OXPoBoCgSy5XaJDZ3ug3RfQSsoIXBdvG6Ns0xpSSSbb1WMznDMK8WeCZYdbtpuSoHZLuWJQFh12dsQ3hVMgEAgEBxeyD9F/onTaEOfkUH9rpC9QJAfL9LYGOtolnVEAm8KHiGdfVsoUKBNt8fgP0L1qHOKXlgjXwpkqV5LF4UPIn3NGMfQeKp2XvwsCgUAgEIQggmSCA4umCuk0qx9kl0rn43Fy/YGirIBIfaJaI60KZ9RkCQS49DiP/nbLkEoyORAn641oIVy2GhJfSSYfPDhapco9vbTskU5zBgQyy8279dsTCAQCwcGD1wtNcpDsOOm0qUKScdCLfx/1BXL8+12bvv1ODmgZjJJovUw8+7LfpklqY/Tb9AXJ7Dr8Bwi89uQwFW9xJ9kU1WnxyErItPr8h4xiyCyRzgv/QSAQCAQREEEywYGFHCTLLIEsn6PTule/vbACuVnSqd4gkSNCQCsRmeBQTTI50yxXmmlBfowyWw0JqCQLqVBLxDAACHzO6YWQ2Uc6L5xcgUAgEKihqykgXVBylBSI8jjjq1AK1SSLu2pc0RaplEGIRz9MqWmqtOn3H3QEybze8FXzckBLr//UE5quAC0+/yFD+A8CgUAgiI0IkgkOLGSnJqsE0vKl823V+u2FTqaC+AVyZYfTGlr1lSWddsXh5IbalINmepxceySdsyzpVG/WNrSSzGQOVNElxMktgsxi6bxwcgUCgUCgBnm/SOkl7Z2yFEA8PkSoJpnRBDZfoEzPfifv5d325Tj8Ev9eH5pkk6vbdfgPzk7w+irwlH5Jov0HpU29gTcIVJKlC/9BIBAIBLERQTLBgYU8MSotH9IKpPOt8Ti4IVlgSMAI80iTKH1243KcQzXJ4mi3dEQIvMXz+oNEh5WBxzhsgvT65AqA9MLAZ98exwQxgUAgEBw8yMGwdN/+kS4n2nTuI15vhEE9cpBMR6CoJ/Zlee/sZlNRSaZ1CI5S50xptyeE+xNSSSa3WxZJPgTEN4FUIBAIBL9qRJBMcGAhTzdK7RWYItVWrX/KYWgWGBI3ar2bQyqL5OqwG7PdMp5KsgQH8zy+6Z2JdHLlVktbhpRhT9TETIFAIBAcHMhtlf5JlHFWoyv3u6DKpzhaDiPpj8a1L8fwHzwucHVptKkIvBkVhxLya3e269Nk66lKMmUlulxB2Nmgb9q4QCAQCH71iCCZ4MBCnjiZ2jsQJHPb9WtdhRthHq8mV086uRHbLXVokkUKvMWTsZaDjpYUsCgEguN1cuWDGPmgRp5AGu/ETIFAIBAcHLQr/AdQBMmq9NmT9ztzcniR/f2mkiyCT2JNA3waZVqr0WMlA0Gfr9NTlWRKHyIlB//r1juFVCAQCAS/ano0SPb9999z2mmnUVRUhMFgYPbs2VHv/91332EwGLr9bdq0qSeXKehp6rZC/fbE2GqX2yN7SU6prP2hOxMcRrxedsj0TmOMWPUlT5KKR2Q/UnWaHoHgCC0Y/uq0NjQTLugIgXXq1SkJrfhLdCVZ9QZo1XmgJBAIBIKewd4GOxfFN4FSxp9k8yVZ/NXoOtvuwgnXQ5wJsQgV3kkJ8B9C93qjUX81eiSfxGgCS6p03qFjrdESl/FUkin1Z42mgC+RCB+iqxkqV+jvaBAIBALBfkePBsna29sZNWoUzzzzjKbHbd68mb179/r/Bg0a1EMrFPQ4e9fArPEwawLUbo7PlssOdl8wSHZy0+NtlwiTYY17OpXskEYQyY2n6qubzXjaLSNkl+NZZ6yJmYmaeOWvJEuAg7v5S3hugvQdlYNxAoFAINi3eL3w1nnwynSYf3/89pRyDRDQttTrP0Sqpoqn3TJikk2xL3s82mxGCrwF2dUaJIvw2oNsxuFDJIVJXOqtJPN4umulJirR5uyCF46Hf58Ay1+Oz5ZAIBAI9ht6NEg2ffp0HnroIc4++2xNj8vLy6OgoMD/ZzKZemiFgh5n5WuS3oXbDitfj8+WHCgxmAJOk+zw6A1uhHNyTeZAkEePUxaptSEhwaceEO6P5oxrJZL2SbxOrj8L7Dv48Du4CWi3XP5K4DnWfRi/PYFAIBDET81GqFgknf/p3/HrRykr0SF+/yFSoimedstY0gp4Jb0vLUTa60G/DxHNpt+H0FGNHs6HiHvwTxN4fYFFOYCZKMmGsgXQWCadX/af+GwJBAKBYL9hv9QkGzNmDIWFhZx44ol8++23Ue9rt9tpaWkJ+hPsR+xeHji/a2l8tpSiu7JQrF9kX2cbXywnNx7h3UTph3m9PRt464mKt0h6bHq140J1SmQH194iVRjqxeOB8h8Cl3f9pN+WQCAQCBJHpcJ/cHZAzYb47IW2W/r9B71Jtgh7aDztlpH2UHMSGM3Sea17c6R2S0hAu2W0IFk8VfNhJmY6O8Dl0G5T9h+s6WC2SuflRFtHnEGyHQsC52s26vdxBAKBQLBfsV8FyQoLC3nxxRf58MMP+eijjxgyZAgnnngi33//fcTHPProo2RmZvr/SkpKfsEVC6LiskP1+sDlvT/Hpyvib5XoHbiux51cjcE3l0OqmoPEBbRcXYEJWhH1w+KZbhlhnQ49WeBIo+azpFPd7ZYhmmRJWVJFIcQnvNtcITneMntW6bclEAgEgsRRuTL4cry/z6E+RLyTrCMO6YlnuqVvLw/dQw0G/T5EpHWC/kqyqIE3net0uwL7sTL4Fq8ERriJmX5NsjiDZLVKzWSv5OcKBAKB4IBnvwqSDRkyhGuuuYaxY8cyYcIEZs2axSmnnMITTzwR8TF33nknzc3N/r9du3b9gisWRKWhDDxO34hwC7gd0FKp354cKEnNDVzXU5VkejPBysBSovTDlC0L3YJPPptuh6SNoYVIeioJ0T4J1SSL82DEr0nmc3INBsUwAJ02AWp8Dq48VKFpZ2IEogUCgUAQH7KOqbx/NOyIz54/2SK3W2YHX6+VWJMo42m3TGSFlqMHAlqRKt6CbOps4VTaAEloX/YpdE3dDvEfIDHDACAQJJN9iIay+OwJBAKBYL9gvwqShWP8+PFs3bo14u02m42MjIygP8F+QrMvYJldKv1BfA6E7MwETT2KM0gWMVAUZwuCOUnSNguymQAH1xjyL6tct97gWyRNMj3aJxGncGZJp3od0s6QSjKIv4UToN732zJwkiKQu0e/PYFAIBAkBtmHGDBJOm2Mw39wuwJ7pOw3yKfOdn1t+xHlGmT/IUHthjLxJtpCk1cJsRkumKfz9cv3N1rAbAu+LR5d09BKdKW9ePwHR3sg8Tt4inTaWK7fnkAgEAj2G/b7INmqVasoLCzc18sQ6KGpQjrNLIGc/tL5eJxcOcCidPTkzGBHgivJ9Dp5UR3cNH02o02RMpoCFWta2iXcLnB1+tYV4uTGo30ScxiAjhZO6K5JBvEH3gCafQ5uVj/I6iudF06uQCAQ7FvcrkDCov9x0mk8STZlEEgOYtkyweBzg3Xph0Wo0OqJqdPK59EbfEpku2UkqQpIQHVaFJsOHYHHzjD+Qzy6cTLy99OaDoWjpfPCfxAIBIJfBebYd9FPW1sb27Zt818uKytj9erV5OTk0LdvX+68804qKyt5/XVp6uHMmTMpLS1lxIgROBwO3nzzTT788EM+/FBMnDsgad4tnWaVSOLzEAic6UHO+Cn1KeKpJPN4ApVSiRKvV9MqoVXrK5qDC5KT62jV5pArHc1I2iedjYkL6MUj5AvhM8GJcHJb90qnGUWQ3Q8atkstl0zUb1MgEAgE8dG6F7xuqaqo5CjpukT4D5YUMFmk80ajlGzpbJD+0vO12YxVjR3X8JsETo3skeq0KH5JT2inxfOe+tstw1WSNWm3J+P3Hwol/wF8/oNAIBAIDnR6NEi2fPlyJk+e7L982223AXD55Zfz6quvsnfvXioqAk6Pw+Hg9ttvp7KykuTkZEaMGMEXX3zBjBkzenKZgp5CbpXILJHa2ABaq/Xb8wfJsgLXxRMkC9K/SFBQx6HCcXR1SQL/8pQltesM5zSDz8mt1Obkys6oyRZ+HbqDZC2BxwfZ05kBB3A7we777JWaInKwNBFObnqh9AfQFsd3VCAQCATx4/cfigO/zV1NUltkaCueGsIl2UDyITob4vMhoiWFvF4p8aSWSFOnQ+1qIVryTn4/EtpuqbfiTfYfwrWFxlGN7k+yhfEf4qokU/oPRdL5thr99gQCgUCw39CjQbJJkybhlSuIwvDqq68GXb7jjju44447enJJgl8SuZUtsxgcvolF8QQg5HaAoEoyn9OjZ7ql7OAaTFKLoZJ4ndFwDq7yOkcbmHO63yeszSiiu6BwSDU4j9FEd0F/djlWu6Xbri1ACMGaIcoAaTwaJTJKJzctTzovnFyBQCDYt8j+Q0YfKZBlskrJtrbqQGu8FiIFyVJypApiPeL9EeUafPudxyUlxSzJ6m2qEsTX224Zzi/R4T9AjOq0OIX7EylXAYEAaJCebZZ0GleSzdduGeQ/VGsPjAoEAoFgv2O/1yQTHMB0+EZrp+ZBeoF0vq1Kv71o7ZYdDYGWTrUoHdxQh0avkxetBcFkllo9tNqN5uACWFOlU4cGkf2Ygbc4nfFQu6EBQk02fe+VJTV4GEK8wv1eb3C7RJqv1UZUkgkEAsG+RfYf0npL+7P/91lnEiNaJRnEl2gL3e8sqYDPp0jUHgr6/BKvN/p0Sz3+A8Rot/Ql2RIpLRFPu2W4qdtK4X6tvqNMq8+fzVAEydyO+CdmCgQCgWCfI4Jkgp6jXQ6S9Qo4EAlpt1QGybKkU48TnJ3a7EUVnu0B4X7Q5+jFsilfr0XQNlbgLdFtHXoDhMo1JHpiZke99L0BSCsQlWQCgUCwvyD7Dym9pFM5SNaqM9FmD1OJDvFNOYy0jxqN+vZQlyMgTREt+KTFprMTvJ4oNmX/QWdA65dqC41rGEIYH0L2Hd0O7b6jjCzcn14ktQDL3y3hQwgEAsEBjwiSCXoGjztQ4p6SKwUhQMoOe9z6bIYLklnT0J+x7Ym2hlhtjDrsRnMclddryQTH0jnTO0UrWquI7slcEdYar6aIXEWW0ktq/xSVZAKBQLB/0KFIskH8v8+RKsmSdCbEIPEth8pAVaKCT36bBl+FWwhWnb6OqgEDOjVdo72fWoN5ysco12pNk6Q2QH+iTQ7Yyp0SwocQCASCXw0iSCboGToaAF8Je3KO5OgajFJGs71Wn02/k6somTcY4tfPiurg6nXyElihFUs/TG6X0KIpEmkKpUzc2ifRhHcT1H4Sr6aIPPFKPghLFZVkAoFAsF8QOpEwPUFBstC9Sd6XuvRUKCU40Sbf15wULC2QCJvWNKnCLRTd7ZY9qZ2WQP9B+RilD2EwxJ9oC/Uh4m0JFggEAsF+gwiSCXoG2XlIzpacPaMpILIv36YFrzdKu4TPodLq5PZoJVkCK7Tk1x0p8GbV0S4RTfsD9GufqNJT0SkQ3K2SLEs61e3g+jRo5O9lWm/p1N4Czi59NgUCgUAQP+0hQbJU3++zHv8BIleSxdPGl+hEW8xJ1nr8h1h7vQ7/weWQhvBAYpOM0XwyvZXoQXZDgm/xJto6Q3wI+TsqgmQCgUBwwCOCZIIAXi9s/Az2rIrfltwqITu4ECyyrxVXV0CrI6KTq1FTRE1pfyLbDUGfQx6zhVOHkxvttYM+7RNHO/7qwaiBR63BTHksfMiBQ7zC/aETr2yZUrUjJEZ4d9s3sGtZ/HYEAoHgQKBuK6z5L7hd8dsKbbeMx3+A2O2WWvcRjyfxLYc9UeGtVtPU1aX+c1P6GtH0wxxt2uQ1VCXZNPpkysEFEXVNdfgQHk8gQSd/N1PkaeuN2u2F0rQL1rwPLnv8tgQCgUCgGREkEwT4+R147xJ4aQo0lMVnK1R0F+JzIGQnxmDs7kDFXUkWxclztmt08nrQyY1Znaaj3TJcW4PyufSs02AMiPTHaxNia5LpDpL5DrZSfA6u0aioTovTyd3+Lbx5Drx0kgiUCQSCXz+Odnh5Knx0NSx4LH57/nZLOUgm+w8JDpLZfJe1Jm+civbEhFWSxar60pNkizUdW/FcahNtQW2hlu63K59LU/JOjXC/Rv/B2aEYXJBAH6KriYCkSHbwabz+g8cDb5wJH10Dn98Wny2BQCAQ6EIEyQQBVr8tnbodsGF2fLZCs8AQ36h1pYNrMATf1iOaZIrrdDm5CdQkixV409NuGbPiLZ51pnf/jPTaVN4/9PXL7adaA5kyHXIlWU7gukQ5uWveD5xf+37k+wkEAsGvga1fBwJbP78nVfDoxeMOVIzJ1ejx/jbHrCTTmWQzGMGS3P12PZXTyj00HHEN/omw15utYLJK59X6ELGq08y2gE1draGJnJjpu3+45J2e9lUZ+XtoTZfeQ0ic/1C5Auq3SefXfSjkHwQCgWAfIIJkAgmPB/asDlyuWBqfPb+DqwxAJKCSLNTBhZ7RvzDbwGTTbjdauwDo0+WKpSmiR3g35jr1VKdFaIv029Q5nSpSdllvtlomtN1SeT5eJ7diUeD87uXx2RIIBIL9ncqVgfPNFYHpwXrobMRfpSP7EPJpR4KDZPFKK0RMCumofFKrFapLWiHCvgzah//EWiforHDviSBZlM9Jb3Ua9LD/sDhw3tUJtRvjsycQCAQCzYggmUCiYUfAmQKoWR+fvXDtlvFoikQLkunNBMfU5eqBrG1cLRgJbI2MOWBAdsYTOIVTt5MbIfhmtoHRos8mKNotE1xJ5uiAxp2ByzUbEqPRIxAIBPsre1cHX67eoN+WXJGWlBVo54unEh0C/oHcUi+jtxJd9fCbBOqHyTbdDvVaVbH2elDs9yoTbWps6tJKVVHdr9t/iNISqyfJ1hEi1wCB72i8mqa1m4Iv710Tnz2BQCAQaEYEyQQSdVuk06y+0mlThfaR4EpkJ0EWV4eAMxFPJVnY0eB6ndxYk6R60MnVMmRAdbulhs8rZltoHFO0Yq0zUZ+TwaCv4k2mpzLBdZsBr1Q5aU6WBJGbK/TbEwgEgv2dWp8PkenzIeKpfvELomcFrpN/mx1t0nRFrfgTbSE+hO4kWw/oj8ZKsimfS+161VR9+QNaKteqqjpNT7tplLX6A4R2bZ9/NJ2zeCZm9mQlWY3vfyejWDqV/XOBQCAQ/GKIIJlAonmXdFo4KiBk27xbv71wgZ2earfscSdXzyTKRIrsq2231CHcn9C20FhBR52tDT3RggGBTHCiNcnqt0unvYdAZh/pfNMu/fYEAoFgf8Zlh7Yq6fyA46TTeH7zwgVhkrIAX7uc1t9nj0dRURQSJJMvu+3aJgmqrRrX4pfECj4ZTQFdLdUBrRh7Pehot4zx2iHxPoTyPdHk6/TAxEwIVDT2hKZpg8+HOOQk6bRZ+A8CgUDwSyOCZAIJeRPO7AtZJdL5RDi5SmcnHgdC1dQjjROKYjq5GivUvN7Ykyi1lve7nZLzDgnWD4sVeNPTKtED7SfKNYR1cnVWEULPtVu27JFOM4qDKzMFAoHg10hLpXRqToLC0dL5eA7sw+3NRmOgskzr77OzHb/GWTRtS00BrZ6sJIvWxqgx+KRFP0x1u2WMqnHl86n1ITzuwMTQcEFCkzkQIExU4jIRSbaw/kOTFJjVg6M9kBTud7R0KvwHgUAg+MURQTKBhFw1ltknUP0ST4uY7GwpnSi/8K4OTZFolU+2/aRdwqFwxBMV0FI+dyz9MGe7esdMbTDP1aVeT8vvjMfSTtPYGhmtkkxPMA+k96mn2iVkweqMwkDAWWSCBQLBrxWl/5DVTzofV5ItjP8Aimp0jT5EtEmURpM+KYBo+xLoS+DE0vUE7XterH1Z+Xxaq9Oi6pxp9HWUryemBIaegUKJriQL4z/49e682pO2Mi0+/8GaBnnDpfOiEl0gEAh+cUSQTCAhO7lZJYlpEQuXCZYdCD2iptGcx6QeaONTXq86SBZjJDwoBHI1Oo7mpICAcTebqYHzTo3Cu7H0w0C94xyzhTPBmmTK6/SI+Xp9AcVw7RJ6ArkyciVZehFkJqAqUyAQCPZn/EGykgQl2SLs9/5KsiZ99mJOotxPKsnUVGhpDT5FbbfUWUmmSrhfo/9gNEtDecKhJ5jp/y6F07NNcLul2RpYo14folX2HxRJto46cHbqsycQCAQCXYggmUCi2dcukVEMGUXS+bZq/fbCOWZ6tcOC7KV2v01vy51aJ1erQG4kRxy0B4rUtDVYkqXAHKhznD2e2JlgsxVM1uA1qF1rzHZLrZVkEaZbBtnU6OTKWWBzMliSAtcnxdG+KaOsJEvLl8631+q3JxAIBPszsv+QqfAfuprB2aXPXqR9L+69Poz/APp8E9WTrLXYjLLXyWgVxFejH6a36itq4E3j3qz8zCP6T3oqyVRokumZbhmukgzik4CAQCVZRqFvuqvPDxM+hEAgEPyiiCCZQNLSkjfgtDxI7S2dj2dTDlf9Iw8EcLarb+ELtRdOq0Jv8C2mk6u3rUGlmK3Xq2GNUZxmg0HbCHenirZQ5XNqdZxjtYWqzSxDsM5b1LHwGp3cSIMg9A4XUCI7uelFiv+lGv32BAKBYH9G9hVS86TfVKMl+HqtRNpLemyv74FKMj1DetQEn7QGdtS0Rva0r6OGaBVfoTa1+BBRhwHEMd0ykg+ht7NBplVRiW4wBHyINhEkEwgEgl8SESQTSJu5xymdT8lVbMo6D+y9XsWUpjCVZKAjEyxrlISrJNORsVUliK+z3VJNW4PXLel9xbSpwhkFxYRLFWv167OYIreFgn7tEzV6bGoChCC9Rx5fQDXq0AatB02+tSaFOOR6JpIp8XgUlWRFkCYHyer02RMIBIL9nY566TS1V/CBvd7kQKS2Q71DeqL5D6Dvd19t5bTbLvkbqmyqmRqpVdfU95oiTcwE7ROyVQ0Y6IHAW1zTwaNVouuoJOspH0JZSQaJSVoLBAKBQDMiSCaQ9A4ALKlS0CQ1T7qs98De2RnQe1I6PCaL1N4G+tslwoqv+pwUVxe4HOrsaRHE1+w4qnDylPdXYzOag6t8TjWVZMr3MlJbA2gPEsYSCNYaIITg9yiRI9wjtbXEmwXuagoEnNPygx1ctYFBgUAgOJCQfYiUXOk03uRApP1ertpJ9JAePW32jhh7s3Jv6YlEW0L9Ep1VX2rWqdl/SGDgDWII9/s+d0er9mmUXRF8iHir0WWZk7QC6VRUowsEAsE+QQTJBNDuywLLDm5qL9/1Og/slQ6MJSRzq7tdIpquREb3+8VCXqPJFlkQX28lWTQnz2gMvCdqHHI12VXQlmFVk1kOsqn19asYBqA5C54mvXeRbGrVFIkU0PMHXDvVZ/+VyBUVtgxJ1012cN2OQHuGQCAQ/JqQf/dSfL5DvNUvPaZJ1gMi+5H2O5NFGrijxa6WCq1EBt40V32p0E7ryUp8PS2sYYX707rfTy0RfQgdnQ1KOkL88TQ5aS0qyQQCgeCXRATJBIpWCTlIJh/Y2/Vt9EoHNzSwodvJjTASHsBkDjijWicpJbStQYXIPmjTFFETeANt7RJqXrvy9kS1WyoDhFpHzSfy4EZ5/25ZYB3ZfyXyRCtZzNeSHAhGCidXIBD8GvEn2nyT/uRqdL2SDZH2Pb2tbLH2PK0i85D4qi+l/mbU4JOGSiWXXUrQxLLp9x8SNB1beVtCdc40TsxU2g23VnOSNE0TtAXJPO7AJPHQIFm8w3/kgQApPh9CTloLTTKBQCD4RRFBMkH3VglrSsCh0NMuEc151FtJprZKaV+2C6gNaGkJvqlxRpXPmcjAm1a9Dk1ZcK2Oc4yWFq0BLb/oboiDa7KAJcVnU4eTK4+Flw8WQdF6JJxcgUDwK8PrDdYkU57qbbeMtO/pDUBES7KBzja+BCfaHCoH6mgJPsWSK/Df1gNV85r9BxXtllrbQpX3DWfXYNBZRaj4/kVqt9SrSeZPtIUEnIX/IBAIBL8oIkh2INO4U9L/ihfZkZVbJSA+Jzea8xh3JVkk4V0NmlygUv9CoVehyqbKgJYmJ1dFW4PSZiIDb1aNWVtVTm6C9VTiriQL14IRh/BuqIMLgaoyOUMcD52NIqMsEAjip6sFWvbEb8fRFhiCkxJSjd6hM0gWKSmmNwDhHyQUwX+wavQfgmwmqOVQfs0GY/SBOpoCb77nNSdLFfexbCZS50x3JXqiNcliVKjpCrzJ72uSJKsQtMY4NclCE22J9B88HqjfLvRRBQKBQAUiSHag8vO78M/D4KUp+rSTlIRqIAAkZUmnXU3a7ampJNPiQHg8sUVy/SL7PaDToTkTqrLqS4vjHDOgpaXdMoqQrRItmWDlRNMDoZIsWvAxHic3XCWZ/L/U2aTdnpL2OvjX4dL//a6f4rMlEAgOXjqb4NmjYOZIKPs+Pluy/2BODuxDyVmB59FDzEoyrb/3MSqftO5LQTZVVGipmjqtCBJFG6ijpcJdrbSClnZLZath1ImZOocBqEmy6WmLjTRQSI+GWCTRfr32ZBwdgaFGsj8u/y/p8cVDmXM7/GssfHFb/LYEAoHgV44Ikh2oLH5GOq1aA+U/xGcrVJMMFE6ujuxV1JHbciZYg4i5syNwPlYlmebWwGhBMq0tCBo1yTQ5uWqnW2ppt4zgNMpocUiVE01VtXWodCAdUSq+oGcryfQ4uWErybKk03id3HUfSZUZzg5Y9p/4bAkEgoOX9R9D6x7wuGDpC/HZCh38A4rEgM7ql0gJl56qRNeaZAOViTYte73aJJuGCnc11d2grRJd6WOomkTZqq5yqSd0Yr3e2Fqputoto/gP8UzIlpNsRktgvYlKstlbYflL0vnlLyemMk0gEAh+xfRokOz777/ntNNOo6ioCIPBwOzZs2M+ZsGCBYwbN46kpCQGDBjA888/35NLPDBxtEPVusDlsgQFycI6uU3a7UWtJPONcNczaj1aG4LWNj4tmmQepySAGwu1AS0ta42lxea3qSFrq1rnTEtbqOwQGiIfiGi1qbSbaOH+aJngRDi5KeHaLZu021Oy49vA+Z2L4rMlEAgOXnYvC5wvXxhf+1W0JJuexIDXG6WSzOc/6NU0jaVJpjb44nYFKn4SVTmttmq8R2z6XoOzXarcj4b8vEYzmG2R7yc/p9cTnOiMaFeDJpnqjgGFzlsiq9GjVqInQK4hJSdQTSj7D/Em2SqWBl/etSz8/QQCgUAA9HCQrL29nVGjRvHMM8+oun9ZWRkzZsxg4sSJrFq1irvuuoubb76ZDz/8sCeXeeBRtRb/xg9QsyE+e/LBu7wZK8/r2ZjVaJJpcSCUDnOkNoSeaI1UOpZaWiNVC/dracFIYLuE2sCblnZL5WtX1SqSoGEAsj23XVvbsfy+hgr3K59LS7WjTLhKsnhal5Uo/8+bdwWeSyAQCLSwZ3XgfFdTfNpk8u9aOP9BT2LA1QVet3Q+kiaZo1Vq+1NLrGCR5iSbYu9OtMh+IpNsqivJFIktZwwfwqHCH/Pb9N2uRT9NjSaZVj8vWoI1Lp2zaO2WcSTZwlWidzbFF8wOPU6oWqPflkAgEBwERFHyjJ/p06czffp01fd//vnn6du3LzNnzgRg2LBhLF++nCeeeIJzzjkn7GPsdjt2e6DKp6VF50SZA4nq9dKpLUPKaFXHGSQL50DG026pSpNMRyVZVGdUDhJp1SSL4pCZzNKkQ2eH5PDIwwwioXUSpcLRa+1yUt1ip7qli6rmLurb7bR2ufi/mlqKgKd/rGbFsp/weL24PV48Xi8eL1hNRpIsRia3N3AxsLFiD1/O20KvNCs5qdJfXrqNPtkpJFlMvudVG3jToqfSou21J2osfGggU1nBpcpuOCc3M/g+WvCPb4/g5OrF0SEN6gCwpEoHMrWboN/R+m0KBIKDD7dL+u0A6Tfe0SodQGcW67MXbj9RJga83ujBlG72FHuDJaQqWZnUsLcGflvV2oy4j6R2f2419kzW7sLtSrS07mtOXgX2J6fbQ22rnaqWLmpauqhusdPc6WTgri2cAqyt8/DkKz/h9oLH5z+4PV4MBkiymEg2G3kGEybc/OvLVVizi8lNs5GbZqVXqo3CrCRyU60YDAb1gTd5cqS9xffa8qPfX03iUqv/oCZ55//sdVSSyZWNQWvU0S0h0xFF09Trll5PrPc9EvL/vOzT1mzUZ0cgEAgOEno0SKaVxYsXM2XKlKDrpk6dyksvvYTT6cRisXR7zKOPPsr999//Sy1x/6B5l3Q68ATYMBtadktVNKbu748qwjk98bRbRnN29JSi+wNaUdr4tIrEqha0TZMcigS0RtpdbrZWt2Fq8DIM+HFDOY9s+YGd9R202V1hH3OmtRmM8MPOLpZ5I081TDbaudgKjQ0NPD1/a9j79E63UZKdzF87dzEaWN/gJaWunb45KZiMYRxILVlbrdppWnXeItk1W6UDFbdDev9VB8l8VWK2cE5uHJlgfyWZoqoiXn0egPptgFdqic4fIYltN1WIIJlAINBG617pgNtogdJjYcuX0Fiu3164Cmo5eOV2SPtntL27mz1F0M0Y0uxgtoHJJlUO21vUB8liTsfWGXzRkRCLSIzkldfrpbKpk7KKLiYCuLq4+IUf2V7fRXVrV9gio6tMuzjFAjtaDHxbH30qcpvNRqahg4+XbmaHt/vel2I1UZKdwpTkjfwBaHLbKKtoZHB+Oqm2CIcS1jTpc0qU/qrmSnQ5eaemhVNDJVlPCfd3hvEfLMkBH6ezSX+QrG6LdDp4qqRJ2FShz45AIBAcJOxXQbKqqiry84OzTfn5+bhcLurq6igsLOz2mDvvvJPbbgtMamlpaaGkpKTH17pPad4tnRaPhc1zpM2zZQ9k99NnL1wQKp52y2gOpB7hXdnBVTVuXOUIdy1ObnuNxtbIdFxuDxv2tvDz7mbW7W5mbWUzW6pbcXm8/MbUyL0WaGisZ70z8D6k28zkZyZRkJFErzQrGckW8tc5wQlXTD6UC3JHYDSAyWjAaJD+nG4PnU43vfbUwWronwkXD+xLQ7uD+nYHDe0Oqpu7aLW7qG21U9tqp8XSCCb4z9JaPl78HckWE4Pz0zi0OJOxfbMZ2y+b0twUDFqE+7VqpyVyLLw1TXIufY6z1+vF09aGp6UFj92O1/fn6bLjdbswmMwYdraDw4ph+14MHZsxpaVhTE/HmJqKQU+1o0xYTbIs6TSedku5HSqzD2T2lc437dJvTyAQHJzISbbMYsjqG3ydHuxh/AdrmqRZ5XFJB/ZagmTh7ClJyoD2Wp2JNhXtlmoq39Qm2fRMsvY9pqq5i5UVjaytbGad76+xw4kFF1uTpLuuLdtNC9IazEYD+RlJ5GfYyEtPIjvVwvH1yVAJQ/sW8viYwzDLvoPRgNEgvdQup5supxvTd+lg7+D/RueygWLq2uw0tDuoa7NT02qnw+Fmc3Urpca9YIXtLQbOmSVpY/bNSWFYYTpj+mYztm82h/XJlCrXbWnQqvH1qxmEIGunhQZRQ1ETeAuTDPR6PHhaWyU/wu7A67Dj7erCY3cAYFi/HeosGKo9GDZswJiSgjE9HVN6usJ/aNVeRdkRphLdYJASbe01Ph9C5/GN7EP0O0YKksXzPy8QCAQHAftVkAyQyrkVeH3psdDrZWw2GzZbFPHQXyNykCyrr3TQ3LBD2vD0BsnCtQnGNd1SRbulJk0yFe2BWjVFVE+SUpe5dLg8GDpbsQB3flHGp3vqaXd010zJTrGQl9YLWmBUbxP/Pulw+vdKpTAzKXw2dl0nAKccPghy+kReQPlAWA2FSS4ePmtk0E1er5fmTie7GjqpaOhg8DcGaIHc3BxsjUY6nW5+3t3Mz7ubeWuplF3MSbVyRl4t9wLOzhbMXm/E/0Hp/VHZgqF7Cqlk1+t249yzB2dlZeDvx2ScTbm4l/weV3sX7sYmcIWvzFMsRPqb/6dutxiTLJjMeViWLMT8v9sx5+djyc/DUtIXa2kp1pI+GMJUtQKKDLOiLSgR06lafQ5uehFk+ZzkZpEJFggEGpH9h8ySwG9JPAH3cMEN+cC+o046sNfSyhlTZN8XJNOSxIgVLJH3Ja9HmtRsTYmxRhXJG1Dtl3i9XlqaG8kEftzVxZ2Pf0tFQ3exe7PRwIDe2TibLVhw8tgp/SnoN4iS7BRyU60YQyvCv06CShjSt5Ahh8cIrqzIAns11xzZG/qPDrrJ7nJT2djJrsZOzGt3wFqwpGTQy2qjrs1ORUMHFQ0dfLW+2r/OEUUZzOo0UwzYO5qJ6aWrEu5XfCccbeE1RcPaDDzO63Dg2L3b5z/swbl0Pc71WbiWLsT13Km4G5twNzWBO5bmXW/45geYGTw4y2CzYTTkY052Y6m8FnNhMeb8PCwFhVhL+2Ht3x9zdnZ4k/4K95DXlZwlBcn0+hAeN7RWSedLjpROW6ukgVTRhi8IBALBQcx+FSQrKCigqqoq6LqamhrMZjO5ubkRHnUQIju0mSXSX8MO/U6u2ym1LkDi2i2jVRXpqiRTk2HU2sanQpNMeXuY9e5t7uR/m2r4dlMtP26rY7WxBQywoLyTdlLJSDIzum82I4szGFmcyaHFmRRnJWPY0AH/hb5pHvoOj6LT4fEERHTDjRoPWmfk4JPBYCArxUpWipWRfTLhB0ng/i9nHcmdpcdTXt/Opr2trN7VyMqKJtZWNtPQ7uDb8g7utUFXewuTH57PsYfkcuyg3kwa0pteaSGOlWqdM/XBTFdjI10ba7BvT8Ve9QX2mg+w79iBt6srzL1twO7g122zYUhKwmizSedtVgwmM7hceGs24fUaIKMEj92Bp7UVr0PKEnu6nHgw42xrgfIvuj+VyYS1Tx+spaXYhg0lafhwkoYPx1JYgCHc55WQSrK90mlGofQ/D6JdQiAQaKc5xH9QXqeHSAmn5CwpSKbVh4hVpaVVssHrjS3ZoNQ+c7TFDpKpriSLvC93Od0sLWvg2001/G9TDVe0bORKM/xc46bC1YHRAMMKMzisj+Q7jCzOZHB+ulSh9XgGdNQzY3Aa5EcIuIDis4nhPyjXGmZvtplNDOidxoDeadBog7VwWP9ill9wEnVtdjZXtbKuspmVFZIPUdtq5+fdzZRZDBSb4M53FlNZksvEQb04bnBvRhZnBifdPB51g4/MtkCFYowgmdfrxbl7N127krDvsWNfdyv2rVtx7NwZJomWAjT7/gIYrFYMSUkYbFaMVsmPwGCApj14u1rx2rLAnIKnvR1Pu7T3e+123Jhwd5mwf78w7NpMmZlSwm3gQL//kDR0CEb/9yrkdcU7/Ke9VmqxNhghbwSYk8HVKQXMcwfqsykQCAS/cvarINmECRP47LPPgq77+uuvOfzww8PqkR2UuF2BqpKMYqmSDALXaUUZVAqqJEvAdMuwlWQ6RNHVtFtqbuPT2C7haMPr9bJ+TwtfrtvL/I01bKoKvAYLLmxJkuP1u2mjGT24P0ML0rtndpXPGauaSvlaEimIr3BGTUYDA3unMbB3GqccJrUz211uNuxpYcOWrbAQUumivq2T2av3MHv1HowGOLxfDlNG5DN1RAElOSlxV5J5XS66Nmygc/XPdK5ZQ+fPP+PcJR+4ZQIr/Pc1WK1YiosDf3vnYnGUY556O6bRp2DKzsaUnY0xUoVpaxX8YwhggL9u9rdseBxSsMy9/L+4P7kHZ9pwXEOvwFVdjbO6GkfFThzlO/F2dODYuRPHzp20LVjgN2vMyCApKZeU3g5SVq4n+fAjMaakBAectbZf+NesqCRLL5DOt0XXmBEIBIJu+CvJFP6DHITXQ6SEk3/CpcZq9FhVWsp2NjUop2VG2keNRuk2R5tkNy0vxhrVyjUEJwWbOhx8tb6KeRuq+XFbPZ3OQLVSukVK/ozoX8wrxx7B4f2ySU+K4Pda06CjPvZ+r9bPkW0qHxMJR3DgrVeajV6H2DjmEGmwkdfrZXdjJysrGsn+Xw60QJK3k6VlDSwta+CJr7dQlJnElBEFTBmRz5GlOZidKn0dg0G6vaup2zo9HR2S76DwIdz19UAOUAfM9d/XmJKCpU8fyX+wtmOpnoeldCimMx+R/IesbEzZWRitEYYyvHMRbP4CTr0TDr9Set1uN562NtytbbhnHoOrpQPXUffgagdnTTWuPXuwl5fj2rMXd3MznT//TOfPP9P80Ue+RRmx9rKRnJJFctJOUvqVYS0tlYKJ8Q7/kVst0/KlgVTp+ZIOYXutCJIJBAJBBHo0SNbW1sa2bdv8l8vKyli9ejU5OTn07duXO++8k8rKSl5//XUAfvvb3/LMM89w2223cc0117B48WJeeukl3nnnnZ5c5oFFZ4PUEoBBcuTkiYvtdfrsyU5W6JQmZbul1gP7aGLrWrU/ILZGCWhv41Pt5Eq3f7d2B/d/u4CyuoDmmcEAY0qyOGFoHieVWkD6GnPRxBGSIxIJtVMj5TUazbFL4rW8rzF0vmxmE2P6ZjOmYAQsBKPBy7tXHMb3OztZsKWWdZUt/FTewE/lDTz0xUaGF2Zwf3oFR4CKUfO+tkl7C46tW2lfvIT2xYvpWLYMT1v3z86aBUkZndhOuBTruONIGjQIS0kJBpMpcKc31sD2jTC0EIYNi/78ytdvywjSNDFarRhzczH37w95DigywJW/CXqo1+vFVVODo6wM+/btdG3cSNeGDdi3bsPT0kJHi42OGhtcez2YzSQNH07KmMNIrbKR0tuOUauItYyykkw+gGuv0W5HIBAc3LT5fjfSCxT+Q63+AH6kQIze6peYkyg1TF2GYJ3SqMN/fEEyNYkmLYN/gNaWJm565ScWbq3D5Qko7BdkJDF5aG8mD8njhLWZsAmOP7Q/DIkRpFOrdabWz1HajPW+xnjtBoOBkpwUKXm2oxjWwO3HFzIsfQQ/bK1j4bY69jR38eqicl5dVE52ioWzDzFyD+A1mDBYkmOvs6sJb0cjnStXSv7D4iV0/PwzOJ3B9zUZSMqwYxvQF9sJl2IbPAjboEGY8/MDlWybvoB3Z0OxAcaPj/7c/vdACnp6rOm43FIFusVowZSZiSkzE4pSIK0Rph0jaQcr8HR24qiokHyILVvp2rCBrvXrcdXW4qjpxEEKza9+C69+i6lXL1IOP5wUSwdpXhNWvZVkrT7/Id2n65yaJwXJ2oQPIRAIBJHo0SDZ8uXLmTx5sv+yLLB/+eWX8+qrr7J3714qKgItQ/3792fOnDnceuutPPvssxQVFfH0009zzjnn9OQyDyzkYFhKDhhN0mYHkpOrh0hVX7KD63ao0+hQYxNCtD9UBgzUOHqaNcmiO3o1rV18uKKSPptaOQ1YvW0XZa52bGYjk4fkMfXQfI4fnEdOqi+w2LhTOjUnRw+QKZ8zloOrbGGMdfCi9n31etXrsVlSpPJ8r4ejiqwcNbQff5w6lMqmTr5eX8VX66v4qayBDXtbWFtbyRFm+Hh9M47eFUwfWUhGSBbc09VF+6rttP2USVtVHa6ZpwfdbszIIHnMaJJHjZL+Ro7ENGukdJB11aXQe3D01+777DucHVR3VFPdUU1jVyMt9hZana202FtocbTQ2VqJM68XLksyrm9uwOmRHGuL0SL9dTVj6Z1LkqGJjBVPkWHNINOWSYY1g5ykHPJT88k/YiypCofa63Bg/+kbOp+/io6GNDo6S3Dt3UvXmjV0rVlDA7kYTB5S9/6O1BNOJm3iRKxaBozITm5GEaT2ls531EtaI0ZT5McJBAKBko566TQlN+A/uO3SvhBL4ykckfZnvdUvMTXJtOpa+vY7S0r030pbGrSptBuj2s3l9vDt5lp+/LGC+4Cu9ma+a5B8tGGFGcw4tIAThuUxvDAjEKxZJVfMq5heqNbfUbvXK23Gev1qpRUUz5tjdnDphFIunVBKl9PNwq11fLW+im82VtPY4eS7tZXcY4NWbxLPzt3E2WP6MKSg+/vgamykbbuV1o3ZdHxyHZ5Oe9Dt5sJCkkf7/IfDRpFU9RHGn56Go86F6VdGfN1OoMrZQk31Cqrbq6npqKG6o5r6znpanC20OiT/odXRSoerAxeduEtL8Kx6AFY9EHh+oxmr0Yolx0RyZhGZi+8mM73I70PkJOVQkFog/R01gIITjqaXJR2DwYCzpgb70+fTsWEbnYbD6Nxaibuujta5c2kFqsnHuuxN0qa1kXrccaQccUTkSrdQ5EqyjCLpVPYh9B43CAQCwUFAjwbJJk2a5BfeD8err77a7brjjz+elStX9uCqDnA65CCZLwMsb3Z6M0KR9MOsaYAB8AVVtATJommSKQIv2NsSHyRTXUnW3cl1uj18t7mW95bt4tvNNbg9Xv5sNoMZhucYmTl5NCcNzyctnMC+2umOoH4sfCR9inCofV+VrSexnHGDQXp/7M1BznhxVjK/OaY/vzmmPw3tDuZtqCLvfx7ohC1NBp77cC33fLKeaSMKuHhENkO2r6Ltf/+jbeGPeDs7AWltBpuNlHHjSJkwntQJR5M0bGhwhViEgJ7X66Wus47ylnLKmssod9ewM783e7a/SfWO12hVU2GQ6vs+V/4Q/va0VMAN616OaCI3KZeC1AKK0oron9mf/jYv/Yc76Z+SRPEt/8NZWUnHihW0L1lK+5cf4Oo00rZwCW0Ll1AN2IYMIWPaVNKnTsU2YED09SozwfL/vtcDHQ2Q1jv26xUIBAJQJNp6Sfu6XEHVXqsvSBYp4WTT2BYZy56M1oSYXEkWy9eQb4+jkmx7bRvvL9/FRysrqW2108fQwX02SDd0ctvJg5kxspBD8iK8rmjJxVBU+xCJ0SQLQo12mN9mdxmIJIuJk4bnc9LwfFxuD8vKG1m15BvYCi3eZF5YsIMXFuxgRFEGFx7Zl9N6e3Av+I7W/82nc+UqSb+MZMCOKSuLlPHjSR0/ntQJ47H07RusdTbn7aB1dLo62dywmW1N2yhvLqe8pZzyhk3sLi3BbeiCuVfEfk0gucZ0T1y6PC5cHhcYoNlspqp9N7Tv7nY/JenWdPpn9mdA5gD6l7YzIN3FwBmXMWjQmdjXraNj2TLa57xLx7ZqHNUtNLz2Og2vvY4hJYX0SZNInzaVtOOOw5iUFPlJZNF+uZIsTQTJBAKBIBb7lSaZQAV+B9c3yMC/2elst4zUemc0So6VvVkqLU+PIjDfzWYUZ0/WlLC3+BwnFXbVtDb4HTwVDrnXG2SzqrmLN5aU8/7y3dS2BjKTY/tmcUxmP9gKUw5JgTFRJnRpcXDl+7jt0uAEUwTdEYeGLLDa91XpVFtUBChtab7vQPj3NSfVygVH9IWyZNgAE4b347tKG9nrlnPYjy+TXL2RvZ6A7oo5rxfpmTtJ6wspT66OrBsG4OrC7XWz02Jmw95FbNxcxsaGjWxq2NQ9EJaSDM6mwEVzCgWpBeQk5ZBhzSDDlkGGNYN0azop9WVYVr2JObs/luNux2yUfgZdHhdOjxNny16c3z9OhyWZlqOupsXRQou9hWZHM/Wd9VS1V+HwOKjvqqe+q5719esD6yiWnNDCD6YwPHc4I0pHMHzcDIb1/oSU8r209fkd7T/voGPVKuybN1O7eTO1/3wa26BBpE+dSsYpM7D17x/82jzuQDVGSq5UqZicI7Vet9eKIJlAIFCPspIMpJZLOUimR58oUlVVUrAel3Z7CaokU9tyaFXZwhhi0+n2MHddFa8vLmdZeUB/LTfVytkjh8BqSMLBzZP6R68y15RoU1mNrqXdUmslmabqtPDrNJuMTBiYywRDPmyFzMxsTu6Vz6qftzPwh4WkvrOKvXKVvg9bvo30XnWkXXIbSWfcgkEhmaDE4Xawob2SdRnpbGhcwcZPzmJH8w48Xk/3OxsM2Lxe8jL6kp+ST35qPnkpefRK6kWmLZN0azrp1nQyrBmkmFOw/PsETB11mC//AnOBNE3c6XHidDtxeBw4P7yajj0raTn+dpqLDqPZ3kyTvUnyHzqqqGqX/prsTbQ6WllTu4Y1tWvAAhT0hpUPk7HuX5IPceQIRvQfzaE/vkmqdTJt9qG0L/geV20tLXPm0DJnji9gdjzpU6eRNun47n5Vt/95ESQTCASCWIgg2YGGvNmlhm52Ca4kAyn7JgfJ1BI0kTFCplEO5qieRClngtW0W7bH1lZRVFPd/sl2Zm9c7dcJyU21cvbYYs4/vIRB+emwZA1sRYOYrYYsMEjvQUpO+PtpaWuQ7xfrfVUegERwLrvZhNgj7Lta6Ky1ckj1OmYun42nNbCG8vR8fiw6jJUlI5lw7CDu2XSGdIOl+89Ph7ODNXVrWFm9kpV7lrCmXx86jUZYcn/Q/YwGI0WpRZRmllLaXE3/8qX0GTiF/El/IT8ln7Ro79mqN6G1DfIL4JAzu9/eshc+vwcMHXDEHd2+S16vl0Z7I9Xt1VS1V7G7bTc7mndQtnc5ZU3baTCZ2Nu+l73te5lfMV96UI6B4vQ8xhXv5vAzz2as7U9k/LSJ1q+/pn3RYuxbt2LfupW6Z54hecwYMs86k4zp0zGlp/sCZL6KXFkMOy3PFySrAYZHfq0CgUAg43EHhPRlPbJ49YkiVpJFngytzl4k/0GrJpnGSZRKDbNI+Na4aLed2/72LVUtkui+0QCTh+Rx3uElnDA0DytOWC2vozXw+x3WZoyBBUqsKpOCWoT71SYa1U4GB/UDheyteFzg2W3lng0v0L54MbglH82NgTW9D2Fx4Qjaxk3g4ezX6b2nDIrTg3yYDmcHP9f+zIrqFayoXsHaurXY3XbIzYa2gDZyblIuQ3KG0D+zP6UZpZSa0+n/zqXkecDw17XqdPk6msHtgYw+4d8HazY4HJCUD/2nRzTT6epkV+suyprLJB9iydPsMHjYkZRCi6OFJXuXsGTvEunOhxRRxA4OHziEwy+7hTH16ST/sIrWuV/h3LOHljlf0jLnS4yZmWSeMoPMs84i6dBDpcq6zgbJhj9I5muzFppkAoFAEBERJDvQULZKQPz6RFH1wzRkVmXUTGS0pUEr6toaIHZmWbYJ0mhwlx0s4UvPXW4P81ZuRXZbPlzfhBcjR/bP4YqjSzlpWD5WsyJ4pFX7Q43jaLKAyRbQgYkYJNPQ1gDq3letgbcYGWt3UxPNn3xC4wvbcTT0AlYBYC4oIPPUUzBNmc6y9lSWLalgc3Ur21c3co/vo/lpcwWjBxWzunY1P+75kWVVy9hYvxGXVzGe3Wgk2eNlSP4YhuUOY1jOMIblDmNA5gCsJp8ex8KnYO188CZBlopKiFiZcLntxusO+10yGAzkJOWQk5TDsFzFoIBVb8InN9I8cDJbTr6bDfUbWF+/no31GylvKafSYqay5ic+rfkJgLzkPMZdMo7jbrib0ZtdeOZ/T/vCH+lctYrOVauofvgR0k8+mayTjiLFC4akzEDVYWpvqN0kJlwKBAL1dDbSLeAeb1VJpGolve2WCdckU1tJpm6v31Ldin3HbkYCc7a0UeXuoleajYuP6stFR/UlP0O5X9ikoUhuh7QONUGyhEo2qJw6DYpKul+ukgyga8sWml74gOb5BXicjcBCAJIOO4yMU05hy/Cj+HFrO1+tq8LV6GVRm51zTZL/YOu3iZW1i1lYuZCVNSulVkcFORg5rL2N4QOnM3z4eQzLHUZeSshQhK4Wf0AOVxfEGhzgcki+m/L1haIy4JpsTmZw9mAGZ/v0Vj+9F9x2nDevZKvX7vch1u9ayJaOvewxOPl0+6d8uv1TAPL753Psg8dyQns/BqysonPuPFxVVTS+/Q6Nb7+DbdAhZJ51NpmdNdLBnuxrKgd2CAQCgSAsIkh2oCFrksmbnFKfqLMxcL1a5E08UiUZ6AuSGYyRnQ3N+mFR1hhqU15DSGCjy+nmgxW7eX7BdoxN5Uy3QbvXxrnj+nLFMaWMKMoMb1fte6AlYyvb7bBHd8i1tF+AuvdVa+AtjE2v10vnqtU0vvsOrXO/wuuQpjsZTB4yTjyWzEuuI+Xww/1tEJcBl47vx/Kdjbz0/Q7Kd1pYkmLjsfm34Vm6C68hWHy3ILWAsXljGWfLY8w3jzIgqTem37wRe41qKwtiVioo2lDDfJdi2c1MyuKIgiM4ouAI/02tb5zJ2j2LWX7YGSyni7V1a6nprOHLsi/5ki8BOPT0Qznx/65g/BoHyV8vwrF9By2ff07L559jzehN9mFJZLa1YUpLCzi7coZYIBAIYiFXoidlKQLu8gGzDskGt0sKLED331M9/gP0gCaZyiBZjODbqopGZn23nXkbqnnd0ggmyMnO4akTRzFjZCE2c4QEpS1det/Van1pmkQZxabbBa5On001VV9qE4JaNMnCv6eeri5a5nxJ0/vv07l6te9aI5YsK5mXXEvmqadgLS0FYAIw4QhpmNIbS7azaZWH+5JzmGv/gva5s4PsFqQWcHj+4YzLH8e4/HGUvvcbDDXlcOIpUHJ8+DUq3297W+wgmfL9iaT1JvsQan1cCAq+WZJzGJ6czfDc4ZzLuZA9h473LmJ18aEsH3s+y6qWsa5+HdUd1Xy47SM+BMzFZg7/81hmNBzPoUtrcH+3CPvWbdQ8/ji1JsgoySL7iGaSRxKoKOsQ/oNAIBBEQgTJDjRCK8lMZp92WIvUlqU5SBalAkqPk+vP2qZHLltX64x1sxlFQ8toksTrnR3Sen3vQ7vdxVtLd/LvH8r8emMTUlzggeS0TP5+3qjoz602a63FwZXtdtTFCGhpqE6TbSrXEg6tgTfFWHivy0Xr119T/+prdK1ZE7jL0KFk99pMRn41phtugOJxQSa8Xi9bGrfwU9M3VGd+w2l9feKxSC0QHlcayc7hTD/kOK454kT6ZvaRbi5fKI10z4x1cKMyAy4TYzJZ0HfJ0ab+fypK1j49KYujO7s4OmccHHUdna5O1tauZcneJSysXMjGho2sq1/Huvp1/DML8i7rzbmeGRyz2o71q4U4WqB6oZua444n84zTySk0YwPtk+PCseot2LMKJt8VuapRIBDsGzxu+PYR6Xfp+D/FN802VNMUAtVNXU3a7UWrHNcbJEt0JZlq4f7uyRav18ui7fU8++02Fm2XAowGA/RJcYMdbj1lDIZhfWLb7aiP/j64HFK1GSSsQis4mJNATbI4gnmu2loa3n6bpnfexd3UJN1mNpN+WB+y0leQOvVcDGffGGTC7razqHIR83bO47va72jNbwXSACdejxl3x0C8HUM5ZeAk/njisRRkKpJaaqQ6jEZJn9XZ7vvsY2h8yu+5OSmyxpzWQG7ofUN9E1saKV4vR3c5OHrszYDUqrmiegULKxfy/e7v2dW6iyXVP7GEn2AsjDlmMOfvLmbQjxV41m+muTyF5j88QdIrc8k+ZSIZbjDq+Z8PpaEMFjwOh50HA0+I355AIEgsOxbAz+/AxD9Ar0H7ejUHFCJIdqARKsAJUlbY3qLPyY2WtU3S0S6hRptLjYMXZFOFoyPf7gtstNldvLKwjP8sLKO50wlAUWYS1x43gP/Lz4Q3waipBSGGrormCi0Vuipa2hqUNqO9r5rbLdNxOww0ffojDX96G9ceacqiwWol49RTyb7wApJGjsTwt1Lo8vrX4PV6WVu3lm92fsM3Fd+wq3WX36TZ62V0l51xQy+ipvNoPl3mpa7DzRvl8P2qrdw42ctZY4qxqG0V0eqQqgm6WlN93yUV+jQy0YJv/u+89D1KNidzZOGRHFl4JDePvZnajloWVi7kh8ofWLxnMTVdtczia2YNh5IhqVy2uJWRG1Mx1nXQ9M67NAGpBTnk5m4l5Xhv8EQvLTRVwCc3Al7wOOG0f+qzIxAIeoZ1H8EPT0jns/vD6P/Tb8uvaaoI/CdnSad6Au7yb67JCmZr8G26p1vG+N3XXDmsch9VJFu8Xi8Lt9Xxj6+3sHpXEwBmo4EzxxTz2+MHMuC/D0ItGLRMeFQb0NJS9aUmyWa0gDnKgJxQm6p1ztT7T12VLTT8+U6av/hCSnwBlqIisi64gKyzz8L88yxYuAiSpfu7PW6WVi3ls+2f8e2ub2l3Bvbh3qZkTmysYWLBeFqHPc4rP+xmeX0jH9bb+WzFt1x4ZAnXTxpIYWay+nZTW5oUJFMTeHWo8R806NvJ+INvyd2Db2EqB5PNyRxbfCzHFh/Ln4/8MztbdvLD7h/4bvd3LK9azqrOLazK3QKnw0mjvJy13EXvshS61q1j77p11NjyyR5iJ6epCVNWlvp1hjL3z7BlLmyYDbdvUe8DCwSCnsfZBR/8Rtr767fB1d/s6xUdUIgg2YGGf8qdQtsiOROaCQjyaiFaVlCP8K4a/Q/N7RIqAzvWVGiHz5Zt4d7VVTS0S5nZ/r1SuX7SQM4cXSzpjW3ZKt1fi/ZHzFYJDdofyudW4zhrtalGk0yFTVd9PQ1f76Lxh3w8Lkk81pSTQ/ZFF5H9fxdizvUFar1ev92dzmY+X/0sn2//nN1tuwNLM9k4uuhoTu53MsfNfYjM2l0wZTIMmMSfTnDxxpKdvPj9DnbWd3DHB2t45n/beHxwOeMh7jaZbqipprOmSXodWtolor23MQ4Ye6f05qxBZ3HWoLNwuB0s3rOYr3d+zbcV37KLVh4+NgWO8TC5toBz16bRe9l22quSaH/2R5K+v4Dcq64i/eSTMJg0Vpls+gK/RtGmL+DUmeqEiwUCwS/D5i8C5zd9HmeQLEwlWVKWdKrHf1CjadqldbplDB9Cr1yDGv8BqG9s4IYXl7C0TGpFs5mN/N+RfbnmuAEUZyWHrDFBQbJoAZKwa1WRZNPqP6jRJPN6VftjXq+XjnVl1H2bS0e1C5gNQPKYMeRcfjnpJ52Iwex7rb7XX2bw8OnKf/LZ9s+o7qj228pPyefkficzpXQKo8qWYfzyj5BvhUP7MmNECYu31zNz/lZ+Kmvg9cU7efenXVxwRAn321sxqnkPrGlAtTqfVJWP6wugaakki8N/AOiX0Y9+w/txyfBLaOhqYH7FfL4q/4plVcv4pp+Fb/pZyOyA35QN4MjFDVDbRN2aFOonn0D2+eeRc8UVWAoLI9qPuOZtvoNuZwds/xaGn67NhkAg6Dl2Lwskx3Yvg9ZqSM/ft2s6gBBBsgMNe7N0Kju2EGiX0JMJjlZJpicTrCYAoSWo4fWq0vtyuj20umzkAB8u3kSDZzT9e6Vyy0mDOPWwIkxGxYF/rHY7PWtVKw7st6vCIdVqU83BgwrH2VlTQ8NLL9P43nt4u7oAI9b8dHJvuoOM007rNl68sa2Kr9KS+SwtlTXzrvRfn2xOZlKfSZzU7ySOLT6WFEuK77n/GbTOVJuZ3x4/kMsm9ONNX7CsoqGDz5ZtYbwFahxWenujVEtZVQYyZXoikAsxnFz1LaFWk5XjS47n+JLjcbgdLPnyJr4q/5pvMjL5Nq+Ob0+sI3+skasWOThsg5mutWupvOUWLP36knv11WSdeSYGi0XdmvesCpxvr4WWPZBZrO6xAoGg59n7c/jzepA1iJIVbdWJaLdMlKYpxN7vtco1qGwPrOwwUQys3LqLpc4GrCYjF4/vyw2TDqF3ekgllh7x+p6QQVClP6pxSE+0dTo7JP1b5RpC8Hq9tC9cSN2s5+hctQqwgcFLxvQZ5Fx+OcmjgiUuOl2dfNm2nQ8L81lTPRd8sbEMawbT+0/n1AGncljvwzAafAOV9mwKen0Gg4GjD+nF0Yf0koJl32xhaVkDby4p48Ek6T6tJBHV29Pik6pJiPqDZDoqyWL9L3k8MSeT5yTlcN7g8zhv8HnUV69l/pvT+CwtjdUpVmaOqMA4zMvkDQYuWOQmq76Thtdep+Gtt8k85RRyr70G20AVA5AAqjdIw7Jk9q4WQTKBYH9i7+rgy1VrIP3kfbKUAxERJDvQ6PIFyZSCofFkgqNlBePSJEtQAMJll6YMRrDp9XqZs7aKx7/axOOtcJQRilM8/G3qSM4Z2wezKYwzoWksuu89cNsl3ZDQlhIZvSL7UR1nje2WqirJIn8+zqoq6v/9H5r++1+/GH9SaS96lWwmbcZkDGed67+vx+th6d6lfLDlA/5X8T9cvaSDLqPByISiCZw64FROKDkhEBhTEuG1p1jNXHvcQC4dX8obS8rp+HYOeOGHii7ee2EJf5o+lHH9wkwHU1OVp0STk6slSJb4A0arycpxHhvH1TVw94ir+abvSD7Z9gk/8ROPnGIjfbKXM1dZmbrCAzsrqLrnr9S/8CK9rv8tmaefHjtYVrMx+HLVWhEkEwj2F7qaoWFH4HLzLikZJrdIaiVckiSedsto7fuyj+JQd2DfbY2JriSLsI/uaujgb3M3YVxXydNWSDN08X9HlnDTCYMoygoj4u716tPlSmRCTFUlukZNUy2DfzB0azf0er20ffstdbOeo2vdOuleVitZ/RrJHdqG5dHHgvynnS07eW/ze8zeNptWRysk2TBh4Jg+Ezlj4BlMKpkUmGCtJIqfM2FgLhMGTmDx9nqenbsKfMMbT35mJVefYOfSCf3CD1jQkmhT81nFM/QqnF/ify6v1BaqoaUx1+Ph/NY2zvemUX7hZ3y6/VM+2f4J8w+tYf4II6PKvFyyIpV+21pp/uQTmj/9lIxTTqHXDTdgG9A/uvGaDcGXq9aqXpdAIPgFCE2sVa+DQSJIphYRJDuQ8HgCm26SYhqj7OTGo0mWqHYJNQEILQ5EkFZHsFP2864mHvpiA8vKpeCgM0kKyNw3tS+WI/rGtqnGIVU6mI42MEcQNtft5KppjYwwQSkUNZpkYYJ5roYG6l94gca338Hr0wtJHjOGXjfcQKplPYa5d4BTelx9Zz2zt83mw60fBumMDbM7OLXTxYxrl9IrOYbQfQwHP9lq4trjBtLVmQ+LocOQwk/lDZzz3CKmjsjnT9OGMqC34n1WBt283tjtgqqc3Dg0RaJWkmmsqgD/BMuU1DxOH3g6pw88ncr1/+XTebcxOzObN45x8v4RXk5abeTcn8yk7t7N3rv/Qt0LL9Lr+uvJPO3UQFuLEo8b6rZI5wtHSZup8oBcIBDsWxrKpNPUPDCaoXWPpCvS53B99sIF8uNKsqnwH+T7JanYxzye2NXO8vWuTmmCY6z2xAiBvNYuJ89+u52XfyzD4fJwokkSfB9bYGHC2YdFtueyB6pnVCXaNAS0Eplk0yCtEHS/aO+r8vP27bNy5VjNU09h3yAlXQzJyWRfcAE5V1yG5fmh/se6jZl8v/t73t38Lov2LPKbLcbMeQ21nHHcA/QadyVRURHMmzAwl/GXDIOnwI2Rqk4DD32xkVcXlXP7lCGcPqoIo7K7QEt1oiq5Bj2VZD4/O5y/Z0kGg0lKGNvbtOl+ydWjKTmUZpZy89ibuXH0jSx9biwf0sr/BmbwxwGdDNxj4oKfzIzeaJemas+ZQ+Zpp9Hrxhuw9o3gT9f6qvoKDpMqVIT/IBDsX9Rvl04LR0tVZbVb9uVqDjhEkOxAwtEWKHUPCpLF0W6Z6HaJRFeSyfexpPineu1t7uTvczfz0apKAJIsRq47biDjG/rBxpVY3B3q1qjGITWZpSlGri7JiYk0/c/v4GjV/4gSgNQbeIvqOAfW6W5rp+G1V2l4+RU87ZIzl3L44fT63Y2kHHWU1N64ugIvsKyrhve++wP/2/U/XL4DhDRLGqcOOJVzc0Yy5O1LIb0QYgXIQHXWNskjremso4awvquE95fv4qv11fxvUw2/OaY/N51wCOlJlsDr9rikAxhLUhSr9LyTG3ZSrMZqNyUdvoNXxXevOGsg1ze1cK07jR/Of453Nr3DF9ZFzBvjZspKI2cvhbSKCvbeeSf1zz9Pr5tvImPGjOCW1bZq6XttMEG/Y6UgWfMuBALBfoL8/5hVIgmwt+6Rhm3oDZKFC2rF024ZbS8126Q1e5zS756aIJlCoD1mJRlIrydWVV3Ia3a5Pby3fBdPfr2Fep9u6dEDc7lnzJHwOdhi+Q/RJnqGXa+GIT2qJ1n3QLulmvc1xM/pWLWK2iefomPZMgCMKSlkX3wxOb+5AnOOb78yJ9Pl7uLTze/zevnn7GzZCYABAxP7TOSCIRdwzJf3YmreAakFsdep0i81+PZuY1I6j804jKe+2cLuxk5ueW81ry4q5/7TRzCqJCv4taupTtTk4+pIsoWzazBIr7uryXc/Ddphnd1brE1GE0dbsjl6Tzk1xz3KB+56/pv8Xx4pqqP/eBPnL/QybqtHqiz7/HMyzzyD3jfeiKWoKNh2o/RZMmCSFCRr3q0uUSkQCH4Zmn3a0KXHSkEy4eNrQgTJDiTkVkuTNTgQ0GPCu/uBJpliEmGnw83zC7bzwvfb6XJKwcKzxxTzx2lDpElGn6rUfVI7CMC/3nRfkEyFpohWTbJE6pTIgZ0YjrPXDY0LtlP35ym4GyQHKmn4cHr/4TZSjz7aH0ixu+3Mad7EG8UFbPVWwk4pKHlYr8M4d/C5TC2dKrVTli/0PX8Cq+gUt6dlZPPYKYdx9cT+PDJnE//bVMOL3+/go5WV3DFtCOeOLsTfyONoix0k0+LkJqpdQtl6pBW/DmH36lFTVxOTSiYxqWQS5c3lvLf5PT5J+YR5Y1qYutLImUu9pO3cyZ4/3E7Dq6+Rf8cfSTniCMlGizSplPQCyPG1VTRVaF+fQCDoGWQHN7METBbYtSRwnR7C6R7JwRBXFzg7paoVtUTb9wwGKTDWUa/+d1T+bTYYI6/DbJOq6jwulUEyWbg/lYVb63jg8/VsqZaeZ0DvVO6eMYwThuZhkNtSYiXv5NeiSNxFpUf0wxT+Q6RWVq0+idkaCGpGDJK1+U6Sqbnxd7TNnw9IbZXZF19M7rXXYM4OSCI0dTXxbnYW7yQbaVjzLADp1nTOHXQu5w05j5L0kiC72jTeYk3hlG432DK48Mi+nDG6mJd/LGPWt9tYvauJM579kfMP78Mfpw6lt6ZKMg0T3HXJNUSpoPQHyTQgd4Io/QfwHzfkebzcMPoGrhl5DfMr5vPOpnf4W8FKBu4xcN4PHsbucNP84Ue0fPY5OZdfRu6112JK962xdY90WnIkYJB+Q9prIS1P2xoFAkHicXZBe410vu8EWPyMCJJpRATJDiTsETa7eNotox7Y7z+VZB2GZE5+cgGVTZ0AHN4vm3tOHR7IBIK6jK1yjaqrvtKkjV+N1pdW/TA17ZZahfsjrNPr9dK6upKauXk42xcAYOnXl7zf/570adMw+Bztus463tv8Hu9vfp+GrgawWkn2wmlDzuf8IeczJGdIyDp1vJ9R1hmwG5y1PiQvnZevOIJvN9Xw4Ocb2FHXzh0frOGtJTv5yJyMydUpvWepMarZ1Ez9iicTnOh2y3Btt3Jg3Nnh18orzSzlT0f+iZvG3MTsbbN5Ped1vh6zm1OWeTl9qQfWrmXnpZeRNnkyebf/AZvD5+CmF0oH4SCCZALB/kSTz6HN7CMFySA+JzfcVEZruhSU8nqkanQtQbJYLX22dF+QTKVkg3J9kapRDAbp97mrSVOi7V8/VvGP7UsByEqxcMuJg7h4fD8ssm6p2uEqmhNiatotNdpUo1GlZbiAjC1NSrZGeA+cVXuoXZpFc3kneOeD0Ujm2WdJVUaKyYhV7VW8su4VPt72MZ1p0ve2KCmXS0dezdmDzu6uVarFh1CbZAuR/ki2mrhx8iGcO64Pf/tyEx+tquT95bv5cm0Vb/V1cxio25/tYf6HQolrumWkgRU6ps0H2Q1Zb4gWocVkYVr/aUzrP411det4Zd0rPF78DYfscnPRd26G73L4NGs/oNcNN5B94QUY5ERbVl/IKIKWSuk3SwTJBIJ9T4tU2IAlRZJUAWiu1KYRepAjgmQHEl1hKkpA0W6pp5JMhfCulk1ZTZZNQ8Cgtr6e3kB5i4FKRyfFWcncNWMYM0YWdJ92qKaSCnrWydUaKIo6Fl62qVKTLMr72rVxI9WPPErHsl2AGVNWOr1vuY2sc87xi7tvatjEGxveYE7ZHH9LZYEth4v2budsQzaZE+4J/7yaJ3OpdXLDv6eTh+ZxzCG9eHVRGU/P38bPu5tpsFnpbeikpbmRjJwoYrNqRZd1tVsmuHU51K5yvcrfgK6mIKc0xZLCRcMu4vwh5zNv5zxeKXyFb8Zs4NwfPJy0WhJXbluwgKzjRtA7y4g5o1A6CIfApioQCPY9ckBMriSDOCvJwvxGGY1S0L2zQfotydDQyhXrt1Trgb3awI5cVRMjCOF0e+hsaSID+HZHO0YDXDahlFtPGkxmSshQE2VgI1rLmN42RlVJNpX+gyU5ENiMpFGlVdMUpMBPZ2O3tXrsdhpeeZW652bhtUsBrvQpU+h9y++xDRjgv19VexUvrX2JD7d+iNMj6ZsO8xi5oq6GKWc8gXnw1PDPGy54G3GNsryCU5JXMNvC3y+Cb5ufkcSTF4zm4vH9uP+z9azZ3cz8HR0cZoGqujpiNnxq6ZbQ4j+o1eLTEngLshuyXjnRFia5fmivQ/nHpH9Q0VLB6xte59G+H3Po5i4u/tZDn/omqh95hIY33iCvpJX0EjCkF0k+REsltOwGxmlbo0AgSDzNiiRbeqEkreJxSlIrWvb5gxgRJDuQCDfZEhTtlk3a7CkDBgnXJFNTpRN5s7e73PznhzK2/O8n/mmCdpK4ftJAbjrhEFKsEb62akvmNVd9qWg71awfFsPh8XoTIubrqq+n9p9P0/Tf/4LXi8EEuUNbyX3gSYwjpuP1ellWtYyX1r3Ej5U/+h83uvdoLhl+CScm9cH8/NGQHOWnIprgbNh1qq34ixzAtZqNXHvcQM4cU8zf526mbW0SvQ3N3PLGQk45JY+zxxZ3D6JCyAh7NUEyld99t1MSPIbw74PWiWxKwmWCjSawZUqtmJ1NYTO3ZqOZ6f2nM610Gkv2LuHlQ17my3WLueg7D0du8dD03VqaLHnkeTvJmZqDASSRXzVi2AKBoOdp8VV7ZhQFWvvaqvXbixTUSs6SgmRafYhYe6lWyQa1CSwVSaafyhq4Z/Y63u5sAQP0LczjwXOPZURRZvgHKKuzHO2RX5NeaQVVwv0qg2QGg7SP2psj+xBa1wndEoJer5fWr76m5u9/x1kpJVCScx3knzWS5Nv/6X9YuODY4fmHc+1h1zL+qwcwtJdLe284vF5tQwaUr8feFiVIFj3oNK5fNrNvOIYPVuym8suvwAOLN+5k4fs/c/cpw8hJjTDJXFW3hM9/cDuiT0UPshtD11Zvok1lJVk4+mb05S/j/8L1o67n7U1vc9/QtzhyeSvn/+Aha9cuKndlk5yXSsGFdSSl9pYe1F6rbX0CgaBnUPoPJjOk9oa2KhEk04A4EjqQiKQt4N/sNFaSKac0RZtOZW9VL8aZgCzbou11/GX2OnbUtnOuqRNMMLy0kCOmDY3+3GoDEXpbGyI5J0HBRq3CuxFsOjsDwRwdWl9eh4OGt96m7tln8bRJa8uYMZ287HlY3K14MnL5btd3/Gftf/i5VtJiMRqMTOk3hcuGX8bI3iMlW3LVgj1Kdr0nJnsqb4/ynualJ/H380bRsbcXNFTj6WrlD//9mQ9W7Obhsw4NnoIZ+pyW4GmpwWuUA3kqM8HKzzHa/5KrUwqomSzd7xMOlwPcdp+N0ANbX5AsRpu1wWBgQtEEJhRNYPXo1Tw38jk+X/ojl3/jZmCVkZpPNlC35jf0KbWRmm+XDpZFu4RAsO/pqJdOU3sHgmTxHITKv2eRqkq0+hCqK8k0apLFrCSL7EM0tjt4eM5GPlgh7V2pSV0APHnJMRhzIgTIwBfYMCAFydoir0Hv1MhEJtlku/bmyHa1JgOVz+9oo2vDBqn6fPlyAMz5+eSdNoKM1jcxDJQOsGo7anlxzYvdgmM3jL6BIwp82pf+IUWRgnntgFf9Wk1mMCdLe6mjFVJzw99PRVWi0Wjg/CNK6HSPgrmQRhcfrtzN/zZVc9eMYZw7rk/3ZJuqwT8hQxAiTUUPt95ICWY9OqkQCL6FfreiVJKFkpucy01jbuKy4Zfx5qFvcteoN5i8sIXTl3qhxsqOc84he3wf8goMmNrrtK1PIBD0DEr/QT5tqwLxP6oaESQ7kIjUbilf1rp5xprSJDt3cll7LEF00CiKHuw0tXQ5eeSLjby7TCoR7ZVm47JhubAWUtOyYj+32nJ0vU5uxIytwslLkH5Y4LM0SP3kGmy2V3RRdeZZOHZI47iThg8n/+67SBk3Dtff+vOZMYWXf7qPbW3S+2w1WjnzkDO54tArAkK6oeuM9h3oiYOGILux39OU9CxogItG57BkrZHFO+qZNvMHbpg8kOsnDcRm9h1gKg/qovXka223lO2abOGzxsr3xt4aeUpqJLvQ3Xm2yf/36tuhR+eN5oWTX2DVqFU8X3wNSWs6ueg7DxllFVSU5WIr6aLkrPVYRokgmUCwz/E7ub0ksXqAtlr9E+QiHYjr9SHUaJJpsau1kkzx++j1epmztop7P11HXZsDgwEuOryIpLVS8MYYa7qmrHXmaI3+u78/DOlR3jdikEyjpqnPptthoPa5/9I4b7lUfW6zkXvVVeRefRXGxf+AH6DVksQrK5/mzY1v0umroO4WHNO6ToNRva9jS5eCZFGHKan3S5JTpe//+D5Whnams6mqlT9+sIYPV+7m4bNGMlCZbFMzidRkkXwBt136LqnZ79UI94MOTbIIsh1JGqs8gUxbJjeOvpFLhl3Cm8V/4e7D5nPOtzBhk5emRbtotOVTaFxB1kQ3BpOKoRYCgaDnkINhKT6d5rTeUE1AzF8QExEkO5DwB8lCNjt583O2g8etbuISBBwzc3L49iprGv7Mqr1FXZBMVSVZumK9koDgNxuquXv2WqpbpKqZi4/qyx3ThpK5/OfY9oLWS+wgmW5NshgBrWgTuSLajOE42jJUCyy62pxUL86iZWcKsANTbi55t91K5lln4cLNfzf/l5d6JVFpToO2XaRaUjl/yPlcOuxSeqf0Dm80NCMa7jugeQqn2s9Jg6aKz+aUQ1L5+sTj+csn6/h+Sy0zv9nKZz/v4e/njWJs32wNB2Eq9e1kYh0smiyK7Heb+iCZ7BBbUrr/j8ahczYmbwwvdJhZlVfDf/54DAO/2MLUlV7su5LYfMlN5P72OgquuQ6DVUWbiEAgSDwuR+D/PyVXmmoNvt+QKO2AkYgmr6D3ANw/OTJB7ZZqEy4h+2d1Sxf3zF7H1xukVtRBeWk8ds5hjMszwFqirzHUrqNVpVZogirRlbdpCWjFTLRF6DyIgNfrpWWzneo5ebi7lgGQMWMGebf/AUtRkfRUXU28l5HOi43f01T/DQCjeo/i5jE3c2ThkfrWqWZYQyi2NOlAL6rOm4pglt+edJ90Qxef3XQsLy8s46lvtrBkRwPTZ/7AzScewnXHD5SGPESqxgzFmgqddvUaYjEDzvL/kkbJhkh29WgO+8i0ZXJj1mFc0vwmr587lr+VN3LR3E5K6oxUfbCOqvVnUnr/wyQfdphm2wKBIEHISbYUX7WtaInWjAiSHUjYI1SShVapxBqJ7r9vDGfPaPSV9LdIdtW0YKlxTBSOYENjA/d9vYtPf5Z6p/v3SuWxs0dy1IDc4DWqdXCVj4m4RtlmlHa7cOuN5EzocfJitYbG0qdQ4HW7aXr/fWqefApPawrgJfuC8+j9hz9CWiqfl33BrNWz2N22G8xmctxuLhl9PRccejkZ1hgBKJNZCtA4O4g4OVKrg69miphSp0TjZ983N4XXfnMEn6/Zy/2fbWB7bTvnPreIayYO4LYhLdiU94+EVUX2X4magztbmi/7rUXjL9pgjTiGAQB0NjDG7uDZyfezaFI7z711I5PmdTGiwk3Tv2ZRNfu/HPLoP0g//IjYtgQCQWKRHVyDT1jfaAz8FrfXaA+SBckrhOx9SToPmGMlSOTfqC6VdlUnMSS7Xnsb7y+r4KEvNtLa5cJsNHDD5EO4cbKveliWCzBa1OlCqUngJDrJBtqrsdXY1WDTXlZG9YMP0r6oDDBhzc+k4LGnSJ0wAQCP18Ocsjk8U7+Aytxs8Djon9mf34/9PSeUnBBe/9O/zhj7lJ4pnGqkNfTonNnbsJiMXHf8QGaMLOQvs9exYEstT3y9hbnrq3jivFEMVfv529Ik6QKtkg0R/5f0tltGsBuv/9DRQKbHw00ZI6j7w195YdQf+XbuUs5d6CVl4zbKLriApAvOpt/td2FKU+lrCwSCxOGvRA8JkrWJSjK1iCDZgUSkdkuzLVDabW9RHyRTs9nLQTL5udXajObwmG14jWYMHhf/9+w3bO5Ix2iAa44bwK0nDSbJoqiE82eqVWyyWivJVLcHZkS3q2vUurL6L8w4XpUOXteGDey973661qwBICnbScHhTSTdeh3zG3/imf89w/bm7QDk2LK5Zs8OzmltI/mKG9WPALamSQdmsV6/yox14HOK4pwpNdm0OLm+NRoMBk4bVcTEQb24/7MNfLyqkhe+30HL2o08qrx/RHsa2y3VVBdY06QMjpZMcLQWDLVB4XB4vZJIP0BKLkdnjmJ86Wi+PGkubzQUc/rX7WTuqmX3JZfRecpERt37BKYMDVPSBAJBfMgObnJO4Lc6tRc0VUhtFDkDIj82HNHkFbRWfMnESmL5D8RV+g8aNclm/7SZP9VKpWKH9cnkb+ccxrBCxe+UFv9B+byJDGipqfTXJbIfYwCOinV67HbqX3iR+n//G6/TicFsJHdoE7lXn4/RFyBbWb2Sx356jI0NGwHIc7m4oWQqZ5z0BGajikOIWO2meqZwxnrtoK3iL8zQp5KcFF79zRF8snoP9366nnWVLZz2r4WsTGskXY1dtf6oTKzKea32/HYj+BDxDBMCKQAIkJJLr+Re3D3yasp//pR/X1lE3+/dHLfei/3dj1jz9VeU3PcgvaZM1/c8AoFAH/5KMl9xg7+STGiSqUXlUbJgv8A/3TJMMCKeSZRqWiNVV9TEdvbq2x10ILUlujpbGFqQzuwbj+HO6cOCA2QQu50j3FqjbfoeTxyZ4Ajvrdagm9Km8vFKYji47rZ2qh55hLJzz6NrzRqMaWnk3303/U5zsqKPiQu/vZFbv7uV7c3bSbem8/uxv+fLyc9xSUsryZYYelyR1pqAjLUqe0qbGNQd4ET4/melWHnqgtH8+7LD6Z1uo61ZEqYubzPS5XRHtucPkqn93quY8GlTERzsZjfKextPJtjRJunMgXQQDhjT8jilo4P7TpxO2XO38cMYaWpY8hc/sOrEiWz74FW8Xq/25xIIBNrp8DmyyurdVF81t55MsPw7YUnpHqjR+1sSS/dJa4uYir3Z6/WyoV5KoDQ1NmAzG7lrxlA+uv7o4ACZ8nnV7k09UUkWKlkQDnmdsXTTguyqrdAK/9o7Vqyg7IwzqZs1C6/TSerEiQz4ywx6H9qG0dNJVXsVdyy4g8vnXs7Gho2kWdL4Pdl8vnsv5xQcrS5ABiqq5nVU0fVgJZkSg8HAmWOKmXfrcZw0LB+n24unS7K7oyVG14BeyYaIAWedQa2I7ZbxV5IBAemI1N6Uulw83NnMhOff5b3rh1KVBUkN7dTefBvLrjwPR1WVvucSCATa8WuS+SrJ5G4woUmmGhEkO5CINN0S9G14soOrpjVSzcbsdiom8YW3OX9jNVNn/kCjWzoAv+bIPD793bEc1icrxhrVaJLJgQ3fNM5wOBWVQWorv2IF3/Q4eeYkMJiCH6/SZtsPP7Dj9NNofP0N8HjImDGDAXO+oGzKMH6Tl871BXlsaNlBsjmZaw+7lrnnzOXqkVeT4nZqXyfE1lTR0BoaZE8eBhAOZeBRTQtrjIObk4fnM+/W45jYT9JU29oEM/75Ayt2RpjmFmMCazfUtIbGmvIV1m6U91avjhAEHFxzElh9YsnJ2dIyu1r4v6Ou4f9e+4Eld5/CnlwDqa0OnH/5G99fOIXGim2x7c/7K/z9ENj4ufa1CQQHKnVb4alD4fUzJU2xeAjVE4HAAalcxaGFaMEdvQfMMSvJNFa/xKjKrmnt4qrXlvP5Jul+/dI9fHXLcVx73EDMpjDurP81a5VWSKAmmdkmtXsqH9vNpj6RfVU2Q/YOT3s7VQ89zM5LLsVRXo65d2+KZz5FyYsvYC0upMtg4LmW9Zz28Wl8Wf4lBgycO/hcPj/rc652mEn2enW2heqveItoM2owU7smGY62sL5jXkYS/75sHDPPH0WqQZqWetmbG/jX/K243J7wNq0afAilvESk98EvAaF3umWCg2Tyb5AvySb7D3Q1c1juCO69+SO8rz/JN8dl4DJC2qJ1bJh6Iutf/AdeT4T3TGbPKnhiMHxwpZTYFggOBrxe+Pi38PhAqFgSvz3l4B8I/K926PAfDlJEkOxAIlK7JfR8JZkau8r7hDh7bXYXf/5wDVe9tpy6Njsuk3RgfuGobKzmKF9DtSKpyuf0eqR2vbBr9L1mLZOU1E6i1OLkGQzRHb0wNl2Njez505/Zdc21uPbsxdKnDyX/+Q9Nd13JTT//lSvmXsFKiwGrx8tlxZOZe85cbhpzU0B3TE9bqHINcbR1BKH8biRAk026X+xgblaKlfNHSo6c25LKjrp2znt+EU/O24Iz1NFVfuZqnDQ11YRaq9Ni2Y3VBhyNUAcXAiPhO5sASLem85tLn2DA7Nn8NKMUpwnyft5N2WmnM//Zu3B7IlTitdXCj/+UWku/vjtywFog+LWxcCY074Id38LmOfHZapeDZIr/UflA1Pc/qolo+73uSjKVmmSap1t2/737cu1epj71Pf/bVEOXUapEn1yaQmmvKAEwLZXooDL4orGSTLnXJzJQFM0vcTsl/UsIqm5uX7SIHaefQeObb4LXS+a55zDgi8/JmDYNgK/sVZzep5BZ9gq63F2MzRvLe6e+x70T7iU3OTfx64Q4K8lUDEPQUkmGN2JQy2AwcObIXMz4qhjdNv4xbwvnvbCYnfVhHqNM2sZCqRcYsSpTRyWZ1xu5mjJogFaUqvpIhFaSKWVeupoxGAycMHg61z73PWsfv4xtxUZsdg/GJ//DgrOOp3rrmsi2f/wntFXDug+hbIH2tQkEByJ7VsLP70hV5N8+HJ8ttxO6mqTzcqLNH8huis/2QcQvEiSbNWsW/fv3JykpiXHjxvHDDz9EvO93332HwWDo9rdp06ZfYqn7N9E2ff8Idw1VJWqyrGq0H0LtmaxBIrnLyhuY/s/veXfZLgwGuGZif/oW5gU/JhJaRPaD2hoiZO+UDq7qSUoxKnb0OHkQvRVFESTyer20zJ3LjlNPo/mTT8BgIOfyy/G+/hR/cf6XC7+4kB/3/IjZYOY8dzJf7N7DH4unkJMUMkFR9zoTXElnMkuTHiFK4E1nS4tKPbrJIwdw5ugiPF54ev5Wznt+MeV1iu+M8vvm7Ij9/GqF+0FjJVkUu2oOFCIR6uBCwMkN2UD79x7M5U9+SduL97OzxEay3UvRvz7mi7MmsH7D991tb58fON9YLmkoCQQHA+UK32b7/+KzFaonAopAdoQK2GhErSTz7UVqBfZBqpRz+6rlIu3PWnWPwgTymjud3Pbeaq5/ayWNHU6GFWZw9UnS1DyD6knWGivJolX/6ArqRJGt8LgDFe56dLnC+g/BCUt3Swt7/vIXKq68CmdlJZaiIkpe+g9FDz2EKSODsuYyrvn6Gm6v/JK9ZjMFmPn78X/n1WmvMix3WHe7mqq+YujdaU2IKe+bqIo/S7KUOIUYEzMDtz14/lGk28ysqmhixj9/4P3lu4LlCLRUkkVJMAeu15Fkc3aC1xcAixQk02pTJjTRZrKAxbdGhQ9hM9m46NQ7OXL2N/z0f4fRZYH8zXXsPfsCvv7HLbhczmC7Hg9s/SZwece32tcmEByIlC8MnN+5KHKxhxr8PoIhEByTfXw9SbaDlB4Pkr333nvccsst3H333axatYqJEycyffp0KiqiHzht3ryZvXv3+v8GDRrU00vd/4mWtY2nkiyWcL9auyH27C43j325ifNfWMyuhk6Ks5J555rx3H3KcIxq9MNAWybYaAxs0rGqnvQI5Caq6kkmmoC9b53OLiu7b7qJyltuxV1fj/WQgST95ylmHtvMOfMuYn7FfAwYOG3AaXx65qf81VxEgdsd3unRo50WtM5ECu8mWudM5ffUd7stNYOZF47hnxeOJj3JzOpdTcx4+gfe/alCcnQtKYAviKrFyY0l3A/6NMkSPd1SDpLJmyd0qyQL5ehjzueEL5ZQcdlknCYYtLmVrv+7jrf+fiUtygBy9brgB1at1b4+geBAo6MBmnYGLsf7vQ/XbhkhkK2KqPqGOqZbBg0CiKVJprWSTPq9W7Stjmkzv+ejVZUYDXDj5IF8cuMxFPXu3X0N0exprkiOst54RPajSSson1+TzTCfmWzTnETrwkVSgu2DDwHIvvhiBnz2KWnHHIPdbefZ1c9yzqfnsLRqKTaDmRsam/jUmcu00mndp1b2RGukHv9BTVJMy1oNBnVyCPLebUnlrLF9+fKWiRzZP4d2h5s7PljD9W+upLHdFzjWItmglBWJpBerR2g/mrar2SYltIPup4FoibYwPkR+eiGX3/sexjefpnxgGjYnlPz7K74+YwLr1ygSCk07g30k4T8IDhb2rA6c97igNo7iIP/gn+yABqns43c1izZmlfR4kOzJJ5/kqquu4uqrr2bYsGHMnDmTkpISnnvuuaiPy8vLo6CgwP9nMoWZCHSwES1gpHXUOsQW3VXepmYTVQTxdtS2cc5zi3h+wXa8Xjh3XB/m3jKR8QNy/fcJWkMsmwkX2dfgjPZEkEi5hjBr9Xa10LQjmR2PfUPbN/PBbCbpmst4+46xnLX9T3y+43O8eDm538l8fMbHPDLxEUoySqK/fr3BvGgBLY+nZ1owtH5OWieb+hziM0YXM/eW4xg/IIcOh5s/f7SWa99YQX27Q9s0KTXfAbWB4SC70dotddiT6YxWSRZ5Ep3VmsTUu2aR9983qRmQTYodxr60mK/POY55y96VAoy1m4MfVL1e+/oEggON0OBw7ab4HNFw8grxtFsmWpNMEYjBFEHEXatuou+3zGVJ5fG5m7j4paXsbe6iX24K//3tBP44dagkz6C2KldLJTrEFsNX2tQU0Iq2L/uuM9mkwIVaou1P9lY8TgN7l2Wx+7fX46qpwdqvH/3efIOCe/6CMTWVRZWLOPuTs3n+5+dxepwcW3wsH4+7i+ubWkgOF9jxKJJvegJaidR0VfP56/UdNUzM7JOdwjvXjOdP04ZiMRmYu76KqTO/5/sttdqE+9Ukb7UO0VLeN5K2q95qdLcz8D8dTrIhShB/1KiTOfmTRVReOwO7Bfpvb8dx8Y2888jltHQ1dw8MCP9BcLAQGhCu3qDfVlj/Ict3xovqidMHOT0aJHM4HKxYsYIpU6YEXT9lyhQWLVoU9bFjxoyhsLCQE088kW+/jVxua7fbaWlpCfr7VeL19oCTq8KJ0JK98q2v2Z3Eqf9ayLrKFrJTLLxw6TieOG8U6UkWhV21lWQandxY07S0tvFB7PdWbxtjhPfWsWsXu55fwt6fsvF0OjEPH8p3983g3LwPeL/sY9xeN8cUH8O7p77Lk5OeZGDWQIXNKI6U3mCef51h/rec7YCvxSCRTm4PaJIF3a44wCnOSubtq8dz1wzJ0Z23QRouYTf5WkK16PFF1STTKGIN0d+HhFSSaXNwZQqGj+O4z36g89rzcJoNjNhmJ+fq+5n56NlU1G2U7jTwROlUWV0jEPxakduK+x8vDWVxdkBbHNPcwiUK4mq3jKLvqWvwj5pKdMVvnhptQp/Nv3xZzqzvpATbhUeUMOfmiYzrp/itUiti7k8sqvQf5OmSidQkg+gBDt0yCJH3vI6VK9kxtzdNm42SPMMVV9D/k9mkHH44tR213LHgDq775joqWivIS87jyUlPMuvEWZRk9o9oM7hyMEEBQuX1iUyyKaeYq/V31Pi6YT57k9HA9ZMG8vENxzCwdyo1rXYue/knvi3zyTSoqRzX6j+o1fmM5UfpTbQFtXJlBa5X2c5lNls46bZ/UPjRe1QNziXJCaNf/4n5Zx3HvJUfSR6l7D+0VcfXdiYQHAh4vQEfQv7uN+zQby9cMsdsC2hxi5ZLVfRokKyurg63201+fn7Q9fn5+VRFGAVcWFjIiy++yIcffshHH33EkCFDOPHEE/n++zDaN8Cjjz5KZmam/6+kpCThr2O/wNkpCdJDeIcvHic3QcL9nW1SZHprM3Q43IwfkMOXvz+OqSMKwthVW/mjQbg/aL0RgqV6Kslkm64uKYMWip4WTqVd35q8Hg8Nr7/OjtPPoH17E5i8bDmtlEvP2s2s9jk4PA7G5Y/jtWmv8fxJzzMid0QYm1EcvXg1yaI5+EazVFGgllgHOf5gpsZhAKoryYI/K6PRwLXHDWT2jccwKC+NujY7u9ul6lVXl4YqSlUHjConZkKMFqk4plv6K8nCtXKpK8U2mEyMve0B+n/0IS2H5JNih2mvb+Kb7zy8lJyBs/QY6Y5Ck0xwMNC8WzrNLoWM4uDr9ODfVxT/+3G1W/ZQkk2N/+BxSftnLJMd0m/ZqioXGUlmZl08lsfOOYxUW0ilmtqkiOZqIi2VZAkSmk/gvux1OKh58il23v4YznYz5nQjfV99lfw//wlsVt7d9C6nzz6dL8u/xGgwcsmwS/jkzE84ud/JUmtlVAkI3/MYLRor3pQC8WH2FV2VZDE+J6UfoNp3VNPCGfk7f2hxJp/fNJHLJvQD4Ied0ve9rVVFxYaa75T8nNEGU3WzG8M31dNmDYokW1aglQs0JdoA8gcdxqTZ39N588U4LAaGljnIfmwhTzXlsTtvUGDd8fyOCgQHAu214LYDBug7Xrounu99pOm+8STaDkJ+EeH+UF0Dr9fbXevAx5AhQ7jmmmsYO3YsEyZMYNasWZxyyik88cQTYe9/55130tzc7P/btWtXwte/X+DfuA3hpzJq1f4AjZpk0TfRn3c18dQXKwHoIInbpwzmravHU5AZIXCiJmvncQdE0xPl5MajfxHJbtyC+K04ysvZeellVD/yKN7OTppLzNx5pZG/HLqbdk8XI3JH8MJJL/DK1FcYmz829loTmrFWIRAcqZw/ok2VLRhJKj8ntRnRGAd2I4oy+eymY7lkfF86kA4EHvtkBbsbY4j3a8kE6wpkRwmS6RLdbZJOlVlgefP0ejTppqUPHsaRs7/BfO2leIwwYaOXwR+kcefiz1hvtYggmeDgoMnne2SVQGYf33VxfPfDJXTiareMcsAs/8667dKkPU32ovzmWVLxaztG+d3rcLi444Of8fgSEgOKC5jz+4nMGFkY/gFxJkUiEku2Qk+FEqhrt9RciR7s63Rt2ULZBRdS/+KL4PGSWdrBgKv6knrUkZQ3l/Obub/h4aUP0+Zs49DcQ3n3lHf505F/Ik353qhNsmna62MIxPfkxEyjRX3yTlUlWfTvfLLVxANnHMorVxzhT2Yv37KL2asqoz+3Gk1TiyI5rnbPjxV8U6PBFw45CCb7DDI6hMENRiNjb/gLh3zyKS2DCki1w/S5Zr568QveTcuXZokKH0Lwa6fZ5z+kF0LOgODr9BDpWEdMuNREjwbJevXqhclk6lY1VlNT0626LBrjx49n69atYW+z2WxkZGQE/f0qUbYdhhP21FNVokWTLMKm7PF4eWHBds55bhFd7VLGbOSAYn53wiBMxiiOlBr9B2XFjeYgWQInUZqtkl4IJDb4ZE3D64H6OcvYccaZdK5YgTvJytunpHHtxV629zJxSHIBMyfN5J1T3uHo4qMjBpf9qHFytVa89WjgLVIwU2O7pdpWBBUHdkkWEw+dOZKiPEkcuqq2jhn//IGv1kdpnVI13VJHUEtVJZmOdstwwWJLUuCAQuNBuMFsZtBtd9H/Xw/gyHDTqxV+83I1czblMdPbQpeW6jmB4EBEdmgzS6RAGcRZSRYmwBNPFjhagiBWIkirPRmjMWZyYP2eZk7910I+XL6TZIMkev6vyyfSJztMMlBGfk63Q5qyGQndlegR3oMgeQEt1ehR2jgdYX6LVdmUnt/b1Ur9y69Qfs652DduxJSVRfHvz6RofBPejCxeWfcK5352LitrVpJsTubPR/6ZN2e8GTy1Ukb+rDzO7sFSvXu92SZVmkMEH0Le6xM02TPIpoaAnppJ7ir16CYPzePmGWMASPJ2cst7q7njg5/pcLhirDfKe6Dif6m73RifmV4fIlISU2MlmZLUAYdw5MfzsExIwWPwcvR6N0VvdnKPO58d1as12xMIDijkJFtmn0CSLZ4gWaQEkZhwqYkeDZJZrVbGjRvHvHnzgq6fN28eRx99tGo7q1atorAwQkbxYCFW1ZeeSjI1kyOjbKJ1bXaueHUZj365CZfHy9h8SXMsOyu32327oSpr51ufwaS+vD/muPHE63/o1fqyN3nZOb8XNR+vxmu3s2mgjZuudDP7sC76eAw8WlPHB0c9wIn9TowdHPOvM0r5fNyvPdowAJ06ZzGHIagNkslZVm/0dkYNosu52ZIGzpAcIy1dLq57YwX3frKOLqdb33rjmU4VrUXK7VBf/RFqN3S9cTi5ACnFqYycUkPyYUkYgVN/8jLk42R+95/TWVm9UpdNgeCAQA6IZZYkpt0ybCVZlnSqZzpVtKoqoym69mRYeyonT0fwIbxeL28sLuesZxexo7ad/oqfInNyjP1E+Zxq2uM0a5rG8B80ywv0TLuls9PIrq/M1Dz+OF6nk7Tjj2fAZ5+ScVghWywWLnGX8eSKJ7G77UwonMDHZ3zMxcMuxqRskQux2W1d/ss693plG2eiXr/qiZlaqtNUCO1rqEzMypQqNvpnSG/B+8t3c8YzP7K5Ksx7oHYKq1ZdU/9nFum4QWc1eqTvQpwH4AazmUNGtFF6Yh32vAx6t8BF/zXxwb9f5qWVL+D0hJE7EQh+Dci+grISvWWP/uE/kY514vTxDzZ6vN3ytttu4z//+Q8vv/wyGzdu5NZbb6WiooLf/va3gNQuedlll/nvP3PmTGbPns3WrVtZv349d955Jx9++CG/+93venqp+zexBGh7WlMkxO7y8gZOfXoh32+pxWY28shZIzljeEZsezJqNnvlQYLaIFFSTwXJory/Gm163W7qX3qJske+pLPeSpcVXphu5K/nuTAVFnDvhHv5pK6dU9s7MCknk6hBOeK32zr1BrQS99r9JHoYglXR1hMtSKal/cb3Pb5+Qj7XHieVP7+2eCfnPLeIsrqQ51BVSaZHuD9Ka09Q9YdeJzdkvfFmmVr3YDR7KT17OH1mzcKd5KW0Bm56dg/vPXgpjyx5mA5njNZVgeBApK1aOk0vgDRfpXx7rX574XQZ/e1NOqZTxdrvtfoQavU9wyRZ2uwubn53Nfd8sh6H28NJw/L54MqR0o0mq1S9HQ2TJVDdHW29mtstY2g0xdtyGDXJpq3Cu+2nNZTN7U17lRVDUhIF999Pn+efw5uTxXM1i7mguID1nnbSLek8cPQDvHDyCxSnFUc3ajQF3oNQH0LvXq98TKK0UmNOzNTh66jxSbVMNvXZy7c5eevqo8hLt7G1po3Tn1nIOz9VSJOg/XZVVvmr1eKTidUarHe6ZaT1xnsA7nZBew2pvZyMfP9drOP7YQROW+Kh4NaZ/P7lc9jUsCmmGYHggEMe8pNWAKl50nmPS///UqSuGb9kg9AkU4M59l3i44ILLqC+vp4HHniAvXv3cuihhzJnzhz69ZPELffu3UtFRaDf3OFwcPv/s3fe4VGUXRv/zbb0HgKhF2kqiigoomIXURGk2bEL2BV7fe1dXwtYsCIqKN3eUaoNRHoLEEpISO/Z9v0xO7uzm5nZKZv3E9z7urg2Cbsnk92Zee7nnPvcZ9Ikdu3aRVJSEocccgiff/45Q4cObelD/WcjWpIsMQq5U4ypg0BGkBG/389biwp4MqAe69oqhSkXHUnPNmnwhfEERExHeEN0TxG9FbtIaBnNGyB5jZs3s/uee2hY9TcAK7sIvH6mDX/rHO7ocxVjeo4hwZ4AjRPMHaeUVFNMkllUksW41VQ1JhgnuVK1uqk6EFOlndsQyRWvNYenjnuG9mZgtxxum/kXa3ZXcfZLv/DUqMM4+7C24X9HrCbFBo9Xo+3UZhd9Sty14vNSdCg4JahdB1ZJbtUe8TGtDWknn0Svi9LZ8WUJ7E7ksu98/LFtOheN+ZE7Tn2EgW0HmvsdccTxT4O7IXRNJedASq74de0+c/Hk06zl9ypnIjiSwFMvJrIlwqsH0VrNE9Kheo/xVi69SrLA37OhqJoJ0/9ga0ktDpvA3UN7c8WgzgglG/TFC8ZNhbrG2E6ilCcK/f7miTCjA2Xkxyo/nrCYxtZQX1MTJc89T9l77wF2EjLdtHvzfRL69GdN6RruX3w/m6o2gSBwUmJb7jtnGnnJefqPNTFDXE8i1wArSTLNgQAWjPubakSlRaQFiRV1mi5PMv38gaZaju2Wyxc3Hc9tM/9i4cYS7p79N0u3lPLEeX3EoRR6h0EYVpLpbbc0aNyvdrxWi2y1xaInqmDHnteRbhNHUmW/jx1/5tJ1r4+r/7uJ19aNpttFVzP+8Ak47U5zvyeOOP5pqC0VH1NyxSJRYoa4j6stgeRs7dcqQc1qIN5uaQgtniQDmDhxIhMnTlT8v3fffTfs+zvuuIM77rjjf3BU+xmi+YdZUpLp8FFqrKaqwc3tn/zF12vEivk5h7flifP6kCpNnjJRZYtpq0TE8SrHrAr//brjWmsX8Hs8lL79NsUvv4zg9lCbAO+fYuP3Q/xc7sjmovO+JFkayOBpFFvoosRURDBJVmHqOBUR6yowRCekZlpYEwJJMrXP3ucLeMqgb5PjCt/cndQzjy9uPJ6bPl7B8oIyrv9wBX9sL+fu07ri0vN56fE8kcPvj64ySEgLJMlMVoJjriSTkmRie7yjVR5djt9AecpVFE37hiM3e+j88i5e2H41P55+IbcceQtJjiRzvyuOOP4pqAskw2xO8R6cGkhK1Babi+euI+h9peQpUl1vPJGtV0mmVmCKhN6Ck2xNnvXHTu6d+zcNbh/5GYm8cuERHNkpOyKezrXZlQp1pfosG3S3WwaO1e8VJwi6InzRjHplBo9VIxlhpMhWUMDu2ybRsHYtAFk9G8k7rBRvfgZTVk7h9VWv4/V7yRKc3LN3D2ccfRmCkQQZiOdvZeH/RknmaQxMdDMYN3hN+MX1L/K1Rgf/QPSJ26A/mSV/TuAczE1N4J3L+vPGL1t55usNzP9rN2v3VPHaxf04SK+a0CjP1z3dMkb8IYZFNmx2SMklvUMDPQ/LZ/uf+bD8d6793MMvBa9x2QU/8dCpT9E9q7u53xVHHP8kSBxCKrCl5In34JpiaNXTeDw1i6Z4u6Uh/E+mW8YRA8S63dLv11cVC8T1N9Uw7KWf+XrNXpx2gYfPPYSXzu8bSpCBsSqbnuPV63liJK5J/zBVkud1i1V9+XMi0LBxI2tHnkvJ8y8guD382U3g3vEpHHTmKXy1azdXNzlDCbLIYzdKSOWeNc0OJIo/hRpawrg/WpK0wcRmJFpM+c/1bJqCleDQ69pkJDL9qqOZeGI3AN5ZvI1r3/ox9Bq9nmRawwUkeBrEzZpWXNOeIirXqtFKdSQk5YyUJEjNQxAg+/iudJ05E0fnTuRUw4MfemmaOp0x80bxV8lf5n5XHHH8UyCd98k5ovoopVXg5ybbLYPrjND8XmV2YxtNVWV4A65TpRX4/znL13PbJ3/R4PZxfPdcPr/x+FCCTP579aq0Ymi0HjpW+TTOWPp6ahWaoq91fr+firlzKRg5ioa1a7FnZNB+8qu0Od7JtkQHl/xyO5P/mozX7+WMzmcw19WTIbV1CEaSRBKkQltkoSSav5UW1JR08vfDyHvqTALB1jxGMG5LKckMFG7l/CGw3ttsAuMHd2PmtcfQOj2BzcU1DHtlMXuKA8n0aLzUsJIs2nTLKAMQVOOqJPWMtoNGIpgoCNw/A21nTls53d55j1Y334zfZuP4tX6ufH4dd745mndWv4PXp+ATG0cc+xOCHEJKklnkEGpFp2iWRHGEIZ4k21+g17i/qQb0LBjuelHWDJqkxx/4fQJ+SsrKaZeZxCfjj+XSgZ2bm8kbqbIZ8SQzpCQz4CliBGrHK7/RRHw2freb1c/9h80jhmPbsJWaRHjtHBe7HhzHh5d9zY3dRpLu8zePKSW4nCliNc0I5O2W8kSM3x+qHBhp0YHQe+WuEz0j5DBbWY+1JxlEJ2jS+yzYRJKtN16Ex5nDbuOOIb1489KjSEt0sHmn6CXgtSdpf15SPL9XTIBFQ/C9EcLHvyvFNLLged2h3x/5/polzRLqy8THpMDmV/JWqCkmsXdvus2aRcaIEdj8MPYXH5e+XsBNMy/hpT9fwu3VYcpbvVdfgjGOOPSgem/ze5oZKFWBQfT90HNeR0Ke0IpcZ01PpNPrSWZUSaadNKlBLACt37YbQYBbTu3Bu5cPIDslwnfMjJIMdCrJdMYUBO0kZIsMv9Eu3Hlrath9x53suetu/HV1JPfvT5f580g56UQ+SEtiTNs2rKnaSrornadPeJpnBz9LdlOdZkxNqFk2SEmzoC+eAah5X0nnmlGuIwjNlN7hcS34nOnqbjDQLeH3iXxbhiM7ZfP5jcczsGsOdU1eNhWKCiqP2jovwWgSKho/M8MfQL2zxWzRTkJdgD9I7WVSsa2mBMFmI3f8tXSe9j62Nnnkl8ND7zWy7rVnueKryymsKowev6ESmuKeqHHECE11oXPWKiI5RKrVQptK0cksf/iXIp4k218QLWGkNZVIKx6obsDrm7zcPncjbr9IXk7vlsxnNxxH3w6ZUY4xxp5khhIl/2Pjful7R2KY2fC6ZV/y89BB2N/8GLvXzx/dbfzw+Lnc/dDX3DHgTnKSctTfg2AyK9PYMUKI4Pp94Z+xuz7UwmmU5GpNEjOrzIv1dEtdMWV+MnpMl4PTrpTPpdMObs1nNxzHYbni9VHqSWDyT5vx+VSSOPLrTA/Jlau9Ij1XgsdoguRqKRXNepRIiCS5QW8mcaG3paTQ9onHafv0UwhJSRy6w89TU938Nvd1LvziQjaWb1SP/ePj8FwP+OwWc8cWRxxyrPpEPJ/eH2Y98Sr5iSQHfAGTskJKFzO+ZFrtV2ZJbpPs/qeEaAWmSOjwJPtqdRHz14nxWrmaeP+KAdx0anfsNoX7r5EEBGj7fEG4r5sRNbqWv6tJk33N5IHGWtewfj0FI0dStWAB2O20uulGOr77DiUpXq7+5mqeSvTSaLMxKKMns4fN5swuZ4ovlBJapjhE4DWRSTIrvCQafzKjeLNogaEeL0acVN4doPC556YmMO3KAUw4sRupgphEe+6n3RRVahTQYu1JZlY5rsb7rG7AmxXZAvyhsVL0fQSSjzySg+bOI/Xkk3B64fLvfJwy5XcunXkeMzfMDB+IIEfJBnihD/z3MLE4EkccVtBQCa8OgOd6we4V1uNFcoiWUpLFk2SGEE+S7S+Itjg7EsSpUPLnaiHKBnxHaR0jJi/m0z93UYs46vy5c7uRFVn9DYtpwpPM26heaTfqJwLRN/lG1G6KcVVIXuD/Nxet4eMbh+K9/FbyCqupSYTFV/XnhOlfcufQJ2mT0ib0WrWpkUGCa1DxBWKyTjoP5CRXIriC3fjf7kgQR96DRpIshp5kOlpYlWNGufk3GdzgBCvV6tMyO+Wk8PxwcfJltT+Jp7/awDXT/qCyXuGcttlCiTI9vmS6JmaaqNzKE7uRxrdmW7kkqJHciGpbxrBhdJk9i4TevUmvh3tm+uj/yRoumjeWt1e/3bx9wtMIi14Qv/7jHfOG6HHEIWHxi+Lj9sVQuNxarMgqsM0Wapsw40umVRQzQ3LVBgEoxtV5L9HgJF6fn6e/Ws/4D/6gzCtOobzg8CyO795KR7wYKcnk7eqx8jWN5g2rBq1jVbjP+/1+Kj79lG1jz8e9fQeO/Hw6TXufnPHjmVewgPPmn8evRb+S5Be4f18ZU7qOpXWKbFiNtN6bUX21pJIsVvxBKyaEjt1QkU1P+64BSxGbLWoSymG3ceeQXvTIFL9fWeLj7Jd/YckWlfXNqPIr2r7BtCpV5X2Q+INS14EeRBbZEjND3FM2jc+emUn7V1+l9T33gNNJ/01+Hn6jhpmfPMyE7yewt1YhCfb7O2KyrbYEVkwzfmxxxCHH35+K3o3eRlg2xVosd33ILzmoRo+RZUMzu4Z4kswI4kmy/QV6EkZGTn4NEr5wYwnnvLKI9UXV5Ka6SEzNBMAWbSMerVKtdKxax2u0siyPG2slmWq7gPj99qRUXnzjSgqHj+Lwbwqw+6HgyHxyZn/AVZPep2N6R/VjbaoOVzJIZMAMGRUEZU+RIMHNMDa6XoqptoFqCU8ys55supVkRvxpNOIFkOAV5ftZmVm4HDa+W7eXc15exJrdCr5wRtol9Ly3ZiZmahFns+0XILZ5S+eZnOSCokloQpcudJ7xMVmXXALAOb/6uXdaA+/9+DyXf305u2p2hZ6887eQEhKg8FfjxxdHHBLqymDv6tD325dYixfpJwKha8DMqHWte5VRxReE2yvEytdUhYRX1DVx+bu/MfmnLQD07tQOgBTCW86ax4uxJ5m8uBGrJJlVT9OmanGAjGJM8Tm+ujr23HU3e+67H39jIymDT6DL7FnU9+7EzT/ezP2L76fWXcvhrQ7nU9dBjKmuQXBHFHKkc85MoU0tSRYTJVkMk2Raa6nZwT9q8SQYTuRKanTt9TkVUSXVKjubfTVNXDx1OVN+2tJcFaXVYqqEFlOSqXCIsK4DExwissgm57MRHEIQBLIvvYTOH3+Es2NHcqvgoelesmf/wnnzRvDNtm/CY2/5IfT1jmXGjy2OOOTYtij0daz4g80ZumclWeAPoK6ijifJDCGeJNtfoGfSo5FKsAIJ9/v9TP5pM5e98yuV9W4O75DJghuOIzElM/CaKKTcSCuC3SmOspe/LhKmPMk0bgA+n/lKsIpiZ2dlAY+mZvPFwibOeH4JbSqgJisR29P3MXT6D3TtemT0mH5fOKG3QkZBmeRajalGzsxUbOXP1zIydiY3VzppxtTpSaaX4Kp4kjVD4FzLzs5h1vhjaZ+VxI6yOkZOWcK8lbvCn2uElOq5nqK1HGnGVUqSWfAUaagkOJFP2pxFmZZpc7loc+89tH/1FWxpafTcBc+848Oz/A9GzR/F51s/F59YvC78hbv+MH58ccQhYe+a8O+tnk+RSjIIJYjNTIrVSmSbMd7VYa9g+F6iQMLX7q7inFcW8fPGEhKdNl664AhOObxr4Hij8AfD92ed1grOZGN+V1pTPo0oiZRiQkgxIEE2pKZxyxa2jR1L5bx5YLPR6pZb6DBlCsvr1jJy/kh+KPwBh83BTf1u4r0h79ExMbf5sfp8oe+tWDbEUkmmdm6Z5Q+gc6CQkemWMfYkC4upj0M8fdFxnNevHT4/PBVQYtY0yhRZOpNukXHVvYxNGu2rcQiHC+wJ5mJCcyUZyO6jysmCpEMOocvs2aSfdRZ2P1zyg49rPq7g/q9v5f7F91PnrhOV6KWbQy+K84c4rEJeZKssFKdQmoWcP0gihiB3NpkkU0tkS/dEvVOs/+WIJ8n2F+jx1tAaM64WL7BI1jR6uO7DP3n6qw34/XB+/w7MvPYY8jOS9JFnM/4fWt4fYG26pacBPE3h/yc/fovtgQWVBdz7yz08Nv1xTvkwkRP/9uMXwH/eEPp9+ws9h10UPaYrRVFKbslPBJQ9RawQXJD9/RGfldm4ckIaWS1tqYmZZgluNLInI+R92mfw2Q3HcWLPVjS4fdz08Uoe/WwtHm/EkAw9BFKP/0kU3zTN41VUqVioMkkENyE9lNyMQnAlpJ1yCl1mzyLx4INJq/Nz3wwfQ36o4u6Fd3L3L3dTIxES6Xop22r8+OKIQ4KUJHOIVgKWzyelFnnpazOj1vVco0ZIrh5/Q7NKssA9bd7KXZw3ZTGFZfV0zE5mzsRBDDu8rSyZFe0+apI/qL0PZuwaQKeSzKhlQaJodaAUN3B+VP68koLRY2jctBl7q1w6vvsO6VddxjN/PMv478ZT2lDKQZkH8fFZH3NVn6uw2+zK99dGWbEilu2WVgptLhX+YKUtNNafU0v45OpRo8smzSemZvLc6MN5fEQfXHYbX6/Zy4hXF1Owrzb8GGM13dKyJ5lGoc0Mh4hUkkHUQhuAPTWFts8+Q5sHHwCnkwEb/Tz5jpcVi+cwesFoVm/9Wmy9lq7B+jLzyYc44nDXh5KuUlLYCocI8gf5eZ8V/n9GILunqLZEN1bFh2DpQDxJtr9ATwLKyOIka20o2FfLiFcX88XfRTjtAo+P6MOTIw8jwWHXH9fTCL5AxUt3JTiah5TByjKEVw4j40rxbI7Q5kh3XPFYNzWWcsfCO7j2nXM5+Im53DjPS2Yd+Fol0Hn6hxz8+AvYUw1M0gpKamWeTVbaLaGFlGQxHjIQJFf+5lXWWA9XkGBURaiXQEYovjKTXbw1rj/XndQNgKmLChj3zq+U1TYZa5eQNhR6EuOmlGQKVXYzgwAkBAmuPFGQKT7qSBS4OnSg00cfkjlmDIIfxizycc9MHwtXL2BU6c+sTHBBjyHik8sLjB9fHHFIkAht99PEx/Jt1gijdK+V37N1bO5UobX2mdmE6uIPBts4A/dTjyOFRz9by00fr6TB7eOEHq2Yf/0geucH4ult4TasJNPJHwyrvrSmW5r0NFWzLPC68dXXsOf3DHb/51lxeuUxx9B1zhz29sjlws8vZNpa0T/pgl4X8NFZH9Ezu2fo9cka/MGZHDZMSDdU2tsseaWqKcetFARNDkNQhbSWeuqV/bR8PuPnlB5ltrtO1gqdiiAIXHh0Rz6+9hjy0hLYVFzDsFcW8eOGYv3KtODx6my3dNeJdgl6oRXXimVDXeDcTZadYxqWDXIIgkDWBRfQ+cPpONrm06YCHnvfS7dF27hk2f1MzUjH27ZvaPJw+TbjxxdHHAAVO8RrNiEdOh4t/qzMAicN8oeM0M90nveKkNsrqBn3K+294miGeJJsf0FTRCVJCUZapQLP2ed2MuyVRWwqriEvLYGPrxnIhUdH+GfpGrUu+z+jBEKV5JqoBNsdoalCkWRfXk036Mu1tqmSm/NyOd+7h7T3P+PZN9302+rHb7eRe2gVvW89nuR+RxiKCSj71sSs3bIi9LNYKcnkn7Pfb544O5NC099iZeb7/+RJpnS8dpvA7Wf0YvJF/Uh22Vm8uZRhryyi2p+oL2ZYXI2WETPtEppVYAvG/XXSdB6FVglPQ3A6lRZsCQnkP/wf2j71JEJiIocX+Hn2XUje7eay/NZMSUvCA3GCG4c1VAXaoDseK96H3HVQY2HiWZDkyq5VnSpKRWh6kplIkukZqmPE39DvDz7vpjmbmbpI3CBcd1I33rmsP5nJsuSMXl5itJWxxZJkGop8Sx5azT3UmjavY/t3uVRsTgFBIHfiBDpMfZPZpT8w9rOxbCjfQFZCFq+c/Ar3HH0PiZHFPSXfGivJLFAusnkaQ8N0Ymncb0VJZnAYQlTIrw2lc1XeJhtLT7Lg+SuEcd1+HbP47Ibj6Ncxk+oGD1e8+xufbaiOeI0G9HRORPubleD367RssMAhDCrJ5Ejq04cus2aRMvgEXB6Y8IWPqz9zMzktg6sSGyjKDuxvrCQ14vh3o3Kn+JjeDrK6iF9bKdwq8Yfgea/gbRwNWvYKziR1VXMczRBPku0v0GXcr7+C42sQn7O4sJHqBg9HdhIX5CM7KRCraBMj5b/TiP9HtLYRo0a+kXFVJ1Hq86nw+/38ufdPrvv+Osb+/QIVuxN5/k0voxb7cXohZdAgut1xEq0OrcGWmh09oBIkMiCf/tcSJNdq4k2pEuyuFye7gHGSKwjq0z1bYmImmPck8zY1b91ViquwGRvaJ585EwfRKSeZneX1LNxWp32McuhRLZhpl9DyOotFu6Wc4CakA4GEtIGKWMa559J55gxcnTuTVenlkQ88nP67n8kly7giP49d7qrm7UBxxKEXUpIsqzOktxe/Lt9uPp5SJdiAirIZNJVkFjzJYqVEl02OXLitnhSXndcu7sftZ/TCbosoQOmNa7SVLaonmQklerS4Egey4qEViFv9ww8UXHQFDeUu7Al+OrzxOo5rLuHmn2/lkWWP0OBtYGD+QGYNm8XgDoOVYyYr8AcriSdQ5g/BBIVgzOdLgqqSTBowkGkiZow9yRwJonG2aszAzwRbqBAbDXqUX8HzNL1Z8TYvPZGPrjmGCwZ0xO+H9/8Qk0g+I0kyrc6JsDZgnRzC0xDqGtEcLGJBja5UaDNwH3VkZdFhyhRa3XwT2GyctMrP4+97KdxXxXmOUr5OTooX2uIwj6rd4mNGO5FDgDX+IK0rSkqyxkpjKk/QtlcIUzXHk2TREE+S7S/QkzDSeeJXN7hZ8PsmAGr9iVx8TEc+uvoY8tJVFlI9i56Zqm209o5Ye4roJLhen5dvtn3DxV9czLivxrHh74Xc+YmXOz/1kVcJjvx82r30XzpMfZOE9ABZMJt8UmyXqBAfW4Lkmo2pNB5dIi2C3WRlXW1iqPQ5GSTjLeVJphUTop5XPdukMf+64zihRyuqfOI19vPqgpBPmWpcI8b9BmTTmtMtZdeO0fYzJYJrsylPW9WBxB496Pzpp6Sdfgo2n8Dl3/mYNM/GeiGBUe3y+XzDp8aOL444JFQGkmTpbSE9X/y6psh8PCWSa8VTRGu9NzPdUk/hwQBxnv/bpuDXeTk5zL1uEEMOzVd+sloxpNkxmmxl+1/xB/nPzKx3AZWAv66CkpdeYufE6/DV1JKU20SXUQ7WHORi1PxR/Fj4Iw6bg0lHTeK1016jVXIr9ZjBc0yuJLOQeALtIltihrqnnRbUElpWCoJq1gA+n/lkplahTc5x9XYiBNXoGud+8FiVz/sEh50nzuvDYyMOpdEmDrsqLy9ne6m+YQCaxysIxjmE/L2Jpa9pU52YgANLSjIJgs1G7vjxdHxrKvYUB52K4Zl3ffTa4GZS61bcv/tb0dQ/jjiMQiqypbcTOQRY4w9aRTb5/+tFtP24GQ7xL0U8Sba/QJeSLPritLWkhuGvLqaoRJym0a97Bx4d3geXQ+NU0GMWaqZqGy35pqfFVDGuOYVSnbuOj9Z/xNlzzua2hbexpfAvLv8eXnzTz5Gb/WDzk3NwLd0+W0D66acjCIL15JNEDuti2G4ZjKlQXTatJFMgpMG/PcNw+yqg0YJhMkmm25NM5/lkd4ZMOTUrwdGr1hnJTt65rD8HdWgDwNrtu7n83d+oqNNQqOnZkOndgOqNK703PrfYYmMESr5MYMnA3J6aQrt7J9K6XyXY/AxY5+b5d7xklAnctfJF7l10b5zoxmEMniaoDUyiymgPqQGPGrPTqfx+bU8RM+2W/y+eZNHXeY/Xx8ML1vLMgt8BaBASmXvD8XRvrTP5ppV4Nz3dMoaDf6Dl2i2TsvA2CRQ+OpV9k6cAkHXOYNqevI9X8hO5+purKa4vpnN6Zz4c+iHjDhmHTYhC0ZWUZFaV6NLrPA1i4iIsZqa5mGpDpVrCuN9dS2hwgdFCmw6fMzODpHTxB+1z6qKjO/Ho2IHiU311DHtlMQs3luiIG+U9UCqAaiHolZqmnDA162sq3UMji65WBqAAKQMH0uXS1iTlNpLY6Of22T4u/sHL/LpCxnw2hvVl603FjeNfDKndUs4fqmNg1yC/Vu3O0LVklENE24/r6Q6LA4gnyfYf6CGQUTbMP28sYfiri9lSUksrlxuAXp3aRv/deki5KSVZtEqwFNNoJViFPKvcOErqSnh5xcucPut0Hl/+OHsqCznvTxdvvOngzF892L0+UgYNpOuQEvIOq8Rml5m6xiqhpaQkM0tyUwJj4aWxwrGIqeT/EfzbTcZUq9q2tCeZobHwOnzJdCaI7TaBAT07AZBpa+SXTfs455VFrNujdv7rUX+YabeUkdxI6FXPKcYNHG/kxsSKgTkgVO8hu0ctnUem4cjPJ6ccnnnHw8D1fuZvmS9695RtMBU7jn8hqveIj/YESM6B1NaBn5usBLvrZENrFDxFTLVbaiR4WsqTTDr2phrF9o7KejeXv/sbby8uIBVR8ZGQkkF6olP7dwd/ZxSj4KCSTOd9PzFakc1EUiNaXLM+Z0BDpYuCb1pRu3ILQkICbZ9+CveYflzavjVvOxvx42dUj1HMOHsGvXN66wuqxB+stlsmpIE94CkncQjLMWVrvTxRaiX5prbeS5+bqQFNGgkeo+3AoM+TzMA51aer2BqeKjRQVd/I5e/8ymsLt+BXSj7r5VFGfU2jKdzVWmt1x00LL7paKTYE4KSETieXkj3yDACGLffzn499lBVt48LPL+TDdR8qv4dxxKEEqd0yvS2kioVva56mCkp0MG/eH61AFG3tjCOIeJJsf4DXE5Ihm/AU8fv9vL2ogMve+ZWqBg/9OmYytEdq9HhR4obBTGIj2oVq2ZMssmoZytb7/X5+L/qdSQsncfqnp/PGqjeobKhg6LYs3n0/nfO/rsNZ20hC9+50mDqVjm+9TUJ2YDMgmYuCdSWZYiXY4nTL5ECSrFaWJAsaoppNaCm8p1bbOqKR3Fh7kplp49TlKWLgeAPxzuyRSofsJArL6jlv8hK+Wq2wQddz/hsx2w7G1SD7NrsspsEqk9r7YGVKDwSTF0k9OtBl1qckd03H4RG4ZY6Xq39JZEdFARd+fiEfr//YHNGtLzfu+RDH/x7y+5kVSBv/lFbiZswqyZUrIOQFHUvtlnqUZAauTyOeZPLnB7C1pIYRry7ml037SHLaefB00fxa0MMfnMmhIS2ahTaDSl+5p6liksCsJ5kK3/E0hniYQYVS1Zdfsm3KCtw1DpzZyXT+6EOWH5bImPWvsyYhgXTsvHDiCzw48EGS9fpdQagtLUz1ZXFdFoTmHCJW/MHvFZPKEqxwHT1FNqMKd61CW3Aja6bIFlv+AHDxEa3w+eHJL9dz48craXBHrGF67BrkMfUWxaIlC82qVNSUbxaLbPj9ULUHwQatJ91Mu3uuQXD46Lndz4vTnLTf1cQTvz7BLT/dQmWjCZ9Td4PxhGAc/3s0Vos+yrFAkEPkhYps9WXa3sVaUFKig3I7vR5EK7DHPcl0I54k2x8QNjlSR7ul7PlNHh93z/6bhz9bi88PI/u156NrjiHRF7hZ6CGQeuTTlpRkCnHlE3SMSubV2jgbKqgTBGYKNYxcMJLLv76cr7d9jcfnZnRJFz74NJ/LPiohoagce24ubR7+D13mziH1uEHi64MJrVi2RkZMp3LXh6YoJeeYi5kS8DCRJ/NqA7J8afy1UUjHotTWYaViDaa945ohGtkzQ3L1DMMwQnID8TLsjcy/7jiOOyiXereX8R/8wUvfbwpP8ujyEbJi3K8S12y7hFo7ilWSKyl/0vJxZGfTceIJZPcS/97TFtXwzNx0EmoaeWz5Y9y28DaqmgyQ89Wz4OluMG246GUTxz8TPzwGz3SDL++0Hku6h0n382C7pdkkmawKrKiAqDAes0kjQS7dv7xN+lui9aiy5cblsk2fpEDfuq+WthmJfDphIMe0D7Sh6+EPcqNgPYpco55kfq/y5sest6WaEj3MvD5iM6MCv8dD8bPPsuuWW/E3eUlp3UjbiX15tmo2ty28jRpfE30bGpmV0Z9TO51q7DhBfA9sjsDxBThErcKUYaNIiUiSSfwh1SR/cKXK1GlKNhAWPMlU7Rqs+KQqtVtaKbLFiD/IpoI/fGZHHhl+KA6bwIK/djPm9aUUVcomSLe4kizGG3C1JLnVIlt9eWjAVGob0s88i86n7sOZ5iOtrIEnpsPJqwW+3/E9oxeMZmXxSgOxK2DKQHi2B+xeYe744mh5lGyE5w+GV/qbV4zLEeQQOeK9S1o3a01aNihNtwTz3DnaWhpPkulGPEm2P0CqQtmcIpFVQ8SGvrSmkYunLufj3wqxCXDv0N48O/owEhx2Y0ktPcb9pjzJNKZbuuuC07OMK4qa3wDWla7jiZIlnNqhHY/UrmdT+SaS7IlMbBzEzAXdGD11E67NO7ElJ5M7cQLdvvqKrDFjEOyySZ3BhFYME0WRxv0SGbW7mlcV9CIlJxRLSrpIZFciv4aPU0qSyRJvVtst1Uiu2dZQ6XN31ykrg0yRXB2V4OC1ZKAS3FhNVoqLdy/vz2XHdgbg+W83cv1HK6hvChy7rumWss2n3iSP7nYJk0myyPfXKsmVzt1UMfkrZOTTum8V7S48BCE5mQ4bypk8PYXue218u/1bRs8fzV8lf+mLvegF8T5T8DPs+sPc8cXRsnDXw+L/il8vfy2UBDAL6R4m3dOkSrBVJVmzKnCm+GhqOpXGeipfs/Veo3ruJfL/b6xWVKDPu/44DmmbYVzlreZJJcHTKPoggn4O4UolODk3lib7ave/YMthui7zek95OYXXXEPp1LcAyDl7AL5TKhnnW8WMDTMAuCLlIN7es5c2yW2MHaMEQWjOS6SNmtmCGDS3bAgW2TSGCGhBEGQcIhDTXS8zas80HjPa5xTLxBuYVJLFWIkumwouNNVyyTGdmHbl0WQlO1m1s5JhryxiZWFF4HfqvOb1JPKUjjfWG3C198FqkU3iDwkZ4EyEtNYkZnroctpeUgcfj83tZfwCNzf9kExx1W4u++oypv49FZ9fB59aOxfKtoqF7eVvmDu+OFoev00V157KQlj5ofV4QQ6RLa4FVn3JlAb/yL833G6p05NMae8dRxjiSbL9AXq9uWQy53V7qhj2ymJ+3VZGWoKDty7rz9UndBXN5sGU+uV/Ot1SungFu/5x2xICN5aK2mKmr5vO6AWjGfPZGD6s30613UYnRxqP+ofz0WddOfH5hbBmI0JiIjlXXUm377+j1Y03Yk9VeK+TIwzxPY3gCVSwTZvkRrRb1sgUX2bM8CHUKuFpEMlZU21InWaW5Cr6nFls61CrYJqNG81PyxLJNT/dUiuew27joWGH8MR5fXDaBT5ftYfRry9hT2W9vnYJ+f+5NYi4HLrbJcx6ikRWgi36HwTPh8A1FjiH07tClxkf4+rUiYR9VTz6gZ8RGzPYXbuby768jHdWv6NNdGv3QdHfoe8LFpo7vjhaFnvXhJQAADuWWovXLEkWuCeabedUS5LJCyexnE5lpiVa7/ocuPbddZVhCvRRR4oK9FZp0iAToyb7UTbO0SbmKUEQtAt4Zu73ED7dUV54MFAQa1i7lm0jR1G7ZClCcjLtXnieP87txtgObVjvbyArIYspp07hFkdbnGB+DYXmlg1WVV/QvN3SapFNHlO6/qT3U7AZt9QAjSKblRZOjfPUTJFNj0rLaDI3go8P7JbDvOuOo0frVIqrGxnz+lLmrdwl8x7Vd83HXElm1tM08nj1FOm1EMknE9LBnoDd5af9E/eQe/31AAxaXsWLszJIq/bw3z//y/hvx7OvPsqasPn70NcFP5s7vjhaHjuWhL7e9ou1WE11oZZxiUNI+6pajUEaWohWaGsxJVk8SRYN8STZ/gC9FaHAYlJXXcnIKUvYVVFP55xk5lx3LCf1jCBMhpRkelolTFRttRY/eeLBQLLI7XXzs7eK21rlcHL5zzz565OsL1uP0+bkTG8qb/9cwX/fstHjyU9pWrkKweUie9ylHPTdt+RNmoQjSyPZJd0QpYptWPuFQSIuQbq5SpPVpCpwqslkFojJVIc4Kpy6fSGC60g014IALdNuqdouEYhrNPHoSAi1nmi2S5gw3lU79/1+YypKFdJ8wYCOfHDl0WSnuFi9q4pzXl6MV8+UT0eimEhWiKmKaGTfdLuEyn3K6oIsXW9SQlnaFDZUkNC9O50/mUnqSSchNLm5YFYp/1nSFr/HzfN/PM/E7ydS1lCmHLd4rfb3cfwzULQq/Pu9q63Fi0ySRRYqjKJRJRnjcMmm4xrdMEYpjBm9RvXeowJxn5z3e5gC/ZlRAQV6s+MzmiRTeR8kFYszWUwC6kUwrkIS0qySTFrP/L7we5ZOa4XKefPYdsGFuHfvxtmxI22nv8cLmb9yx9ZPqLPZONIj8Mk5n3Bcu+OsG+JDcw5RY1H1JX+ttOmzatcAofu2pAQN/u0ZupR5zaBaZAvEjbmSTPK0NcMfdCjR9cZVOMaOOcnMmnAsp/bOo8nj46aPV7Js3fZA3GjTLQ1aNkSbmmlaSRaFP3jqRX9mo5D4g3T+CULw3BAaq2h1/XW0nzIZW1oarbeUMfmDZA7b42TpnqWMmj+Kpbs1ijJyzlC109JwgThaCJ4mKJZNMC2yyB+k88nmDJ2bwY4gk5+/2mR4+TAdIwjaNajxh7hxv17Ek2T7A6IR5gD8gf+vq6mgrsnLoINymHvdIA7KU1h8DW3sdSx6sZ5uacCPzOPzsGT3Eh5Y/AAnzjyR63Z/wTepKbjx0zu7N/d3v4H5lZdy9avVpC5OpWl3KbbUVFE59u23tL77bhy5OiqkkZspSVUlSW7NID1ffGyqFv9mq20NIJKAoKdIaXhM0+q0wIayqUY0KgWZeaXJ6rKaQtFsJVgQ1Amf1x2q/uj0kxGfG2Vz56431hasQUiP7prDvOsG0atNGqU19QgSsdaKq/U3q0F3u4RB9YvaptQV5T2MhkglWVK4otOenk77V18h98YbQBDovXAHb81vS+s6J4t3LWbU/FH8uufX5nFLAhMxpSTj3jXmji+OloWk9pMm1Vn9nJolyQLnk6fenLGvfLMfCTMbRp8vpAqNle+PzvW5ThCLK7v2Fisr0CPj6VaSRVGjG026BeNqKX+kpIZB2wJnYki9Lt/0qG1kAvC73RQ99ji777wLf2MjKYNPwPbWM1y+6UE+3fgpAgLXlFcytbSW1imBFl+pgGXWfxQgLcAhqveIRZtYcAjJskG6VqQEnKWYEUqy4N9ukj9I54q3UVzfJVhRuOvxJDNigxG0Q9DhSaY74ax8jGmJTl6/5CgmnNgNgE2FopdnkyNaB0qMp1tKf4fRVi61ImbYQBETm/pI/iD/OpDwSDvpJLp8MpOE7gfhKK/mvmlNXLQuh9L6fVz77bW89OdLeHwRCTpPE5QViF9Lxdm98ULbPw77Noit/JInYm2xtSFAcv4grYtKU4b1wudVL1zHOuEcjBtPkulFPEm2PyDaOFegvsnLg18XApBGPeMGduLdyweQmexSiWmAlEoLvZrXE1jzJFNslQhNolSC2+tm6e6lPLrsUU755BSu/fZa5myeQ1VTFbnONC6qqGbmpkSe/74dfa55mepXXsdT68WR5CXvyvM46McfyJs0CWdrA5VRNf8wK9XVhLTQ+1tdJCOjFmJCiHTXlsiO00KrRGJGiAgEibNFMq5UJfH7rY2FV0tqyc+xWE6nCsYVwBmFjGodXwAdssWK8Fm9MrAJop/cMz/uwuvTmNqopx1aQpjyLZpxv1n1i5qSzOSCHGm0rjDxR7DZaDVxIh1em4ItPZ3k9YW8PC2Bk8vaUFJfwlXfXMWrK1/FK79/7dsoPvY6S3ws3648JS+O/1+UbxMfDwoYm1fssBZP7icCAcP9QKLUTCVYrVUCzLUahw3qUUtkG4yrQ1X1zZoiftsjbgQ7p3qVFeiR8YwqydQS+UaTbhK03l+zg39A239UYV3y7NvHjsuvoHzaNAByJ07gr0lDOf/nq9hYvpHsxGxeG/Q4N1RU4qgvl3mFSspxC+t9uixJVl8e8nazktAKtltKSrJYtFtGeJLVWky8yc9l+eff0p5ksfY01TuFMhhT/RjtNoE7h/TixbF9SbeJxcx3fi9hR2lds+c2j2cw4R613TJGSnS7M1QgMcMh6iKU6KDIIVydO9P5449JGzIEvF7OnbuXpxZ1xOH28ebfb3LF11ewp2ZPKEbZVrFA6kqDzseJP6vYbvz44mhZSPyh9aGQ1lb82srnFFlkg+YD2IxAa28i3WuMJpyj7e/jxv26EU+S7Q8IJsmUN+FFlQ2MeX0pc9eKZD1BcPOfs3rgtKt8vD6fwXZLHUbBsZ5uqSDprmioYMGWBUxaOIkTZpzANd9ew4wNMyhrKCMrIYvRPUbzzqFPMGPbiYz+wAmf1lD91Vfg8ZDUty9tB/s46Oy95Fw0GnuaibZDVf8wC8QRIC1g2lu1W+YnYoHgymNW7wkZUltJvCkZ71qtWCslY9z1If8hSyQ30sw3sJF1JImky3A8lc2dfPOpR00oj6eSkElJcPDScLEa7PHbeHXxLq5+/3eqG9yKzzekJNNjkB2cmKnT4wwCybcolWDTniIRJFc6Lxoqmg0rSB08WKwI9+gBZRWMf2sPd2w/FL/fx2t/vcaV31zJ3trA9VAhFhWCBNdTHz6YIo5/Bip3iY+dAlOGLSfJZJOpINB+kyl+bSlJltn8/8yc+9J1LNjEaXZKMGq8q7E++/1+Xv1xM9dM+4Mqn9geeuPxbZQV6DriKSKacb9VJZnS+2BlyqHCJlpNMVi/ahUFI0dR9/vv2FJSaPXf53jpyBLuWXIf9Z56BrQZwKfnfMqxnU8TX+D3hs6ZYPLJwnovKcnk/EEyKTcdU8YffL7Y+pxFKsnMch158kS+9rW0J5mp6dh6PE11KtR03FOGH9GOEzuL701BtZ1zX13Esq0qa5vRoli0BLmeYQVacZWuVyscQjofkrWTZAC2lBTavfA8ebdPApuNLosKeHteWzrVJrOieAWjPxvNT4U/iU+W1qGszpDRQfy6cqfx44ujZSHxh4z2kBn4nKxwiMiiLTTrbjAE+d4kcjCfZSVZ3JPMKuJJsv0BTeqL0ood5ZzzyiL+3lWJK0m2eGttmOUG33qqV46EkLeKaruEwcoyhMiGp0GULofFq8INrHDZee2v1xj35TgGzxzMPYvu4ettX1PjriE7MZsRB43gjSOeYpb7Ki59cS0pF91O6ftzaKp2YHP4yTx/LF3mzqHzxx+R0aFCFAyYNckNGuRKJC8GbQ0gqwQXiUQXQsTXLIKLdmEoGZDR3lrMyAmXsaoEK/m+CHbjGyZQJ6VmVQVRk2QGN2LB680fav9UgC1wjfpcaSQ47PywvpgRk5ewbZ8C8TTSLiG/fqOSXANKsqZawB9+PMHjs0Bwfb7mHjPSY6RnUACuTp3o/PFHpA8dCh4vR324kreWH06mP4k/9v7B6AWjWbRrEVQHrrXMTqEJh5WFxo8xjpaD3w9VUpJsoPhYX2atAqpVCTZFcjUUJsFKsIHWZbkiU609PkGlGBAtZsS12eD2ctPHK3nma7H1OD9PTIIk+6K0nRpVjke7B2hwHFNxw5L2JpRk0pAeuWGyggdnxaefsv2ii/Hs3Yura1fsbz3LVfVvMHfzXAQEJh4+kTdOe4NWya1EHiWpjetKRdsC6RitFNrSlPiDyWmZEiT+UFEINUViYUWwQ6qFuEFPskByLBYtnEpJ0pbyJIvmxaUVL7IlVDGuXk8yHeo0IMMmFhuzs3Mor3Nz8dTlfLhcITmQYHC9j8Z5jLZvBuO2VJJMKrLJzodk5SQZgCAI5Fx5JR3fmoo9M5OETYU88x6cXdaJysZKbvjhBp7+7WncUkIsPT+cb8fxz0JV4HPK6ACZHcWvY5Ikk/EHK55kwSKbEn+w6A+sqiQzeY3+CxFPku0PCHoThZ/wc1bsZOwbyyipbqRn6zTm3DA45KWhlSFulFeqdU6OjLaQmklCyNuyGqtx+9ysKV3De2veY8KWjxnUqT2Xerfz6spX+bP4T3x+H92zunP1oVcxvftjfLJvDJe/sI7MMbex77EnqP/rL7DZSDm6P/kDyjno3CLy77+XxF69REIqjRs3a5IrVVElZVYsqqsQkgBX7w7dvKWbuVlICbGKwlB1K1ZJstpSkfBJC4LZvz9yKheE+0eY8U9TI7lmN0zRFhOjBNeZLF53WjFlcV3J6XwyfiBt0hPZXFzD8MmLWbI5wk/BSOVWvhlVM8g20r4ZjKtxT7FCcBsqCCbfJJIr32yqkBJbcjJtn3uWvLvuBLudtB//5M05eRxDV8oby5nw3QRe8JXghgiSG4NKsLsevr4XfnvLeqz9EZu/h3nXie2rVtFQETq3cnuG7t0VFjYjikky9U1TVGi1W5pSkulovzLabqlAmiUF+vy/duOwCTw24lCO6hFYd6JVmI0qyaJ5O5otYqi9D54GkDyErCjJ5GuTzLjf19TEngcfYs999+N3u0k99RTWPHkJF6y+nS2VW8hNymXq6VOZ0HcCdvl9Vs4hJP5gc1oz7k9vQf7QUAHF60K/x+4wHzPoSRZDuwrFttgW8iSzMh0b9KnRjcSMltQKXMM3D+3HOYe3xePzc8+cv3lw3mrcXpkC26jyK5ggV3kf9CQGteIq3VNcJjiJhOD5EF1JJkfKwIF0mfUpiQcfDJVVXPpmAQ9u7wd+P9PWTuPSze9T6LCLSerMGPIHgLXz4fPbrHln7a+oKxP/9lUzYxMvuP9pJ0v+W0mSafEHE0U2La/D4Ppm1N8vyj0l7kmmGxZWvDj+Z4gw7vf6/Dzz9QZeW7gFgFN75/Hi+UeQmuAQFxN3nfYGXE5w9SYiEtLEm0O0dkudC73f76e4oZRV6ZmsssOqHyaypnILjVKrHYDNRpbgpH+HEzle6EHfnQ6cizZSt3wOnr17kYvHEw8/jIyhQ0k780ycOdnwSOAGVl8hyvklgmtlEmUwmRXwJQgqqSy2W0pKsqo9sSO5mbLKluS3Iy0QZiFvt5QWb8FmrmIbFk/2SVrxIwP1BI8Zggv6Pcn0nlOS0X5jVeCaaa0SN1StPax9JvOvH8TV0/7gr8IKLnn7Vx4652AuGdg5+BzxGHUseHoUn0GzYQNVJvmiHHlPMetRAiES60oVpwVKSMoSFbH15UAXxZcKgkDOZZeR2Ptgdt1yC94NW5g0JZOFVw/mZcdC3k528Ed+a56x28jPaAe7fg9J861gySuw9BXx6zZ9oMMA6zH3FzTVwieXi6bplTvh0nnW4kmfR1I2uJLFDUlDhZhkaH2w8Xh+v8yjRq4sUNhs60WsFRB6Wg+NxJXbKwRet7Kwgmve/53i6kaykp1MvuhIBnbLgZ90XvtGN/Z6jfuNJrTU2kaCyiLBuDoNlDfRgbXJXe9g1yWXikU5QSDz+gm80mcP81c8BsDA/IE8fvzj5CYp8IL0tlBeIHIIqVXQykAdCFeSSV47VvlDYrq4aWuohB3LxJ/FTIkeadcQC58zGYdoKU8yM4U2h0tMgvrc4r1R6ZiMJoj1qkCChbYMXjq/L73apPHM1xt4b+l2NpfU8OqF/UTP4lgb90d6xcnb0jTjaijUrGzqle73Oosiznbt6PThdIoefIjKefM45MNfee+ko7ht4BZWN5Uypl0+/7G7OV1KVMeCP1QXwadXhM6ZEa9Zj7k/YeFT8NtUscjYth/kHmQtnvSZpLcTr0UICR3MQEmZaMWTTMsWoMWUZPF2S72IJ8n2B8hO+OoGNzd/vJLv14sJmokndmPS6T2x2QIkKyFNTN7EehJlVE8R9c13vaeerRVb2Vi+kY3lG9lUvomN5RspbyyHnMDiVyZOhUl3pXN0yiEcv24nvVf+TYq/Fw1FK/AUfUk9IDWBCImJpBx7LKknnUjq4ME48yKqkRLBqy8Tk2TBVgmT48Yh1MLQUAlNdTI/EYtKMonQFv0dugFbTWhlBGJW7gwRcKskV2pJqy4KEdzkXHVFUjRIBLe+XBwIYbMrTyIyArUEj1klmRFPMiMxG6v0XaOBuHnpicy45hjunv03c1bs4v55a1hfVM1Dww7BacRTRM/xmpFiS+9vpGm//Hc1VotJCiMbQqUqMIjnh86R6ylHD6DLrE/ZecONNKxezfHP/Uifi0/httbf8VdiAqO+H8+jCd04CUIbOCvY8Hno6/Wf/buSZNsWE5wqWPCzeN81m/CGUEEivZ34mNoKStaF7j9GoTaN1kq7pZbpvBVPMi0lmZFrXm6v4Epl3spd3P7pKpo8Pnq0TmXqpf3pmJNs7Hh1DBMKQ7S4ZuwaQL3SbtQrMhJKCqWGCupKXOx84AO8FTXY0tPhoZu5tukjCgoKsAk2rut7HVf1uQqboPI7g/6je0J/ayz8R21O8DaFElpWk2QgcoiGv2HH0sD3MeQPECOfs4gWTrDGIbQUj2YLbQmp4jHFSo2uZ2ImhCWeBUHgupMOonteKjfPWMnizaUMf3UxU8cdxUF64+k9XrtTtGfxNor3Mt1Jsv8PT7KKqC+3JSaS/+QTJB56KHufeoqkH3/ntZ1deeXUJn7KbOS2fYsYuz2Z2wVIiAV/2PRtyDd2/RchbvxvwXqJP/lhwxeQe6O1eGEcItCVUGOSP4DyeRrsijGRJNNaS1vKkyzSG9lKkeYAx/8kSTZ58mSeeeYZ9uzZwyGHHMKLL77I8ccfr/r8hQsXcuutt7JmzRratm3LHXfcwfjx4/8Xh/rPRIA0V3gTGDNlCRv31uBy2Hhm1GGc27dd+HP1XFSWJlE2X+g9Pg+l7lpKXC52lv5FYclyCqsL2VG9g8LqQorripu9xu71k1dr46gSH4cX1dM5oS851UnYduzBs/sXAPykUkNAKut0knTYYSQP6E/KgAEkHXEEtkQNY9qkrECSLHDTkrVKmEZihthK5q4Tb7yS/0eqihpIL1r1Eh93LBEfk3PMTeSSI6uT+Cj3SMjtbi2mRJIrd8q8Tyz87cHEh1/8nFJyZebImeZiqiV4TCvJWiBJlpAK1Rox5XFlC2ei087zYw6nZ5s0nvpqPdOX72BLSQ3v5iWRqHWMYXF1KDbMeJJpxZV+5vOIbVBqZuRKCPo/RGx4DBqtO/Pz6TT9A4r+8zCVs2eT+f63vNepgUeGJ7OCKm5sWsHF2ZncWrMXA2MdmsPdAHv+Cn2/e4WVaPsf5H+73wd7V4cGI5iBpBCR1CZSQaKm+ZqiC2qTI620W2oVncwoIPQkoIyQ58C16RdsPP39dqYs3AqICvQXxvYlLVF2xuttazI6jTLaRtyot6MEtemWUqLWrGo84nzw+/2ULy9i78Ic8NeQ0KMHq289i4d3Pkejt5G8pDyeOuEpjmpzlHbcNNkkSkmlbJU/2J2Qc5CYPN6+WPxZVmdrMUHkEHv/hm0iHyPHIn+QEt2NVSI3C/InCz5nkS2c8unYZjiE2trn9YSSzXoN9uUx68uV1eheT8ibVO+5alBJJr9GTz+kDbMnHstV7/3OttI6Rry6hLeGJjNATzwJerpGElKhrtFYoU0rbiySZErtljqLIoIgkH3JxST26snOm2/Bu2kr1+8QOPq0ep7qk8qMHd+wMr8NzxaX0tnrsdaWXLg89HVjpTidMaeb+Xj7E2pLw/csseBPcg7hDXhf15rkD6CspLTEHzT2EGamY0N0DmGFk//L0OJJshkzZnDzzTczefJkBg0axOuvv86ZZ57J2rVr6dixebWroKCAoUOHcvXVV/PBBx+wePFiJk6cSKtWrRg5cmRLH+4/E4ETfuqvxWysryEvLYE3Lj2Kvh0ymz9XT2uTAql3+9w0eZto8DTQ6G2kwdtAbVMtVU1VVDVVUe30UJWRTtW2BVRWrmBf/T5KaosprymhqroUZ0YWTg8kffUUqfWQ0uAntQH6N4hft2pKpF19Erm1AqnljdgraxGkij4uYC0+QHJKcGYnkJRaQeLA00k880qSDj8MW5KBCzkpW1xcpJuWUh+5UQiCWLUt2ypWQ6WbudTaaBZSkkxC60OtxQOxOpuWH6qipORZbwuVJ8mkvz3DQsXa7hCJbEOF+Pmk5FrzEwENTzKTm6aonmRSXINKMq2YoJp8EwSB8YO70T0vlRs/WsGyrWXMKq7komjxmsXV2oCbUZJpxHWmAALgF59nZEFWUwWYICW2hATyH3uUpD6HUvTYY3i2J/LgdBtf3nUur5fO44OMdFZULOeZ6kI6pJm8psu2iMkhCUWrY1epK90ixsnuaj2WhOq9oiKv3ZGxibdnZfj3RTFKkkn3bUl1YpbkSuepMyVcYWSF5Gq1R5pqt9Sj9jTQLhHgD/UkBRNkEwIKdLst4ryM5h0WPEaznmRRlGSG788qcc16nEmQGTH7GhrE5PpP9YBA8uABvDEql/nbXwZgULtBPH7c42Qn6lDMyJNk0iQzq6pxgFY9xSSZhDZ9rMfMP1xUwkow094sR0KqeJ3Vl0NZQYibWOFPke2WYdOxM80dI6gr0cFCoU3h3JfH1T0pVkcRy+sRpzVDs2uqV5t05l03iAnT/+TXgjJum7uFXxLA31SDrlUqWMCLUmirKzVv2RCJmCTJMkM/M3m/T+7fX1Sl33gTDatWceTnCbybfhy3dl/NBioY2641D2z4hLMOvsD4cUrYtyn8+6K/Y5Mka6qFPaugw9Hmu2ki4fOK6tX8w8x5P0ai6K/w7/euthavqS6UhE7OCflUWlGSBffPciV64HxqqhZ9+OwGSq3BhFZK8/+T3lNvoziZPnL6pRL8/uiJbPm9Ricnr2/ykuT6FykaA2jxJNnzzz/PlVdeyVVXXQXAiy++yNdff82UKVN44oknmj3/tddeo2PHjrz44osA9O7dm99//51nn31WMUnW2NhIY2PIx6qq6sDrsZ0z63dS9uTTix95SfiFRIeNkoXwrV+Ujgp+xAsDxEy5Lx/hp4dAeBj8we0pgt8vfuH3Ifja4qcI/3uH4Pf7EfAHnyv4Q79bAJL9kAy08adi9y3B5QFn4J/+W628WTIApxNXGrgSq3EdeTKuAUNI6NKFhB49sM+9BLYWwIiT4fCjjb9pkZWiWE2iTGsrJslKN4UWWMteX9lilVWa4pZ/mLV4EvL7hohoLEiz3Nw86J0WA58zKUkG1j+nqEoyo1XgGHuSQfQhGGFxlRe5U3q3Zs51g7jqvd/ZXekAJ+wqLqad4rPlcXW0nRptvwDtRdlmExflpmrx7zLSXhP0f4jYgEqfo5GpgYhJxqwLLiDBv5mdT0+jaR+c9vC3HHH5idyR8D1r7PWMWTCG/xz7H07vfLqh2ADs2yg+tj5UJHj1ZeJ9Qm/LiRpKNsJrg8QE3OVfQYf+1uKB+Fm8frzozzH8NehrgdhLKBV9Mml7hFgFLt1sLZ7URiVthqVEv1mSq6aAClZsTfAHlcE64s9MeH/osUMIFsOib0KL9pXQBqj0JeBy2HhqZB9GHKHSOqfXKNigB6lu436znmQNEcer5fOiBwH+4C4qZudFF9OwZo1IjPrVct2pRWzf/Sd2wc4NR9zA5Ydert5eGYlgu+XukFdoLFoj2/SBtXPFr11pkKXs02gIbY9o/jusIqO9eD8s/FW8l9ld1uwqmk3cDtwXHInmvOiCpvNN4sR1yQdTuh4cScY2wKDNIaTz3pEY7rmpBT1FLPnarfA+5KQm8MGVR/PAvNV89Zv4XMHTQGNTIwkujY24328wiR8jL0Yzw4RA/Ayle5V8DZb4g4n7vbNNGzq99y5FI3tRuTWZ5A9/4u1TB/N074UsSXVw12+P82vFeu4acBdJDhMKnSCH6CMqOa2uoRJmXAJbvod+l8Kwl2MT87sHYcnL0L4/XPmt9WJgJH8o22qt3VS6L9ic4jkpFTCbqsWEuhkFlVInllkfPnk8rYEVUlw9STL5pHm1e2CQk9dE5eRen58t/z2Lxtoqul/5Fon5PaMfwwGEFk2SNTU18ccff3DXXXeF/fz0009nyZIliq9ZunQpp58evjk544wzeOutt3C73Tid4QvUE088wX/+85/YHvg/CI0eL859bjrsEQBP4F80SM/VA1/0p+iEYPdjS8/Gnp6OLSMDe0YG9vR07Bni987WrXHktcbZpjWO1q2xZ2UhfHIprFsAQ4+BAaNDwcxWliVEVopiYRILYgvC9kWwdaH4fWKm9dZIgJ5nimaVAN1NbM6V0O1k2Phl7GJKSrLq3aJKD6wT/OQcUX0jLWY1Fj+n/cKTTE9LdPS4PVqnMfe6Qcx//Suogj83FbJg4RauPaErghpZ0dsqASaVZCpxE9JCSTIjUJscaHbqTwDJHZLpckYJu1b2pH57Jdkvfc8Hfap54PQMVlLDbQtvY2zRWG7vfzsJdh3ERIJEaNscFppgV1loPUm26uNQq8CK92OTJFv/ecjA9ve3rSfJ/P6QwrTTIJHkylsnzECt3dK0kkyFkErnU2SyRQ+CSS2lSrCJdgk9dgg6N6HLtpYydcYypgINQhIzrjmGIzpqeDXp2YzKK9W6lWRR4hpt3wzGjeZJZp4/1O51sWtuMd6GYuwZGWwatJsH+mTQVLeb1smteWbwMxyRd0T0WHJIyauygtDPrBaaAHoOhR8eEb8+6JTYKEU6DAh5S+UcFJsWzowOojJGspbI6GDtWNWSZGaHIUROo3QE7ttm7RpAez21wh+0ilhSXHuCavLN5bDxxHl96J2XCN+LP7v6zZ947tITaZWmsubJN+CxtGzweWXtrArvsdnhP/Iimjyulfs9YKOB/P4VJGU3UbQyl6bvFnLHnzZ+Ob2S57tkMnvTbFaVrOKZE57hoCwDxvN1ZaHCYLcTxSSZ1TUUxGnQWwIf8p/T4IwnjN9rI+H1wO/viF/v/A32roE2FrtgpL+1/QDxPuHziF07GVHLv8qQK9EFQeSRdpfIpWqKQ7Y0RqC09tmdISuehkpjfE+LP9jssmRWlb59UdikeY0kYEJaKEmmgqoGNzd+tILnK/4iW6jhl63FHB9PksUO+/btw+v10rp1uOdC69atKSoqUnxNUVGR4vM9Hg/79u0jPz8/7P/uvvtubr311uD3VVVVdOgQA9LxD0GCw07GxWP5c/MmOnfphpCYgWCzISCAICAIAoJgC3xtQ9jwBcKu3xG6nggHnYxgsyMgPk96vq3gZ4T1n+Fs3x/XgGtw2p047S5cdhcOuxObzQ6S8FoQ1Rf8+gb8PROh7/kIJ96CkJCILcGFkJCAUFmAMPUEhJQcuEM5+akKyTciUvZstRLcbJKStNmyqCSTfL02fSM+xqIKDDDoZnHUetsjoLO6X58hHHExFCwUF4d+l1iPl9o6tMAUBJKEsUiSQUgxEpwYarK6rNouYbL9Rj4e3edrTujNxA1Wls0rySRkp7i4ePAhsABSaODJL9ezsaiax8/rQ6JTofqma7qlGU8yDeN+EP+OaownydTeX7NeDRLqy3Em+eh040kU/Z5IxUcf07AqlcfKG5n3wNW8sXUaMzbMYGXxSp4d/CydMzrriytNUsrsIG4Aa0tEgpp/uLnjlFDwS+jrHcvVn2cEO38Pfb3rD9FPzanh8xgNDZWhc6bjMeKEz4oYJckk0ilVPK16ksVKSebzhto5YtVuaURJphH3w+U7eGDeagZTDS5o17oVXbQSZPK4Wte+uy5Ukde70dLdbmlwvVfzJjTrcYboP1Y2fxHFP+WAH5y9evLB2AxmBZRAg9sP5tFBj5JpxvNKmtRWUxT6mzNNbNQi0fpgkUPs+gNOvt96PBA3lGc9K06ZO+3h2MSU1OibfxAfY8UfJJ4n3RfM8jy7Q1SLeerDFSFWkq6aEzMtKNFN2DVEQhAExh3fA9+PTmw+N5sLizj3lUW8celRHNpOQXUvxY26ATdYaJPfFxQVuSbXezkvkauRpHhN1cq8LhrqyxEEyOoNCbe+z86bbqSpZB8DZyXxzoTBTMpdzeaKzVzw+QXcc/Q9DD9ouHrhUg6pmyQ5N2TDYnUNhZBXIQB+0Tu08yBrMfdtDD+nty+xniST/tasTuIk4IodYuIsFkkyEPdCKXmixURtibkkmVoRKyFdXBuNcgg9kyijJLMUj8+Vpl0oSEgTO41U1vrtpbVc+d7vbC6uITWhAYDjD+ms7xgOIPxPjPsjbw5+v1/zhqH0fKWfAyQkJJCQYKDSvx/i+Esf0P/kb3dD409wcCcYcqXyc37cAcW1cHA3OPEsfXErOsFuN+Q5oFtEf3xFg5hTM5PQknl/hMGq0b7U1hA5SclykqyH+CjdWPIs+nRIyOwAl38Rm1gSXMlw/vTYxbPZxL93z8pQhc7q358SUQmWSK7ZiVdqfl+Sma9ZTzL84gIYuTCaNe5XOkY5DCg1HIni7z4k14Z9r8DsFbvYuq+WNy45krz0iKSHkVYJT4NYLdRjQhttIIDpKT0qm121Niu9CEwhEtJzyX/wNpJ6dKXokceoLXQw9LEfOeqBB7hzx8tsKN/A2M/G8sDABzirq457pXS/ScsXr+ndf4rtyVbg90PJ+tD3pZtErw1XsrW4clNcvxf2bbCWzJP+zuQcyA1UGysLrXmyBQc35IQ/6phKpgg1Px2zygJ5C5VmksxAXD2+gRq+hh6vj0c/X8e7S7YBcHznRCgCV7KOe5+RwT+CTaye64H0frtrldtnTCfJVPiDdH4Y5A++ujr23Hc/VV98AQj4uzZw6/n1FDRuweH3c3ODnUtPflnfhlcJiRmiUX1NUUg50ypGlfnTWqCjot+l4r9YofUh4mNjjPhDMEkm2WpY5A8gXnee+vDNY3CgUEslyYwoyfTYNRhTZtoS0qC+jF7ZAj+UNTD6taU8P+ZwzuwTLkoIO16ta8BooU16nt2l3FJmmj+ovL/y75uqjdtwSFMMk7JJ7ncEXWbNYtfFZ1G/o4bkl7/jvfFX8VivDSwpWsoDSx5gedFy7j/mflKcCmohOST+kJ4vszeJQZKseF3490WrrCfJIv1Hi9dYiwchDpHRXvQ7rtghJs46HmMunnRfkPYZIO45dU5GV0STCodITBfv62Y5hNq1KiWz9J77TTr4A2gO6VmyZR8Tp/9JRZ2b9ml2XO5AZ5qS2u0AR4zc+5SRm5uL3W5vphorLi5uphaT0KZNG8XnOxwOcnJyFF8Thwx6SLml6ZYKF6rWdI5okEiufMqM329tjDeEG+RC7JJkeb3Dv5dI378F8k20M8W690kzkhsjT7JIcqY0BlwPnMkEVZVaniIxJ7kG4gYW69YJbt6/YgAZSU5WFlYw7JXF/L0zwrNL13RL2UIYq7HwZj1FgorSiM2J2cqyhIj7S+bYi+h0aimOJC9N27aRff2TTE+9kaNaH0Wdp467frmLB5c8SL2nXiMooftNWgxJbtVu8X4u2MXP2u+z7lMiT7xJ11okkTaKMIIbaM1urDLdEgs0rwSrKY/1IqqSzOD5JN0TBLvy5s5MXCVj4EiorMcVdU1c9s5vwQTZbaf1YNyRudHjSZDuTZ4G0YBY8/hS9Sc/wzalSskCk+3w0v3c0yAmjiWY4A9NhYVsu+BCMUHmcFA4yM3Fo5MpaNxNW1cm7+7ZyzhnG/MJMglyDpHVJTaG1/sLIpPwVpUn8nZLv19m12CB5ykloJUmJOqOp+VJZkLxGEMlWWTMl87rzgk9WlHv9jJh+p/897tNQZECIOP6Ua5TvVNy9R6v0XjBuCptss5EMSFnJiY0u7848/LoNHEQmQfVgh9qp0zlvvlObu01Hrtg5/Otn3P+Z+ezvmy9RlBkU+PzwwdlWYW01kv3w5Iox6EHEl+IFX+AcA6RGQP+pDSwzeBk9DD4/dpKMjDOd4LKL5UElFGuq3eojgqHmLZsO5e+9SsVdW4Ob5/BnKv7hv7TjM/jfo4WTZK5XC6OPPJIvv3227Cff/vttxx77LGKrxk4cGCz53/zzTccddRRzfzI4lCAnnYJtUy4ZlyNRcqKFF1pykxTTWgKiRlSAgpKsoAc32qSLKtLeIuA1WrM/oYOA0JfdzzGuveJ9HnUFImydylJZlpJppLMVTOAjwZBiFIJNkNyY+NJFooXIs2DDspl3nWDOCgvlaKqBka/voQFf+02dryOBNHoNBBTF6KpX8woasLiRibJTMaTELnpsdlIapdClzNKSO57CL66Omom3c8T6/owvs81CAjM3jSbCz+/kC0VW9TjBpNkbWSTGPeZO0YJpYFpV9ldQ+3eVhNv9eWh87nrieKjNIzDLKRWkfR2ospN+sysTJKS2qiSA4meyClSRhHNk8xsq0SCSsLIkoG1RtU2sl0I2Fxcw/BXF7No8z6SXXZeu/hIbjilO4IRv69IA2LF49PRsh2JsHuKBocwSsJdqWALKF3lHMJgkqzml18oGDWaxg0bsGVnMf/Gftx2QhJum42Tc/sys/MYDm9sglSL/AFC1xuI3n3/JuQdHK4+7KS8D9ANiT94GkR1e6yUZBBeIAoqWk3w0WA8rSKbEbsGSeldLyq9lWA06RyImSrU8/a4o7jyOLH4+cJ3G7n+wxXUN3nDjzfqBtygkkyPp6n8eXqhZ2KmGTV6sOgaur8IadnkH1VJ/pjDEZxOar7/gRMe+px3ez9K6+TWbKvaxkWfX8SM9TPCE49yBJXobUR7ExA7GNQGR+mFNAyg+xniYyxaOCUO0vUk8bF8u7V4Pm+IP6W3k/GnWPAHeZJM2nNWGI/naRBV99D8GpASsYaVZDraLY3E1fI4U4obuEbcXh/3z13N/XNX4/H5Gd63LTOuHUirhMA9xu7SP1zkAEKLJskAbr31VqZOncrbb7/NunXruOWWW9ixYwfjx48HRE+xSy8NybnHjx/P9u3bufXWW1m3bh1vv/02b731FpMmTWrpQz0wYKRdwoySLFaScQnBdkuZkkxagOwJ5qaPQHMlWU1g8bFCnkDcCB09Qfy640DIN2jcu7+j9zBxwqdgh6OvtR4vPeA1ULlLbGmQkqNmk5nBhaoi/OdWlInSYhOrBHHMlWTh8TrnpjB74rGc1LMVDW4fN3y0gue/2YDPp3MyFRgnudEGAgQ39QZ8zkD9eM0SEgnBpKnsfEjMxJHoo+Pjt5A9TlyTyl9/k3Om/M2bA18kNyk36DMyd/Pc5kTX6wm1C6e3tW4yL0GqLme0DyXorSa0JIKb0gpyYpR4iyxESCazZv9+n1e2GZGUZLK2GIOTTQENJVkgrrvOWPItKsGVnfc+r7VjDIsr+z93LT9uKGbEq4vZVlpHu8wkZk04liGHttF3jHLYnaInE8TWZF8Q1BUwYdV5gxxCEGQtlwocIsr93u/zse+11yi85lp8lZX4ex/EA1cl80HCnzj8cFdpGS92GEZGfeBcszKJUcJhY8TjciTCUVdYj7c/weGCAVeLX/c8y/owAFdy6DOu2iXzJLOSJAvcC+SbaEv8QTrvFdYqK3YNoL6eGh2sEeQ4NTjsNu4/+2CeHnkYTrvA53/vYdRrS9hdUa//eDXawRWh1gYvIVjEiBF/CItpRkmmzB8AMvum02n6Bzhat6apoICk8Q/xQeqNDG4/mCZfE48uf5RJCydRraTSr5aUZG3Fz0S6F5v14ATx/loV2AdJSWmr/AFCibZOA8XHmiLwNJqPV1dGcChEcq6sgG7hb1dSkllRo8vPv2aFNpOFW71JMr1x9e7vZbmC8tomLn3rV6Yt244gwB1DevLC2L6ir7GUoP0XtlrC/yBJNnbsWF588UUefvhh+vbty88//8wXX3xBp06iYd6ePXvYsSN0wXbp0oUvvviCn376ib59+/LII4/w0ksvMXLkyJY+1AMDepJkejPNinE1FnozUkwlJZmckJhtbUgPJMkaKkXTfulmKUmYrWDgRLjuN7h0XmymSO1PSEyHiUvgxj+hxxnW40mfR9Wu0CY9OVffqGMlSIthQ2Woyur1hDbUZirBWuPCW8qTzIySTFZtTE90MnVcf645oSsAL/2wmQnT/8DboJfkSklxnRVMPcb9EENPsti2W4pfZwIgeGpofffdtH3maYTERGp/+YWc657ko55PcEz+MdR76rl/8f3cu+he6tyyFq/aYsAvJpDlJM9KJRRCSbL0tjFMkim0NcTKZD84idLi399QGTKIl65buyP02ZupBKutVXoUVIrxdBJcMKCq0NFu6UgMKqg+/HkNV777G9WNHvp3zmLe9YPonS9L2hstikVrjdajdFOMq3IP8DSCzx3+HCOIxiFU4K2pYeeNN1Ly4n/B76f41L6MG1bIWvte2qW2Y1rSwVxUVYNQszd0bcSCP6S3het/hxtXQvsjrcfb33Dqf2DCUhjzXmzipUttabtC97X0tubjKfnkmlWiQ4g/KBV0zPAHuSozVlO3FTjEmP4d+PDqY8hJcbFmdxXDXllMwe69+uJqqefMHK/ZBISaXYOVmKDJH6ivIOmww+gy61OSjzoKX20t5bfcyQOre3B7v0k4bA6+2f4NoxeMZvW+1eFxg0qy1uLeR1KuWlGjN1SIqkOADkeLj5JXqBVI11p+X3E9ghBXMQOJPyRliet8SiyUZErtloHPLLKQrgdSYtOZ0nzvZ9XXVLULwyDX1dspFjj/y8pKGT55MUu3lpLisvPmJUcx8cSDQrYCRhPuBxj+Jzv8iRMnsm3bNhobG/njjz844YQTgv/37rvv8tNPP4U9f/Dgwfz55580NjZSUFAQVJ3FoQN6evf19iyHxZUWFCUlmckqMCh7kln1IwPxxiLJ+nf9HvqZUYNONbTqYT6Rs78jKSs24+BBliTbDeXbxK+tTLxKzCToISadR3LFiZmJZEGSG6Fc8fvNTWFtIU8y3HVhahW7TeCeob15dvThuOw2vl6zl51Fe8OPQQ1GPcSi3QNMe4oEnh/pKRIkDibURH6/cvtMYriCIOOcc+j80Yc427XDXVhIxWUTecY9nBuOuAGbYGPB1gWM/WwsG8o2iK8LKqlyRQIlJYustBtCeAtGrJJkwU1/h9h5n0S2NlitBEvXrytVVDhJsFIJVlM8SiPcwZhCLVqV1ZFg3PtGj/GuIOAPXFNv/bAKnx/GHtWB6VcdQ25qxLrUpJIYVEO0hLZZ0hxMvEfElf8eM0Q8WYtDKCc1GrdsYdvoMdR89z04nfx4YW+u77+aBpuX0zqdxifnfMKhmYFJlNV7ZEnlDsaPTwkpuaFC3r8NgiBO45Rf01YQvH8VhgptVjiE3OdMghVOqsYfwJxdA0QvtBlNkqlwkv6ds4NJ9301jUz76e/w3x81nt57XpREvpyPGEnuaL2/WsXPaKhTSJpK61Ig+eLIzaXjO2+TdcklAJROmcKJryzh/UFTaJfajl01u7jky0uYtnZaSJUe5BCBBFGw0GRBTSWpyBIzIacbIIhtg1aST57GUHdOZqfwa9AsmvEHSYlu4TilQpqc91vxJNMqOJk9n6J6khksMBtUkn3xxya2l9bRPiuJ2RMHcerBEX7xcSVZHAcU9MiSzRjta12oFsatBwluQ0XQWyW0AFlIkglCqOVyx1LxMRZV4Dhii9TWoiLC74Wdv4k/s0Jw7Y7QIiiRXKkKnJChb1JjJNRa+8IUEEZGuOvxDTSwEY3SfjHqyPZ8dI24eXZ6xQVvbVkUoml0OpVu436z7RJqnmQGSTOIi770uSm0S8grjIm9e9P5009IOfZY/PX17LltEud+Vcnbp7xJXnIe26q2ceHnFzJzw0z8EsGViLPU2l23L3RvMwP5MIBY+HSAON0JxE2/1PJspQoMsqptpJLMZBVcLaElXd9mKsFaBSIzvmS6WiNNklyNa7+kupGSJjH5libU88DZB/PkyD64HAqUzmhRLNr9yay9gtr7EFShpppTZiu2W6pziKpvv2Xb6DE0FRTgb5XNc1dkMqXTJpw2J/cefS/PDX6ONFdaSI1UtQsqA0npzBglyeKIHTIC96+yrVATKALFOklmyZNM476iVgSKhmhKbz0TcuXQuEe1z0rm0/EDOeOQ1iT6ROX0ymIfXp/GuhuMFyP+IN27/F4xwaMXWnYYsfAkU1GSSRCcTtrcew9tn3oSISGB2oU/kzThQab3fpJTO56Kx+fh6d+e5sYfb6SysVK2hgbOs1io0YM+X23FxLR0fltpY5S4giNJPNbgvTIGSjLp+GLBdZQ4hBVPMi1ebtrXVEpCxagLQ8fewe/3s3xXkxjeW8vRXbKZf/1x9GyjcAzxJFkcBxSMTLc0UrXVTJLFwLjf7wupQmKhJINA1QTY/L34GE+S/fNgs4v+CwDbFouPVgguNCe5CiarhqBWCTargIjm1+F1i6ow+e/Wgg6j/SM7ZTH/+kGk20TPiJvmbGHmbxpVP6NJrWik3GhlGcTEUjRPMr/PuKltmOehzERageQCOLKy6PDmG+RcLXrplL39Nrn3TWHmcVM5vt3xNPmaeGTZI9yx9g1qBCFEcKVkkc9jLqEjQU5ypQqzFYILocRVaqsQEXfXhk8INBxTjeSaPFa1dcpKJVgrqWXG505PMtus8a7KtbRmdyXnvrKIUo+oGPvPkE5ccVwX9amL0do5IuGKwiHMKslUk2QWPE2hebulzxe6hmUcwu/1UvzCi+y64UZ8dXVUHdyBCRfUsjy7nI5pHZk+dDrn9zo/9D5mB/hD8bpwX8A4/lmQPpPtAf7gSrXGHRWVZBXi4z9FSRacmKnWEi3F1dk5EaUolpLgYMpFR3JiZ9Ej648iD1e99xvVDSr+jbEussnvNUYKbVrvryVPMoVp6QpFNgkZ555Lpw+n42ibj3v7DkouvpKHGs/g3qPvxWlz8lPhT4xaMIqVnsA5ktQCSTJJNGB1XYZw/iAIstZIC22h0muDRTapyFiq388zEkqqqlh4ksWKP/j9MqV3NCVZbDzJGtxebpv5Fws2iM/rnS0w7cqjyU5RMeWPJ8niOKCgR5YczWRbK663ETxN4f9nheQ6EsT+bghV66wmNSTk9hAfi1aFfx/HPwutAp/L7j/Fx+yu1uJFklyrykTVJJnMh8uIAiKaKb6Z5JsOo/22GYmk+MUkSIU3gTtmreKRz9bi8SqonIyS3KjG/QYry8GYfuW4zmTR+wuMk1y5ykSeWNAguYLdTt5tt9LuxRcRkpOpW7qMsouu5Nk2E7ntyNtwCA6+Kl/DmHZtWJMQaHdzuEIxrZBcqV0ibGKmRSWZ9PqUVuJ7aw8cc50Fkhv0JItotzR7rGrJIiuV4FgryfQUnILKLB3nqdcTUkooVJa/gCWNGAAAvtdJREFU/HsPo6YsZXdlA16HuG4enhvl3mM0qRWtcm3WXkEt8W41SSbxBOk+31hJ8L4RSKh6KyoovHY8pa+/DsCKk9pz7dm7KUvxcmbnM5lx9gx65/QOjyutSyXrxUS3MyVU0Injn4PcnuLj7hXiY3YX8162oJIks8AhNJNkJgvMsW631FEUs9kEBrQVi3ENtiR+3FDCiMlL2LZPoUhltsimdo+y2UL7BCOFNi2lXqJJ5Q8onw8qRbbgfx9yCF1mzSL5mGPw19Wx++ZbOPnz3Uw/4306pnWkqLaIy3JSeCsjDZ8UK2hZEAv+kB+7mNKa3kw1bsU/LEKtmZwDCGIhVN5KbwRKa18sPMmUVF9m+IOnIeS7GnUyvF4urn6MxdUNXPDmMmav2EWdICa8D8m1KSvQg/HinmRxHEiQLigtWbIZUiq/4CI3zmamXckRuemJlZIsMinW+hBr8eJoGbTpE/H9YdbiNVOSWTDdBfXFz+zmLpqSTIrrSNQ/clnLM1BCUy1CYPM47iTxPX9rUQFXvPc7lfURFWEjEm+fV7aQRqkEG5luKf1umzNkDCtBEMwb76rdX6KQXID0IWfQZcbHuDp1wrN7DzsuupjhmzN598x3aWtPodDp5BL3Fqavmy76jCiZihuBzxdqIUrLD5HRphqLqi9Z1VYQrJNcv7+5p4iVZBaob5wseZJpTTszMVxCT5XViGJBfn3I1lO/389/v9vEhOl/Uu/2cnz3XHp2atf8NUowvGGOci+JdbulmaKdHGr8wZkCjgQa1q+nYNRoahctwp/g4t1RmTxxTBF2ZwIPDHyAp054ilSlDUBmp1DyGCCv979vUM/+gJbmD2Ct3VJLYWKVQ8SqJVrv+hyIe94xvWmTnsjm4hqGT17Mks0RxZVgO6hRJZlGstCMZYPmdEsT93sJShxCWpe8jeCuV3yZIyuLjlPfJPvyywEoffNNUu5+kY9OeJMzO5yMVxB4MTuLiUsfoLS+1Dp/AJmSLDDtOBY+Z9JaHxzSI/mHWSmyRcS0O0LXjtm/X6mg02KeZCaUZPJz2RkjJZmKcnz1rkrOfWUxK3ZUkJ7o4KqTxfukEO38D3KceJIsjgMBzhSCxuVKJ7/XHUqeGVmY7Q7ZaHiVZIHZi0iqBEvJDCVTTDNo3z/8+/y+1uLF0TKQk1qbU9yMWEHQyDlCSWaG4EJ0JZnZzaK7VtmrylQSW0eVVSKsgo3rTz+MyRf1I9Fp4+eNJYyYvJgCeUXYSFIrbGMfQ08y+furpAwwQ0pAPUmmoSSTI6F7dzp/MpPUE0/E39jInrvupvVrC5iRPpCTa+tw4+fJX5/klp9uoTLJwiRG6Vj8gVaD5FzxvZAShrGY+hQrkttUA96m0HGCNTIK6teBlY2DlvLLVLulDu+faEnxsHiB59icwcEw9U1erv9wBS98txGAKwZ14Z3L+uNM1pl8M6wkizJkQ8/frBg3SrHBLH+I9CST3e8rFyxg2/kX4N65k4a8DO662M8X3WvonN6ZD8/6kNE9Rqu3qdrs0K5f6Pu2fc0dXxwti4z24fdyqzwvchBEU11oOqCV6ZbeRnBHFK7NDP6B6Neo6cR4lHtJ4B6Wn9eK+dcP4vAOmVTUubnk7V+ZtnSb/uMzc7xmCm1a76/ZaYSgzCES0kLqdo31XnA4aH3nHbR97llxevbixRSfP47/JJ7Jf0pKSfD7WbxnKaMXjOY3b+B9sWLXELnWx0KNHhww0Cp2MZUmUVopiIW1MiooyerLTfjZtpCnqdK0zGBcownn5sf42ardjHptCXsqG+jaKoV51x/HwV0CRTad13y83TKOAwM2m/YkOfnPTFeCIy7WoF9DprF4EpIikhqSciK1tfLz9SKvd6h1L6uL9eRLHC2DbieHNv4HnQKuZO3nR0OwEhwgudIUHrPnk1qSzKziUb7AKi18Zlow9CShgptRMek0tE8+n44/lrYZiWwtqeXcVxbxy6YS/fGCcZtv7JvBaGVZfryqiTeT7RJqLRg6lGQS7OnptJ/8KrnXXQdA+fTpVExewrPby7grdyAOm4Pvd3zPmIRqViW4zJNc+YRHhyvC/8OC6ivYLhGjSZQSaXYkha5fq0oyNUJqxbhfS/VsZmKqniqrEcVCRKV6d0U9o19fwud/78FpF3hqZB8eOOdgHHb5Oh/l/Nc77Urv8ZptEVPb5AYnkJmcPB1ZFKnZi98HRb8lsPv2O/A3NFDQK5MJF9ZQkOfn7K5nM+PsGfTM7hk9dq+zlL+O458DQYCegc9GsEHPIdbiyZVkfn+IjzqSzKkdXWkEC9cx4xA6h2voHQigW0kWSjrlpScy45pjGHFEO7w+P/fPW8N9c//G7fWFx9OTiNA1AMWKkkzDuN8of/D7leMKgowrVkQNk3HWWXT++COc7dvj3rmT7RPu5JQ1Pj6qttE1oysl9SVcVTCDKZnpeK0oySIn/cak3TJyEmUM1GmRnmRgba131ym3MgYVf02qij9VxFpJ1qQjAWXWuD8hFZ/Pz/PfbOD6D1fQ4PYxuEcr5l43iC65KfqTb3qO8QBGPEl2IEKPyb4j0fgIbrW40g1MPmbXCKTkRXUgmSFt1KwmyQQBRr0Dh18Ao9625lMRR8shKRNGToUjLoGznrMeL7JdIng+5ZmLp5okqxAfjSaHHQmhiqNmksyIkkwHyVVQvh3aLoO51w+iX8dMqho8XPbOb7y7uAC/kaqt3LRf7RozWlmWH68a0beaJGs2DCBTfNRJyASbjVY3XE/7ya9iS02lflsV275pxXm13fjgzA9on9qe3XgYl9+ad3f9iM+voBqMhkiCC6GKsNmEVlNtSE0skVur7ZZSQjpFRnANvp/NoEZIg9VlE3E1lWTSdd5SnmQGlGSuNJZvLeWclxexelcVOSkupl91DGP7y4aa6JlkHRbToHG/qil4jNstpfPDbJEtgj+4C7ew/cccyv8U25E/Pz6Ju86txpeWzCODHuHx4x4n2amzEDPgGhh4PZz2CHQ9ydzxxdHyOOUBOOJiGPlW7Ab/eBrEjbacP5jhkDabbAMt4xB+v3nu/P/gSaYUN9Fp5/kxh3PXmb0QBPhg2Q4ufetXyr2BYpnPI04BjwZdSjID3o7BuBLnUfIkywh/jl54GsS/CxRUzpnio861KbFXL7p8+gkpgwbhb2xi99Is0pc5+fCMaQw/aDg+/EzOyuRaoYSSOpNrc31IWQvExri/WbulxUnWoKwki4X/KIS3Miakicl0MM5NlJRpwbhmlGQ6huoYUaJD8FqqE5K4ZtofvPTDZgCuPr4Lb1/Wn/TEwL7fpTP5Fm+3jOOAg1aSzIr/h9pG16qSLD1gKBlMkklKMpNJDTna9oURr4W3TcTxz0Pvc+DcV2IzPSyYJAss2NJ5ldrGXDy1JJlZgisI2qTUTBunniSUStIhLy2Rj645hpH92uP1+XlowVrmr6uKHk+CnnuK0cqy/HerKVbMeoo0qBDnYNXSgJIISDv5ZDrPnIkr24Gn3s72h6fR9vs1zDxnJme4WuMRBJ4rWcINP9xAeYPBinCwdUymNJDIrtnqsnRdOBJD1UHJbN8syZX+Lvm1IBHcphqxzd8o1JI7RtsPJPh8YouzPEZYXAskV7MSrFPxBcFzudzr4qKpyymtbeLg/HTmXjeIAV0iWr30nP8+b2hSbqxar0wnyaLwB7NFtrQQf6hdvpyCe9+hviQBt0vgmZE23jvOTa/cg5l59kyGHzRcvb1SCY4EOOMxGHRjvMj2T0Zaazj3VTj0POuxXCkhL7rafSEleppJ/gAEp0zK7wFNtaFki1HuHK2IpbbGmY0nQeGeLAgC4wd3Y+qlR5HisrN0aykj3lzZ/DVakKvc1fBP8SQLPl9Q98s0wCHsmZl0eON1cs49FoCyP+rYN+EmHux9C4/3mUCSz8dyh49RC0axZPcSY8cKUBdRaIuFz1mk6kvi3FbaLZWKJZb8R2XnqryVMczP1iCH0BpaI11rTTX6p3HqaWU0qSS7a0EB363bi8th45lRh3HvWQdjt8nWMHlcLU4en24ZxwEHrQ2zlUlSwZuALK6nMeTXYJnk7hZvLtImzqqSLI5/J4LKgkCy9Z+mJAPtqqhW9TNqPB3tlgrXfoLDzrOjD+Peob0RBFi4TbymG+v0bOx1HK90T9JbWYbofi1mSDOovw8WRsIndO1C5xE20trX4/d4KXrwQWoeeYanMgZy/74yXNj4eefPjFowij/2/qE/sNLQCasKrVqpYpsb2vhbmfgEyu+pvH3OVCU42udkUAEgvzZi7kmmtbnTf141Ba63rVUCHp+fYYe3ZdaEY+mQraB80pMYj/Y3Kx6vzumWRivLahsTq0qytDb4/bDvbyc7Lr8Cb1UDRbl+Jl1m47ceNsYdPI4Phn5A54zO5uLH8e+CIIQ4RM1e6/wBlNvwpK/tLnFasxForX1+v/FCm96kgQaHOKV3a+ZcN4iO2clsK2+kzp8Q/hqTcYMw6kkmfx+U1OhGFToS5Lwk0kfKZOJNsNvJG3Y47QaVIbhs1C1bRsGoUZza1IuPdxfRo8lNWUMZ478dz0t/voRHSq7qQX1Eoc2KEltCpJJM4g+ehua+e3qhdA5YabfU8ro0y/W0VNnyc0y3yX6UgVcQOlZ3ra7km8TZN1ZAm/REPrl2IKOP6qAQV/qd/lAiTPMY40myOA4UaFXarZjkJihIxoM3WsG4R4kEWSWY2n1iH7lgC2/diSMOvUgPGFJW7RQf/2meZBBFSWYikS3F01rsosQVBIGrT+jK2+P643WK8Tbs2MOfO6JU8fTcU6L5sJk43tCwAo2/WTGuygZC7uVo1NAVsHvKaTeonFbXXAKCQMUnn7DjlZ8ZsbeOD5P70Dm9M8V1xVz59ZW8uepNfe2XwSEm8lHzFr2+ggQ3Rm0NoKxStNlDCgor/mHNqvUWCa5gA2dS8/83Ywytp8qqczO2p7Keyd/8BUAtidw7tDf/Pb8vSS678gv0KOqk3ynY1f0Cm8XV28plcL1PiFJsMFlk89Y2sHNJa0pWpYPPxy+HCky6zEFjXjJTTp3CpP6TcNl1TgmOIw6AjACHqNwpU6JbKNoqcYh6mfrWqEpRq8jWVAuBKdYtNd1SLW6P1mnMu24QA7vmUIvoM/veT6vx+qKsp3o4j9GimFZbJMSeP8h/ZqQlVEJdKekdGuhy8wnB6dnbr72V7I1Opu8uYsxBI/Dj582/3+SKr6+gqLYoekx3Q0hJLK3xVgtiEF5og8BaIPnumYyrVHyxwkt0+Y8aterQiOlIEH155c/Te4x6lOjy5yvA5/Pz0veb8NaL5163dq1ZcMNxHN4hU/kFzqRQ26lmoS3ebhnHgQYtQ0ozKhUJSjLd4EKfYX48enpb8bFqT6jVMjlX3GTFEYdRSOdTfbm4uErnqNl2Cbl3hTx5YsWLT4uUGjXdlcfTWpx1ehOd1CuPu849Unyqt46xry/lw+U71F+gJUGXYLOHquWGJ16pvA9mhgFoxdVbWVOCzweNlQgC5F5zFR3eeANbRgYNW4sp+LoV7TeWM+PsGZzd9Wy8fi8vrXiJ8d+Oj+4zEuknAtanRkobNfl5a1WdpmqyH7h2YjluXfqcjE4lC5JwlWmpptp5DHiSaZz3v28r45yXF1NaJm4+Du7UlqtP6KrdGqhHtSDfKOjdiEdVkpmcyKfW5mNBSVa/Zg0FI0dRU2jHY/fz+pk2Xj7bRn93A7MOu5nj2h1nOGYccYQ46S6Z/YeFdkslv0MrSnQ9RTbBrl+hFkwYadz7dLZuZ6W4mHblAGyJ4nMW/LaRK979jco6jZZ7Pcb9Qc5kkD8ghHtSSQgmtIwmyVqghROC63JCl47i9OzBg8Xp2cuzKP81nXu7X84zg58hxZnCiuIVjFowioWFC7VjSvxBsIWKFHLfNBPFQPmxBmPZbKFz3ExCy9MIvsD5oWSyH+tJ1maHNkTj0AkGC216PMkcCaLaFFTPq5pGDxOm/8GL364nWRA7NV645DhapWkUxsLaTvUkyeJKsjgOFGgZ8unZ0KpBkutK6gaw3ioBoeRF9R6oLBS/lnzK4ojDKBIzQotY4a/ioyPRnOILQskUvy988WtpkmtKSablSaY/QZ6fJ7aWtHI14fb6uWfO39w9exWNHgW5t9y4XwtGlTrRNuN6iL1iXJX315kUGqhgVqUUiJt6/HF0+fQTEjq1wdtoZ8cHW6l9810eO/ZRHj72YRLtiSzds5Tz5p/H99u/V4+rZNxvNaEVTJLJ2iENGg43g9q6EotKsJonmeHPKMp5asYYWpcnmfbxTl++nQveXMa+mka6pInqwtzsHMXnhsGlg+jLE4N6odWKEjbZzWBlWeIP9WXhPzehJPP7/ZTPnMn2Cy7EvXMnpRkC917iYOERDibV+Zi8t4RcPdMr44hDCZIavXJXbDipknF/kDub4CV6imwJKsUAJUjXsrcJPE3Kz5HfD6JwE4fdRk6WuGZlORpZuLGEc15ZxLo9CvcqT1NokIymksygj5Tcl02pgB/kDwaV4y2VJJPFtaen037K5MD0bD8VW1LYdsVEThZ688nZn3BwzsFUNlZy/Q/X8+iyR6n3qExplHc7SO+BdJ/1e80dp9cTWiPDOIQFhZr8M5WvVTGZZN0CfnSqnNTgORrswoiSgNI43oJ9tYx4dTFfr9lLpj107bqSdRTZlSyUIqEnkXcAI54kOxChtZhY8SSTNmphSrKKwP+ZTEBAqELnc8PO38Svs7qYjxfHvxuCECK5234RH7M6mzdediaFZNRq7RJGoVUVNTXdUsfibCRuYNHOdjZx+xk9EQT46NdCxr6+jD2VEYRMb1yjSh3dSTKT7RKRSj29lTUlSITM5hATsoCrQwc6v3APGV1qwQ/7XnqZnddcyzk5g5lx9gx6Z/emorGCm3+6mQcWP0CtW+HvqFNSkllsjdQyyDWtJIvNxNAwRFP8eRv1+9tBdNWXGSWZnqEVKgnsRo+Xu2ev4t45q3F7/ZzVJ59L+uWEv0YLejaNehQakdC6N3kaxM2V/PfrhcQf3HXhvjUGkwW++nr23HU3RQ88iL+piT8OEph0uQ1vrocPcgYzrniXSGyz4xwiDpOQBghV7YSyAvFrK5w0WrulUegqspnwNAX1opMU1+7S17oduI88cHpH2mclsaOsjvMmL2HBX7vDn9ekkiBRiRfzIpvfF0rS6Yqr8f5aSZJFrCXS9OwOZydgT/DSuHkbBSNHkbF0HdPOnMbFvS8GYMaGGYxZMIY1pWuaxwzaNcj4gzMpNJjC1LosS3TGqtAmrTWOJLA7ZPEtxGyJZKZuJZlek32pyBZlLVXpFPlxQzHDXlnEpuIa8tISeO/i3uJ/2ByGrlFdavR4u2UcBwy0bgBmq8AQ2qgpKcnMmvYDOFyQERjbvek78TFOcOOwAonkbv1JfLRCcAVBuV3CiopSa4MrEWlTSjId/kR6rv3Agig01XLdSQfxzmX9yUhysrKwgnNeXsTyraWh5zbpJOWGSa5CtVIOPcblWnFjWmGUEQlZMtaWlUfboyvJP8GHkJhI7eLFFIw4jzZbypk+dDpXHHoFAgJzNs9h1PxRrCxeGR5Xybg/Zu2WSgTXZEw1X7qW8BSRE0pTCS01JZkJY2hdniLNlVl7qxq44I1lfPRrIYIAdw7pxSsXHoHTUxd+LFow0m5phOBqTb2K1sKkhcSMkFJTriYzoMhtLChg29jzqZw3D58A00+08fQoG6fkdWfmriIO2fFn4G/IsFa4i+PfDYk/lG4VfcnAGieVWzZIaLHBPyb4gz1U3FG9nxi9lwTusx1S/Cy4/jiO755LvdvLDR+t4LHP1+Lx+sJ/X2SCRCWeYZWO2vsgv3/FamKmnqSDalzl9Sm1Zw5dzighqXdnfDU17LrpJsqeepY7+t7C66e9Tl5SHtuqtnHx5xfz5qo38crN3ZXsGgTB2nov8QdnCtidoZ9bao1U2ZdaUadpna9WeV40DhFLTzJo5qHm9/t59cfNXPHub1Q3eOjXMZPPbjiOPrn20HHoEQXE2y2jIp4kOxChtXnUU/lWg7RRq5NtkK0s9HK0CrRG7P1bfIwryeKwgla9xMeiVeKj1aSr0nQqKyrK4IZcQT1kqhKsY3E2oiSLaL84sWceC64/jl5t0thX08RFU5fz7uIC/PL2q2jk2SgxiTbdUus91IKeJJlR4121mAHimNmxgs4zZ+Dq2hXP3r1sv3QcVe+8x81H3MRbZ7xFfko+O2t2Mu6rcby68lXckj+HkpLMquor2N4mS5JJMd116u02WlAjj1bIuNp5ZXeENjhGPEWiGdDqGX4hh7cpZAxtwJNs2dZSznppEX/uqCA90cE7l/VnwondRP8xI8ovPUliI4nxyOP1eZorLOSfiVEPUkEI3SslDuFpEqd2QdRCW+WCBRSMHEXjxo1UpsAjF9j46cQsnjvpBR47+GpS/P4Qf8jubF45HEccEn8oXiMqJx2JsfEkkxcLrLRbat2rzHaLRCsSGI0ri5eV4uLdywcw4cRuALz5SwGXvv0rZbVN+uOaVZKpebvabKF1xIhlg1YS0lK7ZeB4I1VFiZk4k310uucCcq66EoDy96ex7eJL6O/vxKxhszit02l4/B5eWvESl399OTurA4ldJSVZICZgrngVFEZEFC8tTaJU8zQNxIylpynIhv8Y9SSLMYfQ28ooW+urGtxcM+0Pnvl6A34/XDCgIx9dcwx56YnG7ZSMqNHjSbI4DhhobfTMmu5CaKMWVgW2IBmXo1WEf0heb2vx4vh3o82h4d9bPZ+SIxLEPp+yAbpeaCm/TCXJdJA9Q+2WzdsvOuYkM3visQw7vC0en5+HFqzltpl/4a3XeU8xqySLmiSLkXG//HeZ9ruK9OTKFB89DSR26UiXT2aSfs454PVS/Oxz7JwwkSMSDuLTYZ9yVtez8Pl9vPbXa1z25WVsr9quovqy2m6pZNwvix/TSZSZ4b/TCLRIrpnPSa+fiLtO16j1cB+V6NMt/Y01vL5wCxdNXc6+mkZ6tUlj/vXHcWLPvObHqMdDTDp/PfWiT4wSmnQmsBWOVzyeiGvLCn+A5mp0+bmmohj11dWx+5572X37Hfjr6ljbAe643E7mscczZ9gcTut0WnP+0CrOH+KwgKwu4ab3rXqZH0wF2gVmK3YNWu2WRgb/QHSlltGhXxHx7DaBO4f0YvJF/Uh22VmypZRzXl7E1l17wp+vGs/gPd/IxExDSTIt/mBy8rL8GFQ4hOCpJm/SJNpPfhVbejoNq1ax9byR2Jet5LnBz/HYcY+FmfrP2zwPv1JBDKwptJQ4CVhLvKkVh6zwB00lmYnPSa4cjxUnNVhg3l1cwrCXF/Ht2r247DYeG3EoT5zXhwRHQEFmdL2PVmjzygpl8XbLOA4YaN0AzI5vB9lCH2PjfoB2/UJf25yQf7i1eHH8u9GmT/j37QdYiyeNuq7dJz42VhIcs26qXUJjcTJl3K9HNm1gA67SfpHscvDf8/ty31m9sdsEZq/Yxe8bA5MvdVfDYpUkM+FJ5vO1ULulCjmJSDbaUlJo+/RTtHn4PwguFzULF1IwciTOdQU8efyTPHX8U6Q501i1bxWjF4xmlr1BPMvkxyqvrpqZTqVEcm12a9Op1N5Ts1OkvB4x+QPK56uZz0mvn4j8uXriOZO1JzFLHjPeRp79cjVen5/zjmjHnImD6JwbkVwzYpIr/zvUlI9mBvXYbLL7U8TnZmXwD8h8TQMcQjrXEjIU38OGjRspGD2Gytmz8QGfDBJ4+pIUJp56H1NOmUKr5FbiE7M6h6slOvQ3d3xxxAHiNdBaVmjrYJE/pAT4Q92+0M+CpuqZxuMFld6N4I2YGmlaSRZFRW1UlaoSb2iffOZeN4guuSnsqqjnibmiD7H/f11kA3McosWN+yMH1Uj7OfHvTjv5ZLrMnk3ioYfiq6xk5/gJlDz/Aud0GsqsYbPol9ePWnct9y2+j9v2fEOFzaZevLPSbhl53lpSkkXxH/U0GFe4axV0TPGHWoKcX60oZjRuNGVaRNx3flzFttI62mUm8cn4gVx0dCfleHqv0Wj8TO6TG1eSxXHAQHMDbsGET6oCN1SIm02InZKs2ykhM8luJ+szHYwjDjW0PhSyRWk/mZ0gt4e1eCkBQ22J5NYGKsKuNHPnalDtGaPhGnoIpFE/QpWYgiBw1fFdmXblALJTXPgDcf8q8Vk/RqXjVU1qaLyHamiqIUh0lAoFZj1F1JIHCgkHQRDIGjOGzjM+xtmpI57de9h28SWUvvsuZ3Y5k1nDZtG/TX/qPfU8lJ7ADa1bUeKTEUT5dCqjKjqIXgm2RHIjk2QmK+th00K1lGQGkm/RNnmOhNCADj2JXJ1tCOvLQ9dFlr2RR4cfynNjDifJpZBYM+L743CF1sxY+QhJUCP7VjxNobmSLJgoCD8XpemVBaPH0LRlC2Wp8MiFNtaOOIyPz/2E83udL7anSrDZoddQ8WvBBgedZu744ohDwsHnhr7ucYa1WMkB/iDxBghxCakAZwRhak+1a9RgkiyqksysSqV5vB6t05h73SBO7pVHglf0YdxSaaO+SUPBa3bwj9bxmlGjayn1zPqkgjqHSAjnDwCu9u3o9OF0si4WzftL33yT7eMuI69K4O0z3uamfjfhEBx8W7+T89q14Rd/xN9nqd2yBZVkapOs5c/RC629rhS3wQB/kH6/YAtXmcph9HzSwSEaPV5+2y3yvwRvPSf0aMVnNxzH4R0yFZ5s1DdQmm6pcrxS0s3mEAd2/AsRT5IdiGjp6ZZ+X2gjVVsiPqbmKb5Ef+xMGP0u9BkDQ5+2FiuOOAQBRrwOh4yAkW9Za5UAmZIsQHKl8z7FBMEFfe0SZoz7dXmSmWuXiMSx3XL5/MbjaOUSK9kv/LyHxz5fi9urkiwzXGWLJm2XfKlMEFybUzm5aXXqkYHWwMTevekyaxZpQ4aA203xk09ReO21tGp08eZpb3LrETfh8PtZmJzE8B8n8NnWz0QPOPl0KiuVYDVPkZYguaanhcbwc9KTMDLSfqOjCjxnxU6GT1lOnV/8G969qBcXH9MpPMEjh1G1RjRPETOeZKBO9q3wB2iuJAveR0P8wVtTw+7bbqPogQehsZEVXQXuutLJcedMYNrQaXTJUPGXPOVB6HsxnPsqZHVSfk4ccehF/6vgqCvhpPvEIq4VyJVkkvpXUqWb4RB2Z2gNaHaNmmyJ1utJpreNM0q8jCQnUy89ivMOFdehgmqBEZMXs6VE5fcbLrLpUL0aNVoHfUoyowkdLeW0SqHJ5nLR5r57affiC9hSUqj/4w+2Dh9B7bffc1Wfq5h+1nS62JIocTiYWLqYBxY/QLWk6gtaNrQAf7BUZIv0H3WKAx3AhH9YrO0alIczhcc1atyvrfzaWV7H6NeWsqJY5NQndk7kncv6k5WikrBqMljEilYUlpv2/0s9PuNJsgMRuqZbmiC5DlfoopJurkGS28p4vEj0Ggoj3xRbJ+KIwyo69BcTr7FovYlsl7B63qt5iHk9IYmzGeN+pfYLCYaNd6Ob2OdnJNE1XVzAa/yJvPlLARe8sYw9lfXqx6iHQIZNDlRZ8K22Sigt+maVT2qmu9LvUolpT02l3QvP0/qB+xESEqj9+Re2njuc+iXLuPyg85ixq4jejU1UNVVz9y93c/OPN7OvoVQ2SMKEV4eal54lJZkKIW2pKVJmjHf1qKBcUZJOSvEUzs9Gj5f75v7NLTP+osHto8kunqsHZ0chmkZaoiG6ciEYz2CrhKqSzKonmWTcr8wf6v9ezZbhw6n64ks8Nph2ko0Pr+jMK6Omcf0R1+OUlH5KSM2D4a9C3wvNHVscccjhTISzn4fBt1vfIEpFNq/MqN4qh1DbkAcH3sTak8wgf9CRMLDZBE7uLCZB3I5U1hdVM+zlRXy2anfzJ8v5gy+Kah30tbLFvN3SrHJa9nyDa2j6kCF0mTObxD598FVVseumm9jz4EP0Su7CDFcPLqmsQgDmbJ7DiHkjWLJrSYz4QwyVZHpUX1YmjjeLaeJz0uP3FcNuiYUbSzj75UWs2lmJNzBg4qg2Duw2jXtR8G82atyv1mJtwtP0AEM8SXYgQleSzIQnGTQ3MK+JYZIsjjj+qQgqyQLne22x+GhWQalGIMPIkglPMoi+4OmtBOusigmBuDefdSRpCQ5+317OWS8t4pdNJabiAeCuFxWr8tdFQk9iMBLRiL7ZdgmtqnUUIiIIAtkXXkjnT2aS0P0gvPv2UXjVVex95nm6N7iZXlzJdX2vwyE4+KHwB0bMG8FXqSZUdCAmH6NWgi2Y5Kp6kplUkqmRPVMkVweBDCrJdMRVqQIXltUx5vVlfLBM9Oq78ZTuZGQGkkPRPi/TSrIo13yCsim+4bjBz9ksf5Da1sMVuf7kXErfeouCC87Hu3MXxRnw0MV2Mi6/lE+Gz+LwVnGP0jj2Y7iSQy1atSXiYBDpGjDLIaKqPQ1eo64o9z6jxv06imxiXPH/TzysK0d3yaa2ycv1H67gwXmrafTI2i/l90S3jqSWnknBpoz7NQoFcmWOnkReMGbg99tdzZXTOtZQV8eOdJ7+ATlXXwVAxYwZFIwejbCzjDvKKnin28V0SOvA3rq9XPvdtTxU8Sc18mnKRqA6DCBTfDTFH1rA500zpgn+oGdtjoEnmdfn54VvN3LZO79SUeemT7sMzj/u4MDzo3xeRqZjhx1vtMS4yfX+AEA8SXYgQi75jbxRB2/wJjPDqa3Fx+oiMbakrIknyeI4kCEpyYLtlhZaJUCdQEpVYEeiqNzUi7D2CwUC6fWIU/vAAMnVSSADC+xxh3RmwQ3HcXB+OmW1TVz69q+88O1GvD7J7NRIK5vsOU4VFUyYcblBnxK198Cqcb8FaX9ijx50/uQTsi68AICyj2ax7btcfA2pjD98PB+f/TE9s3pS0VjB7clebmuVQ1nNHmPH2VQjeplBc5Jr9m/3+dQTUC3xfpqNq4fkGmm/UfAT+fLvPQx96Rf+KqwgI8nJO5f159bTeiDoPV6jHmJBT5EYGvfLnx95vA06fH60IPGHmiLxsbYEd62NgrdWUfzMswgeL8t7Crx0Y0fuufI97hxwJ0lSu00ccezPkAptdaWiJ5/fBwjhAyeMINZqz+C+QSUBFWO7hiAC97zk1AymX3U0E04UvWTfW7qdMa8vY2d5gLc4k0U/KD0x5cerS0lmIFmkpdQLvud+fYk8CZqTGPWtHYLLRd5tt9Hx7bewt8qlafMWtr1dQNmmZPpl9eLTcz7lot4XATCraj3ntc9nab1B/gDqSnSzraZgqcgYNabme2rCkyyWSrIIDrG3qoGLpi7jv99vwu+HC4/uyCfjB5KVFSguRXsPDHuSRXkfjCbdDkDEk2QHItRu1D6f+g1OL9LyxcfqPQEDf4/4fTxJFseBjMh2y5qAkizWrRJBI+ss8zEVhwHIFsEYGO8G4XWHvDQS0umcm8LsicdywYAO+P3w3+83Me7tX9lX02iM7MgJrpqfnMMVMhM1auarpqYzS/S0/NMMEDJbYiJtHniA9q++gj0thYZyFwVznVTMmk2PrB58dNZHTDh8Ag4/fJOawoiVT/HNtm/0H6d0/7c5RW8zOVwmyaiWyb5V4/5oQxv+IZ5kDW4vD8xbzYTpf1Ld4OGIjpl8fuNxnNQrL+J4Nc4BT5PYjiU/jmiI5ili1mhfNUlWIT6anWYt8YcqcXNWtXQdG7/Oo3FTCQ1OeP1MO3vvuZQPxs7lyNZHmvsdccTxT0Sw0LYvpERPzhYnSZuB2obcLIeIViAwmnzTXWQLxXXYbdw5pBdvjTuKjCQnfxVWcNZLi/hh/V6x5dVMoU3Tk8xAe33weDWShc4kEOwWYlr3OUs59li6zptHyuAT8Hth7x+Z7HzmQ1w1jdw14C7ePuNt2ruy2ONwcI13Bw8vfZhaIwk9NSV6ggkLBAkxSBKqxoxWuNQ7IVyXksxAkc3rEad2Bo7npw3FnPnfX1i2tYwUl50Xx/bl8RF9SHTa9Q+UMupJFu3csupBegCgRZNk5eXlXHLJJWRkZJCRkcEll1xCRUWF5msuu+wyBEEI+3fMMce05GEeeHAkym7UsouqsYrgZDerJLd6T6j1LDHTmOoljjj2NyTLCK7Pp2g4bQhqZE/ahJpJYusZBmBEoRat/UIeV/b8RKedJ847jOfHHE6S086izfsY8uIvrNkXUDAZIbjREnpGPUWitltaVD4pHa+J5FPaKafQ5eW7SM5rxO+BPffey+7bbsNWU8fEvhOZ7jyI7k1NlHnquG3hbdz0w03srd0bPbCc4Eb67Fglo4JdPL8UY1bpJ6MQnZC2uJJMfyK32pfAeZOX8P7S7QCMH9yNmdcOpH2WbAKWno2O/P8MV4KjJclMmnhHxg222mQaiychvS0A3tIitk26lV2fbMHWZGNzPrxwQ3suues97jz6rrh6LI4DD8EkWYl1/gAahbYK8dEox4+mqmoBT7LwuKGk0ym9W4sT/NpnUFnv5op3f+fhBWvxB4f16Lk/61H+GOQPfr/2+yAIJtcmPa2B+pNPjuxsOrz2Gq2PtSHY/NQsW0XB8BHULF5M/zb9mdXvTs6vEn/nJxs/Yfi84fy882d9wdWSZPI1w8haL70GVBJaJpNvepRkfq9o7aEHevxCTXZLPP1jIZe98xtltU0cnJ/OghuOY/gR7WTHq7PY2FKeZPEkWcvgwgsvZOXKlXz11Vd89dVXrFy5kksuuSTq64YMGcKePXuC/7744ouWPMwDD2E3atnFKm3AHUnKE8P0IF1WCbaqpokjjv0FaW0AAXxukeBWB2Tqpj3JAtenp0GsKEkIElwzSjINDxBTEzN1VFmlhd6e0Cz5dl6/9sy7fhDd81LZV9PIw98UAuDX1SqhU+YdbFuNUZLMJUvqGIGeSrDB5JMzzU7HE0tpdUIW2O1UffElW4edS82ixRyclMfHu4q4JuuIoFfZufPO5eP1H+Pza3ihBAmuRquIFZN9tcQbfmPmyNFUAJaMd7U8yQxU7QN/z7x1lazdU0V2iot3L+/PXWf2wmmPoFZ63lvp/+wJYvu0Huj2FDHbeqWSxLdQZKstcbHms0TqP/sSnwCzjhVYd9cpvH7F/Lh6LI4DF4EEMVW7gkpKS1PhY60ki+bHaXrwj04fxohkRofsZGaOH8hlx3YG4O3FBRTW2vXFlD9HlyeZzrXJ0yhyQIhtoU2ryGZyXRYEgeyetXQ+rQRXx3Z49u6l8MqrKHrkURJt6dxbWs5bNQLtUttRVFvEdd9fx6SFk9hXv087sBqHCCaefCFrD72ItXG/fFqokuLPlSJr3dUZ15AnmX7+4MHO5F9EbnzpwE7MnngsXVtFKvL1KsmaW0BoIqoSXWMg1b8ELZYkW7duHV999RVTp05l4MCBDBw4kDfffJPPPvuMDRs2aL42ISGBNm3aBP9lZ5vs2f83Q2kTYbbCJEdaYKGv3g2VO8WvM9qpPz+OOA4E2J0hFWXlztC5n9nBXLwwPy35NSoR3EzzMbWUZGYmZmoR0ijEuUfrNOZffxwXHd2RGkSVUVl5GdtLo5BSw0oyvUSnhZRkutotDbZwNlYj2CB3cHs6fzgdV6dOItG96iqKPtuOwyNwQ2pPZp4zk8NaHUatu5bHlj/GuC/HsaVii2rM6Mdp1iA3WvuJkU1DFHImHasRk+AYepLVNXn4ZU0BABXeBI7pms2XNx3PiT1VNr163lsz/h9B8qzmKaIjMagYV+V4LSjJ/E1NbHnpJbb9kIOz2k5xBrwxFs7qXsIdR90SV4/FcWAjo734GAv+AMob57DBLJnG4kVLapkd/NNUo60s0liTEhx2Hhp2CG+NO4qsZCdlHrEQt2jNNvzR1Eq6lGQGBrXIjxVBPa4Vv6to67IRhVZA9ZaY5aHL+1PIulCc+ls+fToFEx+ivtTJgNoaZg+bzeWHXI5dsPP1tq8ZNncYszbOUi+2qX1erhRACH+OXmhxCCvWCqC8noYJSXR+ToY8yaIf66K12wBxKnxaopPXLu7Hw+ceKrZXRkLve2B68I/aNW/S0/QAQoslyZYuXUpGRgZHH3108GfHHHMMGRkZLFmyRPO1P/30E3l5efTo0YOrr76a4uJi1ec2NjZSVVUV9i8OQguZVPmVf222VQLClWQV4vQuMjuajxdHHPsLJEJbtlUcXAGQYfLcV/PTstRuqdEuYUpJpiNhoGMRTXLZeWxEH+4cJqpEXN46znppEXNX7NKIq/N4jVaCoyULLSS0gJYZYZ6QStLhh9NlzmyyLhKNd8uXbKfg61bUb9hG96zuvD/kfe4ecDfJjmRWlqxk1IJRvLryVZokj6tmx2nNO005ZjQyGsPWSEvTLa15kq3eVck5Ly9id7E4xOOIbu2YftUxtE5PVH2NruSbUdNd0H4forUGaSHIHyKSkCaVZLVr1/DHsNNoens6Nr/AL4cIbLr3JJ5lN0c0ukMJhDjiOFAhcYXKQqgMcOcMC0kypaRWY3VoMIvRQlu09d6wkiwQL5qySEdx4JTerfnq5hNwBO5LnyxZx00fr6SqQWOqtdZ0w8hj1M0fZL5sal6pZnxNtdY7KZ5P5mGlB57GoGe0LaMVbR64nw5Tp+Jo3Zqmwt1s+y6Xkt+8JOHk1qNu5aOzPuLgnIOpbqrmoaUPccXXV1BQWdA8rlrhRRDMrcug7+83wsmk997mVO+aMtrGGSNPsvomL/fN/Zun5/8BgNuezBc3Hs+QQ/M14uo8p8wO/nHXihN3IxFvt2y5JFlRURF5ec2rqnl5eRQVFam+7swzz2T69On88MMPPPfcc/z222+cfPLJNDY2Kj7/iSeeCHqeZWRk0KGDhUXnQIIktZaUKWCtlUuCpCSr2g0VogeL6URBHHHsT5A2coXLAL/ov2R2uiUoK7UstVtqKclMTLwypCSLviifcGhXAFKEBmoa3dw8YyW3zlxJTaOn+ZONKsn0Eihp06+qJDPgSSWHrulMBpNPEdVlW3Iybe6/jw5vTcWRmUJTtYNtLy2i+MUXsXm8XNj7QuYNn8eJ7U/E4/Pw2l+vMXL+SH4r+k0hppb3RwwJrtm4sTbujzDJVYVGMsvr8zPlpy2MmLyYLSW15DhFTjLo4C7YbUKz5ysfr8Y5oGdTpxZX6Rp11wUm6BmMCaGJe3Vl4T83eH/yu92sefYhCkaNImVbMVVJMG94Aqf128tVjlScILaym7V/iCOO/QUSf6golHVhxEJJJucPAb7vSGw+mCUaoq330SZDN4snVxZpcQh9cVunJ3JIF3H/kWZrYP5fuznrpV9YsaO8+ZP9fmOeZEYH/+haQ2LkSeY0qdAKU1OJcVOPG0TX+fNIP/N08Avs+zuZbWPH0rh5M71zejN96HRuP+p2khxJ/LH3D0bOH8lrf70WKrbJ31cLk7xVjzVWRUZDrZE64xrxJPO5xSRlBP7eWclZL//CB8t2kCKIfCQ3O4cO2cnNnqsY19MgDstSg1nfQPlrFePFp1vqxkMPPdTMWD/y3++//w6IPdGR8Pv9ij+XMHbsWM466ywOPfRQzjnnHL788ks2btzI559/rvj8u+++m8rKyuC/wsJCo3/SgYlkBZJr1U8ERDWNYBczzzuWBX4WT5LF8S+ARGi3LQp83765B5MRKKmgLLVbanmSGSS4oK96ZSRu4O+14ef2k9pjE2D2n7s4+6Vf+DOS6Or2JDNglArRlWTSe+ipD/eKiwbNdgmzySdlhVbqoEF0fWIc6Z3qwA+lr71OwdjzadiwkTYpbXjp5Jd4dvCz5CTmsK1qG1d8fQV3/HwHxXXFYRMZmx9nCxDcsLgGkoRRE28GP3f5NaGpJFO+hnZV1HPhm8t46qv1uL1+hhzShsGdA8RWj/+HrmvJhJJMa0MW1hqk06NEgsQf6mX8weczpHTdt/pPlp59IrapM7D74M9eTva+fjd3HnsCXdwe2Pyd+MQ4f4jj3wBJiV61S1SjgzUFpZIKyooSXavIZkaVqncapYG4QuA54we2pn1WEoVl9Yx+bSkvf78Jj1fWHuhpCCnqNNvrYzz4R/77DCmfNBIwNps1nzNnMthCLXz2jAzaPfc87Y4tw+by0bB2HQXnjaT0nXex+wUuPeRS5pw7h+PaHYfb5+bVla9y3vzzWLRrkZj4kd7XmKrmtYz7LbSv6klo6U6QGrBriIjr9fl59cfNjJi8mK0ltbRJT+SB08R1T0gwwB9An2WDXg7hSAh1tChdo3omxB7gMJwku/7661m3bp3mv0MPPZQ2bdqwd2/zaVslJSW0bt1a9+/Lz8+nU6dObNq0SfH/ExISSE9PD/sXB6FKsJzkShtwK+2WjgTI6SZ+XRbwvZG+jyOOAxk5B4mPJevFx1a9rMVTMolvKZJrRjatp8pqZFF2JgfNUq87tg0zrh1I24xEtpXWMWrKEp77ZgNNHp+xuKaTZFGSL0ZiyjcQLUIcm39m9uw82g2soN15+dgzM2lct46CUaMoefkVcLs5o/MZzBs+j7E9xyIg8GXBl5wz5xzeK/kVN6iQUbMqumjvqRlPEY3300xM6Ry2u7SnuyoQ53krdzHkxZ9ZXiCOZn961GFMubgfTq9kDKzj3NflSVarP56euHJ1o9FkvpKSrKk6pEzTSOJ73U389NgN7BlzEVnby6hJhIVX9eOMD39g2IBLEfIOFp8o8YfsOH+I41+AtLbi0CxvE5RvE3+W19t8PCU/wpYqsjXVmlOlRpuYqVfxFYwnPqd9kpcvbjqecw5vi8fn57lvNzLqtaVsLQnEknMWp0YSQmvYkRK01vngMRqMCdETMFbUaUrHarOTfpCDrmcWk3LMkfibmih+6im2X3gRjZs30y61HZNPmczTJzxNq6RWbK/azoTvJnDjTzezy2FXj2tmrfd5Q+24ijYQJoqMweJtLJVkOs5Tu0O8xiH4+ReW1XHBG8t45usNeHx+hvZpw1c3H0/vHI33sVlcZyju/5RDmCiuH2AwnCTLzc2lV69emv8SExMZOHAglZWV/Prrr8HXLl++nMrKSo499ljdv6+0tJTCwkLy8zX6deNoDiUlWSyM+yE8OSDYQCK9ccRxIKPtEeHftz7EWjzNa9REu6VWwsio6W60eJFx9RBneWW5sYb+nbP58qYTGHFEO3x+ePkHsdK2cW+1fpm30UpwNKLjSBB9LOTPjRqzFggY6sbUED96C2d6F+i6YD6pp5wCbjf7Xn2VgpEjqV+1ioyEDO475j4+OvsjDss9jDpPHc+W/8nodm34za/gbWLGSyXsOKP5vJnZNKicVxKZdtcpe2moHWM0QipTqFXWu7nxoxXc9PFKqhs8HNExky9uOp4xR3UQ1fDBRJ6BSnAsq8BhcWPUYi1BriSTzKKle5M9QbWVa/Wyz/lx6EBaT/sOhw/W9U5FmP4S4ydNJzc50JqeF1FcyD/M+PHFEcf+Brsj/FxPzrU23TJYCI+RpYq8yBZpEC/dtwS7WOwyE1MJeqZFKsVrqiE90clL5/flxbF9SUt0sLKwgqEv/cL7S7fhlyeI1LzDwISSLDa+VM3jtsBAoagTotNwJvno8Pgk2vznP9hSUqj/6y8KRpzHvilTwOPhzC5nMn/4fMYdPA6H4ODH3Ys5t10+U7JzafQrtP1ZNtlXONZEM0my2PiPKsaMxkkD/+9vrGbOip0M/e8v/LpNLLA9O/pwXr2wH5nJruiFQLXjVXsf5JYSsVajx5VksUfv3r0ZMmQIV199NcuWLWPZsmVcffXVnH322fTs2TP4vF69ejFnzhwAampqmDRpEkuXLmXbtm389NNPnHPOOeTm5jJixIiWOtQDE0pKslgY9wN0GBD6ulVvcBlYNOOIY39Fq16i14eEdkdZi6eYJLNQCY61kkyXcb9JM98AQchIdvLC2L5MvqgfWclO1uyu4uyXF7Fu+57A82Nt3N8CJDe4gbApJw+s+pxFqdg6WrWi/Ssv0+7FF7Dn5NC4aTPbzr+AvU8+ha++nkNyDmHa0Gk8fOzDZAlOtrhcXFG+hDt+voOiWpk/aNDEtc5Yq2m06roV4qwaM4qXRiR0t++Km6aa6gqGvPgz8//ajd0mcPOp3fnk2oF0ypElxLRaV5vFNXItmUmSKbSiWCG4En/weUJxNOwayiqKmHXbefivmES7wjpqE2HrDWdz9qeLOeqQ08KfnN+XoMcOQNt+xo8vjjj2R8gLbe2t8occ8bGuNPQzK90iQaN9b3ODePm9xIgqNarPmc42+OBzwtcSQRAYfkQ7vr75BAYdlEOD28cD89Zw38xl+mIaNe7Xk9gwqm6XPzeWa2hUywIxptBUQ9bYMXT9/DNSBw/G73ZT8t+XKBg9hvo1a0h1pTKp/yQ+HfYpA7IPptFmY3JGMsPnDmdh4cLwKaNWjtPmUPamtJQg1KMk0zvdUocnGQQ/w+c++5NbZvxFdaOHIztl8eVNJzDqyPYhuymjqq9oRUy5ctEQz9dIQupRTh7gaLEkGcD06dPp06cPp59+OqeffjqHHXYY06ZNC3vOhg0bqKwUzZTtdjt///035557Lj169GDcuHH06NGDpUuXkpb2781kmkJwAy5fQCvER6tKst7DxIoSwKHx5GUc/xLYHeK5DyJB7XK8tXiSsqJuX+hnwY1oCynJDLVK6GgbMLqxV0lADe2Tz9c3n8DJvfJo8vjYWCgmycq9Gq1xYLwNQZdXhUFFlbxiq7SBsKwkU3hvI6qrgiCQPmQIXT9bQMa5w8Dno+zdd9k67Fxqly3HJtgY0X0EC5IPY2xVNQIEWzBfWfEKde66cCIUy1aRliD40bw0IqGT4NYiJjnLy8rYU9lAp5xkPhk/kJtP7YHDHkGXjLQJ6WkZ0XNuNour8d5a8RNxJYcKAhKHkPiDbAPe5G1i9ocPserMUzj483U4fLC9X1s6zJvDWdc9g8uucP0mZUKPM8SvMzpYTxbEEcf+goOHh74+xCJ3loYG1caYP0DzpFE0L081RLv3y5NOWoqvYDxljtM2M4lpVxzNf4YdQqLTxsYdIn+oITE8iRMJ6W/Wq0jWMc37n6Mki7LmRcR0tmlD+9em0PaZp0ULh/Xr2TZmLMXPPY+vsZFumd2Y2ucmnineR54Pdtbs5Pofrueab69hQ9mGiJhG/Edl50Cs+JOuoQ0aKmytmFG4bqVPXDf/3roLh03g1tN6MOOaY+iYEyEmCRbZdPqFRuO6QUuJBLE9Uy/0Wjb8S+FoyeDZ2dl88MEHms+R38CSkpL4+uuvW/KQ/j1Q8hSJlZIsqxNcOEOcbnnEpdZixRHH/oQzn4JWPaD76canR0VCsRJcIT6a8iTTSO6Yab2KbL9QIjBGybNGIi8vPZG3xh3FjN8KyfhcrGS/sHA33VO2c9GAjtiUJggargQbUZIZ9SlRI6MRY7ZlJrracavU46oQG0dWFm2feor0s85iz4MP4S4sZMdll5Ex8jzyJk0io6mB+0rLOe+ICTxVvZY/i//k9VWvM2vTLG444gbOdSRi9zSIcfVutFrCuF9PwighTbx2YqQkW7ixhNc/Wc+HQIpQz2XHduaOIT1JdqnQJCMkV897oFftphRXKzFutgqclA3VuwNq9C5hSjK/38+3f89m95NPcPSf4vtQmeEg8fYbGDLqmuixz3kJ/nwf+ozUfz3EEcf+jk4DYdTb4rV52FhrsST+UF8mDtWw2awp0W020b/LXSsen3xyt9nWbb1KMqNKdIXEhs0mMO7YzhzXPZfp0zZAFWytEnj1gz945NxDyUtPbPaacA/S2uh2FHrUwxFqeV3QnSQzkXwyULwSBIGMc84h5dhjKXr0Uaq//IrSN9+k+ptvaP3A/aTm1TGkto4T0jN57agRfLD2A5btWcboBaMZ0X0E1zuctIqIGRU62kIjjzN6TD0DFmLrSbavppEH5q1mXLmfo23QIxNuv2gQh7bLUI4XXJ91JsmChTaVc0APt1WMG2+31EKLKsni+H+E0nQqKWEm/Z8VdD8N+l+lbYIcRxwHGpKz4YTbIf9w67EiK8GextACmJJjPJ5WS5eZSrBW+4UEoz5KUaqsgiBw/oCOHNNelN2XeRK4f+5qzn9jWciUN+wYoxgDR0JXhTHWwwB0TiZqFlfHxMymGsUKeOoJJ9B1wXyyLrwAgMpZs9k65EzKf9uN3w8HZ3Tj3SHv8sKJL9AhrQP76vfx4JIHGdMml6WJCQaPMxrBN+MpokOhaGQ6VfA8bU5Iqxrc3DVrFePe/pVt1SIlyrQ38dCwQ9QTZD6fuJmUH4cW5AoINWWDGU8y6bnepuYj560S3KAaPbDxDvCHla4EnnpkKOmX3cfRf9biE6D8rGPo9+0i+upJkAGktYbBt0N2V3PHFkcc+ysOHQlHXmZtMjaEkmR+2dTZ2tLw/zMKNZ8ms/eSaKoqoxN9dfhIdWuVyr2ntgegnkS+XrOXU59fyMzfC5uryuwusc0vSswgDLXxxbLd0oIvl4nEmyMnh/YvvED7V17G0aoVTdu3U3jlVex64k3c9TaSXenceuStzBs+jzM6n4EfP7M3zeas0p+YkplOXWOFgeOMZtcgcZ1qcd3VFfN/60n22ardnP7Cz3zxdxF1iMnYO09ur54gA1nCVec1Fe28MjMdWx438n3weUMcJ54ki+OAQ1ClIjP1rC0RH1Na/e+PJ4444ghHpJJMuj5tTmvTLZUW/QYTU2pUxlmHwehAAJ2TnxJ94rSj4Uf3JNll59dtZQz57y9M/mkzbvmodyPGu143eAOJhFh6kkWrhJoZBhAWV8OTTCOmPTWVNg88QKcPPyShZ0+8lZUUfVnC9u9yadhViSAInNrpVOaeO5dJR00izZXGRqeNa/JbM/HXx0ItFNEQ61YR+bRQzUpwlMpq2DEqE8gf1xdzxgs/8/FvhQAMG9ADAJuvCTxN6vHcsvPNyHRLn0c94WzFk0z++uD3FidTRRTatlds4XFy2freZs79cBsZdVDbPpu277/Dsc+9gytdY0MQRxxxxBZ2JyQErjmp0FZbLD6aHQigVniQ7iVGBv/I40VTkhnlD1HWZnvg/nxw53Yc1j6DqgYPd3y6ikve+pUdpXWhJwqCMQ6ha7qlCU+yqGtoS/icRU+8pZ16Kl2/+JysSy4Bm42qJX+z9fM8ylY24Pd46JDWgWcHP8u0M6dxWKvDqPd7mJyVyTllvzBn0xw8Ph3epnqVZPLnWo0p/z89vMTrAU9gmrUsqVVc1cCED/7g+g9XUFbbRK82aRxxUAcAHJ4o55PRdstoHQ561HOKcVXeB/n38SRZHAccpFaZpmqR7Pv98SRZHHH8k6CWJEtpZa7KrEUgzVSCpfYLUE9qGY2rNwEV+P9T+3bjm1tO4IQerWjy+Hj6qw0Mf3Uxq3dVhv9eXS13Ok2CDSvJDFQtY+WrIffkihIzud8RdJn1Ka3vvgubE+pLXRTc+hxFjz2Ot7oal93FuEPG8cWIL7jY48Lh9/NL2d+MWjCK2xfeTkFlgfZxxrrd0tMgqhe1YoKx9zSCQBZXNXDd9D+5/N3fgt5jM645hrvO7S97jcbnLxFcwRY+zEMNThkRViW5JjzJbPZQ7Mj310z7phwBy4Y9ldt59Lu7mf3OHM6d4aL3TvC47CRffzVHfvEjWf2PMRc/jjjisIYUDQ5hBmrKbMtKMjX+YLCNM5gsinLPDxx/Wnomsyccyz1De5HgsLFo8z7OePFn3lpUgNcXUJW5DHAIXckXM55kUe7VRr1X5c+16BVqT0ujzb330PmTmSR2bY3PY2Pv17spGDOG+r/+AqBvXl8+OPMDnulwFu3cHor9bh5Y8gAj5o3gy4Iv8fk1FGBG/Ef1cgg9a5/LAC8Jm8CZis/nZ9qy7Zzy3EK+XF2EwyZw4yndmX/9cWRmZoUfQ7SYupNkUT4vs0qy4LkV8T5Ix2d3KQ9U+JcgniQ7UJGYKRJ4EBfQ+nKxig3xJFkccfwTICXJpCpwjURwc5WfHw0ujYRWS7VLNBgkuXoTULLES/usZN67vD/PjT6cjCRxAua5ry7myS/X0yAk6osnf040Y1Ojyic9RN+oSaweqbuB4xQcDrLHjaPrKB/pHevB56d82jS2DB1Kxew5+H0+MhMzudPWhrk793Bm1qEAfLXtK4bPG879i+9nd81u5eBRjfsNbhrkz9NMkhkYsBCI6XelBMnt53/vwW4TuOaErnx50/Ec3TVHHM4hJb00TfZlrZZ6Eto2W/TNmFmTXLX312K75b7EVJ7IyuKpue9x6h1zGfKHD5sfOLIjvb7+lk7X34rgitstxBHH/xuChTZJSRZ4TDGpJIumKjHsSRblHm00Saa3xV62JjnsNq45oRtf33wCR3fJpt7t5ZHP1jJyyhLW7q4yZtnQqCOxYXTwT9har6KoszIMIJpXqk6ek3TIIXS+fQhtjqrAluSgce06tp1/Abvvvgd3cTGCIDAkrz/zdu1mkj+LrIQstlVt446f72D0gtH8uONH5SEKRtR5utX9OmIaaYuVPkubk/X7Ghn52hLun7ua6kYPh7XPYO51g7j1tB64HLJ1XmciN2bc2eygnuB5oMIf/sWTLSGeJDtwYbNBamvx6+o9ocUzMSPuIxZHHP8ESMmwutJwpafZVgktImWmlQsMGO/qbJcwqCSTni8IAiOPbM93tw7mrMPy8fr8vLZwCxM+2QiAX1erhE5ljVElmZHqsl4z37DKpUpc6TgbdFZXAaezhnbHltPhhUdwdeqEt2Qfe+65h22jRlP322+QkEYnj4en253Bp+d8yontT8Tn9zF381zOmnMWjy9/nKLaIuVjVSPjekljMF7gec4U7YlnRpKZgWOctboySG4Pb5/B/OsHcc/Q3uHeY3o+fzMEMmol2OQ1Gm1ja5Dklv9fe/8dH0d97u/D13atuiVZzZJ7N9jYBhtTYkw1NbQEAqEkgUA4nITDOQmEPF8CTwoJCYSEENJjkgChE0JvxgZMscEGN9yLZEmWLVldWq125/fH7OyOVlumrGxh3Zdffs3WW58ts/Oeu/Yc4Fcf/Ypvr3ub6f/2c90LIQq6IFzsZvRJ+5l267V4KirMrVEQhMyTHachOiLllpYDbcl6krX1v98oaXuSWdQPaYNsA3/7xpbk8Oi1x/LTC44kz+dmTU0L59z/NrVdkcEhRjSEkb6RZrPG9a8lmV0rwwDSljGa1w+OYCcjJnYx4QenU/DFL4Ki0PrMM2xbfCb7f/8Hwg4/PgWuCsBLF73EjUfdSJ4nj80HNvPtpd/m8hcvZ8WeFf2dZYaa7FvtH5ahcsuIvW6nn3N+8w6rd7eQ43Vxx7nTeeaGuOb8RjWu6XLLNO0lBks/WM1EP0wQJ9nhTF5EyLbXx3oVWI0wCYKQWaKNd0Nq493oPmq1VCJyMAsH+zfx7uuNRSrN9jpLK3LNRoINOEz6NUXvb3dkno8HLpvDn648mlGFfna2q1k83R2t7GpK1wPCYDq66cynDEct9Y9zepKnuvtMOp/C4eh7kHviFxj3n+co/e53cebm0rNhA7uuuJLap2vp7XBBoIMpRVO4/5T7+edZ/2R+xXz6wn08+tmjnPn0mdyx4g5q2moia81wTzKzzsw072lHoI9Pt9cCsK3VQa7PzZ3nzeDpG45nRmWCPlpGPn+zAldvN1OT3jSSleL0REqSs4z1CtvbuZeff/hzrvjTaRT86E/8v78HmVwHitdB6fe+x/QvZ5FT3mvdiS8IQmbRZ6MH2mM9N61qiGS/fdrUbbNTMzM+3TLyuL4etU9UMpI4SZxOB5fNH81rkWBbWIFdEQ2xakuCxv5J7Rps15DOnt6m053iWJ8k28eI3XQlnBb6nLmLS6j8+c8Y+69HyZo1E6Wri3333cf2G35K2+4slJ42cjw5XDfrOl666CWuOfIa/G4/a/ev5brXr+OyFy7jjd1vqGWYhhxaJvqP6l9TBhr3K4rCys27AWgKeukLK5wxo4zX/3chVx8/Dlf81HWj76uRrMR+603XuN/iBNpkTl2T+uFwRZxkhzP5leq2rU76kQnCUMPtizXebd+bgXLLJI32tclXOMwf8FI5tYw2WNdjyAHRv/9DIk6bXsbrNy/kS8dNVR8W7ua0Xy3jV69tpic4cNpjP7vp1mq1J1nKckur2WkZdLzpm817c3F6vRR/4+tMeOVlCi+5BJxO2tc1sf3FUhr/9RahdvWznTVyFn8+/c/8+fQ/M7dsLn3hPp7a8hTnPHsOty6/la3h7tRrtToIIa0zM3VPkXBY4amPaln0y7fYs1fdt0ZXjOS1m7/AVceNHShuNYw4ci05yYxmkplsjp2s7FTb79Oc2Na01XDHiju46OEzcN3/EHc92MmCzxQUh4OC8Z1MuiKP4q9/DUePVsolGkIQhgS5kX2xY29M43tzwZttzV6y41R3ZACY1uvYKIYzyUzqB0jz+5z6GFJekMUDl83hH9+YhxJ5zFPvfcZlf/qArY2p7KYpYdSvMdw3cOJwIvTvQbLS/UPUuH8AcY43/1FHMfbRR6n8xd24y8oI7m1iz4oidj3dQ/ennwJQ4CvgO3O+w4sXvshXp32VLFcW65rWcdPSm7jouYt4vm0zfanWqb/PbJDRkH5K/vq37evga0tWct+LH6sPdWbzxyvm8ocrjqaiwJ/ErtlMMoOZWmn7+2VYP2iOcStDxA4jxEl2OKPPJGuPlMhIFFgQhg4Fo9RtWy207VEv51Vas+Vygzty4NYLyOjBLl9t9G2GVAf8YHeswbrRA7MRZ5F2n8OVsim63+vihtNnAeByKDj7evj1G1s4/VfLeXld/cCosNHGpmYznww5tKxmp2XQ8ab9bYcTPDGB5y4upuLOOxj3zDPkTClFCTtoenU9W089jaY//5lwt+oEm18xnyWLl/DQ4oc4YdQJhJUwL+x4gQtGlXFTaQlr2nYkjsQPViZZip5kn9a2cNHvV/C/T3zCvvYAJR51UuVXTpiRXNxG7Rpx5FrI+kr1Puiy/Kz3FIlzFqYRuRuaNnDL8lu44ImzCfzzCX71uwBnr1RwhyHnxBMY/5d7qJzXiifUoJ7sRaZcRts4CIJwaMmP6IfWPTr9YKMUOtlvVDSrpNCcvXQBB7NOMv2k6FS/zwaPISdOGsmCaaMByHf28t72Js789dv8+PkNtHYFrdnV6wszvVKNHOtNZZKlySzKkOPN4XRScO65THjpRUqu+SoOV5juvQ52fvkSav/72wS2bQOgxF/CLfNu4eWLXubaI68l15PL1patfL/9U86pquTxnlq6tQmSA9ZqVkMY0U86/RDuP1igvSfIXS9uZPF9y3lr0z4KnKqzc2xlGafPKE/9t832JDPsJDMYZDNdEp0k2GgwyHa4I06yw5n8yMGyrR5aIqUxBVWHbj2CIPSnQB0XTWsttEb20cJq6/YSneBHD3Ymo8CQ2hETPVg7jGfUGHFA6IVouqbouqmBv7lwImX5PnY3d3H9Pz/mkj+8z6e1LbHHGnFmgQXnk4nG/UZLI42cPFjtfeJNHLHOmjKZ6pvPpurEJrylOYRbW2n85T1sO/0MDvzrXyhB9aRhTtkcHjz1QR475zFOG/UFHIrCGznZXPHG9Vz2wmU8v/15giHdCYb2foYCEEpw4jFgnQYELiQUjfs7Atzy5Kd88YF3o31Dbj1zKnMrIidWRvprGHLkWsgkSzWhLNgJKP0fZ5Sk5RIt6lYnckPhEG/seoOrX76ay5/9Mn1PPs+vHwxy5ZthcnvAN2UK1X/+M6P/9CeyZh8bs7N/i3rZk2Ptd0QQhMxTqDp4aK3JjMY/2JlkZgf/6G0aCbQZ+C11Zal/+4bjyjh1WinBkMKf39nBwl8uZcm7OwiGdA4UI9k/Thd4Ipl8ZqZuZ7InF6TPcLc1MXOgTWd2NiNv+BYTzm6kYGyXmpn+2mtsP/c86m77AcE6dfBPsb+Yb8/5Nq9e/Crfnv1tRuBij8fNj/av4NQnTuVXH/1qYN9Ts68/GsQykEmms6tln598zzL+sHw7wZDCyVNLuXPxGCD2XUmJEY2rKMb1aPx6k5YuWwyyJRsoJJlkgDjJDm+imWR10LJLvVw45tCtRxCE/miCtrV28ESuJnCtHOyi4iRBvy99ereRCX9gsJTNQGRVw+mMvubTJ+by5v+exH+fPBGf28mHO5s577fvcvNja6hv7TaRSWYxtd9ICYbZksNMllsaaMTq8OWTNyrA+P+aQcVdd+GprKRv3z4a7riTbWedTet//oMSUrMHpxdP5945/8sze+q5sLMHr9PLuqZ1fP/t73PGU2fwx0//yIGeA/1Fm4kmuWZ6knUG+vj161tYePdSHltVg6LAhbNH8eb/ncT1CyfgNFPakOo7H79GU+WWKcpbtNscrn5Zfsbsphe57b3t/H393zn7mbP53ze+Q/6rK/n1H0Nc82qYog5wV1ZQ8ZOfMO7pp8g94fjo86KZqTUfqNvCauP7uiAIg4tePwxWkA0y0JMsyW9pNMBkokTMyKRoM1m5kTUWuAL8+apjeOjr85hclktLV5A7/rOBM+5bzhsb96KEgqBlOmWyZYORBunpJiMnIm25pUn9YNCmJztM5bEtjH/87+SeegqEw7Q+/TTbzljM3rt+Rl9TEwB53jyunXktr1DNrU3NVHkLaett46/r/sripxbzf8v+jzWNa9TsdDMOPUUxpvXcPrUPXOR1vb1lH+f+9p1o9vm4khz+evXR/PXqYxjpDaa3p5HuOw8Q6lXLccG4hoh+B9I17jebiZ4se7RF3foLURSFna07zdk9THAf6gUIg4jWk6y1NrYj2jmACoKQWTSR27Q11ri/YLR1e4kiblYFLqQWJ1YahZrpSWY0wubNUZ8T6CCnyM3/nj6Fr8wbzS9e2cQzq/fw9Oo9vLiunj+N28GJRuyaziQzInJNRm0NjUU3OzXSeDNbR7CTwkvOJ//ss2h5/An2//73BGtqqPvu99j/uwcpvu6bFJx9No5AOxOCfdzZ5eQ7X3+NJzY9wb82/Yt93fu4f/X9/OGTP3DqmFO5OCePozvbcQTaIbvI/joh+r3bu28fZ//iLfZ3qCURM6sK+OG505k7Rvd3LJw0pfyszPYTgdSZf/oosFknlOb81pzhAH0BlL5u1vq8PLnuD7xc8ya9gS6O26Bw6wooa1azI9wjR1L8respvPhinN64qdcOh6ohmrfBrhXqbQWiHwRhyKDph+5m2LcpcpsN/ZDsBF87YbY6+CdT07H72Uzx+2w0IKZ/TOQ5CyeP5PgJJ/LYqhrufXUz2/d18o2HVnHaeB9/in9OqjV2NhpzQJmdmKkoxo4R6XRJusykhDbTaDOXB1w+CAXwVZVS/dvf0r1mDY2/uo+uDz6g+aGHOPDYY4y45MsUff3reMrK8Pd2cXlbB5ee+T2W5eTwz43/ZGXDSl7Z+Qqv7HyF6cXTuSjk4iyHg1wj+qmvR9cGJMV76nCo70H3AW555F0e26lm/+X53PzXyRP5+vHj8LojeURmHFCGMh11+5fHbOP+DJUua2jnBd0t/b9b3S0ccDp5LlDH0/8+n9r2Wt788psU+IZXI39xkh3OlExWt83bY719ROQKwtBBK5fY/pa69eamdyKkIlGU1U65ZSohZcVJZsQBZUbgRh+3t5/wqCz086tLjuLq48by4xc2sHLnAT7dXsuJbli7L8SE3j6yvUkOf2YjrEYcMJZHmGeyz5mJfioRweX0ein66uUUXngBzf/4J01//Su9O3ZQf+v32X//bym+8GQKQuD05VKUVcR1s67j60d8nZd3vsw/N/6TDU0beHHHi7xYOoKxvblcuOlRzpt1LcX+YlvrVBSFj+qDHA00Nu1nf2+A0UXZfPeMKZx9ZAXO+Kb8Zvp1GDl5MNtPJJ1dq013IfZ70aX2DGvrbeP5DY/y5Khytni9eDY/z8mfKlz4oZMRLerJg6uoiOJvXsuISy/FmZW87x8lk1Un2ZZX1euFNk7ABUHILFkF4CuAQCtsX6reZmcfTTQxORSM/WaZ1RDxfZ+cccVLVk7sjfTnMhNoS5A57HY5uXz+GM6bVckDS7fx13d2sG57HWRBH262NwWYXOZNYhBzgTYzg3+UkOoESpdtHOpTHwfJM9y9FhxvRh16XYHoZ+s/6ihGL/kbnStWsO/Xv6Hn009pfujvHHjkUQouvogSbxsewOXL5+TRCzl59Mlsat7EPzf+kxe2v8CGpg1sAH45ehRnNL3PRY1rmDVyFo5k69V/L1Kss6a5i5yglyLgs111eFyT+OqxY/jvkydRlBP32Zo53hvJdNS+926/2kvYCHq9l+jzsjrd0h/RD0oIeloJZ+WzsmElT7V/wuujRxFsXklxm8KiXW7Wn7Ke4yqPM2f/c444yQ5n8irUg2hPKwS71NTS4omHelWCIGiUTle3WhZI6XR75UwJM8lslFtqGaiJDvhWBO5gZZLpn6djVnUhj1+3gJfXNdDz3MMQhLd2dvO1u9/ixkUT+Mr80fjcccMMouLR4LhxI04908MADLy3ZrPTDAncxI4cZ3Y2Jdd9kxGXX07Lvx6l6W9LCO7ZQ8P9/2C/v4yieU4KOzpx5ebgcXk4d8K5nDP+HNY3refJzU/y0uan2On1cO+mh/nNlsdYWLWQs8adxcLqhfhccWPvtfc9wToVReGNjY38+o0teOv28JQPCpw93HneDL4yb3Qs8tv/Sea+U2ZOwjI13TIqcE32IwPwFxEEVnTV8MLy7/Hm7jcJhAJkhz1c/G6I81Z7yGrvBcK4iospuuoqii6/DGeOgbWXToPNL8XWVzrN/PoEQRg8SqdBzfux43zZdOu2Ev32aZnoYGE6tu43Jtg58HhmR0NkKtCWQj/kZXm49cypXD5/NA8//ypsh3YlizPuW875R43iplMnMaY4we+omeO9kd/++Mnl6ZxkeidnuqnTKKqD0Mixx4hDz5cHXU393k+Hw0Hu8ceTc9xxdK5Ywf4HH6R71Ue0PPovWhxQMLaQ4tNa8I1XHz+laAo/Ov5H3Dz3Zp7b9hxPffJndgRbeDZQx7MvXcHEwomcM/4czhx3JpW5cYOutNfuyU44qKr2QBe/e2sbT6yq4TmXlyInnD4xm/vPP4nRxUmmwpppr6DPdEzmfLQzHTscVAfpeOKCW1YH/3iywJPNdnp5cfX9vFC/gtqOWgCq98EVq7zMWteDIxRg/LeG39AecZIdzjgcMDJyAAUomTJwxxIE4dBRMlmNJmm9LuwIXIhlouiFbSbKLVNlv2SZ6ScSsdfXrUY7E0XRzE7pSZP543A4OPPICsJbC+ATcGflsb8jwB3/2cAfl2/nxpMncdHcUTFnmV6EG4mwGskC8hrITupn00ifM7M2jTQITi3uXbk5FF9zjeose/Ipmh68n77mNhqXdbD/pJMovOgiRlzxVbxVVTgcDo4oOYIjSo7gu5++xssdO3hq3GzWduzmjd1v8MbuN8j15HLK6FM4a/xZzCufh9vp1om92PupOcfue2Mz6/aoJxVHRQRmVU6Yq44bm/w19QV0/T/MZBYYKJcw49RKWbps/mQxrIRZ3biaFxuW8sroUbSyF3a8RNkBhUs+zWbByjZcQQfQi2fUKIq+8XUKL7wwdeZYPPFOsfKZxp8rCMLgU3lUTOM73bEKEitox3It+xxizjdfgfnp2B6/Ok1ZCavHtEw4ydIFMfoFRTJTXl9dlM2tJ1fBdgh7clAC8MzqPfznkzq+dHQV31o4sb+DxUwmWYLj3QC03qu9HREn0MjUNrX3xuVVe28lwpMd+2x6O9Ify/Tvq5E2EAneT81Zlnv88XR++CFNv/89nSveo3VHNq3fuJWc4/9D0dVXkXPCCTgcDkZkjeCqGVdxZVeINa/fwpNVU3nV0cPWlq3c9/F93Pfxfcwunc1Z487i9LGnU5RVlNSRV3ugiweWbuPJj2oIhtQhOa6cPOiF/zquHJI5yMBakE0JqxPgvQns2hn8o60n/lzewr7U2NXISzte4oXyYja6HbDlcRyKwvzdPi5f0UP5zjDQBUD2vHkovb3G13uYIE6yw53xC2MH0DELDu1aBEHoj8sNo+bArnfV62NPtGcvO1LG1tUUuy0T5ZYZOrHvd6APdoIrQWTabEQsVbabDmfE7rWnziSPI7j/zS3UtfZw2zNr+fUbm7n2xPF8Zd5ocrQ1hvsSR+z0KIrBSUpmSyNNTGfKZCaZQXHv9PspuuKrFE7qofWB/0fz9jJ6mzpofughmv/xD/JOOYWiq6/CP2cODoeDHG8+F3V0ctHUa9hUMV0twdzxIg2dDfx727/597Z/U5RVxKLqRZzcWct8B/h8uYTDCq9v3Mtv3twSdY5le11cddxYrps5Bf4IznSvX/9aTPWoMTJcIkON+w1+54OhICv3rmTp7qUsrVnK3q696h1OJ8dvC3PJ5krKPtmDQ2kHHPiKXRTf8lPyzzwTh8djfK0aY0+IXXa6ofxI8zYEQRg8qo6BD34fuTwvuVPECCn1Q6F5ew6H6jQJtA48pphphK8nXXCo1+SkYCON1iH6u11cVMx/rj6BX766iWWb9/HohzU8vqqWc2dW8K2TJjKlPM/c8d5oUFBzkmXKpv6zCXRAuo9A/74a0TppNETOvHnkHHMM3d8uo2ljNu11OXS++y6d776Ld8IEiq68koIvnoczKwtHVj6zA73MDhVwy2Uv8OrOV3lpx0usbFjJ6sbVrG5czc8+/BnzyuexKHcMi1wuyiOvvaZZzRzTO8eOn1jMd06ZzOR3K2HrhvQaKvp+GnG65gAO1Ay9jiROMgva2elS+5cFO9Xsw5ySJGtM/T3a1baLpbuX8mbNm+pgBBRwO8jrDnPV9nIWrOrFU7dffbBDIe8L8ym+8f/wHzk8j/3iJDvcmXUprPgtoMCcKw/1agRBiGfeN1UnWeFomLzYni3twKkXubbKLVNlklnogaBNFAr3qcIsUfmGpZ5kpBe5kdfg9ufz1VljuHhuFY98sJs/Lt9OQ1sPP35hI79dupWvLajiO/rnpHKSBbvUiGG69ZodBpBBh1YUQ33OzDnenOFuRkzoovC8o+ksu5Lmh/5O57vv0v7aa7S/9hq+qVMp/NLFFCjZuCJ2pxRNYUrRFL4z5ztqJtT2F3ll1ys09zTz1JaneArwj65i2raX2PJRB/X1Y1FCueR4XVx53FiuPXG82jMk0oMrZVai/rUY7f9hJEPP7HdUb9ekw7mlp4X369/nzZo3eaf2HdqDseeX9mVz1e5RzHl5La5WN6CWSeQcNZGi/A/IOf5YHOedZ3yN8eRXwtRz4LPn1d+pRIJfEIRDx5Sz1Gb9rbth/jft2cqO6IeeVtWJ5fLE9IMVJxmox5tA68DfPf31TPY11W53OI1NCjbaL1R3TD6yqoCHvj6PlTubuf/NrSzfvI9n19Tx7Jo6Tp1Wxs88XkqM2ATj05x9udBh0KbRzCftszEy/Kff+5riOGBGQwS78RcHqDohQO8VL9P82NO0PvkUvdu20fDDH9J4770UfPE8RsyrxBdZQ743n4snX8zFky9mb+deXt75Mi/ueJENTRt4r/493uM9fjp6FFNCIXr+8f/4bFs1fT0VgJMTJpbwnVMncczYSB+ulQadmWYyyRyOiEOzXX0PcksT2LOQSQbqexvsHPjehoKxHnRx+1IwHGT9/vUsr13O0pqlbG3Z2u/+04KTueDNHYz8tBOlrw4AZ24uBVVNFE1owXvb7VA8wdw6DyPESXa4UzQe/vsj9XJ+xaFdiyAIA5lxPpR9pDq4rPQk0hNt4q13krWoW0uZZClEhJVm45qA6GlJL3JN9yRLFw3s79jI8rj4+gnjuPzY0Ty7eg8PvrWNnU1d/OqNHXzT58Xv6GVn3V7GTipJbxNHasFjOZPMwDCAjPYki9wX6oW+XnCnaE4M0dfj8OeR+4UvkPuFLxDYsoXmf/yT1n//m8Bnn7H3Rz+m0eMkf1QhheM245+t4HA4cDqczC2by9yyudw671ZWNqzkpR2v887mp9jvgo9DuyBvF7l5MMI9htPGncBxo3PI8o4GvHHlB+3Jv9+mv09GepLZmG6ZxuEcCAX4eO/HvF//Pu/Xv8/Gpo1qtDdCibeIL3VOZ8HHXfjf/QQlsBFw43SHKfjyZYz46pX4Gl+Bl5db2+fjufhv0LQl1j9REIShgzcbvvUOdDRCySR7tvyFRLNgupohr8yefoDkTi3tN8/tV51xRkl3LNW3KjDS3zWqH9Jlkg08jhwztoi/f30ea2tbeXDZVl5a18DrG/fyjLuVa92wbU8DY0Jh3K4EvTI1jGbOGzkuRddqUJuZ6Wuq10+p3lcz69R9J7zjJlN+222M/O//puXJpzjwj38QrKvjwN//wYG/g7+khMJZB8jv7sbpV52fZTllXDXjKq6acRU7W3fy5u43eWHtY2zp3cMmVxjCz+IfB24ll9mlx3DmxBMpL6pEUUaojf+jDr00/WfNBsV8ESdZymxHLDjJIo7S+PdW9/kp3lx2tu7gvbr3eK/+PVY2rKQzqBtK4XBzQv5RnLd9BGPe3kZo4wb1eTjxVhZRdO2NFJx9Fs57IwNAMqEhPseIk2w4IM4xQRjalEzMjB0tEtzPSRbJuLFysDPSk8xso1BfnuokSypyLfYksxgN9LldXHLMaC6eW81L6+r53dJtdDRn4aeXb/11GSUTO/ja8WM5aXLpwKmJeoGbUjhaLI001D8sgyWc+nKC3g5wp5m0msDx5ps0iYr//52U3vw/tD73H1qeeILAli207sym9a6n8P7rY/LPOZuCs8/GO3YsAJsauvjPB7k8u3oejzmexJVVz215Z9Be0s6+3h0c6NvF41t28fiWh3E73MwomcGskbOYmZvPrO5OygMpnGRWBK7+tSV83TYa78Z9B/Z37+eTlk18MqKQTzo+Zd0jx9Eb7t/7Y2LhRM5wz+T49WH8r75PsPYtQC1+8U2eTGHeKgrGduK66Vo1+2t3i/pEq9kfetxeKJth344gCINDVoH5pvqJcLrUQFtXk/o/r8yefoDkTi2r+iFtJpmB41w/eyb1Q4LjyJFVBfzu8rls29fBH5Zto/sT1Ynz3oadXHH3Ur66YAyXHjN64NRE0A2qSfM+GHXopFlrYptGHFoGdZm+cX069FovMvnUlZdH8deupujKK+hcsYKWxx+n/Y036d7vpfuNXvae+AXyzjidgnPOIXvePBwuF61dQd5cq/DPD8ZzTPOR/Mn3IX/wT+X18im0OjYQCHWwct9SVu5TJ8BW5lQyu2w2M0P7meX1MrmnlZRu2kwH2sxqXI0EGqI31MuG+g/5JD+PT/x+Pnn6TBq7G/s9rcBXwILSeZy5fxTjV+yk583lKL29hAA8HvKmFTOieB3ZF1+G45SvQMc+3d+0MHH7MEKcZIIgCIcLWk+Rzv2x2zoiB8xEad/pSCWibIvcJCLKdE8yoz1FUgtHl9PBOTMrOfvICnruKYSONnId3by9ZT9vb9nPmOJsLp8/mgtmVzEyL9L3xWjJqZGJR/3WakBEWS7hTLFWlxvcWWrqfqA9lpmYbp0JXr+rsJCiK69gxBVfpeePN3Dg2Rdo25NP744d7L//t+y//7d0jZvMm6OO4tGcKTT71ZO84uwgo3qDPHXOt3CPO4Gm7iZWNqyMZlbt6djDJ/s+4ZN9n8DIQqCQ0pev4Miy2UwaMYlJhZOYNGISo/NG43K6bAjcVD3JzIvcsDeXPW4Xmx09bP7kQbYc2MKGpg3s6dijPqAwH4LqCWmpv5RjK4/lBOdkpq9pIfzv5fRseByAIODMyyP/nLMpvPAiso6YgeMXE6CrQ83+yK+EzojIzUnT4FkQBEFPdnHESRbREJp+yLGgHyBFJpmFwT+QvpzPTlAk1bHZgONpwshc7r54Fu1FR8Lypyjy9FLX2sPdL2/i169v4bxZlVw6r5o5oyOZTPr1Gs0kM1TCadBRaLTUFIyXhZoJCKbQOQ6Xi9wTTyT3xBMJbl5F6//vi7RszyXY0UHrU0/T+tTTKEUlrJ86jyX+KazPrQSHg8W+AEXhMDdVTeH7X1lCMBRk7f61fFD/Ae/Xv8+n+z+lrrOOuu11vAAwqhxf/XNMf2kX04qmMXHERCYVTmJi4URy451dRnqSQfosfyuZ6EC7L4fNPh+ba99kc/MHbG7ezMbmjQTDQSiOOLG7G/E4Pcwunc2CsvksqM+l8N0NdL72OqHWVrq1JU6ZQuFFF5J/7rm4V/8Oln8cK63W9IO/yFiLisOY4f3qBUEQDifie5L19cYa71o5YfamEJA9moPIrMg1Wi5httwyXSTYmGPD4XDgzy2ADnjgosn8qWEcj62sYVdTFz998TPufnkTi6aW8uWjq1nka1cPokaa7kLqiUd6jI5aB/V1h8PRSGx6mwaiy5qTLB0GHKUOhwP/lDH457dSOuM8NrYtYN+/n6d0y6dk79jMOTs2cxYOGqsmUnjKyRR3hFDc4I6cPBX7i1k8bjGLx6n9+mraa1jTuIZP9n3Cp+sfY7NLoTHQHJ2YGX0ZLh/jCsZRHXZSNaKQUT4HVXvepTK3kmJ/MXmevNiJih4tIyOlk2zgdEtFUegIdtDU3URDVwO17bXUtNdQ015DbXstu9p20lU9Sn3wmt/F3h8cTHBmcVRLI7MmnsORpRdS8NFW2p96g55Pno7MlgJcLnIWLKDgvHPJO+20aMkJoIrZrqZY1oc4yQRBsEJ2CbA5piHs/pYkc2oNWiaZxXYNSkg97iXrY2b0+Ank5RcCcMbEXO6ZOou/rdjBuj1tPPFRLU98VMuEkTl8+ehqLpgzilKzDi1D5ZZmSzgPVXaasXV6KsdQMr2D4mkdNJz8ApseeZrc95eT07yfI1a8yC95kebcIoLzT2DWKAWlEVXDAR6Xhzllc5hTNodvHfUtuoJdqn7Y/wmfbv4Pn7bvpM1FdACAnsqcSsYWjGVUVi+jyKOqeS1V/mzKcsoo9BWq07gTvgcphvRA0unYvaFemnua2de1jz2de/ppiN1tu9nLXqgsg9qX+j1vhCeXWS2NHOXMZdbpDzBuazu9b6+g/bW/EWppQft0XcXF5C9eTMGFF5A1fXpM/2jBUNEPAxAnmSAIwuGClknWfQDCoVg02Om21rg/ehBX1AO7/qA+VESu4ca7iYVJQiIRw1JfkB+cPZ3/OW0yz66u4/FVNaypaeG1DXt5bcNeLsz+lHuBToefbEVJ7HSBgeO70znJjLwH+ve9tyN9RN6oyPXmqiLJTIPgNDZbQj4KgWWbdvGNjjNh+lcpmNDOuQc2cva+tRRu20h57RZ4aAs7cOHJKSVXeYTc01rJnjMHZ06srLE6r5rqvGrOnXAurHmZrtp1rD/nZ2zMymZLyxa2HNjCtpZt9IR6+Kz5Mz4DNUuLFnj9+qgdj9NDUVYRRVlFjMgagd/tV/8r4C8qxKcAH/0aRfeR9oX76O7rpivXSVduCd2rfkZbuJemniaaupsGlEnG41EUJvYGmTTlfCaXTGfyiMlMz56A69dX07GygfZ/raS36Q2ixQ4OB9nz5pF/1lnknX4a7hFJSp6yi9W+YdowAy2TVESuIAhm0E6Ytd8QbZtr8bck2fG+x8LgH8h8kM2jK5kPdCR3khk9fkL0NbmCHVw0t4oL54zi490HePTDGl74tJ5t+zq566XPuPuVTazyNzMC6Hb4STlmwMhAmehajZZGas6cDA8YgIxmp/W4sslCjdGe+UoDLSNOwX3GQubt38JX2jcyYfPHFHU0wxvP0fAGNHrKyd26gZzgM+QsOBZPRazlULYnm+NGHcdxo46DYBbK8zexa/KpfDr/KjY3b2Zry1a2HNhCY3ejmnHWWQfZXvX/ml/Bmti6Cn2FjMgaQVFWEXnePPwuP36PnyxXG1kjCnDVvITSV4eiKGj/ekO9dO17l66RxXS1raHzpas4EDhAU3cTbb3pnZXlfX1MyR/H5AmLmTxiMlOLplLx8Tt0/ud7dDTl0PXADTQGg9HHu4qKyDv9NPIXn0n2MUfjcLkGGo1OtY1zklmpPjnMECeZIAjC4YJfK49TVEeZdrDLLkmfaZQIT7Y6zUgJq6InoZPMbF+FNKnoUZFrsN+TkUaxfb1qM3r9442sMSL0sr1uLps/msvmj2bz3naeWFXD0x/vIdTdBl74uKGP236xlLOPrOScmRXMqMzv7zBzOnUj3JNMPNJjJL3fnQUOlxoBN+IkMytybQrn3U1dvLK+gVfWNzChdg8/94ASaCfL4+T06eVcOGcUJ066BJfTQXDvXjqWvkX7m2/Q9c5ygp1uDjz+bw48/m9wu/HPnEnOsfPJnn8s/plHxrKofPlkKwrHZJVxzIwLon87FA6xp2MP21u3s2fdY9RueZHaotHU5pVQ31lPZ7CTYDjI3q697O3aO/B1FUTey3V/Tvy6cyJ/f9/HA+/y5DDSP5LqvGqq8qrUbW4Vo/OqGfObY3AGFbrGnUDXq9vo+vB+6tauhb4+IAdow+HzkXPsseSetJC8U0/FPdLAyamWQart79ESKXGSCYJggmg2unbCbPO3JGlPMoO9uOIx3JPMoF2nU3WUBTsjNpO8ThOZZPFrdDgczB1TxNwxRfzw3Om88Gk9j6+q4ePdLbj7usABX/zzp0ycCmcfWcmiqSPJ9roT2zTTZN9wGwgzjrcMDhhI4Xjs7g2xbPM+XlnfwOsbG/hYceFxhPCFuzlyVDkXzB7F+bPPpCjHS7inh8733qPjzaW0v/RvQh29tK2upW31bar5MWPIPvZYchYcS/bcubFjqi8PBzC2N8DYCeeBbohja6CVrS1b2d2ygz0v3cwej5vaMfPZ07WXpp4mwkqYlkALLYEWdrTuGPjaCgtg/0r1fyJycyDQAI0N/W52O90UZRVRmVMZDQhqOmLcB38lf9VD9E4+h67NpXR9+Bpdq+5ie2MjUIDajAE81dXkLlxI3smL1L5t7jSunnj9EM0kK0n9vGGAOMkEQRAOF1yRjLGeFjUCrDXgtBoF1qZRBtpU0aPXR1oZZ5bJhr7eNBFRo2Isas9ATzL93zLiJEsh9CaX5fGDs6fzvcVT2fLCOvgYepx+apq7+f2ybfx+2Taqi/ycMrWMk6aM5NjxxWR5XDEnWTpBqijGmg87HOr9Pa0mG++mE7na52NkLHzMZjissKG+jdc37uWV9XvZWB+Lipa7VKfSzJEuVn7zVPKy+rfJ9ZSVMeLSSxhx/mLCPxpDx14fHSO/RtcHKwnW1dH98cd0f/wx/O5BcLnwTZmM/8iZ+Lt6yQq68XW1oc/hczldjM4fzej80bB9JTS3wNjz4Lz7Aejp6+FAzwGae5pp6mmiJdBCd7Cb7r5uuvu66F7+CwIoOGZ/FYfuRMPlcJGNk+y37yVbUfCf+1tyswop9her/7OKyXJnRR+vBIMEtmyh+/119Kx7i5o3RtLT7IQnv9fv9bvznOSWtZP7lZvJufC6/qWURsivVLdtkf5mEgkWBMEK0awSLZNMO2HOcE8yTT+YHS6SrpzPbCYZqMfRqJMsCWZ0SYpAU16Wh0vnjebSeaPZureVvAfVLlHNQR8vrm3gxbUN+D0ujp9YwqKpI1k0pZTKQr+5TDKjjeFNTcw00a4BjJVwxvV03d8RYNkm1TG2fMs+eoLh6EO7svwU0MHjV89gzNQ5/cw4s7LIW7SIvEWLKD+ylp63n6Pdt5jOmiA9a9fRu2sXvbt20fLYYwC4KytU/VDpw9/oxVfcRnx+VYGvQJ2+nTcOWlrVG6//B7jchMIhWntbae5ujmaBdQY76e7rpifUQ9dnz9Ndvxpl1Byono8jok4cDgc+l4/sz14iu2Et2TMuJnvKWYzwjaDYX0yJv4R8byzAqigKfXv30rN+PT3rl9P61jr2bisjFHgeeD66Vofbhb+oi9yjxpF705/xjhubvKohEXlx+sFuH8LDCHGSCYIgHE7klKgCtGu//SgwxJxk8U6T6Gj4QnP2jJZLmO0pkko8aqLR7TfWiNRAhNXjcjK9SBUii2ZO4IFJc3hhbR1vftZITXM3S1bsZMmKnWR5nBw/oYR7wz4KgHBPOylz+oJdauYeGOgpkqc6yQxNkjIrctPb7OtWe7Ld93Yd//jX6zR1xsoNXU4H88YWsfiIcs7NVuBZKPX2QlaKOVKBDpwehfzqIPm33wUOB721tXS9/z6dH3xI1wcf0NfYSGDDRgIbNtICQCmO1+/GO/5pfBMn4J0wAd+EiXiqq/BUVuIKRBxoupObLHcWFbkVVOQmmfz8yi/UTMwpl0PptP73tdbCC3eC0wOTL0BRFMKtrQRr6und8Qnt27YT2L6N3u076N2xA6VXX4KpSnF3aTE5C04ge948sufPw/P4YhxtrbDwBDDrIAPIi7yOtnr7fQgFQRi+aBOyO/erAZsOm1klyTLHNf1gtg2E0cE/ZiYPRzVEikCbjUyyZEwsjCmBh751Cv/ZcIAX1tZR09zN6xv38vpGNct5anke/5ffyanEjrkpMTr8KF1WfyKbRnuSGXDmBbvb8ADr9oe45Tdvs76uv2OtaoSfM2aUc8aMcvKfHQGtHYzJVVLadPR14i8O4j/3VJh7NaH2drpWrqLz/ffoev8DAlu20FdXT3tdPeqrLoE39+F++mR8EydG/3tHV+OprMTtDaj6wZ0V1Y4upyvaqiEh+xpg/VKYMAHm3Trw/o3vQlsHjDwaxp5BOBCgr6GB3k8/oXn79qh2CGzfTqipKe7JLhxuJ/65x5B9zDFkH300/s5lON/+Ccw6A8aPS/u+DyA/oh96WqG3S3qS6RAnmSAIwuFEXgU0bYW2OuiIlJLZiQj5cqGd/gIy1BcTqZZFbrqeZEYnCRkQZaab+Rp0FEXsuv35nD2zgrNnVtAZ6OOdrft5a1MjSz/bR0NbD2981sgur5OZTvjO39+md3wW88YVc8zYEUwpz8Pn1sUxNTHucKrlrqkw4dAyLnITOzHDYYUtjR18vPsAH+06wMe7D/B4WzMlDnhpSydNSi/ZXhfHTSjmjBnlnDqtjBHayPtd9f3XkG6NvtzokAhvVRXeiy+m8OKLo5HV7k8+pWftp3QvfZqeXU2E+yCweTOBzZsHmHR4XXiyRuL55H1cr38XV2Fh7H9+Hg6vV/3viWydDpT9uShtnSjL3kYprCXc2Um4rY1QWzuhvTsJrywkGPDR995ZBBsaULq7B/xdDWdeHllHzMB/xBFk1T6C370D9/UP4phwUuxBgUik2krfQIhlkrXXxTJAHC7r9gRBGJ5oJ8xtdepxpS/y22Y1KzWamRzngLKcSWY0yGaijNNIRpWFnmRpM7Six3oXM0aXMmNMGbcsnsL6ujbe2tTIm581srqmhc8a2nl1XyenemD5uh38Zt+7zB9XxPzxRcysKqQk15fYbtqAWKStQCaDbCney6aOAB/vbolqiIV7PuW/nLB6b4j1faqDbFpFPqdNK+WMI8qZXqFrW2E0Qy0uk9CVl0feyYvIO3kRAKGOTnrWraN77af0fPg23avep6/bRV99PX319XS+/XZ/ey4nbl8pnjwn7r3fxjViREw/FBTg8PlweD04vF6cPh+4XLCtDaXeh/LJTvC9Tri7h1B7W0xDrNpJaH8Rwff/Rl/rg4QOHEj+elwufBMmkHXEEWTlHMBf/zi+4xbjvGxJ7DGvvaBuze5LGr78WMlxe72UW+oYVCfZT37yE1544QXWrFmD1+ulpaUl7XMUReHOO+/kj3/8IwcOHGD+/Pk88MADzJgxYzCXKgiCcHhQUK1uW2ugNZI+XVBl3V4i0dPTGrusTQM0SiqR29erTpgC41MztShwKkFqZyx8KhKUNeT43NHop6IobKxvZ+mmRtzv50EAHIEOXlmvliQCuJ0OJpflceSoAo4Ylc+snCZmAoo3N33KvNH+YaE+3ftqrKdI/b59LF+5m4317XzW0Mb6ujbae/r6PTTXp55AXXTsVGYdOZPZo0fgdSfIkzPqzIsK8cSfvcPhwFNejqe8nPwzToeZLSjv3k9w6tfprTyfwNatBLZuI7B9G8G6OkL79qP0hujt9dDbVg8bn09oNzHFsOxXSe7TnJexXiSuoiK8Y8bgnTAe37jx6nb8eDxVVTi0foB/fhlqt/b/XoVDMeFvVeTqM8laa9XL+ZXW+hAKgjB8ieqHWlVDAPhHmMvM0pPsWBrNRDfbriFiLxyEvgC44x1EWsDBxNRtI5lfVjPJ4qeC97Opa6vgiJXkHTGqgCNGFXDjyZNo7uxl+eZ9tK7aBHsghy7W1LSwpqaFPyzfDkBFQRYzKlX9MKOygBM6WtQhABntH2aw3DTy/vT1tPP6ugY2Naj6YUN9G7uauvo99HR3FzhhVNlI7jvhKI6fWMLIPF8iq8Yz1KKtKhJ//q7cHHKOnU/OsfPholPhN0cRCucS+OJzBLZsVTXEtq0E99QRrK+HYJC+Ljd9XcBrr6X+2/0oBtbD3/87yf1ZQH30miMrC291Nd7x4/GOH4dv3Di848bhmzQJZ1akhcOaR+HZhyEU53C2mpUZ/eMO1TmuBdejGmKUNXuHEYPqJOvt7eVLX/oSCxYs4C9/+Yuh59x9993ce++9LFmyhMmTJ/PjH/+Y0047jU2bNpGXZ7LBoyAIwnBDc4i11sacZIXV1u0lErndkciXL99Y+aKeVD2v9FFCo5FgQz3JDPboiLdpNBKcZK0Oh4PplflMr8yH+lGw+VP+96RKpnmn8sGOJtbUtNDSFWRDvSoiH1sFRzi287wPGgNuvvnAu4wvyaFqhJ+y/KzIfx9l+VkU+D34vLlqKYBR4QgE3dk0t/WwvyNAU0cv+zsC1B7oZndzF7ubuzi/sZnLgH9/uJmf9a3tZybb6+Ko6kLmjB7B0dV5ZD2uNor95mmzYlPREmHUmWf6c8qLtM1T8C5cSO7Chf3uDgcC9P3pKwTXvU1w8hWECo8k1NKi/m9tJdzeRri3F6U3iNLbq5ZGhsM4OvZAXxeOkrE48kpx+v04C/Jx5RfgCh/AtflJ3KXluL/6IJ7yMtzl5WoUOR2JouF2HM4a0UyyemjZrV4uHG3NliAIwxdNP7TXwYGdkdts6Idkx1JNQ1jNRNdsxjvJolMzTTjJjATFTGWSpZgK3s9maocOQFGOl/Nnj4LcI+FhmF3m5p5jZ/HhjmZW7mpmx/5O6lt7qG/tiZZn/ttbzywn/ODFHexdvZIxxTmU52dRmu+jPKIjinK95Hpz1NYPJoYBKN4c2rqC7O9U9UNTR4C61h5qmruoae6ib/9WHgJ6Olq4/p8fDTAzqTSXuWNGMGf0CM7YPgI2wskzJ8DsNA4Z05rMxBRSZwfZs48ie+7cfncr4TB9K58h+I/r6POMo+/omyL6oVXdtrWiBFTdoAQC6ravD0dfJ7TvweHPxVE2DUdWFq78fJz5ebjy8nGt/zuucBOe8+/EfeRCPOXlOPPzDQRFI/qhJy6bzmpWph6tAqW9HloiznHREIPrJLvzzjsBWLJkiaHHK4rCfffdxw9+8AMuvPBCAB566CHKysp45JFHuO666wY8JxAIEAgEotfb2gw0CxQEQThc6eckq+1/mxUSlR5Gm/YXmreXymGiOQy8ueBMMKo6lb1QAEJBcCXoeWW2z5nRTDIzk7QiNsfkhvnWcRP41kkTUBSFPS3drNvTxro9rayrayW3bhsEoS3s55OaFj6paUlq8g/eTs5wwj3Pf8RrSytxOhw4neByOHA4HIQVhZ5giLzAXp4CgoqLSbe/kXKZC1xe8MBITy8njC1hankeUyvymVaRx5SyPNyuSHZSt65EwOio+b5uNastmWPV9OeUOkPN6fPhzQviLe+FUxfAzC8Zs/vIpbD5JTj3/8Hcq/rft/5ZeGIJjB4J8+cZs6ehOcG0yC/E3kdvbuLvrhG0TLLeDti7Xr1s58RWEIThSU6p2m8xHISaD9Xb7JwsR4+lcb/RVk/sXW61t2hft2ozp7j//QELTrJMl1ummgreb60mgkKRx3hDXVw0t4qL5qqariPQx4a6mH7YWN9OXrOaNb611ckHLY1JTR7v3MDDXti6p4Hr712Gx+XE6VD7iTocarv5QF+YnmCIH3Xu4gTgthd28Oi/X01qsxgFsiDX0cORlblMLi9UtUN5HjNHFVKQrTvG7YyU8ppwaBltgWGqLFZ7XlyQyuF04sl14SkJwuhiuPzy9DYBtrwGD18MFZVw3aMD77/799DVBV84EUqnGLMJsfVp+46G3UwyiAXa9n0Wy8a0E1w/TBhSPcl27NhBQ0MDp59+evQ2n8/HwoULWbFiRUIn2V133RV1xgmCIAx7NIdYS43OSWZD5CZqjG+1aT+kLm2wI3A1m4nKN7QsMxMZSup60pUHmnGSDexT4nA4qBqRTdWIbBYfUa7euKkJHoWq8pE8eOIctu/vpL61m71tARrbetjbFmBfR4BQWKEtnAVO6Otq47P25Gud6DgAPuhQizBwOR0U5XgpzvFSnOulqjCb6iI/1UXZzK3bAB/CRUcUcNFF81O89sjrcHnB7U392vt9Ru3JS2zMCFww5sw063iDWKlOov4nZj7zeLRsu+7m2G12HM4avlx1Kl1XE+xYrt4mAlcQBLM4nVAwSs0i27VCvW1QMskiJ+Jmyy1B/b3r604SaIv8ZpsqtzQy/MfEcSTVVPCENq3pB4Bcn5t544qYNy6Wya3co0A7fP/8o1mrjKOmuYu9bT2R/wEaWnvoDoboUFQ9kBXuYmtj6oCgx9sJTlTNAeRluSnJ9VGc46U038foohyqi/yMzXeCOkSS/1w3J/VrM9MGI5mzNZlNI5+T2xdzCAcGOsnUv2dlWmqSjK/oGi1qCE0/dDX3vz0TmWSFY9Tt9mURW0XWS6wPI4aUk6yhoQGAsrKyfreXlZWxa9euhM/5/ve/z8033xy93tbWRnW1iENBEIYpRePV7b6N6tbphhFjrNtLlPmlZb9YOSinzCSzIHBdHnD51EyyQDIn2SBlkpkReQYnXmk2/TkFnHlk4umL4bBCVzCE46U3YM1yrppbzPFHziesKIQURZ24GFbPd7I8LooP+OF5yMsvZPX1p1Hg9+B0JkntD43s/9qSrtOE0HN7VWdaqDf5Z9TPZmYyyQB7IjeRXSuOXA1/ApFrx+GsZ+Q02PUO7FmlXh9hYcqVIAhC0XjVSVYbySQrmWjdVrLjntVyS81m577MBdrS9bsKBVV9of1to2tMNBVcjxldYlSToPY9BThqYjVHFSfWfoG+EN17NsDfbqc8q49HrpxPKKwQCisoCoQVhbACWR4nfo+LGf9xQxP86MvzufeIxf2HDelRFHVojBJSj5+p9IGZIU1GApfhsNp8Xv/4dPjy1KBVMrtWgmzady+RzWCPqoO0v20GTT/0tBAVeJCZTLLSqepW0w/aecQwx7ST7I477kibubVy5UqOPvpoy4uKr8tVFCVpra7P58NnpBeIIAjCcGDEWPAVxFKmSyYP7NthhoR9lFrUrSWBa6AnmVkHhDcHugPJBaSZsgb94ww7ijJYLhB9D5ILKKfTQa7PDXmFAJRn9VE+KcUkou2qmHJn5cUmTibDqDPPdNZXnprpZMihZbQfnYH31JLITREJznQmmZ2TRT2lU1UnmUb5kfbsCYIwPKmYBdvejF0vtTE4LdFxTz8deygE2qKZZEn6murXbnjqdmQqeMqBQmbKLSN/N9ilDntJ1o4iHDbU29PnduErVI9H7mAHx40vTj5gAEBRSyOLRhRBMgcZqDZ8uWrrjEHoH2aoJNaoTe1x3c0ppq2b1I6QehKn/rtkVOdoaPpBCasaPFvnNAN7gbaR0/pfF/0AWHCS3XjjjVx66aUpHzN27FhLiykvV8tNGhoaqKiIRdAbGxsHZJcJgiAICXA4oGIm7IyMsq6YZc9edqTnR8LsF4ulEpA5gavZ7G5OLnLNRCz1azTqKDIUCR0Em6anRhoRoylKDfvZNOks8uaqTrJMlkYaef3RdZrJLEgRCdZuM/sdhcSZZJkQuNB/P3f5YKSJXieCIAga+t8ShwvKbDjJNP3Q16Men705ccNKCs3bzHSgLV1QTDtmuXzG+0Yamphp4tikPyYm6J8VJajTQOmOzdr94T51UqgnK/ljTfX6ylc/43SlkUYnZoK54QoOF7hTvJZ+dtPoHUv6IfJ6Qr0DJ7Bqf8ebZ376tNsHnhz1M+4+oDrJwmGdbi40Z09P8US1l14wMoG0YqZ1W4cRpp1kJSUllJSkiFjbYNy4cZSXl/Paa68xe/ZsQJ2QuWzZMn7+858Pyt8UBEE47Jh8RsxJNnmxPVtRJ9n+2G12yi0z3ZNMbzNdyrzpnmQGM8nMiDyjDi1TJZyZbGZrdBKl2UyyFI4nyzbTvKfhsM1yy9aB92kneBnLJGtRt3YzySYvjjWLnniKvexRQRCGL+MWxsrjxx5vLSCg4c2JtUPoaopkfWvDSvLMT8eG5Meovl7VGQeZ7UlmKRvZxDAA0/2z2pM7ybS/53CCx5/aZnw/11ROMjPvwaHKxNfbSzcpUsOodrSSiQ6qAyt3pM6exUCwRnYRtHaqgbbiCRGNoqj32Qm0ub3qecP6ZwAHTDo97VOGAybdmObYvXs3a9asYffu3YRCIdasWcOaNWvo6IjtOFOnTuWZZ54B1DLLm266iZ/+9Kc888wzrFu3jquvvprs7Gwuu+yywVyqIAjC4cMx18Dsr8Lx34Fp59qzlR0JinQ1xW7LxHTLUK8qavVYzSSLOovSZJIZbUSqj1oqSuLHKIq5bCqjwtFUnxKDzjwzr99w7zSz/cMMOAmt9iRLttZgJ1EBacappZ2ApMok8yU5SUlFNJNMNxk0U5lkuaVwzn0w/XxYfJc9W4IgDF+yi+D8B2HKWXDWPfZsORyQE9EQnZFAm93fvGTHKH02UCZ7kpnVD5A6203DbDa2GcebNy+9o8jpVDOT9GtJRL9eX2YCbZkMCJporWCmjDGdhrLSXsHp0um9uAw1TeNaCbJBrHpDC7RpQTa3335g7JQfwtRz1H1fGwA2zBnUxv233347Dz30UPS6lh22dOlSTjrpJAA2bdpEa2ssWvu9732P7u5ubrjhBg4cOMD8+fN59dVXycuz+IUSBEEYbnj88MUHMmNLyyTr1DnJoplkFsot9QKmtwPcsalMljPJ0qXim3W+REWbojreEj2vr0dtTmvUrs+AaAZdOn7mhwHYmaJlyyaYKz8x3JMsYrOvR22uHF8KE42qu9JH1fUYatw/xDLJAOZepf4XBEGww5EXq/8zQXYRtO2JlZnbyUSHmLMq/hilZfl6c5P37EpoL02QzexxCYw5tKxkuHcfSHMM1Y5PJoJXwc7Uzid9CachJ1kapyP0H4ZgSJcYcLz1mtR5RuyabdURtZunPjferpXyTT3x7U8yFWQDKBoHlz5s385hxKA6yZYsWcKSJUtSPkaJi9I7HA7uuOMO7rjjjsFbmCAIgmCMHO2grHOSdTSq29xS8/ZcbrVfRF+PKhiydU6yaCmbhcb9kCISbHLikTcHcKA6yToSiy69+PUYiDCbdj4Z6VNisCeZqXJLrUFwZ+oGwWYb2pqZRGk2k0yzq/8u6f+WmfILiL33qRr3WymX0NYX7FKnXHmyYvuVtp8JgiAcTkSz0SOZZJp+yLGgHyB5wMnO4B9I0ZMsoh/MOF8MBYXMOrTMDKoxMd2xY6+xXqFGSjghfQlj/H1mgndG1mmltUKy4KXZoU9Ru/nQXj8wk8xOkA0GBtq04LW2jwkZZVDLLQVBEITPOVrkKtgJQXXCEZ371G3OyMTPSUe6cgnT5ZZpHFBmnS8OR/rySLMNWE037s9k/zDNSWii3FK/lkSYFuMmIutGbbo8sQa9KUsjzWYmpjgZsVMu4csHZyQ2GRW5NvclQRCEoUx2XKAtU/phQCaZ1cE/aRwllvpamulJZnC9ZprXZ3KSd6+u1NJIsMmIQ8vsMARD67SQ9ZWujNNydUMSu3amY8PA4T/RfUmcZIOBOMkEQRCE5Pjy1YaxkEDkWjwwJxOQPTYjwWnLJSyI3HTC2XRPLoOZZGb6dKQt4TRRhuD2xRw5hnqfmGzcn2qtdprsJxLkvRYFqZER7lbKJRyOWImyJnLtZlUIgiAMZeJ7kmVKPyQLsg2WfjCVSWakJ9kgOLQsT4hOMc3abNa4mUyyQZlkbWVIT7qhDSY1RFaSbHSrjlyNAZlkNqo6hLSIk0wQBEFIjsOh60u2XxWS2phoqyf2yQSk1UyydBFWK8IkbSaZSQeM9pr7uiHUl/xxpiY+6daYbMAA9G/mmw6Hw2Bpg9nXb0Q4W4kEp7BrtVRCa9wf7Br4Wdkul9BOGCPiVjtxlEiwIAiHIwMyySK/eVZP7DOdSWY0a9zUMAAjDi2LjfuNlHCadWgZyho369DKZCa6TpOEw4kfY0bnRO2mm25pwfEGKTLJLDpyNTT9oAXXJBN9UBEnmSAIgpAa7QS+a3/soOz2m5v2pGfQMsnSObQsiNx0Y+ENZ1LpyxiNNJ810ZNMCcVKYRPaNFFuCeYmSWUyk85sxBpSC3KrpQ39ep3pIsHhUOz7kGVhuiVAXrm6bW+IOJwjn41EggVBOBwZ4CTTsmctntgPVk+yUEBtKB9P1Plm4jffiPPJbDa610jWl9kSTgMOLbP6wVBZqMUhPSj9BwnoMatJIH1A0K6GCLT2v92uk0yvH0AXZBMn2WAgTjJBEAQhNVqKd2cTdEScZLkjzTVD13Mwe5KFQ+YcT/FrzFSfM7cvVraaqYlX+oEBGY0Em5gkZVTkmmo6bEbkRj7ThKWRFkslXB7VCRxv12yz4UTkV6rbtjqdwznLfLabIAjC5wF9Jjrosl+GWCYZJD6ODlommcnMJ1N9PU0e6welz1kGj/UevzqlWv/ceOy0a0i01lCfmvkPFnqSRRyqycot7eqH9np122HT4SykRJxkgiAIQmpyI9GrjgZ1EhLYOygfzEwyvfgxI57TTj2yIZwzFV12Oo0JUqs9RTLpeEtnsy8A4WD/xxoh1XtqdnqYHm2keneLzl7kfXR5VaenFfIq1G17vS4KXGrd4SwIgjCUydPpB9Cd2A+RnmRur/qbDon7kllxbKTrSaYo5kv3zWSnDUb/sMGYZG3UpsNhoDTSQpAtVda8/rMzG8TS9ENPS//b7Tbuj+qHBjUArDmcJRN9UBAnmSAIgpCagip121oLbXvUy/mjrNuLij2dCOnrjUXtzJayRUVzAoGrCVGXz5xjI9M9ySD9FM6+AIR6zdk1NElKN53KjM2MCmct4ytN7w/93zdkN5XINVl6okdrsN99IHabJni1+6yQHxG5bfWxfSmvzLo9QRCEoUxUP+xR2wJogTbtdrMkzSSLlLZZaYqe6nhvJcM9XdZXbyegmLM7KH3O0hyXIaYfzPYkM9TT1ELWeEYDlyn0g/Y+u3yqI9UMifQD2NcQuWXgcKotNjr3qRnp2u1CxhEnmSAIgpAaTcy21EDLbvVy4Wjr9hL1wYhG3BzmnWSpooGWx8Kn60lmwUmWNjtN97cOZWmDqfH1ZktF0jjJPDngdBmzCWlObmxEbbVR69oUKYgJXjtOsjytXKJO3Z/A3r4kCIIwlMktV0vlwkGoW63e5vbHyjDNkswJY+f3OWVGso12Den0g9OtltsbWqORiZmDMAzAcnZaBhv3G7FrVpOAwc/din7QpljHOcnsagiXO1am3LRN7RMMoiEGCXGSCYIgCKnRDsCttdAaObG3GgWGxAKyK+KMyCow5ySBNOWWVks4jWaSZTK6rDmKso2/B2YcWmYb9xsZX5+pUhHbDXIT9SSzON0SIFsTuZl2kkVKj9rqYw7ngmrr9gRBEIYyLncs83zXCnVbWJ2Znqb6qc7R3+ci6zYzFWhLd1zWH++Mvg/pMtFhkBxa2nHUZOP+TPY0NWLXTuAy1XRsK+0ashME2SAzGkLLRq/9UN368mPlnUJGESeZIAiCkJpouURNLPvFzol9IgGpiQkr4iErRcmAXedL0qwvK+WWGZ6YacRmOATBrshjM9QgWFHMi/F0U7TsjlpP6CC12LgfdOUSLbHbMiFwtf2mYy/s36ReliiwIAiHM5qG0JxkdoJs2jFCCceObRALaFjKJEulISwE2rRjbV+32gB+gE0rDp1B6BVqyKGllVsaDYiZCbINwiRKU/opYjPYpWolPb0ZyCTTl1uGwxnSEJF9Z8dydSv6YdAQJ5kgCIKQGu3EvqcF6j5WLxeNs24vUfROEw/ZFqLAWnlmT2v/yDLYn3iV1KljoZmv4eiylemOSQSpvk+b2Z4iKW0q1mwGOweKUf3fspxJlurkZgiVW+aURMqMFNj+lnrbiDHW7QmCIAx1tBP5bW+o26Lx1m15coBI9tVgaIh4ohrCRBsI/XExUxnuprK+zPYPy2CTfX1ALF6PaVhyaA1GJpnu78fbtVItoKHph67m2HvQ2646dsFe5tfIaep225vqtlD0w2AhTjJBEAQhNb7c/qLW6YHiSdbtRQVEU+y2aBTYhsAN9UJfT//7AhHRa3qEd5qorZVJnNFyiQSlgfq/ZamEM42TzOE03vvEaGmkw6mWhhpap064JrJrpZ8IDGJPskEqt3Q4YiJXo3SGdXuCIAhDnfIj+18vnW7dltM58PdZUWxmoydxkoXD1jKK3D5VJ0EaJ1kGyw31f8toUNBQCac2+MdkuaUSGqjHojatZJKlG/5jQT+5fbrJpvHTUm20a9C+g6FALNtR0w9uP3j85m1qlE7tf71M9MNgIU4yQRAEIT0VR8Uuj5xqftqPHm30u95JZscB4c1VHTYwUORaiQJrNiGz0y3TDgOwMmo+jc1oFNhE75O0jjddZNmoTf1JQ6ZKWsDgdEsLTrJoTxFduUT0O1po3p4evajNLon1KRMEQTgcqTyq//V4p5lZohoi0rg82BWbDG0n0BYfwNJnWFkNtGUqgGOojNHkcdSI483sWj06Z1q6rC8rPckSaR1FsZ45nkxD2GnX4MtThzJATDdkIsgGUHZE/+t29yUhKeIkEwRBENIz9oTY5QmL7NnSplppAhdiUWArpRIOR0wUxjvJ7AqnwehJNhiOt8Eo4UzbZH8QThrMRm1TifyhON0SYNLpscsTTrbewFoQBOHzQOXsWJDEkw2Vc+zZy444yTojGkLLKHN6jGc86clKoh+0IJvLCx6DmdgaUadWhpxk+uNnojJGRTFvN12QTX+f0WOz05l64rjeppk2GKnKLa20gEhn145+cDj6l1xC5vRDyeT+PYH12lzIKOIkEwRBENIz61KoOgZKpsD86+3Z0gRu94FYU1s75ZagK5eIiwRbKYuEQXJoGWw8a2k6U7ISTpOlEjA4vT/0j8/kuPWoQy+Fk8xOuUS/TLKW/vdZZfxJMPE0tYT5hP+xZ0sQBGGo482B0+6ErEJYfJc68dIOWjBNy0bXB9msBB2SlVtazXCGzAdwtMcmK2MMdsV6Xhm1qz2uryfxgAGwpiHSZs3b0Top9IOZFhBRu0nKOKOfvQX9AAM1RKacZA4HnHK7ui+deoe1wLJgCJu/UoIgCMKwwJsD17yeGVv+EaiNdxVVOOSOtC8gkorciPAx27h/UETeIDifUkWr9bebcRSZGV9vhlQ92ayWNiRz6PX1xnqBWCmPzI6LAkPmRK7LDV990p4NQRCEzxML/kv9nwniWzYMln6wOvgHUmdpWclw71fG2DGwr5XtXqHtid8/K5MoBzVrPoF+0LdWMOskTabLelrUbVahOXsa2XHZ6Jlq1wAw88vqf2FQkUwyQRAE4eDicseEglZyaWcyFehEbkv/261GglOJvHBIndJo1m46h9aglFvaELhp12m2NNJAJNhyP5E4m9HvgQN8JvvRQSyjsadFbd4MsRMyq9mOgiAIgn2y45xkGctETxJks9SXykhPMhP6wenUHZtTZU6bcBS5PODy9X9+PPq+pkYxGhA0FbxL0QbCVsZfEl0WzRwvNG8TBpZbdtloKSIcEsRJJgiCIBx84nuKdOxVtzkjrdlLFwm26nzp6x5YhqAXfqZ6faVzaFmZeDUYmWRa+UGGM8lSlp9Y/ZwiNkMBNXtMQ/se+PLVkwuzaBF1JRxzlHU0qrfllpm3JwiCIGSGnHj9oP02Z1g/2HG+pMwks5qNncrxZrdxfZKG+FENYabcMoUuCfXFsrxNBRkHaZJ1smx07btgNZMsWm4ZcY5pGlf0w+cGcZIJgiAIB5/46VSagLA66U8TMslErtlyCb1TKdlocJdPndpo1mbacssMNbMFe+WWve2JGwTbHoaQyZMGfbmIzm40CmwhiwzU6a3aiVNHoyp0lRDgiH13BUEQhINP/PCfqAPCqn5IFmRr7X+/GVJNXh6UQJPFlgWpWksEu3V9zsxko6eaOm01yGjg/bTSfzRdNrpVJ5nmsNUcuOIk+9whTjJBEATh4BMVuU2qENPEaG6pNXtpM8lMily3LzbCO5mTLJNi1KrdVMIR7JVbKuFYxLefTYvTLVNOp7Io8F1ucEd6s+h7ldgVuAB5leq2vT4mcLOL1RIVQRAE4dAQ1Q/xWTpW9UOhuo0f/DNUM8kGI9CUqtcX9O+Jlg4jQwvMBhlT6SerDkL9c+Jff3dES1ott9TrB9BlO1r8jgoHHXGSCYIgCAcfTeR2NsXEg8tn3amRzElmtaGvw5E8vd+2GE3jJDMTDU3XP8xKqYQ3B3WwAoPUPyyDkfV+dhNlkhWat6ehZTXqnWQSBRYEQTi0DCi3tJuJHtEPgbZYD0qwNxDAUE+yIXAMTbVOTT94csy1LRhUZ94g6Yf4tdoNtGnfxTbNSSYa4vOGOMkEQRCEg48mFOIdEFbGt0OsnFLvJAsFY6n9lkRuEvFkO5MsWRljhseig7Wmuw5H6tJIq1lfg1FuCbrPviV2W1TgWiy3BMiPRILb6uz3vBEEQRAyg6YfOhvVHlftNh0Q0UwxpX9GkR0nmaHplhazsTN5DE15XLaQia63mdBBmAH9EK+frLaAgNhnoAXWQB3OpNm0GmjLj88k26durfbdFQ464iQTBEEQDj6F1eq2tUYXBbYRYUuUSaYJXLAmdJKVB1oVuJqAU8JqiWk8dqZbxjeuH2DTpMiNvvYEJRi2mw6nigRbKGuJnyIFGSq31DLJGiQKLAiCMFTIKQWXVz2WttfZ/312e2Nl+3oNEZ1IaCPIltGsr1TOJ4uOIiMN8c32+tIHBJPZtBpkTNQGwo5+0KZNduv1g+47YDXQllehbtsb1DJebSK6aIjPDYPqJPvJT37CcccdR3Z2NoWFhYaec/XVV+NwOPr9P/bYYwdzmYIgCMLBpqBK3bbWQuse9bLVUglI7STLKgCny7zNZD0wrIo8fU+PlFFbM437kzSu1+ix6tAbzFKROJuKYq/xrla6qxe5GSm31ERuvfo91d8mCIIgHBqcTsgfpV5u2gqdkSwdO7/PCTVEi7rNZCaZ/nhn9bicMpPM6rE+xdRp04OPUjkILWoSTzY4nInt9lrMeIOB/e0gph09OdZ7kOaWAQ514E/d6sj68q2tUTgkDKqTrLe3ly996Ut861vfMvW8xYsXU19fH/3/4osvDtIKBUEQhENCwWh121IDB3aol0eMs24vkcDVRI+WaWSWTPckczqTZ1MpirVIsMsN7qzENvW3WZ3umclSkWi5RLzA7QSU/o8xQ3aqTDIb5ZbaCVdbHRzYqV4usvEdFQRBEDKDlo2+811AUY9ZdiYPJ3SSaRoigz3J+nog3Bd5zGBkY1vs9ZUy62sIBNkcjuR9Xe20a9D0ob7yoMdm035QtZnWpH/3e+p2xFjr9oSDjnswjd95550ALFmyxNTzfD4f5eU2MgoEQRCEoU1BJAoc7IQ9H6uX7TggUmWSWRG4kCKTzEb/C2+uam9AJNSGo8iXpwrvwXBoZVLkpitfdbjA4zdnE3ROsqbYbdr3wE655Ygx6rZ5e2zNInIFQRAOPVqgbcdydTtinPWeppBGQ1gItCULNEUnaDrMDdSBg1/CGT2OWg2IZbj/qC8XAq0D20D02NBkCfVDi7q1ox8ACseopcBb31Cvi374XDEke5K99dZblJaWMnnyZK699loaGxuTPjYQCNDW1tbvvyAIgjDE8fghNxIMqf1Q3drJJNMcYd3NsaaumsDNtppJlkSQWhWOkL6E04qjKFV0ucdiuUSysej6v5MpMa4vjbRykpMoEmynTEajeJJa3tHTAk1b1NtE5AqCIBx6tCCGph+Kxtqzp9cQGramWyY53un1g9njXaoMb6slhylLODXnk8mMbCN9zobKJEpNHwa7Yr1iM9GuAaB0qrqNfkclE/3zxJBzkp155pk8/PDDvPnmm9xzzz2sXLmSk08+mUAgkPDxd911FwUFBdH/1dXVB3nFgiAIgiUqZvW/PnKKdVvZkTKLcF9MMNkplYDk4lETUFYEmZESTrPCOWVpw1CaopXEmWc3apsoEmznM9LwZPV33HpyIL/Kuj1BEAQhM5TP7H+9xIZ+gNjUQa2/WW+XmqENNnuSZfB4NxhljEaCbJYDYhkMskFy/WTHqeXLB2eksE5r2RDtZ2vBnp6RU/tft/sdFQ4qpp1kd9xxx4DG+vH/V61aZXlBl1xyCWeffTZHHHEE5557Li+99BKbN2/mhRdeSPj473//+7S2tkb/19TUWP7bgiAIwkGkcnbscv6o2MhsK3iyYplfnRFniZ1SCUguyDSRaye6nKlhABBzgMWL8XDYRk+RJFHwvt7YiUOmRs3bjdomaryrnejY6VEDUKE7ERs1R+0zIgiCIBxaKo/qf716nj17OZHjSLx+cLqtlwZCZh06g9krNJM9TVOWW9oojUy2VjuOR4dDl40e0RCd+9WtXf0Q78itOsaePeGgYlrt3XjjjVx66aUpHzN27Fir6xlARUUFY8aMYcuWLQnv9/l8+Hy+jP09QRAE4SAx/iRY9jP18oRF9u3lFKuOoq79wERd4/5Ca/aSZpJpzjcLdtP15cpkdLW3g2ifM9MiN8lr11/3mo1Y64RzOKwOMgD7mWT+uEyycDjyHSCWHWCVKWfB+mfUy5n4jgqCIAj2yStXM3P2bwKXF6rn27OnZaNrARbNYZJVaK0NgHZcDgehLwDuyLlqRko4B6EnWSYdb4bKLe20q4h7/bYDbUXQ2RjTEJnSD6OPVXVPbzvkVULxRHv2hIOKaSdZSUkJJSU2PasmaGpqoqamhooKGbsuCIJwWDH6WFhwozoe+6Tv27eXM1KdQqhFAaPZRBaFTrKeZHZK+QYlkyyN483piU3ANEpU5MaVS2h/w+03n1Wl75XS2xFz3GUqk0w7qelpiU0PsxsJnnEBbH9LPbE55lp7tgRBEITMcc698Or/g6O/br9/lKYTuuL0gzah0Cxe3fEu0BFzktkqtxyEhviD0tM0YjMUULPP3d7YfVZ7p0FiTdYXgL5ILzHLLRvistHtakcNlwfOfwBW3A8Lb4kFBoXPBYNaN7B7926am5vZvXs3oVCINWvWADBx4kRyc9WdY+rUqdx1111ccMEFdHR0cMcdd3DRRRdRUVHBzp07ue222ygpKeGCCy4YzKUKgiAIBxuHA874SebsxUeCOyJDX3LLrNlLlk1lq9wyTU8yrxXhmGYYgKU+Z4Mwat2dpUb8Q71q82JNeGeqJ1l3C4RDsWiwryB2YmIVlwfO/509G4IgCELmGXsCfHNpZmxpARUtyBbVDxadZC63Gkzq61YzibRyzkyUW6bK0DKrIQalz5nu8b0d4Na1vLCjIRJOIG2JXHBYy06DgUMbMlVuCTD9i+p/4XPHoDrJbr/9dh566KHo9dmz1f4zS5cu5aSTTgJg06ZNtLaqX3aXy8XatWv5+9//TktLCxUVFSxatIjHHnuMvDwLO5MgCIIwfNAEjRYJ7tirbq06yRIJMkXJTLnlAMebNvHK5BQpSNGnw2IUWG9zgOPNRlmo1vujo0F1ZBVGBu1EM/MsvHaIlFs6AEW1m6l+ZIIgCMLwYICTzKZ+APWY1tEd59SxU26ZRD8Ee2K9Qs0eRwej3FLvIAy0958wbnUYACQe0hMNshVYz9TSPvuOiHbIVCaZ8LlmUJ1kS5YsYcmSJSkfoyhK9LLf7+eVV14ZzCUJgiAIhytRkdukOrPsRoKjKfg6QRbsVjOhwGZPkbgyxkz0KUnq0LLgJEs6RcqGgxBUkdvREIvYgi4zz6JNl1sVs52N0F4vAlcQBEEwR7YuyJYJ/QCqhtCCQhp2Mqe1DK1gF4T6Yi0PNJtWsqmS9QoFXaDNSvAuN5JFl0xDWNA62nP0Q3rstmsAyIu0dGqvV7edGepJJnyukeJYQRAE4fAgWm7ZqEYvtT4VViPB0YbwB2K3aWLU4bJXGpl0YmahDZvxmWR2stOS2IwKUgsCFxJPorTT400jPyJy2+pjJzeSSSYIgiAYQTtehHrVAFMmMsmimU8ZcurE9/WMt2klmyqZTYBAREPYCrRlcBJltP9oAk1mRz/onWShvphTUzTEsEacZIIgCMLhQX6lum2riwlcXz54s63Z0wRZoBVCQfWyPpPKysSrpBMzW9StpWa+afqcWZqYqUWXMyhwQdf7I4HItRUJjnz27XXq5w8x4SsIgiAIqfD4Y8en1j3Q3qBezi23bjOhkyxy7LNyDHX71EE80F9D2OmT6s5Sg37xNhUlQwOFdDb1ZaFWjveZdjpqRLVjvZr5h6K+z9niJBvOiJNMEARBODwoHK1uW2p0AtdGqYS/ELXXFTFhazeTajAyyQaj3DJZn7NMjFqHwc0ka61VL2s9zwRBEAQhHQWRY0ZrpjSENlQmUXsBixoikfPJznHZ4Ug8qKe3E5SwetlSX9PIc/StJaKZ+M7+zf2Nkur9zEgmWV1MP+RXyjTKYY58+oIgCMLhgSZw2+ugaYt6WXOcWcHpiolOLf3eThQYYsIxPkPLjqPIm6TPmZ3G/dGhBW1qRDlqsyVyf6F5m6ArYdX3aImUdGQqk0wTuQVV1u0JgiAIwwtNLxzYBS27+99mhUR9Te329UwUFLN7XPYlyBzX9ITDBR4L2fipJlFabbKvb9yv6ZJMZpJ1NUHTNvVygQTZhjviJBMEQRAOD3JGgsunRj93rVBvGzHOnk1/XOaTnaazkLw00lYmWbJySxuZZJoYVUKJRa7dTDItEqwosRMI7b22QsEodduyW+ckE5ErCIIgGEQ7ZtR+CKEAON32jiPxmdP9pmNbzUZPkOVt97icqH+YPshmpbXEYGTRaTbDfbG1ZkI/+EfEHIG7I9pRgmzDHnGSCYIgCIcHTmdM2Gxfpm6LbDrJ4iPBdicnRqOrLf1vt5VJlqzPmQ0x7vaBJydiJ0P9VGBg4/6eVghH+r3ZmSRVMkXd1n8KbXvUy+IkEwRBEIyilehr+qGgOjZB0grx+iHQFpuObbXfVSINYTuTLFEJp01nXnaiSZQ29YM3G9z+iK2IXU2T2SmLdTigeKJ6edPL6lbaNQx7xEkmCIIgHD6UTFK3nZEJh0Xj7dmLz3yKOsksCtzodKYWCIfUy4piL8KaqJ8I2Be50XHrGWyyHx9d1kat+/LBk2XNJsQ+954WNfstqxDybDRcFgRBEIYXxRnWD/HHu46IfvDm2hgolKBlgd1MskQlnJnSD/ohPZkojYzPzrMbuNQYGQm0dUU0Sek0e/aEzz3iJBMEQRAOH0bN7X+94ih79pJlklmNWkYFpxITjMHuWHTZTuP+YGfM8QaZiwR3Z7DJ/gCBGzkZsTtqPSsf8kfFrpfNsFYiIgiCIAxPRs3pf73yKHv24jOno8c7Gw6dqM0EwSvLGVoJyi1tO8kSDOnJRJP9ZIE2uxpCc5JplM6wZ0/43CNOMkEQBOHwQS9y8ypjvaqs4o8rGejQRK5FJ5nLA75IuUT8MACHKyZWzaCVX0DMiaW3Oxgi13JPsojA14RtpqLAAGNPjF0efax9e4IgCMLwIacECsfErlfNs2cvvuQwE6WBCTPJbA4DSJj1pdm02OsrPgsfMpNJljNIGmLcwthl/4hYdrowbBEnmSAIgnD4MPYLsWb9c6+2b08TXppzLBNRy3iRq3c8Wcl+cnlijjK9cNaEeXaGRK6iy36z6njTSiCDnWpj4Ew6yWZfDjjA5YUjv2zfniAIgjC8mHuVui0YDRNOtmdLO64FO9V2CB2ZzCRLUG5pu1eo3mbkuD8oQTaLNgHyKtRte72aOa+t2a6GGDUXRkZKLI+6XJ1uLgxrbHQjFARBEIQhhtsLX38ZGtbaF7gQK+Frr1e3WrmErUhwMRzYEROhdpvZgtoEuKc1JhjDuqmUdkWutr5gV6zJvuWyjhw1ky7Qqr6nmSqVABj3Bbj2TXVKVelU+/YEQRCE4cXx/wPlM6HsCFVP2MGXp/bbDLRFjncZCAoldGjZzCTTjr8JbVpt1xCnH8C+Mw9igba2+ogDTgEc9qZbguoU+9qLsOcjGL/Ini3hsECcZIIgCMLhRV555pq250eilm17INSni1radJKBrs9ZxFFk1/HWvC3WdLanFVU8ksH+YRHbLp/q7LJKfgXsizjJ2hvU2+y8n3rie8oIgiAIglGcTph0Wubs5VWoTrK2PdCxV73N7rEe4rLGtWCTRbvxbRAg5tyymomuD7Ipipolr61T+3tWyKtUt+310NEQs2dnCqlGdlFmP3vhc42UWwqCIAhCMvIjgqytHtrrQAmr5Xy2IsFx5ZZ2J2bCQOGsCVxvrvVo+IAGuboouJ2m+PpIcGuNermgyro9QRAEQRiK6DVEa23kNhu9UuOPy6G+WCDLqi5J6HizWW6p6RxFl9WeiXJTTT+010OL6Adh8BAnmSAIgiAkQ4ta9nVD/afq5YIqNdpslQETrwahBMNu011IPmo912bvD30kWDtpKKy2Z1MQBEEQhhqaQ6xtT8ypUzjaur3443JXE9GSQ7v9R/X9w+yWW7p94IlknMdPorSjITSnY3uD6AdhUBEnmSAIgiAkw5MVczTtfk/dFtgUZMmcTxnJTovrc+YvSPx4QzY1x1tE2GYiCgwxkdtao4sE2zhpEARBEIShiL5lQ2smnGSR43JvBwR7Yvohu9h6s/lUwwDsNNmPlnE2qSWXmdA6+bogW/N29bJdTSYICRAnmSAIgiCkYkRkJPz2ZerWbtRyMJxP8SI3o2UNkb4fUYFrs39Y8UR1u/sDdeoXQIGN8hNBEARBGIoURvRD7Up1+A3YK7fMKgBHxBnW1ZS5YUKgZsz3dkUcWpqGsNEGQtMQHQ3qZEtt8I8dXZJbrmaohftgx3L1Nim3FAYBcZIJgiAIQipKp6vbvWvVrebksYrmZNKa+EYnPGbSSaY1CC6zblMbtd65D0LBzPROAxg5Wd02rle3BaPB47dnUxAEQRCGGqXT1G1DRD8UjFYz1K3icMQcYh17MzMh2pur9loFVUME2qCvJ2LXhvNNH2jriOgHX4FaimkVpxNKIhpM0xAlk63bE4QkiJNMEARBEFKhiVyN8iPt2dOyptrq1G3nIGaS2XGS+YvA6YnY26vrSWY3k2xS/+tlM+zZEwRBEIShyMgp/a/b1Q+g63NWl5mscYejv4bQbPrywZtt3a4WaGuvz1xPU4CSuPdUNIQwCIiTTBAEQRBSUXFU/+vls+zZ0wRuRyP0BWLOMq3XhhW0KLImRDORSeZ09o8Et9XbtwmQlQ8jdY7Hytn27AmCIAjCUMSX1z8wVHmUfZvRiZl71P/626ySrWmI/ZnRD9BfP7Rr+qHcnk2A6nmxy7llMWecIGQQcZIJgiAIQipGL4iVHIxeYD8Sml0cKW1QoGFdpKzBYa9PiSYS2+ohHNaJXJtZX/3Gre9WL9tpOqwx7ZzY5aln27cnCIIgCEORGefHLk89J+nDDNNvYmbkuGy3eb1+wIDWh9S2k0yXSdayS72ciUmUk8+I9WWbeo6aCScIGcZ9qBcgCIIgCEMalxu+tATWPg7H32TfnsOhRn0P7ISaD9Tb8srB7bVuM68CHE61MW7nvpiTLM9m1FZ7/oFd0B7JeMuEk+yE/1Gz6EqnQfkR9u0JgiAIwlDkuG9Db6ealV423b69aCZZHbTWqpdtO8l0jresQvVypoJsrXt0k6wz4CQrHA0X/xV2vw8n3WrfniAkQJxkgiAIgpCOscer/zNFfpXqJNu9Qr1uVzi63GoZQ3sdtNVCe4bKJYrGq9sdy0EJqxlwdqdbAnhz4PQf2bcjCIIgCEOZrHxYfFfm7Gl9TVtqoDXifLKboaXZbN0Ta9pvWz+MU7cHdsQy1TKRSQZqdp4+Q08QMoyUWwqCIAjCwUabzrTxeXU7Yox9m1p0uWEt9Larl+2ORtd6qWx5JWKvWu1VJgiCIAjCwUc7Ltd8EBvWYzfDO5pJVqsG8DJhs6AaXD4I9cL2ZZmxKQgHCVG6giAIgnCwKdWmMSmR6xkowdAiwTveVrd5leDx27NZIpMoBUEQBGHIMHJKpCdXRD8UjIasAns283WZZM071MtaJphVnC4onhC5omkd0RDC5wNxkgmCIAjCwSa+L0lZBvpyjRirbre+3v+6HUqnqb3ONDIxvl4QBEEQBGu4fVA8MXY9E8ErTS+07IL9W/rfZge9Zsgttz/4SBAOEoPmJNu5cyff+MY3GDduHH6/nwkTJvDDH/6Q3t7elM9TFIU77riDyspK/H4/J510EuvXrx+sZQqCIAjCwWfUXHBnxa6PWWDfpuZo62lRt1o/MTtkFahr1Rj3Bfs2BUEQBEGwzoRFscvjF9q3VzgavHlqaWRfN+CAwgy0gRivW6foB+FzxKA5yT777DPC4TB/+MMfWL9+Pb/61a/4/e9/z2233ZbyeXfffTf33nsvv/3tb1m5ciXl5eWcdtpptLe3D9ZSBUEQBOHg4vGrEx5BnZjpy7NvMz6aXHmUfZsAJ/6vWtox4RSompcZm4IgCIIgWOOYa8BXoGZ7zbzEvj2Ho7+GKJ0G3mz7dqefByOnqhMzj/2WfXuCcJBwKIqiHKw/9otf/IIHH3yQ7du3J7xfURQqKyu56aabuOWWWwAIBAKUlZXx85//nOuuu27AcwKBAIFAIHq9ra2N6upqWltbyc/PH5wXIgiCIAiZoKfVfi8RjVAQ7p4AgVb1+nVvQ8XMzNgOdIAnW5r2C4IgCMJQoLcLXB71fyZ47XZ499fq5blXw7m/zozdUBDCffZ7pApCBmhra6OgoCCtr+igqt3W1laKioqS3r9jxw4aGho4/fTTo7f5fD4WLlzIihUrEj7nrrvuoqCgIPq/ujpDo2UFQRAEYbDJlIMMVKE87xr1cvX8zPYP8+WKg0wQBEEQhgre7Mw5yABmX6lOo3S4VCdZpnB5xEEmfO5wH6w/tG3bNu6//37uueeepI9paGgAoKysrN/tZWVl7Nq1K+Fzvv/973PzzTdHr2uZZIIgCIIw7Fj0A7UssmKmWj4hCIIgCIKQjpKJcMN7atbXyCmHejWCcEgxHRa+4447cDgcKf+vWrWq33Pq6upYvHgxX/rSl7jmmmvS/g1HnLBXFGXAbRo+n4/8/Px+/wVBEARhWOJ0wdjjM9PjTBAEQRCE4UPxBHGQCQIWMsluvPFGLr300pSPGTt2bPRyXV0dixYtYsGCBfzxj39M+bzy8nJAzSirqKiI3t7Y2Dggu0wQBEEQBEEQBEEQBEEQMoVpJ1lJSQklJSWGHrtnzx4WLVrE3Llz+dvf/oYzTT+TcePGUV5ezmuvvcbs2bMB6O3tZdmyZfz85z83u1RBEARBEARBEARBEARBMMSgdeGtq6vjpJNOorq6ml/+8pfs27ePhoaGaN8xjalTp/LMM88AapnlTTfdxE9/+lOeeeYZ1q1bx9VXX012djaXXXbZYC1VEARBEARBEARBEARBGOYMWuP+V199la1bt7J161aqqqr63acoSvTypk2baG1tjV7/3ve+R3d3NzfccAMHDhxg/vz5vPrqq+TlSX8VQRAEQRAEQRAEQRAEYXBwKHqP1WFAW1sbBQUFtLa2ShN/QRAEQRAEQRAEQRCEYY5RX9GglVsKgiAIgiAIgiAIgiAIwucFcZIJgiAIgiAIgiAIgiAIwx5xkgmCIAiCIAiCIAiCIAjDHnGSCYIgCIIgCIIgCIIgCMMecZIJgiAIgiAIgiAIgiAIwx73oV5AptGGdba1tR3ilQiCIAiCIAiCIAiCIAiHGs1HpPmMknHYOcna29sBqK6uPsQrEQRBEARBEARBEARBEIYK7e3tFBQUJL3foaRzo33OCIfD1NXVkZeXh8PhONTLyQhtbW1UV1dTU1NDfn7+oV6OIHyukf1JEDKD7EuCkBlkXxKEzCH7kyBkhsNxX1IUhfb2diorK3E6k3ceO+wyyZxOJ1VVVYd6GYNCfn7+YfMFFYRDjexPgpAZZF8ShMwg+5IgZA7ZnwQhMxxu+1KqDDINadwvCIIgCIIgCIIgCIIgDHvESSYIgiAIgiAIgiAIgiAMe8RJ9jnA5/Pxwx/+EJ/Pd6iXIgife2R/EoTMIPuSIGQG2ZcEIXPI/iQImWE470uHXeN+QRAEQRAEQRAEQRAEQTCLZJIJgiAIgiAIgiAIgiAIwx5xkgmCIAiCIAiCIAiCIAjDHnGSCYIgCIIgCIIgCIIgCMMecZIJgiAIgiAIgiAIgiAIwx5xkgmCIAiCIAiCIAiCIAjDHnGSDRF+97vfMW7cOLKyspg7dy5vv/12yscvW7aMuXPnkpWVxfjx4/n9739/kFYqCEMbM/vS008/zWmnncbIkSPJz89nwYIFvPLKKwdxtYIwtDF7bNJ49913cbvdHHXUUYO7QEH4nGB2XwoEAvzgBz9gzJgx+Hw+JkyYwF//+teDtFpBGNqY3Z8efvhhZs2aRXZ2NhUVFXzta1+jqanpIK1WEIYmy5cv59xzz6WyshKHw8Gzzz6b9jnDxQchTrIhwGOPPcZNN93ED37wA1avXs2JJ57ImWeeye7duxM+fseOHZx11lmceOKJrF69mttuu41vf/vbPPXUUwd55YIwtDC7Ly1fvpzTTjuNF198kY8++ohFixZx7rnnsnr16oO8ckEYepjdnzRaW1u58sorOeWUUw7SSgVhaGNlX/ryl7/MG2+8wV/+8hc2bdrEo48+ytSpUw/iqgVhaGJ2f3rnnXe48sor+cY3vsH69et54oknWLlyJddcc81BXrkgDC06OzuZNWsWv/3tbw09fjj5IByKoiiHehHDnfnz5zNnzhwefPDB6G3Tpk3j/PPP56677hrw+FtuuYXnnnuOjRs3Rm+7/vrr+eSTT3jvvfcOypoFYShidl9KxIwZM7jkkku4/fbbB2uZgvC5wOr+dOmllzJp0iRcLhfPPvssa9asOQirFYShi9l96eWXX+bSSy9l+/btFBUVHcylCsKQx+z+9Mtf/pIHH3yQbdu2RW+7//77ufvuu6mpqTkoaxaEoY7D4eCZZ57h/PPPT/qY4eSDkEyyQ0xvby8fffQRp59+er/bTz/9dFasWJHwOe+9996Ax59xxhmsWrWKYDA4aGsVhKGMlX0pnnA4THt7u5yUCMMeq/vT3/72N7Zt28YPf/jDwV6iIHwusLIvPffccxx99NHcfffdjBo1ismTJ/N///d/dHd3H4wlC8KQxcr+dNxxx1FbW8uLL76Ioijs3buXJ598krPPPvtgLFkQDhuGkw/CfagXMNzZv38/oVCIsrKyfreXlZXR0NCQ8DkNDQ0JH9/X18f+/fupqKgYtPUKwlDFyr4Uzz333ENnZydf/vKXB2OJgvC5wcr+tGXLFm699Vbefvtt3G6RF4IA1val7du3884775CVlcUzzzzD/v37ueGGG2hubpa+ZMKwxsr+dNxxx/Hwww9zySWX0NPTQ19fH+eddx7333//wViyIBw2DCcfhGSSDREcDke/64qiDLgt3eMT3S4Iww2z+5LGo48+yh133MFjjz1GaWnpYC1PED5XGN2fQqEQl112GXfeeSeTJ08+WMsThM8NZo5N4XAYh8PBww8/zLx58zjrrLO49957WbJkiWSTCQLm9qcNGzbw7W9/m9tvv52PPvqIl19+mR07dnD99dcfjKUKwmHFcPFBSKj3EFNSUoLL5RoQ/WhsbBzgqdUoLy9P+Hi3201xcfGgrVUQhjJW9iWNxx57jG984xs88cQTnHrqqYO5TEH4XGB2f2pvb2fVqlWsXr2aG2+8EVBP9BVFwe128+qrr3LyyScflLULwlDCyrGpoqKCUaNGUVBQEL1t2rRpKIpCbW0tkyZNGtQ1C8JQxcr+dNddd3H88cfz3e9+F4CZM2eSk5PDiSeeyI9//OPDKvtFEAaT4eSDkEyyQ4zX62Xu3Lm89tpr/W5/7bXXOO644xI+Z8GCBQMe/+qrr3L00Ufj8XgGba2CMJSxsi+BmkF29dVX88gjj0h/CkGIYHZ/ys/PZ+3ataxZsyb6//rrr2fKlCmsWbOG+fPnH6ylC8KQwsqx6fjjj6euro6Ojo7obZs3b8bpdFJVVTWo6xWEoYyV/amrqwuns/8pr8vlAmJZMIIgpGdY+SAU4ZDzr3/9S/F4PMpf/vIXZcOGDcpNN92k5OTkKDt37lQURVFuvfVW5Yorrog+fvv27Up2drbyP//zP8qGDRuUv/zlL4rH41GefPLJQ/USBGFIYHZfeuSRRxS326088MADSn19ffR/S0vLoXoJgjBkMLs/xfPDH/5QmTVr1kFarSAMXczuS+3t7UpVVZVy8cUXK+vXr1eWLVumTJo0SbnmmmsO1UsQhCGD2f3pb3/7m+J2u5Xf/e53yrZt25R33nlHOfroo5V58+YdqpcgCEOC9vZ2ZfXq1crq1asVQLn33nuV1atXK7t27VIUZXj7IMRJNkR44IEHlDFjxiher1eZM2eOsmzZsuh9V111lbJw4cJ+j3/rrbeU2bNnK16vVxk7dqzy4IMPHuQVC8LQxMy+tHDhQgUY8P+qq646+AsXhCGI2WOTHnGSCUIMs/vSxo0blVNPPVXx+/1KVVWVcvPNNytdXV0HedWCMDQxuz/95je/UaZPn674/X6loqJCufzyy5Xa2tqDvGpBGFosXbo05XnQcPZBOBRF8kwFQRAEQRAEQRAEQRCE4Y30JBMEQRAEQRAEQRAEQRCGPeIkEwRBEARBEARBEARBEIY94iQTBEEQBEEQBEEQBEEQhj3iJBMEQRAEQRAEQRAEQRCGPeIkEwRBEARBEARBEARBEIY94iQTBEEQBEEQBEEQBEEQhj3iJBMEQRAEQRAEQRAEQRCGPeIkEwRBEARBEARBEARBEIY94iQTBEEQBEEQBEEQBEEQhj3iJBMEQRAEQRAEQRAEQRCGPeIkEwRBEARBEARBEARBEIY9/x8MzeqLmvVhSwAAAABJRU5ErkJggg==", + "image/png": "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", "text/plain": [ "
" ] @@ -618,12 +632,10 @@ " \"\"\" Draw a sine wave with frequency f. \"\"\"\n", " return np.sin(2 * np.pi * f * x)\n", "\n", - "def low_pass(data, w, f, n=3):\n", - " \"\"\" Apply a Bessel low-pass filter with cut-off w (in Hz). \"\"\"\n", - " # Convert the frequency to a fraction of the Nyquist\n", - " # frequency f/2\n", - " w = w / (f / 2)\n", - " b, a = scipy.signal.bessel(n, w)\n", + "def low_pass(data, f, fs, n=3):\n", + " \"\"\" Apply a Bessel low-pass filter with cut-off f (in Hz). \"\"\"\n", + " # Convert the frequency to a fraction of the Nyquist frequency f/2\n", + " b, a = scipy.signal.bessel(n, f / (fs / 2), norm='mag')\n", " return scipy.signal.lfilter(b, a, data)\n", "\n", "x = sin(t, 2)\n", @@ -655,7 +667,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -665,15 +677,11 @@ } ], "source": [ - "def low_pass(data, w, f, n=3):\n", + "def low_pass(data, f, fs, n=3):\n", " \"\"\" Apply a Bessel low-pass filter with cut-off w (in Hz) twice. \"\"\"\n", - " w = w / (f / 2)\n", - " b, a = scipy.signal.bessel(n, w)\n", + " b, a = scipy.signal.bessel(n, f / (fs / 2), norm='mag')\n", " return scipy.signal.filtfilt(b, a, data)\n", "\n", - "x = sin(t, 2)\n", - "y = x + sin(t, 50)\n", - "\n", "fig = plt.figure(figsize=(15, 4))\n", "ax = fig.add_subplot()\n", "ax.plot(t, x, label='Original')\n", @@ -1193,14 +1201,6 @@ "id": "28bc68e8-3152-428e-b7ae-56f030c1a6bb", "metadata": {}, "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.37.2.dev).\n", - " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" - ] - }, { "data": { "image/png": "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", @@ -1359,7 +1359,9 @@ "id": "e3a94057-262f-4645-a459-dd9d21ef64a9", "metadata": {}, "source": [ - "#### First order approximation" + "#### First order approximation\n", + "\n", + "Can we use a first-order approximation to emulate the stimulus filter?" ] }, { @@ -1405,24 +1407,24 @@ }, { "cell_type": "markdown", - "id": "04e40e45-9ef2-4938-9fe8-82443e65690d", + "id": "8f698264-d3a8-4e93-b5cb-798f51e241c7", "metadata": {}, "source": [ - "#### Double filtering?\n", - "\n", - "Although the EPC-9 diagram shows Filter2 is only applied to the _current_ monitor, the manual for the EPC-10 (and for recent versions of Patchmaster) describe it as applying to the voltage monitor as well.\n", - "This _might_ explain the discrepancy above.\n", - "We can test by disabling filter 2.\n", - "\n", - "# TODO" + "Probably." ] }, { "cell_type": "markdown", - "id": "091ff35c-c953-4220-969f-2814e1142ac2", + "id": "04e40e45-9ef2-4938-9fe8-82443e65690d", "metadata": {}, "source": [ - "Or by emulating Filter 2" + "#### Filtered voltage monitor?\n", + "\n", + "Although the EPC-9 diagram shows Filter2 is only applied to the _current_ monitor, the manual for the EPC-10 (and for recent versions of Patchmaster) describe it as applying to the voltage monitor as well.\n", + "This _might_ explain the discrepancy above.\n", + "We can test by emulating filter 2's effect on a stimulus filter with rise-time 20$\\mu$s.\n", + "\n", + "We'll assume a 4-pole filter2 (so no filter1+filter2 cascade), with an 18.22kHz cut-off." ] }, { @@ -1433,7 +1435,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1445,13 +1447,9 @@ "source": [ "t = np.linspace(0, 1, 10001)\n", "\n", - "def low_pass(time, data, w, n=3):\n", - " \"\"\"\n", - " Emulate an analog Bessel low-pass filter with cut-off w (in Hz).\n", - " \n", - " Returns a tuple ``(t, y)``.\n", - " \"\"\"\n", - " b, a = scipy.signal.bessel(n, 2 * np.pi * w, btype='lowpass', analog=True)\n", + "def low_pass(time, data, f, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f (in Hz). \"\"\"\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", " return t, y\n", "\n", @@ -1469,17 +1467,23 @@ "y = -100 + 135 * bessel2_step(t - 1, 78.8)\n", "ax.plot(t, y, label='Rise time 20ms')\n", "\n", - "#ax.plot(*low_pass(t, y, w=3, n=4), label='Low-pass filtered 3kHz, n=4')\n", - "#ax.plot(*low_pass(t, y, w=10, n=4), label='Low-pass filtered 10kHz, n=4')\n", - "tt, vv = low_pass(t, y, w=18.22, n=4)\n", + "tt, vv = low_pass(t, y, f=18.22, n=4)\n", "ax.plot(tt, vv, label='Low-pass filtered 18.22kHz, n=4')\n", - "ax.plot(tt - 0.015, vv, label='Low-pass filtered and left-shifted')\n", + "ax.plot(tt - 0.005, vv, label='Low-pass filtered and left-shifted')\n", "\n", "ax.legend(loc=(1.1, 0.5))\n", "ax.set_xlim(0.99, 1.1)\n", "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "01f526dc-11f9-4638-92b1-ecbd05c48be5", + "metadata": {}, + "source": [ + "So it seems Filter2 is not to blame." + ] + }, { "cell_type": "markdown", "id": "fb456a90-1ad0-47ba-97be-1c9112572250", @@ -1490,46 +1494,42 @@ }, { "cell_type": "markdown", - "id": "0e09209d-b9c9-4412-abb6-0529ceeac46b", + "id": "a40adc02-4047-49e0-bdbd-c68959058f02", "metadata": {}, "source": [ - "We can find an ODE form for the 2-pole Bessel filter by guessing:\n", - "\\begin{align}\n", - "\\frac{1}{3} \\ddot{y}(t) + \\dot{y}(t) + y(t) = u(t)\n", - "\\end{align}\n", - "to find\n", + "We can find an ODE form for the 2-pole Bessel filter by working out an inverse Laplace transform.\n", + "The filter's transfer function\n", "\\begin{align}\n", - "\\frac{1}{3}s^2Y(s) - \\frac{1}{3}sy(0) - \\frac{1}{3}\\dot{y}(0) + sY(s) - y(0) + Y(s) &= U(s) \\\\\n", - "Y(s)\\left( s^2 + 3s + 3 \\right) - (s + 3)y(0) - \\dot{y}(0) &= 3U(s)\n", + "H(s) = \\frac{Y(s)}{U(s)} &= \\frac{3}{s^2 + 3s + 3}\n", "\\end{align}\n", - "Setting\n", + "can be rewritten as\n", "\\begin{align}\n", - "y(0) = 0, && \\dot{y}(0) = 0\n", + "s^2Y(s) + 3sY(s) + 3Y(s) &= 3U(s)\n", "\\end{align}\n", - "then gives us\n", + "which corresponds to\n", "\\begin{align}\n", - "H(s) = \\frac{Y(s)}{U(s)} = \\frac{3}{s^2 + 3s + 3}\n", + "\\ddot{y}(t) + 3 \\dot{y}(t) + 3 y(t) = 3 u(t), && \\dot{y}(0)=0, && y(0)=0\n", "\\end{align}\n", "\n", - "We can convert this to a system of first-order ODEs by choosing $y_1 = y$ and $y_2 = \\dot{y}$ to find\n", + "We can convert this to a system of first-order ODEs by choosing $y_2 = y$ and $y_1 = \\dot{y}$ to find\n", "\\begin{align}\n", - "\\dot{y_1} &= y_2 \\\\\n", - "\\dot{y_2} &= 3(u(t) - y_1 - y_2)\n", + "\\dot{y_1} &= 3(u(t) - y_2 - y_1) \\\\\n", + "\\dot{y_2} &= y_1\n", "\\end{align}\n", - "Note that $y_1(t)$ here is the final variable of interest, representing the filtered input $u(t)$.\n", + "Note that $y = y_2(t)$ here is the final variable of interest, representing the filter's output.\n", "\n", "Let's try it out!" ] }, { "cell_type": "code", - "execution_count": 79, + "execution_count": 26, "id": "bd25d749-ee14-480e-af9d-9319efdd7176", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1547,8 +1547,8 @@ "[f]\n", "pace = 0 bind pace\n", "time = 0 bind time\n", - "dot(y1) = y2\n", - "dot(y2) = 3 * (pace - y1 - y2)\n", + "dot(y1) = 3 * (pace - y2 - y1)\n", + "dot(y2) = y1\n", "\"\"\")\n", "\n", "p = myokit.Protocol()\n", @@ -1588,13 +1588,13 @@ }, { "cell_type": "code", - "execution_count": 82, + "execution_count": 27, "id": "28287c71-5fb1-41ed-b73b-9e99e664ec6f", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1612,14 +1612,14 @@ "[f]\n", "pace = 0 bind pace\n", "time = 0 bind time\n", - "dot(y1) = y2\n", - "dot(y2) = 3 * (pace/tau^2 - y1/tau^2 - y2/tau)\n", - "tau = 1\n", + "dot(y1) = 3 * (pace/alpha^2 - y2/alpha^2 - y1/alpha)\n", + "dot(y2) = y1\n", + "alpha = 1\n", "\"\"\")\n", "\n", - "tau = 0.024\n", + "alpha = 0.0255\n", "s = myokit.Simulation(m, p)\n", - "s.set_constant('f.tau', tau)\n", + "s.set_constant('f.alpha', alpha)\n", "e = s.run(2).npview()\n", "\n", "fig = plt.figure(figsize=(5, 3))\n", @@ -1631,12 +1631,21 @@ "ax.axvline(1 + t10, color='grey', lw=1, ls='--')\n", "ax.axvline(1 + t90, color='grey', lw=1, ls='--')\n", "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", - "ax.plot(e.time(), -100 + 135 * e['f.y1'], label=f'Simulation, tau={tau}')\n", + "ax.plot(e.time(), -100 + 135 * e['f.y2'], label=f'Simulation, alpha={alpha}')\n", + "ax.plot(e.time(), -100 + 135 * bessel2_step(e.time() - 1, 39.03), 'k:', label=f'Analytical, f=39.03')\n", "ax.legend()\n", "ax.set_xlim(0.99, 1.15)\n", "plt.show()" ] }, + { + "cell_type": "markdown", + "id": "ee27af92-acc7-4003-8534-b164049ebb9d", + "metadata": {}, + "source": [ + "So, by choosing the right scaling, we can make it match the analytical expression that we fitted to the data." + ] + }, { "cell_type": "markdown", "id": "feeca2f6-b0b5-4b09-a40f-69bf84effebd", @@ -1657,93 +1666,521 @@ "and has poles $-1.8389 \\pm 1.7544i=-\\sigma_1 \\pm \\omega_1 i$ and $-2.3222=-\\sigma_2$.\n", "This means we can write it as\n", "\\begin{align}\n", - "H_3(s) &= \\frac{1}{1 + \\sigma_2} \\frac{15}{(s + \\sigma_1 - \\omega_1 i)(s + \\sigma_1 + \\omega_1 i)} \\\\\n", - " &= \\frac{1}{1 + \\sigma_2} \\frac{15}{s^2 + 2 \\sigma_1 s + \\sigma_1^2 + \\omega_1^2} \\\\\n", - " &\\approx \\frac{1}{1 + 2.32} \\, \\frac{1}{s^2 + 3.68s + 2.32}\n", + "H_3(s) &= 15 \\frac{1}{s + \\sigma_2} \\, \\frac{1}{(s + \\sigma_1 - \\omega_1 i)(s + \\sigma_1 + \\omega_1 i)} \\\\\n", + " &= 15 \\frac{1}{s + \\sigma_2} \\, \\frac{1}{s^2 + (2 \\sigma_1) s + (\\sigma_1^2 + \\omega_1^2)}\n", "\\end{align}\n", - "\n" + "And (see above) the poles were chosen so that $\\sigma_2 \\cdot (\\sigma_1^2 + \\omega_1^2) = 15$, so that we can write\n", + "\\begin{align}\n", + "H_3(s) = \\frac{\\sigma_2}{s + \\sigma_2} \\frac{\\sigma_1^2 + \\omega_1^2}{s^2 + (2 \\sigma_1) s + (\\sigma_1^2 + \\omega_1^2)}\n", + " \\approx \\frac{2.3222}{s + 2.3222} \\, \\frac{6.4594}{s^2 + 3.6778s + 6.4594}\n", + "\\end{align}\n", + "\n", + "Where we let scipy work out the numbers:" ] }, { "cell_type": "code", - "execution_count": 83, - "id": "8623c83a-fb61-4575-833e-c0ae9a85691f", + "execution_count": 28, + "id": "7dca88fa-8af5-431b-9779-76d5ceeb8574", "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "[-1.83890732+1.75438096j -2.32218535-0.j -1.83890732-1.75438096j]\n", - "15.0\n" + "sigma2 = 2.3222\n", + "2 sigma1 = 3.6778\n", + "(sigma1^2 + omega1^2) = 6.4594\n" ] } ], - "source": [] + "source": [ + "_, p, _ = scipy.signal.bessel(3, 1, output='zpk', analog=True, norm='delay')\n", + "s1, w1, s2 = -p[0].real, p[0].imag, -p[1].real\n", + "print(f'sigma2 = {s2:.5}')\n", + "print(f'2 sigma1 = {2 * s1:.5}')\n", + "print(f'(sigma1^2 + omega1^2) = {s1**2 + w1**2:.5}')" + ] + }, + { + "cell_type": "markdown", + "id": "edd6e6fe-ea48-4ada-8f45-8460400dc24d", + "metadata": {}, + "source": [ + "This shows that we can write a 3-pole bessel as the product of a first and a second-order filter.\n", + "\n", + "As a result, we can treat it _as two filters in series_ (see [Appendix A2](./appendix-A2-laplace-and-filters.ipynb) \"Block diagrams\").\n", + "\n", + "Specifically, the first-order filter\n", + "\\begin{align}\n", + "H(s) = \\frac{2.3222}{s + 2.3222} && \\rightarrow && \\dot{y} = \\frac{u(t) - y(t)}{1 / 2.32}\n", + "\\end{align}\n", + "and the second order filter\n", + "\\begin{align}\n", + "H(s) = \\frac{6.4594}{s^2 + 3.6778s + 6.4594}\n", + "&& \\rightarrow && \n", + "\\ddot{y}(t) = 6.4594u(t) - 3.6778\\dot{y}(t) - 6.4594y(t),\\quad y(0)=0, \\quad \\dot{y}(0)=0\n", + "\\end{align}\n", + "\n", + "In fact, the standard way to create a 2n-pole filter in electronics, is to build a stack of n 2-pole filters.\n", + "So this should be similar to what we find in real amplifiers.\n", + "\n", + "Let's try it out." + ] + }, + { + "cell_type": "code", + "execution_count": 29, + "id": "dc77099e-cd0e-4ae2-bb99-6ba46c327f68", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "f.y3 = 0\n", + "\n", + "[f]\n", + "t = 0 bind time\n", + "u = sin(2 * 3.14159 * t / 5) + sin(2 * 3.14159 * t * 5)\n", + "dot(y1) = (u - y1) * 2.3222\n", + "dot(y2) = 6.4594 * (y1 - y3) - 3.6778 * y2\n", + "dot(y3) = y2\n", + " desc: The 3-pole filtered output\n", + "\"\"\")\n", + "s = myokit.Simulation(m)\n", + "e = s.run(10, log_interval=0.001)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time')\n", + "ax.plot(e.time(), e['f.u'], label='u')\n", + "ax.plot(e.time(), e['f.y1'], label='y1')\n", + "ax.plot(e.time(), e['f.y2'], label='y2')\n", + "ax.plot(e.time(), e['f.y3'], label='y3')\n", + "ax.legend(loc='right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "7fc8e5bb-7551-462b-9a9b-0c325546ca8f", + "metadata": {}, + "source": [ + "Is this correct?\n", + "We can compare with a SciPy filtered signal to find out, but first we'll need to work out what the natural cut-off frequency for this filter is." + ] }, { "cell_type": "code", - "execution_count": 96, - "id": "ba4bc3a2-b4d9-46f7-885e-59a0e55d7665", + "execution_count": 30, + "id": "f4883c77-5786-4a27-bc4a-e43c048e6a36", "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "3.677814645373914\n", - "6.459432693483363\n", - "2.3221853546260856\n" + "Estimated cut-off 1.756 rad/sec (0.2794 Hz).\n", + "Magnitude at estimated cut-off: 0.7071\n" ] } ], "source": [ - "_, p, _ = scipy.signal.bessel(3, 1, output='zpk', analog=True, norm='delay')\n", - "sigma1, omega1 = -p[0].real, p[0].imag\n", - "sigma2 = -p[1].real\n", + "mag = lambda w: abs(15 / ((1j * w)**3 + 6*(1j * w)**2 + 15*(1j * w) + 15))\n", + "err = lambda w: (mag(w) - 1 / np.sqrt(2))**2\n", + "wc = fmin(err, [1], disp=False)[0]\n", "\n", - "print(2*sigma1)\n", - "print(sigma1**2 + omega1**2)\n", - "print(sigma2)" + "print(f'Estimated cut-off {wc:.4} rad/sec ({wc / 2 / np.pi:.4} Hz).')\n", + "print(f'Magnitude at estimated cut-off: {mag(wc):.4}')" + ] + }, + { + "cell_type": "markdown", + "id": "bb3333e3-3b32-4837-8715-15274c2e82f9", + "metadata": {}, + "source": [ + "We didn't apply any scaling in the simulation, so this should be a 0.2794 Hz filter:" ] }, { "cell_type": "code", - "execution_count": null, - "id": "7d0a30cf-8b7e-472c-a9ea-d1068219b392", + "execution_count": 31, + "id": "8623c83a-fb61-4575-833e-c0ae9a85691f", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def low_pass(time, data, f, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f (in Hz). \"\"\"\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "t, u, y = e.time(), e['f.u'], e['f.y3']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", + "ax.plot(t, y, label='Simulated')\n", + "ax.plot(*low_pass(t, u, f=0.2794, n=3), 'k:', label='Filtered 0.2794 Hz, n=3')\n", + "ax.legend(framealpha=1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "ec548f34-0cb0-467f-9805-44908f99c494", + "metadata": {}, + "source": [ + "Note that we obtained the pole information in the \"natural\" setting by calling `bessel` with `Wn=1, norm='delay'`.\n", + "Here `Wn` is interpreted as a parameter related to \"group delay\" and the canonical results are obtained for `Wn=1`.\n", + "But we filtered using `Wn=w, norm='mag'`.\n", + "Here `Wn` is interpreted as the cut-off frequency.\n", + "Alternatively, we could have used the \"natural\" call again, to obtain an unscalable filter:" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "129f1090-ab46-4ead-9c72-89e0e5b2ed6f", + "execution_count": 32, + "id": "e3b8893b-1196-48c8-9814-36a1a9a263bd", "metadata": {}, - "outputs": [], - "source": [] + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def low_pass(time, data, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with its natural cut-off point. \"\"\"\n", + " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", + "ax.plot(t, y, label='Simulated')\n", + "ax.plot(*low_pass(t, u, n=3), 'k:', label='Filtered 0.2794 Hz, n=3')\n", + "ax.legend(framealpha=1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "957681ff-0882-4141-8457-89e0d0b76dff", + "metadata": {}, + "source": [ + "### Sixth-order Bessel, and general even-poled low-pass Bessel filters\n", + "\n", + "Since we came this far, we might as well go all the way and simulate a 6-th order one.\n", + "\n", + "\\begin{align}\n", + "H_6(s) &= \\frac{10395}{s^6 + 21s^5 + 210s^4 + 1260s^3 + 4725s^2 + 10395s + 10395} \\\\\n", + " &= \\prod_i^3 \\frac{\\sigma_i^2 + \\omega_i^2}{s^2 + 2 \\sigma_i s + \\sigma_i^2 + \\omega_i^2}\n", + "\\end{align}\n", + "Giving a cascade of three filters:\n", + "\\begin{align}\n", + "\\ddot{y_i}(t) = (\\sigma_i^2 + \\omega_i^2)(u(t) - y(t)) - 2\\sigma_i\\dot{y}(t),\\quad y_i(0)=0, \\quad \\dot{y_i}(0)=0\n", + "\\end{align}\n", + "Where $-\\sigma_i \\pm \\omega_i$ are the 3 conjugate pole pairs.\n", + "\n", + "More generally for any even number of poles $n = 2m$\n", + "\\begin{align}\n", + "H_{2m}(s) &= \\prod_i^m \\frac{\\sigma_i^2 + \\omega_i^2}{s^2 + 2 \\sigma_i s + \\sigma_i^2 + \\omega_i^2} \\\\\n", + "\\end{align}" + ] }, { "cell_type": "code", - "execution_count": null, - "id": "0df91a57-bbb6-4733-a5d2-9da9429da3bd", + "execution_count": 33, + "id": "6eb455f1-3ba2-43e9-8abb-a1c4d9f36a20", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "2 sigma_1 = 5.0319\n", + "(sigma_1^2 + omega_1^2) = 26.514\n", + "2 sigma_2 = 7.4714\n", + "(sigma_2^2 + omega_2^2) = 20.853\n", + "2 sigma_3 = 8.4967\n", + "(sigma_3^2 + omega_3^2) = 18.801\n" + ] + } + ], + "source": [ + "_, p, _ = scipy.signal.bessel(6, 1, output='zpk', analog=True, norm='delay')\n", + "for k, p in enumerate(p[:3]):\n", + " s, w = -p.real, p.imag\n", + " print(f'2 sigma_{1 + k} = {2 * s:.5}')\n", + " print(f'(sigma_{1 + k}^2 + omega_{1 + k}^2) = {s**2 + w**2:.5}')" + ] + }, + { + "cell_type": "code", + "execution_count": 34, + "id": "b4e9258d-5616-4b07-96c8-7e9cef838921", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "f.y3 = 0\n", + "f.y4 = 0\n", + "f.y5 = 0\n", + "f.y6 = 0\n", + "\n", + "[f]\n", + "t = 0 bind time\n", + "u = sin(2 * 3.14159 * t / 5) + sin(2 * 3.14159 * t * 5)\n", + "dot(y1) = 26.514 * (u - y2) - 5.0319 * y1\n", + "dot(y2) = y1\n", + "dot(y3) = 20.853 * (y2 - y4) - 7.4714 * y3\n", + "dot(y4) = y3\n", + "dot(y5) = 18.801 * (y4 - y6) - 8.4967 * y5\n", + "dot(y6) = y5\n", + " desc: The 6-pole filtered output\n", + "\"\"\")\n", + "s = myokit.Simulation(m)\n", + "e = s.run(10, log_interval=0.001)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time')\n", + "ax.plot(e.time(), e['f.u'], label='u')\n", + "ax.plot(e.time(), e['f.y2'], label='y2')\n", + "ax.plot(e.time(), e['f.y4'], label='y4')\n", + "ax.plot(e.time(), e['f.y6'], label='y6')\n", + "ax.legend(loc='right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d56c8922-ccdd-4a3d-ab26-18a702f3f7ec", + "metadata": {}, + "source": [ + "Instead of working out the natural frequency and scaling, we'll just compare with the natural version returned by SciPy:" + ] + }, + { + "cell_type": "code", + "execution_count": 35, + "id": "78267278-9276-4ac9-b695-b763775d5504", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def low_pass(time, data, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with its natural cut-off point. \"\"\"\n", + " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "t, u, y = e.time(), e['f.u'], e['f.y6']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", + "ax.plot(t, y, label='Simulated, n=6')\n", + "ax.plot(*low_pass(t, u, n=6), 'k:', label='SciPy, n=6')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "2ef64ae9-22ef-4207-890a-67dea7ccdcae", "metadata": {}, - "outputs": [], "source": [] }, { "cell_type": "markdown", - "id": "f44bc12a-0330-4dca-99b9-8750a96b26a1", + "id": "06ecf47e-d296-4c4d-b2d8-8c151d42eeff", "metadata": {}, "source": [ + "#### Scalable filter with even number of poles\n", + "\n", + "Finally, we'll work out how to make this 6-pole filter scalable, and write the results in a way that extends to any even-numbered order low-pass Bessel filter.\n", + "\n", + "Next, we add a scaling factor $\\alpha$ to every $s$\n", "\\begin{align}\n", - "H_1(s) &= \\frac{1}{s + 1} \\\\\n", - "H_2(s) &= \\frac{3}{s^2 + 3s + 3} \\\\\n", - "H_3(s) &= \\frac{15}{s^3 + 6s^2 + 15s + 15} \\\\\n", - "H_4(s) &= \\frac{105}{s^4 + 10s^3 + 45s^2 + 105s + 105} \\\\\n", - "H_5(s) &= \\frac{945}{s^5 + 15s^4 + 105s^3 + 420s^2 + 945s + 945} \\\\\n", - "H_6(s) &= \\frac{10395}{s^6 + 21s^5 + 210s^4 + 1260s^3 + 4725s^2 + 10395s + 10395}\n", - "\\end{align}" + "H_{2m}(s) &= \\prod_i^m \\frac{\\sigma_i^2 + \\omega_i^2}{(\\alpha s)^2 + 2 \\sigma_i (\\alpha s) + \\sigma_i^2 + \\omega_i^2} \\\\\n", + "\\end{align}\n", + "to find\n", + "\\begin{align}\n", + "\\ddot{y_i}(t) = \\frac{\\sigma_i^2 + \\omega_i^2}{\\alpha^2}(u(t) - y(t)) - \\frac{2\\sigma_i}{\\alpha}\\dot{y}(t)\n", + "\\end{align}\n", + "where\n", + "\\begin{align}\n", + "\\alpha = \\frac{\\omega_0}{2 \\pi f}\n", + "\\end{align}\n", + "where $\\omega_0$ is the unscaled cut-off frequency in rad/sec and where $f$ is the desired cut-off frequency in Hz." + ] + }, + { + "cell_type": "markdown", + "id": "55d89ad9-b1b5-45c6-b4f4-d79f47241f5f", + "metadata": {}, + "source": [ + "We can find $\\omega_0$ using SciPy and fmin:" + ] + }, + { + "cell_type": "code", + "execution_count": 36, + "id": "ddc7ccf6-ab9b-43b1-9a3e-d13b29a54503", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "2.7034179687500037 rad/sec\n" + ] + } + ], + "source": [ + "def bessel_natural_cutoff(n):\n", + " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", + " m = lambda w: np.abs(scipy.signal.freqs(b, a, worN=[w])[1])\n", + " e = lambda w: (m(w) - 1 / np.sqrt(2))**2\n", + " w = fmin(e, [1], disp=False)[0]\n", + " assert(abs(m(w) - 1 / np.sqrt(2)) < 1e-3)\n", + " return w\n", + "\n", + "print(f'{bessel_natural_cutoff(6)} rad/sec')" + ] + }, + { + "cell_type": "markdown", + "id": "bb25a3dd-e44b-4f67-9db6-b41e4007189f", + "metadata": {}, + "source": [ + "Let's try it in a model:" + ] + }, + { + "cell_type": "code", + "execution_count": 37, + "id": "48686b58-6027-4937-ba4d-e5afe94c7f08", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "f.y3 = 0\n", + "f.y4 = 0\n", + "f.y5 = 0\n", + "f.y6 = 0\n", + "\n", + "[f]\n", + "t = 0 bind time\n", + "pi = 3.14159\n", + "u = sin(2 * pi * 5 * t) + sin(2 * pi * 50 * t)\n", + "f = 10 [Hz]\n", + "alpha = 2.7034 / (2 * pi * f)\n", + "dot(y1) = 26.514 / alpha^2 * (u - y2) - 5.0319 / alpha * y1\n", + "dot(y2) = y1\n", + "dot(y3) = 20.853 / alpha^2 * (y2 - y4) - 7.4714 / alpha * y3\n", + "dot(y4) = y3\n", + "dot(y5) = 18.801 / alpha^2 * (y4 - y6) - 8.4967 / alpha * y5\n", + "dot(y6) = y5\n", + " desc: The 6-pole filtered output\n", + "\"\"\")\n", + "s = myokit.Simulation(m)\n", + "e = s.run(1, log_interval=0.001)\n", + "\n", + "def low_pass(time, data, f, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f in Hz. \"\"\"\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "t, u, y = e.time(), e['f.u'], e['f.y6']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (5 Hz + 50Hz)')\n", + "ax.plot(t, y, label='Simulated, n=6, f=10Hz')\n", + "ax.plot(*low_pass(t, u, 10, n=6), 'k:', label='SciPy, n=6, f=10Hz')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d841d052-5734-456e-848f-4b27dc24f95a", + "metadata": {}, + "source": [ + "Looks great!" ] } ], From d8336a2ba728ed29aeeb89c3c466e7aff0e3a5bc Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 5 Dec 2024 22:21:41 +0000 Subject: [PATCH 74/77] Looking at filtering --- artefacts/README.md | 1 + artefacts/appendix-A4-bessel-filters.ipynb | 733 +-------- .../appendix-A5-bessel-filter-odes.ipynb | 1419 +++++++++++++++++ artefacts/artefacts-2-compensation.ipynb | 51 +- artefacts/artefacts-6-epc.ipynb | 533 +++++++ artefacts/resources/patch-comp-8-filters.png | Bin 0 -> 21851 bytes 6 files changed, 1983 insertions(+), 754 deletions(-) create mode 100644 artefacts/appendix-A5-bessel-filter-odes.ipynb create mode 100644 artefacts/artefacts-6-epc.ipynb create mode 100644 artefacts/resources/patch-comp-8-filters.png diff --git a/artefacts/README.md b/artefacts/README.md index cce9c5a..4b0d3b3 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -33,6 +33,7 @@ Finally, we present two simplified models (with currents in pA and currents in A - A2. Laplace transforms and filters [![github](../img/github.svg)](appendix-A2-laplace-and-filters.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A2-laplace-and-filters.ipynb) - A3. Non-ideal op amps [![github](../img/github.svg)](appendix-A3-non-ideal-op-amp.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A3-non-ideal-op-amp.ipynb) - A4. Bessel low-pass filters [![github](../img/github.svg)](appendix-A4-bessel-filters.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A4-bessel-filters.ipynb) + - A5. Bessel filter ODEs [![github](../img/github.svg)](appendix-A5-bessel-filter-odes.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-A5-bessel-filter-odes.ipynb) - Extended models - B1. Models without compensation [![github](../img/github.svg)](appendix-B1-uncompensated-models.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-B1-uncompensated-models.ipynb) - B2. Models with compensation [![github](../img/github.svg)](appendix-B2-compensated-models.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/appendix-B2-compensated-models.ipynb) diff --git a/artefacts/appendix-A4-bessel-filters.ipynb b/artefacts/appendix-A4-bessel-filters.ipynb index 6e1102e..cdb92b1 100644 --- a/artefacts/appendix-A4-bessel-filters.ipynb +++ b/artefacts/appendix-A4-bessel-filters.ipynb @@ -16,11 +16,7 @@ "source": [ "[Bessel filters](https://en.wikipedia.org/wiki/Bessel_filter) are popular for low-pass filtering in patch clamp hardware and software.\n", "\n", - "For example,\n", - "\n", - "- The HEKA EPC-10 uses a 6-pole analog Bessel filter as part of the voltage-clamp circuitry (filter1), an additional 4-pole analog Bessel as optional output filtering (filter2, run in series with filter1 for a 10-pole combined filter), and a 2-pole analog Bessel filter over the command voltage to reduce capacitative transients.\n", - "- The HEKA EPC-9 uses a 3-pole Bessel filter (filter1), a 4-pole Bessel filter (filter2), and a 2-pole analog Bessel filter on the command voltage.\n", - "- The Axon Axopatch 200B uses a 4-pole Bessel filter over voltage (presumably also used in clamping) and a 3-pole Bessel filter over current output." + "In this notebook, we build on the concepts reviewed in [Appendix A2](./appendix-A2-laplace-and-filters.ipynb) to explore Bessel filters in detail." ] }, { @@ -697,7 +693,7 @@ "id": "b473031f-6a1b-4177-b1b8-18ff14f37ae2", "metadata": {}, "source": [ - "## Second-order bessel filter\n", + "## Two-pole bessel filter\n", "\n", "The HEKA EPC-10 uses a second order Bessel filter in its \"stimulus filter\", which is applied to voltage steps to reduce capacitative transients.\n", "So we'll have a look at this filter in a bit more detail.\n", @@ -712,7 +708,7 @@ "source": [ "### Frequency response\n", "\n", - "The standard equation for a second order Bessel is\n", + "The standard equation for a 2-pole Bessel is\n", "\\begin{align}\n", "H(s) = \\frac{3}{s^2 + 3s + 3}\n", "\\end{align}\n", @@ -876,18 +872,8 @@ "We obtain\n", "\\begin{align}\n", "|H(i\\phi)| = \\left| \\frac{\\omega^2}{(i\\phi)^2 + 2 \\zeta \\omega i\\phi + \\omega^2} \\right|\n", - " = \\omega^2 \\left| \\frac{1}{(\\omega^2 - \\phi^2) + (2 \\zeta \\omega \\phi) i} \\right|\n", - "\\end{align}\n", - "\n", - "so\n", - "\\begin{align}\n", - "|H(i\\phi)| = \\frac{\\omega^2}{\\sqrt{(\\omega^2 - \\phi^2)^2 + (2 \\zeta \\omega \\phi)^2}}\n", - "\\end{align}\n", - "or\n", - "\\begin{align}\n", - "M(\\phi) = \\frac{\\omega^2}{\\sqrt{(\\omega^2 + \\phi^2)^2 - (2 \\zeta \\omega \\phi)^2}}\n", - "\\end{align}\n", - "\n" + " = \\frac{\\omega^2}{\\sqrt{(\\omega^2 - \\phi^2)^2 + (2 \\zeta \\omega \\phi)^2}}\n", + "\\end{align}" ] }, { @@ -1203,7 +1189,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1227,9 +1213,9 @@ "\n", "t = np.linspace(0, 2, 2000)\n", "y = -100 + 135 * bessel2_step(t - 1, 78.8)\n", - "ax.plot(t, y, label='Rise time 20ms')\n", - "y = -100 + 135 * bessel2_step(t - 1, 40)\n", - "ax.plot(t, y, label='Tweaked')\n", + "ax.plot(t, y, label='Rise time 20us')\n", + "y = -100 + 135 * bessel2_step(t - 1, 78.8 / 2)\n", + "ax.plot(t, y, label='Tweaked 40us')\n", "\n", "ax.legend()\n", "ax.set_xlim(0.9, 1.15)\n", @@ -1359,7 +1345,7 @@ "id": "e3a94057-262f-4645-a459-dd9d21ef64a9", "metadata": {}, "source": [ - "#### First order approximation\n", + "### Can we use a first order approximation?\n", "\n", "Can we use a first-order approximation to emulate the stimulus filter?" ] @@ -1483,705 +1469,6 @@ "source": [ "So it seems Filter2 is not to blame." ] - }, - { - "cell_type": "markdown", - "id": "fb456a90-1ad0-47ba-97be-1c9112572250", - "metadata": {}, - "source": [ - "## Translating to ODEs" - ] - }, - { - "cell_type": "markdown", - "id": "a40adc02-4047-49e0-bdbd-c68959058f02", - "metadata": {}, - "source": [ - "We can find an ODE form for the 2-pole Bessel filter by working out an inverse Laplace transform.\n", - "The filter's transfer function\n", - "\\begin{align}\n", - "H(s) = \\frac{Y(s)}{U(s)} &= \\frac{3}{s^2 + 3s + 3}\n", - "\\end{align}\n", - "can be rewritten as\n", - "\\begin{align}\n", - "s^2Y(s) + 3sY(s) + 3Y(s) &= 3U(s)\n", - "\\end{align}\n", - "which corresponds to\n", - "\\begin{align}\n", - "\\ddot{y}(t) + 3 \\dot{y}(t) + 3 y(t) = 3 u(t), && \\dot{y}(0)=0, && y(0)=0\n", - "\\end{align}\n", - "\n", - "We can convert this to a system of first-order ODEs by choosing $y_2 = y$ and $y_1 = \\dot{y}$ to find\n", - "\\begin{align}\n", - "\\dot{y_1} &= 3(u(t) - y_2 - y_1) \\\\\n", - "\\dot{y_2} &= y_1\n", - "\\end{align}\n", - "Note that $y = y_2(t)$ here is the final variable of interest, representing the filter's output.\n", - "\n", - "Let's try it out!" - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "id": "bd25d749-ee14-480e-af9d-9319efdd7176", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "m = myokit.parse_model(\"\"\"\n", - "[[model]]\n", - "f.y1 = 0\n", - "f.y2 = 0\n", - "\n", - "[f]\n", - "pace = 0 bind pace\n", - "time = 0 bind time\n", - "dot(y1) = 3 * (pace - y2 - y1)\n", - "dot(y2) = y1\n", - "\"\"\")\n", - "\n", - "p = myokit.Protocol()\n", - "p.schedule(start=1, duration=10, level=1)\n", - "\n", - "s = myokit.Simulation(m, p)\n", - "e = s.run(10)\n", - "\n", - "fig = plt.figure(figsize=(9, 3))\n", - "ax = fig.add_subplot()\n", - "ax.set_xlabel('Time')\n", - "ax.plot(e.time(), e['f.pace'], 'x--', label='u')\n", - "ax.plot(e.time(), e['f.y1'], label='y1')\n", - "ax.plot(e.time(), e['f.y2'], label='y2')\n", - "ax.legend(loc='right')\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "c5000893-6a52-4e11-998d-19aef6087219", - "metadata": {}, - "source": [ - "Next, we make it scalable by adding a multiplier to $s$:\n", - "\n", - "\\begin{align}\n", - "H(s) = \\frac{3}{(\\alpha s)^2 + 3\\alpha s + 3} = \\frac{3}{\\alpha^2 s^2 + 3\\alpha s + 3}\n", - "\\end{align}\n", - "for\n", - "\\begin{align}\n", - "\\frac{1}{3} \\alpha^2 \\ddot{y}(t) + \\alpha \\dot{y}(t) + y(t) = u(t) \\\\\n", - "\\ddot{y}(t) = \\frac{3}{\\alpha^2} u(t) - \\frac{3}{\\alpha^2} y(t) - \\frac{3}{\\alpha} \\dot{y}(t)\n", - "\\end{align}\n", - "\n", - "Adding this to the model gives us something we can compare with the recorded data:" - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "id": "28287c71-5fb1-41ed-b73b-9e99e664ec6f", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "m = myokit.parse_model(\"\"\"\n", - "[[model]]\n", - "f.y1 = 0\n", - "f.y2 = 0\n", - "\n", - "[f]\n", - "pace = 0 bind pace\n", - "time = 0 bind time\n", - "dot(y1) = 3 * (pace/alpha^2 - y2/alpha^2 - y1/alpha)\n", - "dot(y2) = y1\n", - "alpha = 1\n", - "\"\"\")\n", - "\n", - "alpha = 0.0255\n", - "s = myokit.Simulation(m, p)\n", - "s.set_constant('f.alpha', alpha)\n", - "e = s.run(2).npview()\n", - "\n", - "fig = plt.figure(figsize=(5, 3))\n", - "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", - "ax = fig.add_subplot()\n", - "ax.set_xlabel('Time (ms)')\n", - "ax.set_ylabel('Command voltage (mV)')\n", - "\n", - "ax.axvline(1 + t10, color='grey', lw=1, ls='--')\n", - "ax.axvline(1 + t90, color='grey', lw=1, ls='--')\n", - "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", - "ax.plot(e.time(), -100 + 135 * e['f.y2'], label=f'Simulation, alpha={alpha}')\n", - "ax.plot(e.time(), -100 + 135 * bessel2_step(e.time() - 1, 39.03), 'k:', label=f'Analytical, f=39.03')\n", - "ax.legend()\n", - "ax.set_xlim(0.99, 1.15)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "ee27af92-acc7-4003-8534-b164049ebb9d", - "metadata": {}, - "source": [ - "So, by choosing the right scaling, we can make it match the analytical expression that we fitted to the data." - ] - }, - { - "cell_type": "markdown", - "id": "feeca2f6-b0b5-4b09-a40f-69bf84effebd", - "metadata": {}, - "source": [ - "### Third-order Bessel" - ] - }, - { - "cell_type": "markdown", - "id": "09e48a9f-1a27-4475-90c2-55a2dc260bc9", - "metadata": {}, - "source": [ - "The third order Bessel filter is given by\n", - "\\begin{align}\n", - "H_3(s) &= \\frac{15}{s^3 + 6s^2 + 15s + 15}\n", - "\\end{align}\n", - "and has poles $-1.8389 \\pm 1.7544i=-\\sigma_1 \\pm \\omega_1 i$ and $-2.3222=-\\sigma_2$.\n", - "This means we can write it as\n", - "\\begin{align}\n", - "H_3(s) &= 15 \\frac{1}{s + \\sigma_2} \\, \\frac{1}{(s + \\sigma_1 - \\omega_1 i)(s + \\sigma_1 + \\omega_1 i)} \\\\\n", - " &= 15 \\frac{1}{s + \\sigma_2} \\, \\frac{1}{s^2 + (2 \\sigma_1) s + (\\sigma_1^2 + \\omega_1^2)}\n", - "\\end{align}\n", - "And (see above) the poles were chosen so that $\\sigma_2 \\cdot (\\sigma_1^2 + \\omega_1^2) = 15$, so that we can write\n", - "\\begin{align}\n", - "H_3(s) = \\frac{\\sigma_2}{s + \\sigma_2} \\frac{\\sigma_1^2 + \\omega_1^2}{s^2 + (2 \\sigma_1) s + (\\sigma_1^2 + \\omega_1^2)}\n", - " \\approx \\frac{2.3222}{s + 2.3222} \\, \\frac{6.4594}{s^2 + 3.6778s + 6.4594}\n", - "\\end{align}\n", - "\n", - "Where we let scipy work out the numbers:" - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "id": "7dca88fa-8af5-431b-9779-76d5ceeb8574", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "sigma2 = 2.3222\n", - "2 sigma1 = 3.6778\n", - "(sigma1^2 + omega1^2) = 6.4594\n" - ] - } - ], - "source": [ - "_, p, _ = scipy.signal.bessel(3, 1, output='zpk', analog=True, norm='delay')\n", - "s1, w1, s2 = -p[0].real, p[0].imag, -p[1].real\n", - "print(f'sigma2 = {s2:.5}')\n", - "print(f'2 sigma1 = {2 * s1:.5}')\n", - "print(f'(sigma1^2 + omega1^2) = {s1**2 + w1**2:.5}')" - ] - }, - { - "cell_type": "markdown", - "id": "edd6e6fe-ea48-4ada-8f45-8460400dc24d", - "metadata": {}, - "source": [ - "This shows that we can write a 3-pole bessel as the product of a first and a second-order filter.\n", - "\n", - "As a result, we can treat it _as two filters in series_ (see [Appendix A2](./appendix-A2-laplace-and-filters.ipynb) \"Block diagrams\").\n", - "\n", - "Specifically, the first-order filter\n", - "\\begin{align}\n", - "H(s) = \\frac{2.3222}{s + 2.3222} && \\rightarrow && \\dot{y} = \\frac{u(t) - y(t)}{1 / 2.32}\n", - "\\end{align}\n", - "and the second order filter\n", - "\\begin{align}\n", - "H(s) = \\frac{6.4594}{s^2 + 3.6778s + 6.4594}\n", - "&& \\rightarrow && \n", - "\\ddot{y}(t) = 6.4594u(t) - 3.6778\\dot{y}(t) - 6.4594y(t),\\quad y(0)=0, \\quad \\dot{y}(0)=0\n", - "\\end{align}\n", - "\n", - "In fact, the standard way to create a 2n-pole filter in electronics, is to build a stack of n 2-pole filters.\n", - "So this should be similar to what we find in real amplifiers.\n", - "\n", - "Let's try it out." - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "id": "dc77099e-cd0e-4ae2-bb99-6ba46c327f68", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "m = myokit.parse_model(\"\"\"\n", - "[[model]]\n", - "f.y1 = 0\n", - "f.y2 = 0\n", - "f.y3 = 0\n", - "\n", - "[f]\n", - "t = 0 bind time\n", - "u = sin(2 * 3.14159 * t / 5) + sin(2 * 3.14159 * t * 5)\n", - "dot(y1) = (u - y1) * 2.3222\n", - "dot(y2) = 6.4594 * (y1 - y3) - 3.6778 * y2\n", - "dot(y3) = y2\n", - " desc: The 3-pole filtered output\n", - "\"\"\")\n", - "s = myokit.Simulation(m)\n", - "e = s.run(10, log_interval=0.001)\n", - "\n", - "fig = plt.figure(figsize=(9, 3))\n", - "ax = fig.add_subplot()\n", - "ax.set_xlabel('Time')\n", - "ax.plot(e.time(), e['f.u'], label='u')\n", - "ax.plot(e.time(), e['f.y1'], label='y1')\n", - "ax.plot(e.time(), e['f.y2'], label='y2')\n", - "ax.plot(e.time(), e['f.y3'], label='y3')\n", - "ax.legend(loc='right')\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "7fc8e5bb-7551-462b-9a9b-0c325546ca8f", - "metadata": {}, - "source": [ - "Is this correct?\n", - "We can compare with a SciPy filtered signal to find out, but first we'll need to work out what the natural cut-off frequency for this filter is." - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "id": "f4883c77-5786-4a27-bc4a-e43c048e6a36", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Estimated cut-off 1.756 rad/sec (0.2794 Hz).\n", - "Magnitude at estimated cut-off: 0.7071\n" - ] - } - ], - "source": [ - "mag = lambda w: abs(15 / ((1j * w)**3 + 6*(1j * w)**2 + 15*(1j * w) + 15))\n", - "err = lambda w: (mag(w) - 1 / np.sqrt(2))**2\n", - "wc = fmin(err, [1], disp=False)[0]\n", - "\n", - "print(f'Estimated cut-off {wc:.4} rad/sec ({wc / 2 / np.pi:.4} Hz).')\n", - "print(f'Magnitude at estimated cut-off: {mag(wc):.4}')" - ] - }, - { - "cell_type": "markdown", - "id": "bb3333e3-3b32-4837-8715-15274c2e82f9", - "metadata": {}, - "source": [ - "We didn't apply any scaling in the simulation, so this should be a 0.2794 Hz filter:" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "id": "8623c83a-fb61-4575-833e-c0ae9a85691f", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def low_pass(time, data, f, n=3):\n", - " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f (in Hz). \"\"\"\n", - " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", - " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", - " return t, y\n", - "\n", - "t, u, y = e.time(), e['f.u'], e['f.y3']\n", - "\n", - "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot()\n", - "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", - "ax.plot(t, y, label='Simulated')\n", - "ax.plot(*low_pass(t, u, f=0.2794, n=3), 'k:', label='Filtered 0.2794 Hz, n=3')\n", - "ax.legend(framealpha=1)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "ec548f34-0cb0-467f-9805-44908f99c494", - "metadata": {}, - "source": [ - "Note that we obtained the pole information in the \"natural\" setting by calling `bessel` with `Wn=1, norm='delay'`.\n", - "Here `Wn` is interpreted as a parameter related to \"group delay\" and the canonical results are obtained for `Wn=1`.\n", - "But we filtered using `Wn=w, norm='mag'`.\n", - "Here `Wn` is interpreted as the cut-off frequency.\n", - "Alternatively, we could have used the \"natural\" call again, to obtain an unscalable filter:" - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "id": "e3b8893b-1196-48c8-9814-36a1a9a263bd", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def low_pass(time, data, n=3):\n", - " \"\"\" Emulate an analog Bessel low-pass filter with its natural cut-off point. \"\"\"\n", - " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", - " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", - " return t, y\n", - "\n", - "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot()\n", - "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", - "ax.plot(t, y, label='Simulated')\n", - "ax.plot(*low_pass(t, u, n=3), 'k:', label='Filtered 0.2794 Hz, n=3')\n", - "ax.legend(framealpha=1)\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "957681ff-0882-4141-8457-89e0d0b76dff", - "metadata": {}, - "source": [ - "### Sixth-order Bessel, and general even-poled low-pass Bessel filters\n", - "\n", - "Since we came this far, we might as well go all the way and simulate a 6-th order one.\n", - "\n", - "\\begin{align}\n", - "H_6(s) &= \\frac{10395}{s^6 + 21s^5 + 210s^4 + 1260s^3 + 4725s^2 + 10395s + 10395} \\\\\n", - " &= \\prod_i^3 \\frac{\\sigma_i^2 + \\omega_i^2}{s^2 + 2 \\sigma_i s + \\sigma_i^2 + \\omega_i^2}\n", - "\\end{align}\n", - "Giving a cascade of three filters:\n", - "\\begin{align}\n", - "\\ddot{y_i}(t) = (\\sigma_i^2 + \\omega_i^2)(u(t) - y(t)) - 2\\sigma_i\\dot{y}(t),\\quad y_i(0)=0, \\quad \\dot{y_i}(0)=0\n", - "\\end{align}\n", - "Where $-\\sigma_i \\pm \\omega_i$ are the 3 conjugate pole pairs.\n", - "\n", - "More generally for any even number of poles $n = 2m$\n", - "\\begin{align}\n", - "H_{2m}(s) &= \\prod_i^m \\frac{\\sigma_i^2 + \\omega_i^2}{s^2 + 2 \\sigma_i s + \\sigma_i^2 + \\omega_i^2} \\\\\n", - "\\end{align}" - ] - }, - { - "cell_type": "code", - "execution_count": 33, - "id": "6eb455f1-3ba2-43e9-8abb-a1c4d9f36a20", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "2 sigma_1 = 5.0319\n", - "(sigma_1^2 + omega_1^2) = 26.514\n", - "2 sigma_2 = 7.4714\n", - "(sigma_2^2 + omega_2^2) = 20.853\n", - "2 sigma_3 = 8.4967\n", - "(sigma_3^2 + omega_3^2) = 18.801\n" - ] - } - ], - "source": [ - "_, p, _ = scipy.signal.bessel(6, 1, output='zpk', analog=True, norm='delay')\n", - "for k, p in enumerate(p[:3]):\n", - " s, w = -p.real, p.imag\n", - " print(f'2 sigma_{1 + k} = {2 * s:.5}')\n", - " print(f'(sigma_{1 + k}^2 + omega_{1 + k}^2) = {s**2 + w**2:.5}')" - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "id": "b4e9258d-5616-4b07-96c8-7e9cef838921", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "m = myokit.parse_model(\"\"\"\n", - "[[model]]\n", - "f.y1 = 0\n", - "f.y2 = 0\n", - "f.y3 = 0\n", - "f.y4 = 0\n", - "f.y5 = 0\n", - "f.y6 = 0\n", - "\n", - "[f]\n", - "t = 0 bind time\n", - "u = sin(2 * 3.14159 * t / 5) + sin(2 * 3.14159 * t * 5)\n", - "dot(y1) = 26.514 * (u - y2) - 5.0319 * y1\n", - "dot(y2) = y1\n", - "dot(y3) = 20.853 * (y2 - y4) - 7.4714 * y3\n", - "dot(y4) = y3\n", - "dot(y5) = 18.801 * (y4 - y6) - 8.4967 * y5\n", - "dot(y6) = y5\n", - " desc: The 6-pole filtered output\n", - "\"\"\")\n", - "s = myokit.Simulation(m)\n", - "e = s.run(10, log_interval=0.001)\n", - "\n", - "fig = plt.figure(figsize=(9, 3))\n", - "ax = fig.add_subplot()\n", - "ax.set_xlabel('Time')\n", - "ax.plot(e.time(), e['f.u'], label='u')\n", - "ax.plot(e.time(), e['f.y2'], label='y2')\n", - "ax.plot(e.time(), e['f.y4'], label='y4')\n", - "ax.plot(e.time(), e['f.y6'], label='y6')\n", - "ax.legend(loc='right')\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "d56c8922-ccdd-4a3d-ab26-18a702f3f7ec", - "metadata": {}, - "source": [ - "Instead of working out the natural frequency and scaling, we'll just compare with the natural version returned by SciPy:" - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "id": "78267278-9276-4ac9-b695-b763775d5504", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "def low_pass(time, data, n=3):\n", - " \"\"\" Emulate an analog Bessel low-pass filter with its natural cut-off point. \"\"\"\n", - " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", - " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", - " return t, y\n", - "\n", - "t, u, y = e.time(), e['f.u'], e['f.y6']\n", - "\n", - "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot()\n", - "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", - "ax.plot(t, y, label='Simulated, n=6')\n", - "ax.plot(*low_pass(t, u, n=6), 'k:', label='SciPy, n=6')\n", - "ax.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "2ef64ae9-22ef-4207-890a-67dea7ccdcae", - "metadata": {}, - "source": [] - }, - { - "cell_type": "markdown", - "id": "06ecf47e-d296-4c4d-b2d8-8c151d42eeff", - "metadata": {}, - "source": [ - "#### Scalable filter with even number of poles\n", - "\n", - "Finally, we'll work out how to make this 6-pole filter scalable, and write the results in a way that extends to any even-numbered order low-pass Bessel filter.\n", - "\n", - "Next, we add a scaling factor $\\alpha$ to every $s$\n", - "\\begin{align}\n", - "H_{2m}(s) &= \\prod_i^m \\frac{\\sigma_i^2 + \\omega_i^2}{(\\alpha s)^2 + 2 \\sigma_i (\\alpha s) + \\sigma_i^2 + \\omega_i^2} \\\\\n", - "\\end{align}\n", - "to find\n", - "\\begin{align}\n", - "\\ddot{y_i}(t) = \\frac{\\sigma_i^2 + \\omega_i^2}{\\alpha^2}(u(t) - y(t)) - \\frac{2\\sigma_i}{\\alpha}\\dot{y}(t)\n", - "\\end{align}\n", - "where\n", - "\\begin{align}\n", - "\\alpha = \\frac{\\omega_0}{2 \\pi f}\n", - "\\end{align}\n", - "where $\\omega_0$ is the unscaled cut-off frequency in rad/sec and where $f$ is the desired cut-off frequency in Hz." - ] - }, - { - "cell_type": "markdown", - "id": "55d89ad9-b1b5-45c6-b4f4-d79f47241f5f", - "metadata": {}, - "source": [ - "We can find $\\omega_0$ using SciPy and fmin:" - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "id": "ddc7ccf6-ab9b-43b1-9a3e-d13b29a54503", - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "2.7034179687500037 rad/sec\n" - ] - } - ], - "source": [ - "def bessel_natural_cutoff(n):\n", - " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", - " m = lambda w: np.abs(scipy.signal.freqs(b, a, worN=[w])[1])\n", - " e = lambda w: (m(w) - 1 / np.sqrt(2))**2\n", - " w = fmin(e, [1], disp=False)[0]\n", - " assert(abs(m(w) - 1 / np.sqrt(2)) < 1e-3)\n", - " return w\n", - "\n", - "print(f'{bessel_natural_cutoff(6)} rad/sec')" - ] - }, - { - "cell_type": "markdown", - "id": "bb25a3dd-e44b-4f67-9db6-b41e4007189f", - "metadata": {}, - "source": [ - "Let's try it in a model:" - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "id": "48686b58-6027-4937-ba4d-e5afe94c7f08", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "m = myokit.parse_model(\"\"\"\n", - "[[model]]\n", - "f.y1 = 0\n", - "f.y2 = 0\n", - "f.y3 = 0\n", - "f.y4 = 0\n", - "f.y5 = 0\n", - "f.y6 = 0\n", - "\n", - "[f]\n", - "t = 0 bind time\n", - "pi = 3.14159\n", - "u = sin(2 * pi * 5 * t) + sin(2 * pi * 50 * t)\n", - "f = 10 [Hz]\n", - "alpha = 2.7034 / (2 * pi * f)\n", - "dot(y1) = 26.514 / alpha^2 * (u - y2) - 5.0319 / alpha * y1\n", - "dot(y2) = y1\n", - "dot(y3) = 20.853 / alpha^2 * (y2 - y4) - 7.4714 / alpha * y3\n", - "dot(y4) = y3\n", - "dot(y5) = 18.801 / alpha^2 * (y4 - y6) - 8.4967 / alpha * y5\n", - "dot(y6) = y5\n", - " desc: The 6-pole filtered output\n", - "\"\"\")\n", - "s = myokit.Simulation(m)\n", - "e = s.run(1, log_interval=0.001)\n", - "\n", - "def low_pass(time, data, f, n=3):\n", - " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f in Hz. \"\"\"\n", - " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", - " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", - " return t, y\n", - "\n", - "t, u, y = e.time(), e['f.u'], e['f.y6']\n", - "\n", - "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot()\n", - "ax.plot(t, u, label='Noisy (5 Hz + 50Hz)')\n", - "ax.plot(t, y, label='Simulated, n=6, f=10Hz')\n", - "ax.plot(*low_pass(t, u, 10, n=6), 'k:', label='SciPy, n=6, f=10Hz')\n", - "ax.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "d841d052-5734-456e-848f-4b27dc24f95a", - "metadata": {}, - "source": [ - "Looks great!" - ] } ], "metadata": { diff --git a/artefacts/appendix-A5-bessel-filter-odes.ipynb b/artefacts/appendix-A5-bessel-filter-odes.ipynb new file mode 100644 index 0000000..b6ad726 --- /dev/null +++ b/artefacts/appendix-A5-bessel-filter-odes.ipynb @@ -0,0 +1,1419 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "044506d5-71e4-43da-8603-ce5103279e28", + "metadata": {}, + "source": [ + "# Appendix A5: Bessel low-pass filter ODEs\n", + "**Appendix A provides extra background for path clamp electronics.**" + ] + }, + { + "cell_type": "markdown", + "id": "8da3b3fe-426a-4710-a21a-d01126734998", + "metadata": {}, + "source": [ + "In [appendix A4](./appendix-A4-bessel-filters) we explored Bessel filters analytically and filtered signals using SciPy.\n", + "In this notebook, we will rewrite some common Bessel filters as ODEs, allowing us to simulate them in an ODE solver.\n", + "\n", + "In particular, we will focus on 2, 4, and 6-pole filters.\n", + "\n", + "- The HEKA EPC-10 uses a 6-pole analog Bessel filter as part of the voltage-clamp circuitry (filter1), an additional 4-pole analog Bessel as optional output filtering (filter2, run in series with filter1 for a 10-pole combined filter), and a 2-pole analog Bessel filter over the command voltage to reduce capacitative transients.\n", + "- The HEKA EPC-9 uses a 3-pole Bessel filter (filter1), a 4-pole Bessel filter (filter2), and a 2-pole analog Bessel filter on the command voltage.\n", + "- The Axon Axopatch 200B uses a 4-pole Bessel filter over voltage (presumably also used in clamping) and a 3-pole Bessel filter over current output.\n", + "\n", + "We'll start simple, with the 1-pole filter.\n", + "These results will mainly be useful if we want to approximate a higher-order filter with a first-order one." + ] + }, + { + "cell_type": "markdown", + "id": "ae2ea939-93d0-4548-a07c-85dc4910ece8", + "metadata": {}, + "source": [ + "## The 1-pole filter\n", + "\n", + "A 1-pole Bessel filter reduces to a basic low-pass filter:\n", + "\\begin{align}\n", + "H_1(s) = \\frac{1}{s + 1}\n", + "\\end{align}\n", + "\n", + "This is easily translated back to an ODE:\n", + "\\begin{align}\n", + "H_1(s) = \\frac{Y(s)}{U(s)} = \\frac{1}{s + 1} \\rightarrow Y(s)(s + 1) = U(s)\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "\\dot{y}(t) + y(t) = u(t), \\quad y(0) = 0 \\\\\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "2bd7b385-6681-4a14-94d9-ad5b5c8dfc13", + "metadata": {}, + "source": [ + "To give this filter and adjustable cut-off frequency, we add in a scaling factor $\\alpha$:\n", + "\\begin{align}\n", + "H_1(s) = \\frac{1}{\\alpha s + 1}\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "\\dot{y}(t) = \\frac{u(t) - y(t)}{\\alpha}\n", + "\\end{align}\n", + "So in this case $\\alpha$ is a time constant (we'll see below that it gets slightly more complex for higher order filters)." + ] + }, + { + "cell_type": "markdown", + "id": "9c63d124-7019-4175-b6ef-74c5cc0c9def", + "metadata": {}, + "source": [ + "The cut-off frequency of a filter is usually defined as the point where _the magnitude of its frequency response_, given by $|H(i\\phi)|$, equals $1/\\sqrt{2}$ (approximately -3dB).\n", + "So we write out the magnitude to find\n", + "\\begin{align}\n", + "|H_1(i\\phi)| = \\left| \\frac{1}{1 + \\alpha\\phi i} \\right| = \\frac{1}{\\sqrt{1 + \\alpha^2\\phi^2}}\n", + "\\end{align}\n", + "which equals $1/\\sqrt{2}$ when\n", + "\\begin{align}\n", + "\\alpha^2\\phi_c^2 = 1 \\quad \\rightarrow \\quad \\alpha = 1 / \\phi_c\n", + "\\end{align}\n", + "where the last step is okay because $\\alpha$ and $\\phi_c$ are both real, positive numbers.\n", + "\n", + "This means that, for $\\alpha = 1$ the cut-off frequency is 1 rad/sec, and for any other $\\alpha$ the cut-off is $1/\\alpha$ rad/sec.\n", + "For a cut-off in Hz, we get:\n", + "\\begin{align}\n", + "\\alpha = \\frac{1}{2 \\phi f_c}\n", + "\\end{align}\n" + ] + }, + { + "cell_type": "markdown", + "id": "37285b2f-39be-4fb4-8dc7-1c81524392f8", + "metadata": {}, + "source": [ + "We can now write a 1-pole Bessel filter with cut-off $f_c$ as:" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "26fdad96-d9a2-4396-a445-342f4f1cf020", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "import scipy.signal\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "b2c2855b-dfb5-421c-b4fa-8f74cf159f3f", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "filter.y1 = 0\n", + "\n", + "[filter]\n", + "pace = 0 bind pace\n", + "time = 0 bind time\n", + "fc = 10\n", + "alpha = 1 / (2 * 3.14159 * fc)\n", + "dot(y1) = (pace - y1) / alpha\n", + "\"\"\")\n", + "\n", + "p = myokit.Protocol()\n", + "p.schedule(start=1, duration=10, level=1)\n", + "\n", + "s = myokit.Simulation(m, p)\n", + "d1 = s.run(2, log_interval=0.0001)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.plot(d1.time(), d1['filter.pace'], 'x--', label='u')\n", + "ax.plot(d1.time(), d1['filter.y1'], label='y1 (10 kHz)')\n", + "ax.legend(loc='right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "17bcccf3-55cd-4c60-bc03-b5ed65cc0672", + "metadata": {}, + "source": [ + "Note that we've been cheeky and have omitted the units: time is in ms, which means the model's `fc` variable is in kHz." + ] + }, + { + "cell_type": "markdown", + "id": "fbe6fb37-e074-4ceb-a670-69641d671904", + "metadata": {}, + "source": [ + "### Rise time\n", + "\n", + "We can work out a rise time for this model by writing out the solution of the ODE for a step from 0 to 1, occuring at t=0:\n", + "\n", + "\\begin{align}\n", + "y(t) = 1 - e^{-t/\\alpha}\n", + "\\end{align}\n", + "\n", + "Defining the rise time as the time from $y(t1)=0.1$ to $y(t2)=0.9$ we find\n", + "\\begin{align}\n", + "t_1 &= -\\alpha \\log(1 - 0.1) \\\\\n", + "t_2 &= -\\alpha \\log(1 - 0.9) \\\\\n", + "t_r &= t_2 - t_1 = \\alpha \\log(9)\n", + "\\end{align}\n", + "\n", + "Which lets us relate alpha, rise time, and cut-off frequency as:\n", + "\\begin{align}\n", + "\\alpha &= \\frac{t_r}{\\log 9} = \\frac{1}{2 \\pi f_c} \n", + "\\quad \\rightarrow \\quad\n", + "f_c = \\frac{\\log 9}{2 \\pi t_r}\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "a31ba4f6-ad55-49e0-96b2-238c6f93d227", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "alpha = 1 / (2 * 3.14159 * 10)\n", + "t1 = -alpha * np.log(0.9)\n", + "t2 = -alpha * np.log(0.1)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "kw = dict(lw=1, color='#999')\n", + "ax.axhline(0.1, **kw)\n", + "ax.axhline(0.9, **kw)\n", + "ax.axvline(1 + t1, **kw)\n", + "ax.axvline(1 + t2, **kw)\n", + "ax.plot(d1.time(), d1['filter.pace'], 'x--', label='u')\n", + "ax.plot(d1.time(), d1['filter.y1'], label='y1 (10 kHz)')\n", + "ax.legend(loc='right')\n", + "ax.set_xlim(0.96, 1.13)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "1b52bd8f-f0e8-4273-9825-aa3c63991b33", + "metadata": {}, + "source": [ + "### Comparison with SciPy\n", + "\n", + "Finally, we check if SciPy agrees with what we've done:" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "31f5bd34-726f-4192-97bb-5917af27b08e", + "metadata": {}, + "outputs": [], + "source": [ + "def low_pass(time, data, f, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f in Hz. \"\"\"\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "34ff9d29-3a1e-4803-89c8-052d34562618", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "s.reset()\n", + "s.set_constant('filter.fc', 25)\n", + "d2 = s.run(2, log_interval=0.0001)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "kw = dict(lw=1, color='#999')\n", + "ax.plot(d1.time(), d1['filter.y1'], label='Simulated n=1, 10kHz')\n", + "ax.plot(*low_pass(d1.time(), d1['filter.pace'], 10, 1), 'k--', label='SciPy n=1, 10kHz')\n", + "ax.plot(d2.time(), d2['filter.y1'], label='Simulated n=1, 25kHz')\n", + "ax.plot(*low_pass(d1.time(), d1['filter.pace'], 25, 1), 'k:', label='SciPy n=1, 25kHz')\n", + "ax.legend(loc='right')\n", + "ax.set_xlim(0.96, 1.13)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "fb456a90-1ad0-47ba-97be-1c9112572250", + "metadata": {}, + "source": [ + "## The 2-pole Bessel filter" + ] + }, + { + "cell_type": "markdown", + "id": "a40adc02-4047-49e0-bdbd-c68959058f02", + "metadata": {}, + "source": [ + "We can find an ODE form for the 2-pole Bessel filter by working out an inverse Laplace transform.\n", + "The filter's transfer function\n", + "\\begin{align}\n", + "H(s) = \\frac{Y(s)}{U(s)} &= \\frac{3}{s^2 + 3s + 3}\n", + "\\end{align}\n", + "can be rewritten as\n", + "\\begin{align}\n", + "s^2Y(s) + 3sY(s) + 3Y(s) &= 3U(s)\n", + "\\end{align}\n", + "which corresponds to\n", + "\\begin{align}\n", + "\\ddot{y}(t) + 3 \\dot{y}(t) + 3 y(t) = 3 u(t), && \\dot{y}(0)=0, && y(0)=0\n", + "\\end{align}\n", + "\n", + "We can convert this to a system of first-order ODEs by choosing $y_2 = y$ and $y_1 = \\dot{y}$ to find\n", + "\\begin{align}\n", + "\\dot{y_1} &= 3(u(t) - y_2 - y_1) \\\\\n", + "\\dot{y_2} &= y_1\n", + "\\end{align}\n", + "Note that $y = y_2(t)$ here is the final variable of interest, representing the filter's output.\n", + "\n", + "Let's try it out!" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "bd25d749-ee14-480e-af9d-9319efdd7176", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "\n", + "[f]\n", + "pace = 0 bind pace\n", + "time = 0 bind time\n", + "dot(y1) = 3 * (pace - y2 - y1)\n", + "dot(y2) = y1\n", + "\"\"\")\n", + "\n", + "p = myokit.Protocol()\n", + "p.schedule(start=1, duration=10, level=1)\n", + "\n", + "s = myokit.Simulation(m, p)\n", + "e = s.run(8)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time')\n", + "ax.plot(e.time(), e['f.pace'], 'x--', label='u')\n", + "ax.plot(e.time(), e['f.y1'], label='y1')\n", + "ax.plot(e.time(), e['f.y2'], label='y2')\n", + "ax.legend(loc='right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "c5000893-6a52-4e11-998d-19aef6087219", + "metadata": {}, + "source": [ + "Next, we make it tuneable by adding a scaling factor to $s$:\n", + "\n", + "\\begin{align}\n", + "H(s) = \\frac{3}{(\\alpha s)^2 + 3\\alpha s + 3} = \\frac{3}{\\alpha^2 s^2 + 3\\alpha s + 3}\n", + "\\end{align}\n", + "for\n", + "\\begin{align}\n", + "\\frac{1}{3} \\alpha^2 \\ddot{y}(t) + \\alpha \\dot{y}(t) + y(t) = u(t) \\\\\n", + "\\ddot{y}(t) = \\frac{3}{\\alpha^2} u(t) - \\frac{3}{\\alpha^2} y(t) - \\frac{3}{\\alpha} \\dot{y}(t)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "d516934d-4bf5-4bf7-973d-756dd5609d93", + "metadata": {}, + "source": [ + "This scaling factor will change the filter's frequency, relative to its _natural frequency_ for $\\alpha = 1$.\n", + "To find the natural cut-off, we can try solving $|H_2(i\\phi)|=1/\\sqrt{2}$, but it's easier* to just use rootfinding:\n", + "\n", + "(*See [Appendix 4](./appendix-A4-bessel-filters.ipynb) for some background on the scipy functions involved.)" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "a6dd7c52-1cfd-40e6-afa7-074ece1e5197", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "1.3616 rad/sec\n" + ] + } + ], + "source": [ + "def bessel_natural_cutoff(n):\n", + " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", + " m = lambda w: np.abs(scipy.signal.freqs(b, a, worN=[w])[1])\n", + " e = lambda w: (m(w) - 1 / np.sqrt(2))**2\n", + " w = scipy.optimize.fmin(e, [1], disp=False)[0]\n", + " assert(abs(m(w) - 1 / np.sqrt(2)) < 1e-3)\n", + " return w\n", + "\n", + "print(f'{bessel_natural_cutoff(2):.5} rad/sec')" + ] + }, + { + "cell_type": "markdown", + "id": "679080a3-8e44-491b-b65e-396365fb5bca", + "metadata": {}, + "source": [ + "This means we can set $\\alpha$ using a cut-off frequency $f_c$ (in Hz) as:\n", + "\n", + "\\begin{align}\n", + "\\alpha = 1.3616 / (2 \\pi f_c)\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "28287c71-5fb1-41ed-b73b-9e99e664ec6f", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "filter.y1 = 0\n", + "filter.y2 = 0\n", + "\n", + "[filter]\n", + "pace = 0 bind pace\n", + "time = 0 bind time\n", + "fc = 1\n", + "alpha = 1.3616 / (2 * 3.14159 * fc)\n", + "dot(y1) = 3 * (pace/alpha^2 - y2/alpha^2 - y1/alpha)\n", + "dot(y2) = y1\n", + "\"\"\")\n", + "\n", + "p = myokit.Protocol()\n", + "p.add_step(level=-100, duration=1)\n", + "p.add_step(level=35, duration=10)\n", + "\n", + "fc = 5\n", + "s = myokit.Simulation(m, p)\n", + "s.set_constant('filter.fc', fc)\n", + "s.pre(1)\n", + "d1 = s.run(2, log_interval=1e-3).npview()\n", + "\n", + "fig = plt.figure(figsize=(5, 3))\n", + "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Command voltage (mV)')\n", + "ax.plot(d1.time(), d1['filter.y2'], label=f'Simulation, fc={fc} kHz')\n", + "ax.plot(*low_pass(d1.time(), d1['filter.pace'], fc, 2), 'k:', label=f'SciPy, n=2, fc={fc} kHz')\n", + "ax.legend()\n", + "ax.set_xlim(0.99, 1.15)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "ee27af92-acc7-4003-8534-b164049ebb9d", + "metadata": {}, + "source": [ + "It also seems we can still relate this to the rise-time with the first order equation:" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "3420e006-2404-4c9c-a055-b223cbee89c9", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "8.742478814151495\n" + ] + } + ], + "source": [ + "fc = np.log(9) / (2 * np.pi * 0.04)\n", + "print(fc)" + ] + }, + { + "cell_type": "markdown", + "id": "62121ad3-18da-43f3-9f14-34517251ad1d", + "metadata": {}, + "source": [ + "Which lets us relate it to recordings made with an EPC-10 and a stimulus filter set to 20 $\\mu$s (but with a rise time much closer to 40 $\\mu$s, see [appendix A4](./Appendix-A4-bessel-filters)):" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "06745c87-9c2a-46e2-ae20-2d84f00a0ff5", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "d0 = myokit.DataLog.load('resources/rise_time_20us.zip')\n", + "d0 = d0.npview()\n", + "\n", + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "filter.y1 = 0\n", + "filter.y2 = 0\n", + "\n", + "[filter]\n", + "pace = 0 bind pace\n", + "time = 0 bind time\n", + "fc = 1\n", + "alpha = 1.3616 / (2 * 3.14159 * fc)\n", + "dot(y1) = 3 * (pace/alpha^2 - y2/alpha^2 - y1/alpha)\n", + "dot(y2) = y1\n", + "\"\"\")\n", + "\n", + "p = myokit.Protocol()\n", + "p.add_step(level=-100, duration=1)\n", + "p.add_step(level=35, duration=10)\n", + "\n", + "fc = np.log(9) / (2 * np.pi * 0.04)\n", + "s = myokit.Simulation(m, p)\n", + "s.set_constant('filter.fc', fc)\n", + "s.pre(1)\n", + "d1 = s.run(2, log_interval=1e-3).npview()\n", + "\n", + "fig = plt.figure(figsize=(5, 3))\n", + "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.set_ylabel('Command voltage (mV)')\n", + "ax.plot(d0.time(), d0['vfiltered'], 's-', label='Recording')\n", + "ax.plot(d1.time(), d1['filter.y2'], label=f'Simulation, tr=40 us')\n", + "ax.legend()\n", + "ax.set_xlim(0.99, 1.15)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "feeca2f6-b0b5-4b09-a40f-69bf84effebd", + "metadata": {}, + "source": [ + "### Third-order Bessel" + ] + }, + { + "cell_type": "markdown", + "id": "09e48a9f-1a27-4475-90c2-55a2dc260bc9", + "metadata": {}, + "source": [ + "The third order Bessel filter is given by\n", + "\\begin{align}\n", + "H_3(s) &= \\frac{15}{s^3 + 6s^2 + 15s + 15}\n", + "\\end{align}\n", + "\n", + "Instead of working with this expression directly, we'll write it in terms of its _poles_.\n", + "These can be looked up in tables, or obtained from SciPy:" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "200e7078-8509-4b2a-8aca-3aab79389512", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Zeroes: []\n", + "Poles:\n", + " (-1.838907322686957+1.7543809597837212j)\n", + " (-2.3221853546260856-0j)\n", + " (-1.838907322686957-1.7543809597837212j)\n", + "Gain: 15.0\n" + ] + } + ], + "source": [ + "zeroes, poles, gain = scipy.signal.bessel(3, 1, output='zpk', analog=True, norm='delay')\n", + "print(f'Zeroes: {zeroes}')\n", + "print('Poles:')\n", + "for pole in poles:\n", + " print(f' {pole}')\n", + "print(f'Gain: {gain}')" + ] + }, + { + "cell_type": "markdown", + "id": "9d5f0364-82f8-42cc-850c-278e21f87a25", + "metadata": {}, + "source": [ + "Note that the first and last form a conjugate pair.\n", + "These conjugate pairs appear in all Bessel filters with 2 or more poles.\n", + "The set of zeroes is empty, which will be the case for all Bessel filters.\n", + "\n", + "Using the pole-zero representation, we can write the filter as\n", + "\\begin{align}\n", + "H_3(s) &= 15 \\frac{1}{s + \\sigma_2} \\, \\frac{1}{(s + \\sigma_1 - \\omega_1 i)(s + \\sigma_1 + \\omega_1 i)} \\\\\n", + " &= 15 \\frac{1}{s + \\sigma_2} \\, \\frac{1}{s^2 + (2 \\sigma_1) s + (\\sigma_1^2 + \\omega_1^2)}\n", + "\\end{align}\n", + "where we represented each pole as either $-\\sigma$ (real) or $-\\sigma \\pm \\omega$.\n", + "\n", + "By design $\\sigma_2 \\cdot (\\sigma_1^2 + \\omega_1^2)$ equals the gain $K = 15$, which means we can write\n", + "\\begin{align}\n", + "H_3(s) = \\frac{\\sigma_2}{s + \\sigma_2} \\frac{\\sigma_1^2 + \\omega_1^2}{s^2 + (2 \\sigma_1) s + (\\sigma_1^2 + \\omega_1^2)}\n", + "\\end{align}\n", + "\n", + "This shows that we can write a 3-pole bessel as the product of a first and a second-order filter.\n", + "As a result, we can treat it **as two filters in series** (see [Appendix A2](./appendix-A2-laplace-and-filters.ipynb) \"Block diagrams\").\n", + "In fact, the standard way to create a 2n-pole filter in electronics, is to build a stack of n 2-pole filters.\n", + "So this should be similar to what we find in real amplifiers.\n" + ] + }, + { + "cell_type": "markdown", + "id": "df63102e-cfe4-4b47-8007-4bba9c5f649c", + "metadata": {}, + "source": [ + "To find the various numbers involved, we'll use SciPy again:" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "id": "7dca88fa-8af5-431b-9779-76d5ceeb8574", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "sigma2 = 2.3222\n", + "2 sigma1 = 3.6778\n", + "(sigma1^2 + omega1^2) = 6.4594\n" + ] + } + ], + "source": [ + "s1, w1, s2 = -poles[0].real, poles[0].imag, -poles[1].real\n", + "print(f'sigma2 = {s2:.5}')\n", + "print(f'2 sigma1 = {2 * s1:.5}')\n", + "print(f'(sigma1^2 + omega1^2) = {s1**2 + w1**2:.5}')" + ] + }, + { + "cell_type": "markdown", + "id": "edd6e6fe-ea48-4ada-8f45-8460400dc24d", + "metadata": {}, + "source": [ + "Using these numbers, we can break down the 3-pole low-pass Bessel filter into a first-order filter\n", + "\\begin{align}\n", + "H(s) = \\frac{2.3222}{s + 2.3222} && \\rightarrow && \\dot{y} = \\frac{u(t) - y(t)}{1 / 2.32}\n", + "\\end{align}\n", + "and a second order filter\n", + "\\begin{align}\n", + "H(s) = \\frac{6.4594}{s^2 + 3.6778s + 6.4594}\n", + "&& \\rightarrow && \n", + "\\ddot{y}(t) = 6.4594u(t) - 3.6778\\dot{y}(t) - 6.4594y(t),\\quad y(0)=0, \\quad \\dot{y}(0)=0\n", + "\\end{align}\n", + "\n", + "Let's try it out." + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "dc77099e-cd0e-4ae2-bb99-6ba46c327f68", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "f.y3 = 0\n", + "\n", + "[f]\n", + "t = 0 bind time\n", + "u = sin(2 * 3.14159 * t / 5) + sin(2 * 3.14159 * t * 5)\n", + "dot(y1) = (u - y1) * 2.3222\n", + "dot(y2) = 6.4594 * (y1 - y3) - 3.6778 * y2\n", + "dot(y3) = y2\n", + " desc: The 3-pole filtered output\n", + "\"\"\")\n", + "s = myokit.Simulation(m)\n", + "e = s.run(10, log_interval=0.001)\n", + "\n", + "fig = plt.figure(figsize=(9, 3))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time')\n", + "ax.plot(e.time(), e['f.u'], label='u')\n", + "ax.plot(e.time(), e['f.y1'], label='y1')\n", + "ax.plot(e.time(), e['f.y2'], label='y2')\n", + "ax.plot(e.time(), e['f.y3'], label='y3')\n", + "ax.legend(loc='right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "7fc8e5bb-7551-462b-9a9b-0c325546ca8f", + "metadata": {}, + "source": [ + "Is this correct?\n", + "We can compare with a SciPy filtered signal to find out, but first we'll need to work out what the natural cut-off frequency for this filter is." + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "f4883c77-5786-4a27-bc4a-e43c048e6a36", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "1.7557 rad/sec\n", + "0.27942 Hz\n" + ] + } + ], + "source": [ + "print(f'{bessel_natural_cutoff(3):.5} rad/sec')\n", + "print(f'{bessel_natural_cutoff(3) / (2 * np.pi):.5} Hz')" + ] + }, + { + "cell_type": "markdown", + "id": "bb3333e3-3b32-4837-8715-15274c2e82f9", + "metadata": {}, + "source": [ + "We didn't apply any scaling in the simulation, so this should be a 0.2794 Hz filter:" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "id": "8623c83a-fb61-4575-833e-c0ae9a85691f", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "t, u, y = e.time(), e['f.u'], e['f.y3']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", + "ax.plot(t, y, label='Simulated')\n", + "ax.plot(*low_pass(t, u, f=0.2794, n=3), 'k:', label='Filtered 0.2794 Hz, n=3')\n", + "ax.legend(framealpha=1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "ec548f34-0cb0-467f-9805-44908f99c494", + "metadata": {}, + "source": [ + "Note that we obtained the pole information in the \"natural\" setting by calling `bessel` with `Wn=1, norm='delay'`.\n", + "Here `Wn` is interpreted as a parameter related to \"group delay\" and the canonical results are obtained for `Wn=1`.\n", + "But we filtered using `Wn=w, norm='mag'`.\n", + "Here `Wn` is interpreted as the cut-off frequency.\n", + "Alternatively, we could have used the \"natural\" call again, to obtain an unscalable filter:" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "id": "e3b8893b-1196-48c8-9814-36a1a9a263bd", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def low_pass(time, data, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with its natural cut-off point. \"\"\"\n", + " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", + "ax.plot(t, y, label='Simulated')\n", + "ax.plot(*low_pass(t, u, n=3), 'k:', label='SciPy, natural cut-off')\n", + "ax.legend(framealpha=1)\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "b7141a07-01fa-4cb4-9d23-e661624336a7", + "metadata": {}, + "source": [ + "### Fourth-order Bessel, and general Bessel filters with an even number of poles\n", + "\n", + "Based on the idea of decomposing higher order filters, we can now write down the equations for a 4 pole filter, along with the more general equations for Bessel low-pass filters with an even number of poles.\n", + "\n", + "\\begin{align}\n", + "H_4(s) &= \\frac{105}{s^4 + 10s^3 + 45s^2 + 105s + 105} \\\\\n", + " &= \\prod_i^2 \\frac{\\sigma_i^2 + \\omega_i^2}{s^2 + 2 \\sigma_i s + \\sigma_i^2 + \\omega_i^2}\n", + "\\end{align}\n", + "Giving a cascade of two filters:\n", + "\\begin{align}\n", + "\\ddot{y_i}(t) = (\\sigma_i^2 + \\omega_i^2)(u(t) - y(t)) - 2\\sigma_i\\dot{y}(t),\\quad y_i(0)=0, \\quad \\dot{y_i}(0)=0\n", + "\\end{align}\n", + "Where $-\\sigma_i \\pm \\omega_i$ are the 2 conjugate pole pairs.\n", + "\n", + "More generally for any even number of poles $n = 2m$\n", + "\\begin{align}\n", + "H_{2m}(s) &= \\prod_i^m \\frac{\\sigma_i^2 + \\omega_i^2}{s^2 + 2 \\sigma_i s + \\sigma_i^2 + \\omega_i^2} \\\\\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "id": "7a8dd05e-bd98-42b5-a90e-903cd51a2277", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "2 sigma_1 = 4.2076\n", + "(sigma_1^2 + omega_1^2) = 11.488\n", + "2 sigma_2 = 5.7924\n", + "(sigma_2^2 + omega_2^2) = 9.1401\n", + "None\n" + ] + } + ], + "source": [ + "def even_poles(n):\n", + " _, p, _ = scipy.signal.bessel(n, 1, output='zpk', analog=True, norm='delay')\n", + " for k, p in enumerate(p[:n // 2]):\n", + " s, w = -p.real, p.imag\n", + " print(f'2 sigma_{1 + k} = {2 * s:.5}')\n", + " print(f'(sigma_{1 + k}^2 + omega_{1 + k}^2) = {s**2 + w**2:.5}')\n", + "\n", + "print(even_poles(4))" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "id": "03983e75-bdd8-4a22-b5e1-c706d778f7e8", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "f.y3 = 0\n", + "f.y4 = 0\n", + "\n", + "[f]\n", + "t = 0 bind time\n", + "u = sin(2 * 3.14159 * t / 5) + sin(2 * 3.14159 * t * 5)\n", + "dot(y1) = 11.488 * (u - y2) - 4.2076 * y1\n", + "dot(y2) = y1\n", + "dot(y3) = 9.1401 * (y2 - y4) - 5.7924 * y3\n", + "dot(y4) = y3\n", + " desc: The 4-pole filtered output\n", + "\"\"\")\n", + "s = myokit.Simulation(m)\n", + "e = s.run(10, log_interval=0.001)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time')\n", + "ax.plot(e.time(), e['f.u'], label='u')\n", + "ax.plot(e.time(), e['f.y2'], label='y2')\n", + "ax.plot(e.time(), e['f.y4'], label='y4')\n", + "ax.legend(loc='right')\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "a873983a-f549-4969-aea2-9993da7c765e", + "metadata": {}, + "source": [ + "Before working out the natural frequency and scaling, we'll just compare with the natural version returned by SciPy:" + ] + }, + { + "cell_type": "code", + "execution_count": 19, + "id": "d5289c70-30f7-4a30-af4f-c1ed0359139e", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def low_pass(time, data, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with its natural cut-off point. \"\"\"\n", + " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "t, u, y = e.time(), e['f.u'], e['f.y4']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", + "ax.plot(t, y, label='Simulated, n=4')\n", + "ax.plot(*low_pass(t, u, n=4), 'k:', label='SciPy, n=4')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "2f1845d7-191e-4bf1-a73c-56254267d0f6", + "metadata": {}, + "source": [ + "Looks exactly right." + ] + }, + { + "cell_type": "markdown", + "id": "f7bd8a04-1b26-4c78-888a-a968bc432eda", + "metadata": {}, + "source": [ + "#### Scalable filter with even number of poles\n", + "\n", + "Finally, we'll work out how to make this 4-pole filter scalable, and write the results in a way that extends to any even-numbered order low-pass Bessel filter.\n", + "\n", + "To do this, we add a scaling factor $\\alpha$ to every $s$\n", + "\\begin{align}\n", + "H_{2m}(s) &= \\prod_i^m \\frac{\\sigma_i^2 + \\omega_i^2}{(\\alpha s)^2 + 2 \\sigma_i (\\alpha s) + \\sigma_i^2 + \\omega_i^2} \\\\\n", + "\\end{align}\n", + "to find\n", + "\\begin{align}\n", + "\\ddot{y_i}(t) = \\frac{\\sigma_i^2 + \\omega_i^2}{\\alpha^2}(u(t) - y(t)) - \\frac{2\\sigma_i}{\\alpha}\\dot{y}(t)\n", + "\\end{align}\n", + "where\n", + "\\begin{align}\n", + "\\alpha = \\frac{\\omega_0}{2 \\pi f}\n", + "\\end{align}\n", + "where $\\omega_0$ is the unscaled cut-off frequency in rad/sec and where $f$ is the desired cut-off frequency in Hz." + ] + }, + { + "cell_type": "markdown", + "id": "64309f7d-b9d8-4ba3-b39d-14146a831ea2", + "metadata": {}, + "source": [ + "We can find $\\omega_0$ using SciPy and fmin:" + ] + }, + { + "cell_type": "code", + "execution_count": 20, + "id": "a78c6470-0896-41ba-8117-c4aac389ce95", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "2.114 rad/sec\n" + ] + } + ], + "source": [ + "print(f'{bessel_natural_cutoff(4):.5} rad/sec')" + ] + }, + { + "cell_type": "markdown", + "id": "c4cd77a7-153d-4130-84a9-c4c881418c86", + "metadata": {}, + "source": [ + "Let's try it in a model:" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "id": "076c4a3f-1155-4062-bcf1-1f00e9b37a01", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAABMkAAAFfCAYAAABUV5ttAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOydd5gT1frHP5Nkk+279KUKNiyAYgcbXAtiQdSfvaPY9VrQK/aOXWzYBdu1XEGv/YoFRcUCooKgItJZWJbtyW7q/P5IZjLZTTJnJlnZcj7Ps4+72ezJBPPOOW/7voqqqioSiUQikUgkEolEIpFIJBJJJ8axpS9AIpFIJBKJRCKRSCQSiUQi2dLIIJlEIpFIJBKJRCKRSCQSiaTTI4NkEolEIpFIJBKJRCKRSCSSTo8MkkkkEolEIpFIJBKJRCKRSDo9MkgmkUgkEolEIpFIJBKJRCLp9MggmUQikUgkEolEIpFIJBKJpNMjg2QSiUQikUgkEolEIpFIJJJOj2tLX0C2iUQirF+/nqKiIhRF2dKXI5FIJBKJRCKRSCQSiUQi2YKoqkp9fT19+vTB4UhdL9bhgmTr16+nf//+W/oyJBKJRCKRSCQSiUQikUgkbYg1a9bQr1+/lL/vcEGyoqIiIPrGi4uLt/DVSCQSiUQikUgkEolEIpFItiR1dXX0799fjxmlosMFybQWy+LiYhkkk0gkEolEIpFIJBKJRCKRAJjKcknhfolEIpFIJBKJRCKRSCQSSadHBskkEolEIpFIJBKJRCKRSCSdHhkkk0gkEolEIpFIJBKJRCKRdHo6nCaZRCKRSCQSiUQikUgkbY1IJEIgENjSlyGRdEhycnJwOp0ZryODZBKJRCKRSCQSiUQikbQigUCAFStWEIlEtvSlSCQdltLSUsrKykzF+dMhg2QSiUQikUgkEolEIpG0EqqqUl5ejtPppH///jgcUvVIIskmqqri8/moqKgAoHfv3rbXkkEyiUQikUgkEolEIpFIWolQKITP56NPnz7k5+dv6cuRSDokeXl5AFRUVNCzZ0/brZetGsKeMmUKe+65J0VFRfTs2ZPx48fz+++/m/7dF198we67705ubi5bb701Tz75ZGtepkQikUgkEolEIpFIJK1COBwGwO12b+ErkUg6NloQOhgM2l6jVYNkX3zxBRdffDHffvsts2fPJhQKceihh+L1elP+zYoVKzj88MPZf//9WbhwIddddx2XXXYZM2fObM1LlUgkEolEIpFIJBKJpNXIRCdJIpGYkw0ba9V2y48++ijh5+nTp9OzZ08WLFjAAQcckPRvnnzySQYMGMDUqVMB2HHHHZk/fz73338/xx13XIvn+/1+/H6//nNdXV323oBEIpFIJBKJRCKRSCQSiaRT8LcqBtbW1gLQtWvXlM+ZN28ehx56aMJjY8aMYf78+UlL5qZMmUJJSYn+1b9//+xetCQjIhGVKR8s5bmvVmzpS5FI2j1/VtRz0SsLWL6pYUtfikTS7nl27l/c/eFvRCLqlr4UiaRds7nBz6WvLmTe8s1b+lIkknZPjS/A2mpfh9ybBg4cqBfCtBY33ngj5513Xqu+hiTK//3f//Hggw9u6ctoFf62IJmqqlx55ZXst99+DBkyJOXzNmzYQK9evRIe69WrF6FQiMrKyhbPnzx5MrW1tfrXmjVrsn7tEvssWF3NU1/+xe3vLWFjXdOWvhyJpF1z8SsL+WDRBibM+GFLX4pE0q6pbPBzx/tLefKL5Xy3ompLX45E0q6596Pfeffn9Zz8zLdb+lIkknaNqqqsrvJR5Q1Q6fWb/8HfwFlnnYWiKNx9990Jj7/99tuW29p++OGHVg1gbdy4kYcffpjrrrtOf+yWW25BUZSEr7KysrTrzJgxg9LS0qS/UxSFt99+O4tXbY3m70VRlBb67YsWLeLAAw8kLy+Pvn37ctttt6Gq8aBrtt7fTTfdxJ133tkhO/n+tiDZJZdcwi+//MKrr75q+tzmBqf9T01miB6Ph+Li4oQvSdth7rJ4YPODReVb8EokkvZNOKLy+8Z6AFZt9uH1h7bwFUkk7Zev/4zvTR8ulnuTRJIJP6yKB5r/rJCVzhKJXRqDYf37+qa2c87Lzc3lnnvuobq6OqN1evTo0aqTPZ977jlGjBjBwIEDEx7feeedKS8v178WLVrUatdghRkzZjBq1CjLfzd9+vSE93PmmWfqv6urq+OQQw6hT58+/PDDDzz66KPcf//9rVLxNWzYMAYOHMgrr7yS9bW3NH9LkOzSSy/lnXfe4fPPP6dfv35pn1tWVsaGDRsSHquoqMDlctGtW7fWvExJK/DlH5v079//RToiEoldFq+rTfj5098qttCVSCTtny8Me9MHizYQ7oBtLRLJ30GtL8jKyvhALnnWk0js02AIjPn8IULhyBa8mjgHH3wwZWVlTJkyJe3zZs6cyc4774zH42HgwIE88MADCb9v3m55yy23MGDAADweD3369OGyyy4D4LbbbmPo0KEt1t9999256aabUr7+a6+9xrhx41o87nK5KCsr07969OiR9n2IkqxKTVEUZsyYkZX1U1FaWprwfvLy8vTfvfLKKzQ1NTFjxgyGDBnCsccey3XXXceDDz6YUE0mgsj7GzdunFARVHujVYNkqqpyySWXMGvWLD777DMGDRpk+jcjRoxg9uzZCY99/PHH7LHHHuTk5LTWpUpagVpfkF/W1ug/z19VTZMhQyKRSMSZu2xTws/f/Nmy/VwikZijqmpClXNlg58/YlWaEonEGl8vr8QYY/56udybJBIRVFXFFwglfFU0+GkKhmkKhmkMhtlU72/xnGx8WQ2WOJ1O7rrrLh599FHWrl2b9DkLFizghBNO4KSTTmLRokXccsst3HjjjSkDRm+++SYPPfQQTz31FMuWLePtt9/WA2MTJkxgyZIl/PBDXF7kl19+YeHChZx11llJ16uurmbx4sXsscceLX63bNky+vTpw6BBgzjppJP466+/LL3/VEyaNCmhouv+++8nPz8/6TVkk0suuYTu3buz55578uSTTxKJxIOp8+bN48ADD8Tj8eiPjRkzhvXr17Ny5UpLryPy/vbaay++//77hEGKHYFWnW558cUX8+9//5v//ve/FBUV6RViJSUlesRz8uTJrFu3jhdffBGACy64gMcee4wrr7ySiRMnMm/ePJ577rkOGaHs6Py5qZ6ICn1L86jyBmgMhtlQ28TA7gVb+tIkknbH7xujLSzb9SxkWUUD5bVS408isUO1L8im+uhhbpseBSzf5GVDbRM79pZyDRKJVX7fEA0wx/emxi18RRJJ+6AxGGanm/63RV57yW1jyHdbCwMcc8wx7Lrrrtx8880899xzLX7/4IMPctBBB3HjjTcCsP3227NkyRLuu+++pIGt1atXU1ZWxsEHH0xOTg4DBgxgr732AqBfv36MGTOG6dOns+eeewLRFsMDDzyQrbfeOun1rVq1ClVV6dOnT8Lje++9Ny+++CLbb789Gzdu5I477mDkyJH8+uuvabvUamtrKSwsTPtvUlhYqD/n22+/5YYbbuCFF15Iq7+eKbfffjsHHXQQeXl5fPrpp1x11VVUVlZyww03AFF99+btppre+4YNG/SipWy9v759++L3+9mwYQNbbbVVtt7mFqdVg2RPPPEEQIte2+nTp+vGUl5ezurVq/XfDRo0iA8++IArrriCxx9/nD59+vDII49w3HHHtealSlqBjXVRJ6RPaS4el4O/Kr2UyyCZRGILbfDFbgO6sKyigQ0ySCaR2EKzpW4FbgZ2iwbJZNBZIrFHRX3UdoYPKGVZRQMba/2oqmpZ0FsikbR97rnnHv7xj39w1VVXtfjd0qVLOfrooxMe23fffZk6dSrhcBin05nwu+OPP56pU6ey9dZbc9hhh3H44Ydz1FFH4XJFwxMTJ05kwoQJPPjggzidTl555ZUW7ZtGGhujAfrc3NyEx8eOHat/P3ToUEaMGME222zDCy+8wJVXXplyvaKiIn788ccWj2+33XYtHlu9ejXjx49n0qRJnHDCCSnXXL16NTvttJP+cygUIhgMJgSrTjvttBZC/Ea0YBjArrvuCkTbU42Pi+i7Z+v9aYVPPp8v5TW3R1o1SCZSypmsBPPAAw9M+j9N0r7QHJGexbnkOKNBsmxMuFRVlSvf+JnKBj/PnbknbtffNn9CItliVMRsZ1j/El6fv4YNWZoW+8fGei565UfO239rTtizf1bWlEjaMto+1KPIQ1lJ9DC9IUvVLw/O/oPPftvIixP2pmuBOytrSiRtGS0hOrRvCf9ZsJZAOEKVN0C3Qo/JX6anssHPOTN+4IDte3DVoYOzcakSSZsiL8fJktvG6D8HQmH+2NiAQ1HoWZTLhrpGSnJz6N8tc6H7am+QygY//bvmkZvjJC/Haf5HSTjggAMYM2YM1113XYvqsGTB8XSxgP79+/P7778ze/ZsPvnkEy666CLuu+8+vvjiC3JycjjqqKPweDy89dZbeDwe/H5/2qKZ7t27R99rdXVazbGCggKGDh3KsmXL0r5Xh8PBtttum/Y5AF6vl3HjxjFixAhuu+22tM/t06cPP/30k/7zrFmzmDlzZoLwvdUhhPvssw91dXVs3LiRXr16pdR3h3hFGWTv/VVVRQe3ZEvnra3QqkEySedGOzj1LPLgcUYDWdnI1v+wspq3Fq4D4MfV1eyztRzoIOnYqKqq29Mu/UoBqG0M4guELJfLN+f8lxawotLLNTN/kUEySaegImZLvYpz6a0FybIQdN5Q28Qjn0YP3W8vXMeE/cx1WCWS9o4WdO7XJZ/uhR421fspr23KOEj2wMe/8/PaWn5eW8tlB21HjlMmRCUdC0VREs5wqgq5OU7cLgcleS5qGp24nI6Mz3kRVWWztwFFiU7M7FqQmW3efffd7Lrrrmy//fYJj++000589dVXCY998803bL/99i2qyDTy8vIYN24c48aN4+KLL2aHHXZg0aJF7LbbbrhcLs4880ymT5+Ox+PhpJNOSjsZc5tttqG4uJglS5a0uDYjfr+fpUuXsv/++1t418lRVZXTTjuNSCTCSy+9ZFpB63K5EgJTPXv2JC8vTyhYlYqFCxeSm5tLaWkpENV3v+666wgEArjd0WTdxx9/TJ8+fVq0YZoh8v4WL15Mv3799CBlR0EGySSthlb50qs4V89YZCNb/8I3K/Xv56+skkEySYen3h/Sx4Jv06OQArcTbyCq8bd1j/R6Aukor21khWEqWW1jkJI8OSBF0rHZqO9NHnoVR4Nk2UjgvPLdKv37xetr0zxTIuk46AnRYg9lxblsqvezobaJIX1LbK/pD4X5368b9Z+XltcxLJYgkkg6KsHYJMschwNXLCgczMJ0y1pfUP8+EMp8vaFDh3Lqqafy6KOPJjx+1VVXseeee3L77bdz4oknMm/ePB577DGmTZuWdJ0ZM2YQDofZe++9yc/P56WXXiIvLy9B1+rcc89lxx13BODrr79Oe10Oh4ODDz6Yr776ivHjx+uPT5o0iaOOOooBAwZQUVHBHXfcQV1dHWeeeabNf4E4t9xyC5988gkff/wxDQ0NNDRE9YON+uvZ5N1332XDhg2MGDGCvLw8Pv/8c66//nrOO+88Xaj/lFNO4dZbb+Wss87iuuuuY9myZdx1113cdNNNltvgRd7f3LlzOfTQQ7P7RtsAMi0jaTU21scdkWxl65uCYf73a7yE9IeV1RmtJ5G0B7SAc3Guizy3M94ilqE9fbgosRz7x1XSniQdn/jelEvvkughLxsaf+/8vF7/fr7cmySdgGA4wmZvvDJT25vKM9ybvlm+mSpvQP/5+xVVGa0nkbQHQuFoa6LLqeiVk8GwankSZXNqGuNBsqZghEgks/UgKh7f/Lp222033njjDV577TWGDBnCTTfdxG233ZZyGmVpaSnPPPMM++67L8OGDePTTz/l3XffTRDT32677Rg5ciSDBw9m7733Nr2u8847j9deey1h2uPatWs5+eSTGTx4MMceeyxut5tvv/02KyLzX3zxBQ0NDYwcOZLevXvrX6+//nrGaycjJyeHadOmMWLECIYNG8bDDz/MbbfdlqDVVlJSwuzZs1m7di177LEHF110EVdeeWVa/bVUmL2/pqYm3nrrLSZOnJi199hWkJVkklZDyy72Ksql0BOtgsnUEdlQ20TIcHP/cVU14YiK0yEFYiUdl42G9jCA3iV5+kS+TFhdlSiy+f3KKkbv0DOjNSWStk688iXXoEmWmS2FIyprq+OV0qurfGysa9JtViLpiFQ2+FFVcDkUuua79YToxgztaU2zvWn+ymrOzbwzSiJp0wRjgZ0cpwOXU0EBVFRCEZUcp30/x1g9pqLiC4QozBXvGkimH77VVlvR1NTSzo877ri0umErV67Uvx8/fnxCxVcyVFVl48aNnH/++ULXeuihh9K3b19ef/11Tj75ZABee+01ob81ctZZZ6UM7hmDg3PmzLG8tujrJOOwww7jsMMOM33e0KFD+fLLL229rpX399xzz7H33nuzzz77mF5Te0NWkklaDaNwf1mWWlrW10SdkEHdCyhwO6n3h1hR2ZDZhUokbZyNhtZl43+zZU9DY20xP6+pyWg9iaQ9oEsBGIT76/0h6puC6f4s/Zr1TYQjKi6Hwg5lRQD8JO1J0sExas86HEq8kizDvWldbG8a0jcqYP3z2pqM1pNI2gNaJVmOU8GhKFlpuVRVVf97TfrGF5PvaOtUVFTw4IMPsm7dOs4++2yhv1EUhaeffppQKNTKVyeBaGVb87bbjoKsJJO0Cr5AiPqm6A2qV7GHpmD0Br2pwU8wHLEtwKodnPp1ySPHqfDHxgY21PrZtmdRdi5cImmDVNTHNV+AePtypkGymEbgPlt3ZdG62qxNzJRI2jKaPfUqzqXQ46LI46LeH2JjXRNFFrLrRrSAc1lJLgO7FfDbhvqsTHOWSNoyxmQooCdEN9Rlpj9bXhNdd59B3Vi8ro6Ker/sGpB0eLRglhYcy3EqBMPRlku7hCMqkVhlUIHHRWMwrAfj2jq9evWie/fuPP3003Tp0kX473bZZRd22WWXVrwyicZ55523pS+h1ZBBMkmroE0Py3c7KfS4KHCDQ4GIClXegO0WlPWxg1Pf0jwiqsofGxvY1CAdEUnHpnklmRYs2xRz9u2i2dMu/Uuzsp5E0taJRNSEIBlAj2IP9ZtCVNTbT7hottSnJI8eRdmxT4mkrVNhGIIB0LMoalOZ702xKud+JShK1NGv9gXonuHETImkLaMFw3JiwWCXwwGECWVQSaYH3hwO3FkcBvB3kKkWm0SSCbLdUtIqaE5IjyIPiqLgcCj61LzaRvstLeWxypc+pXn6YUwLyEkkHRXdnmIOQjZsqSkY1oWRd40FyeqbQjS1kzJ8icQOVb4A4ZiuZffC6Gj00pg91WVgT5pT36c0l56xIJncmyQdHeNZD6A0P/O9CeL21L9rPt0KonYq7UnS0QlFEivJtMrJcAbBIj3w5lJwxXTN2kslmUSyJZFBMkmrUOOLOt+l+W79Me37TA5PWrtl75Jcma2XdBq08d1dCqIOSDZsSXNCCtxO+pbm4XFFtwNpT5KOTE3MlopyXbojkk176lNqqCRrkLYk6dho9tQlZkPZSOCEwhG99b9vaZ5ePSbtSdKRUVVVT+BowTE9SJbBNMpArGrM7XToe14o0j4qySSSLYkMkklaBe2ApB2YAIpj32uHKjtojkjf0rx4tl469ZIOTnN7yoYjoreHleahKIru2Et7knRkku1NJdnYm2L6gL1LZbulpPPQYm+KVZI1BSO2q5Ir6v1E1KgeU49Cj653Ju1J0pExBsKyGSTTWitznA69jTMTjTOJpLMgg2SSViGZI1KqOyIBW2uqqprg2EtHRNJZaG5PmdoSJFa+AHrQeVO91PiTdFzq0gTJslFJ1rc0Ny4FIG1J0sHRbEZLghZ5XLpjb7d92TgEw+FQdJkBaU+SjowWCHMo0cmWAK5sBMlC8SCZVkkWMVStSSSS5MggmaRViDsi8dkQmToitY1BGmOZybKSXHlwknQaUlWSeQNh2wKs62vjGkqADDpLOgVJEzix6peajPQyY5VkBuH+yoYAEemISDowdU2J9qQoCsW50XOfXXtab7AlyN6gGomkLaPpjhknuGan3TL6t26ngtMRD8BlMgxAIukMyCCZRKeirokD7v2cO95bkvFadU0hILkjYjdIpomMF3lc5OY45cFJ0qaZ/vUKRk75lEVrazNaJxJRdUdEy9YXG+zKrj1Vx+ypW0HUjmSQTNJWaQqGOfrxr5n44vyMg07NnXrj93ZtKRKbvAfQrdBNt0K3PpGvKoNqT4mkNfh06Ub2vPMTZi/ZmPFayYPOUX0yu+3L2t6kDdaIJ0Tl3iRpe1zw0gKOfvxrGvyhjNZprkdm/D6Uwb6nrxurItPF+2UCRyJJiwySSXQ+WFTO6iofz361goWrqzNaSy/Bz82eI6JlJTXNix6F0QqYOjmRT9IGufXdJayvbeLYJ77OaIx1vT+E9ueaPTkdCkWxbH2m9qQFr+MtYtIRkbQtflxdzc9rapi9ZCPv/Lw+o7W0IRhJEzg2nfr6priNlua5yXE66BoLFMigs6StcfeHv7Gp3s/EF+fTGMjs7JSsfbk407OebqOxIJlM4EjaKBtqm/jo1w38vKaGJ+b8mdFaejBLyW4lmfa3WutmjiPq+tvtQkiFoii8/fbbWV0zGQMHDmTq1Kmt/jrJmDFjBqWlpVvktVuDW265hV69ev1t/+/aGzJIJtFZUl6nf//g7D8yWqs1xJE1/SVtilJxngu3nMgnaYMYM4rBsMqnSytsr6U5IR6Xg9wcp/643iJmN1vv04Jk0hGRtG1+XhOvxrz/498zCjqn3Zsa7VV9aVVkBW6nvidJe5K0VTZ745/zl79dZXsdVVVbRX+2Wj/raQmcWPuytCVJG+PntTX69899tcJ2ogVSVJIpmQXJohMzIwnr2qkkq6io4Pzzz2fAgAF4PB7KysoYM2YM8+bN059TXl7O2LFjbV1na9LRAltGNm/eTL9+/VAUhZqaGkt/u3TpUm699Vaeeuop2//vZs2axZgxY+jevTuKovDTTz+1eI7f7+fSSy+le/fuFBQUMG7cONauXZvwnFRBurPOOovx48dbvq5sIYNkEh2jI/LzmpqM1krviGSWXdSCA4oSF3SVo8ElbYnF6xJbLH8xHKSsksyWjD/X2nTsa2OOiObQSFuStFWM9rO2ujHBybdKc6FxiFesZF6V6dYfk0EySVukoq5Jl64A+CmDvakxGNan5BVnUVqjtlmVs7QlSVvFuDc1BSP8UVFve610mmQRVSViIzkUVlW0v9ICbpp4vxVNsuOOO46ff/6ZF154gT/++IN33nmHUaNGUVVVpT+nrKwMj8dj+Rol9jnnnHMYNmyYrb9dvnw5AEcffbTt/3der5d9992Xu+++O+VzLr/8ct566y1ee+01vvrqKxoaGjjyyCMJh9t+B5gMkkkA8PpDLDPc3OuaQhlN+kqnU5F5CX72DmMSSWvQPMi8trrR9lrJ2lkg2tYF2Wu37FIgbUnSNsmmPSULkmVelRlIWCf6fWb2KZG0Bj8308jMbG+KVkw7HQoF7niVc6bSGro9xfY4rXug3h+SYuOSNoWxuABgbbXP9lrpNMmMv7ezpkNRcDjsTcysqanhq6++4p577mH06NFstdVW7LXXXkyePJkjjjhCf56xGmjlypUoisIbb7zB/vvvT15eHnvuuSd//PEHP/zwA3vssQeFhYUcdthhbNq0SV9j1KhRXH755QmvP378eM4666yU1/fggw8ydOhQCgoK6N+/PxdddBENDQ0AzJkzh7PPPpva2loURUFRFG655RYAAoEA11xzDX379qWgoIC9996bOXPmJKw9Y8YMBgwYQH5+PscccwybN28W+jczMmrUKC677DKuueYaunbtSllZmX4NmfDEE09QU1PDpEmTLP/tLbfcwlFHHQWAw+FAMbT4WuH000/npptu4uCDD076+9raWp577jkeeOABDj74YIYPH87LL7/MokWL+OSTTyy9lvaZav41atQoW9cuggySSYBo5UtEhd4luXQtiB5I1mXBsU+aXbRZgt+83RLihzG7o8YlktZAK8HfuU8xkB2nvkUlWaaOvVdz7GPty7kySCZpe1TUN7G+tgmHAjv21uzJviOSTri/vilkyxGpbVblDOgT/qQ9SdoSWsBZ25vWZWBLxr3J6GSVZkmTTLMnTX8TojYqkbQFIhG15VmvyuJZT1Uh4IWAl4jfixL04Qz79MeUoA9XuBEl6CPc1KA/LvoVbmrQ19Aec4R80fXCYntdYWEhhYWFvP322/j91qo5b775Zm644QZ+/PFHXC4XJ598Mtdccw0PP/wwc+fOZfny5dx0003W/s2a4XA4eOSRR1i8eDEvvPACn332Gddccw0AI0eOZOrUqRQXF1NeXk55ebkeVDr77LP5+uuvee211/jll184/vjjOeyww1i2bBkA3333HRMmTOCiiy7ip59+YvTo0dxxxx22rvGFF16goKCA7777jnvvvZfbbruN2bNn678fO3as/u+c6svIkiVLuO2223jxxRdxOKyHciZNmsT06dMB9H8XgFdeecX0Ol555RXh11mwYAHBYJBDDz1Uf6xPnz4MGTKEb775xtI19+/fX7/W8vJyFi5cSLdu3TjggAMsrWMFl/lTJJ2BlZu9AGzfq4hqX4Aqb4C11T52it34rZKu3TJblS8Qd+xlkEzSllhRGXU8DtqhJ7+ur8vIqU9W+QKZafyFI6o+gVazp2JDwFlVVduZJYkkm6zaHLWdfl3yGdyrkKXldRkGnVtOXjZ+X9cYpEuBu8XfpaPGlxhwBoM9Ncm9SdJ2WBE762l7U2VDgMZAmDxDJZgoqRI4xRnsTcZ1NXtyOR0UuJ14A2Hqmqzbp0TSGlT5AnrQdvRg7axncW8K+uCuPgD0jX01Z6cMrjEfGNrssR6xr5Xn/wkUmK7hcrmYMWMGEydO5Mknn2S33XbjwAMP5KSTTjJt9Zs0aRJjxowB4J///Ccnn3wyn376Kfvuuy8QbRecMWOG1beVgLHybNCgQdx+++1ceOGFTJs2DbfbTUlJCYqiUFZWpj9v+fLlvPrqq6xdu5Y+ffro1/rRRx8xffp07rrrLh5++GHGjBnDtddeC8D222/PN998w0cffWT5GocNG8bNN98MwHbbbcdjjz3Gp59+yiGHHALAs88+S2Oj2GfH7/dz8sknc9999zFgwAD++usvy9dTWFio67QZ/13GjRvH3nvvnfZve/XqJfw6GzZswO1206VLlxZrbNiwIeGxk08+GaczcR/y+/16taLT6dSvtampifHjxzNixIisVOWlQgbJJABUNkQP+T2LPBR4nPyytta2I9IUDOMPRUvii5OIudY2BolEVL30V5SaZkLj0fWjH+E6mV2UtCEqY7pew7eKbgwb6poIhCK6qLcVUjkimWTrjUFlbR0t4BxRwRsIU+iR24Nky6OJdfcs8tC3Sx6QnSpnoz3lOB0Uelw0+KMyA1adcH0IRl6yBI7cmyRtB82etulZSJHHRb0/xLqaRrbtWWjyly1JlcDJtNU4WftycV5ONEgm7UnSRtDOeV3ycxjUPRpsWltjPyH6d2Olavq4447jiCOOYO7cucybN4+PPvqIe++9l2effTZtK6QxiKYFV4YOHZrwWEWF/cFWAJ9//jl33XUXS5Ysoa6ujlAoRFNTE16vl4KC5EHAH3/8EVVV2X777RMe9/v9dOvWDYgK2x9zzDEJvx8xYoTtIJmR3r17J7zvvn2ThUeTM3nyZHbccUdOO+00y9dhRlFREUVFRVlftznJEvEPPfRQi7bNf/3rX0m1y8455xzq6+uZPXu2rUo6UaQXJAHigqjdizx0icTaLWvsOSKaE6IoUGRwtLWDVESNaks0d/rNqG4mNA6ykkzS9ghHVF0YeceyYjwuB/5QhA21TQzolm95PXPhfuuffc2WijwuXcQ1N8dBjlMhGFapawzKIJmkTaA5It0LPfTrErWfbFRmJrOnBn/I1mCZ5kLjYEzgyL1J0nbQ7KlHLOj824Z61lb7MgqSpdqb7NhSJKImt6fcHMprm6Q9SdoMlfXRc1SPIg/9Ygkcy8UFOflw3XoA/qxooDEYZquu+QmB5xWVXhr8IfqV5llO4FQ2+CmvbaIkL4cBXaP7Z0NTiBWbvbgduZbWys3N5ZBDDuGQQw7hpptu4txzz+Xmm29OGyTLyYm/Dy0o0vyxSCSuM+hwOFpMrw4GU9v8qlWrOPzww7ngggu4/fbb6dq1K1999RXnnHNO2r+LRCI4nU4WLFjQonpJa23MZIp2c4zvGVq+77FjxzJ37ty0a2g6a5999hmLFi3izTffTLjO7t27c/3113Prrbfavs5XXnmF888/P+1znnrqKU499VSh9crKyggEAlRXVydUk1VUVDBy5MgWz912220THisqKmoxtfOOO+7go48+4vvvv2/1gJ70giRAfKJd90IPObHxwHYdET27mJuTUC2Wm+PUAwZ1jUHLQbLkjohsaZG0Lap9AT1D163QTd8uefy1ycvaGp+tIJn22U7VbmknSKY5LyX5iYeVkrwcKhsC1DUF6UOe5XUlkmwTT+C47TsiMULhCA3+lu2WELWvdTWNeuukFaqlXqaknaDZU49Y0DkaJLNnTymrnDPQn61rCqL5pppwP8SDzlLjT9JWSEjgxAJQ62sarXXKKAq4o9VOIVcYlQjO3EJwx91zhwfUSJCwKw/c1iYQhl1O1BwHDo8b3NFrdBJCzVHJdLbgTjvtpAv1Z4sePXro+lgA4XCYxYsXM3r06KTPnz9/PqFQiAceeECvKHrjjTcSnuN2u1tUIw0fPpxwOExFRQX7779/0rV32mknvv3224THmv+cLay0W86cOTPhuT/88AMTJkxg7ty5bLPNNhldR7bbLXfffXdycnKYPXs2J5xwAhDVQFu8eDH33nuv5eubOXMmt912Gx9++GHG71UEGSSTAPES/O6Fbr2CxO7BKZkwskZRbg7+Br8t8dWk7ZYxQVdZgi9pKxhL8HOcDvp1yY8GyWw7Ismd+qJYFWWDDVvShMaNTj1EA9uVDQFpT5I2w6aYFICxkmxdTaMt3TzjvmMUAzf+7PVbdx2STV7Wq5ylFICkjeAPhfXPY9SeMgs66wOamtmSdoZsyMCWCtzOBHkCGXSWtDX0BE6hh15FHlyOaCV+Rb2fshJrVVqQfLql8eewjcqmdBMzRdstN2/ezPHHH8+ECRMYNmwYRUVFzJ8/n3vvvZejjz7a8jWl4x//+AdXXnkl77//Pttssw0PPfRQi0oiI9tssw2hUIhHH32Uo446iq+//ponn3wy4TkDBw6koaGBTz/9lF122YX8/Hy23357Tj31VM444wweeOABhg8fTmVlJZ999hlDhw7l8MMP57LLLmPkyJHce++9jB8/no8//thWq6UIVtotmweHKisrAdhxxx11nTG7WG23rKqqYvXq1axfH62G/P3334FoVVhZWRklJSWcc845XHXVVXTr1o2uXbsyadIkhg4dmnIiZioWL17MGWecwb/+9S923nlnXdPM7XbTtWtXS2uJIqdbSoCWJfgQzYjYIa5T0TIGqzkiWjbfCsl0KrRKGJldlLQVjCX4AH1Lo4eljO2puSMS+7k+S7YEUJThcA2JJNsY96Y+MVvyBcK2PqPa3xS4neQ4E48/Rbpjb6MyM0klWbF06iVtjM2xgHOOM1o13Lc0etYrr81uJVlhBrZUnWQIBhiDztKeJG0D497kcjroVRzdn+xI1aiqSiRFkMwRSwZFMgiSuZIEyYyvmY7CwkL23ntvHnroIQ444ACGDBnCjTfeyMSJE3nssccsX1M6JkyYwJlnnskZZ5zBgQceyKBBg1JWkQHsuuuuPPjgg9xzzz0MGTKEV155hSlTpiQ8Z+TIkVxwwQWceOKJ9OjRQ69gmj59OmeccQZXXXUVgwcPZty4cXz33Xf0798fgH322Ydnn32WRx99lF133ZWPP/6YG264IWHtlStXoigKc+bMyeq/QzZQFCXjoQhmvPPOOwwfPlwX1z/ppJMYPnx4QqDyoYceYvz48Zxwwgnsu+++5Ofn8+6777ZoczVj/vz5+Hw+7rjjDnr37q1/HXvssVl9T0ZatZLsyy+/5L777mPBggWUl5fz1ltvMX78+JTPnzNnTlJjWLp0KTvssEMrXqlEE+7vUejRA081jUHCEbXFDdsMLXuYTNPI7uEpFI7oVQBJNcnkwUnSRjCW4AN0jWlIVHutt54AeGNBsOaVL5k4IskqX8BYmSntSdI2MNqTx+XUBfarvIEWjrQZWnKmMDfJ3qQFne1UOSfVUJK2JGlbaLbUrcCDw6Hoe1NVhntTc3vS9qqmYIRgONIiIJ2OmhSBt3jQWVZmStoGm5qd9boVullX02jrrBdRQQtXOZQUlWQWhPY1QnrgLW6DxvXDqoqD9D6ex+NhypQpLYJPzTFqeA0cOLCFpteoUaNaPHbWWWclaJrl5OQwbdo0pk2blvJ1Vq5cmfDzFVdcwRVXXJHw2Omnn57w8xNPPMETTzyR8FhOTg633nprWg2vCRMmMGHChITHrrrqqoRrKS0tZZdddkm5RrIAWjbbVJP9u65cuRKXy6VPEU3G+PHjM9Zda/7/Lxm5ubk8+uijPProoymfk+o6jEE+kdfKNq1aSeb1etlll10sR5p///13ysvL9a/tttuula5QAtESfC0j2L3Qo+tAqKq9A75+cEoTJLPqiBirBhJaWmS2XtLGMJbgQ7y6RJuAZxXNngo8yR0RO+2WmiPSvN2yRGr8SdoYze1JC0TZsadUtgTGoHN2pAA0W6r3h2w5NxJJtjHq+wF0KYglRO3uTYHkZz2jfXkt2pMuBVCQPIEjq5wlbYVKXQogak+l+lnPTpAsvkc0r0vQK8kiWCZZu6WiKBkF3iRxPvroI6677roEUfq2wEcffcR5550n4ycZ0qqVZGPHjmXs2LGW/65nz54Z99VKxNFK8F2OaAm+w6Ho2fpqX8DyNJW0johN3RftYGScxgdS90XS9mheSZbJwQniTnu+O1UlWciyPpMmqCyz9ZK2jKqq8ZYWQ9B5bbU9gX3NqS9wp96brAadIxE1qQ6nphmorVnSrLVZIvm7yf7eFD3HNd+bcpwOcnMcNAWjHQBWKj5rzPYmmcCRtBHiQWdtb7IfdNbaHh2K0uIsp7k8mbRbttA5UxTCqDJIliF33333lr6EpFxwwQVb+hI6BG1Sk2z48OH07t2bgw46iM8//zztc/1+P3V1dQlfEmsYD07aRJbMsvXJD05gX/dFqzxr3nKm6Z7VNQazOq5XIrHLJoNOBWR2cIKo/hK0zNZrTn0wrOIPWUsxprQn2b4saUN4A2GagtHPtlb9ko29qcDTUguj0G2vkswbCOnT+Iz25HY5yMuJvo60J0lbwCirAfFKYtt7k941kMSePLHBMhbtSXt+kad5JZnsGpC0LZIlcCCzSrJk8jZaJZkt4X5t3RQtnHYCbxJJZ6FNBcl69+7N008/zcyZM5k1axaDBw/moIMO4ssvv0z5N1OmTKGkpET/0gT3JOLoQbKieLYvfniyn61PenCyma3XD065yQ9OoYhKYzDTgcYSSeY0L8HXKjEzrSRr7tgbq2GstrTUp7KnPKmjJGk7aFOXC9xOPemSicZfWikAm0NltICz2+kgNyfRRjV7ki1ikrZA88qXrrFzXoM/RMBiokX7O0jeNWB3SJNpQlR2DUjaAJGIqmv5xROi9qU1wrFYVXM9MjAEtGxUfcWHASRfU1aSSSSpadV2S6sMHjyYwYMH6z+PGDGCNWvWcP/993PAAQck/ZvJkydz5ZVX6j/X1dXJQJlFtGl8Wgk+GB176zf7dAcn7TGrE/m0g1Nzgdh8txOXQyEUUalrDCWtXhMlFI7g9YdlW4wkIypblODbz9YHwxHdeWnu2DsdCgVuJ95AmAZ/iG4G+zWjIYU9aUHnbDj1tb4gBR5nQnu0RGKFeALHsDdlkK1P1boM9jXJ0g0DKM7NYWOdP+Ogs6pGHTIrNi6RNKd5u2VRrguHEhUNr/EF6BmbzieKlhDNpj3Vp7Cn4ixOXq5vCsZaQq1NV5NINKp9AT3ApCVu4hp/NirJ9HbLlr+zW0kWUVW9UiybwwCaEwpHcDpatolKJO2dNu+97LPPPixbtizl7z0eD8XFxQlfEmtohw7j1Mh4i5j9bH1S3RePvUqy+li7SvNAgaIoWTk8hcIRTn/ue/a4czYrKr2215FImtuTZkt2svU+g3ZfMkekwOYgjHp/XOPPSLaE+xesqmKfKZ9y/ksLMlpH0rlJtjdl0m6ptS6nrXzJ0t4E2bOnybMWsfsdn/DlH5syWkfSuWluTw6HYtAls2FPaSaZa5XP1u0pebVnttot11b7GH3/HI54ZK6tyhyJBBJ1krXprZot2ZkWqwezkkTJ4pVkFtc0fL6br6sH3jK0gU31TSwpr2OzzQm5Eklbps0HyRYuXEjv3r239GV0aLQDfHFCkMz+zT6u+5K9Evx4u2WybL1Whm//8DRtznLm/bWZYFiVjogkI5rbU3Fujp4dtBp0bgjEW7ncrpa3a7stYg0pW1oyF+73+kOc+NS3NAbDfPpbha02HokE0u9NmSRwsqmhlMqph+zY0/u/lPPaD2sAeO+X9bbXkUi0VsXipEFn+5WZSTX+7GqSaTbfTAogGwFnVVW5/LWfqGwIsHyTl9VVPttrSTo3yWwpI+H+FNphkFklmfb3KSvJMtAk8wVClNc2AfEBcBJJR6JV2y0bGhr4888/9Z9XrFjBTz/9RNeuXRkwYACTJ09m3bp1vPjiiwBMnTqVgQMHsvPOOxMIBHj55ZeZOXMmM2fObM3L7PRomTnjoSQzceR0B6fsOvXRxzLPMP77u9X690vWy+EPEntEIqr+2dbsyRGbGlvtC1LtC1pqaUlnS2AYhGFT4695S0tRFgLOX/1ZSciQnVxWUc/OfUpsryfpvGjBpeR7UyZOfWpNMqtVmekSONmwp1e+W6V/L6ucJZlQrweg4p/VaNDZaznoHApH9IExyboG7FZmmu1NTcGoBEGypJEZf1Y0MH9Vtf7z4vW1DOxeYHkdiUSzpaIWtmRvbwrHconJNMkcsY+6GmufTPactGumqU7LpJLMqAsajqiWp6xLJG2dVg2SzZ8/n9GjR+s/a9phZ555JjNmzKC8vJzVq+PBiUAgwKRJk1i3bh15eXnsvPPOvP/++xx++OGteZmdnno9I9LyZp+ZcH/2dSqaC41DPIDgDdgT7m8KhtlQ16T/vHh9ra11JJKGFJPuuuS7Y0Eya/bkTaOhBPYryepSVL9oP/ts2hLA6s2J2flf19fJIJnEFmkdEW8GCZykUgCx9rAsCY1DPBjn9du3p1UGe/p1fR2RiJrU6ZFIzNCCzsZzlFb9UmXRnoyf6aRB50z1Z5utaXwNXyCE2+XGKqua7U2L19Vx5LA+lteRSNIlcGp8QcsBo3i7ZcvfGavLIhEVh1MwSCZQnZZJt6VxqnooEiEUVslxyb1J0nFo1XbLUaNGoapqi68ZM2YAMGPGDObMmaM//5prruHPP/+ksbGRqqoq5s6dKwNkfwN1uiOSnWx9Osfe7nTLdC0tmsPjs3gY01hbnXhw+mNjPf6QnJQpsY5Wzeh2JYoCl9rU+POm0XwxPm7FEfGHwnoLZPOgc747FnC2aUtAixaWX9fJoLPEHslbWuxn671pNMmM7WGqhRaUhrR7U9SefAF79hQMRyivbdR/9gXCrNgsq8kk9oi3L8c/q6U27ckrKgVg86zXPOicY3gduwnRFnuTTIhKbJLMljQB/0A4YjnRmEpgH6Lay3ZaLlNNtoR4MC4TXb5AOFFKozGY+j3PmDGD0tJS26/V0fD5fBx33HEUFxejKAo1NTVb+pIkSWjzmmSS1idZRiSTiXzpHPsi27ovqcWRC2xWp2msqYo6ITuUFVGSl0MwrLJsY4OttSSdm2S2BPHDk9X25XSaL2Bw7C04IsYKgFSVZP5QhFDYnpaY5oiM2LobAItl+7LEJnEpAEMlWUFitt4KaaUAYq8Rjqg0BcU/++mrnDPbm9bXNBJRITfHwS79SwFYLIPOEhs0BePJEWPQWdubrCdwzPYm7bNvb89LWpmZYRJnTSwhOnKb2N60rtbyPUQiAWPrctyW8nKceiDXatA5HtBKXokVF++3ECRLE3hz6pVkYutVVFRw/vnnM2DAADweD2VlZZxz0jH8vOB73dbTBclOPPFE/vjjD/3nGTNmoCiK/tW7d29OOOEEVqxYIfz+tjRff/01LpeLXXfd1fLfvvDCC8ydO5dvvvmG8vJySkqsd1vceeedjBw5kvz8/JQByNWrV3PUUUdRUFBA9+7dueyyywgE4p/NOXPmpAzSDRw4kKlTp1q+ro6EDJJJ0mZEMqkkS+eI2NWpSN7SomXrM8suDuiazw5lRQAs3ySDZBLrJNN8AfvZeq0CJVnlC8TtwYrToF1jvtvZ4kBmrP60m63XHJFDduoFSFuS2CdeVdIygWMnW5+u3TI/x4nmS1gJaukJnKROfWbty9re1L9LPjv11vYmWUkmsY5mS4oChW5jJZk9/dkGEykAO0OaVFU1nPVaBp2117IdJIvZ00E7RvcmTSdUIrGKnhA1BJwVRbEt3h+OxapSddLHK8ksrJkm8OawGCQ77rjj+Pnnn3nhhRf4448/mDnrbfbYZ1/qamv0vc+fJrmUl5dHz549Ex4rLi6mvLyc9evX8+9//5uffvqJcePGEQ63/U6e2tpazjjjDA466CBbf798+XJ23HFHhgwZQllZmS0tt0AgwPHHH8+FF16Y9PfhcJgjjjgCr9fLV199xWuvvcbMmTO56qqrbF1zZ0QGySRJHRG7BydVVfUy/HQ6FVHtJustLcmzi7GDk82WljWGIJkmqr6p3m9rLUnnRmsPK8pLPODbPTilc+rBnsZfOg0lt8tBTkzvwk6LWCSisjZWmbnHwC5A9D0HbValSTo3yRI4+W4nbqe9bH26dkuHQ9GDB1bsKV27Zb4ns8oXPUjWNZ8eRdG9qbJB7k0S69QZqvGNmnZ29We1wK+ZFECDBT2+xmBYd+zTadpmGnTetmehfsaV9iSxQ10SvUywLwegVYilEuV32miPDKepJNODbgJHs5qaGr766ivuueceRo8ezVZbbcUuu+3BOZdcycGHjtX346rqKs477zx69epFbm4uQ4YM4b333gOSt1sqikJZWRm9e/dm9OjR3HzzzSxevJg///yTCRMmcOSRRyY8PxQKUVZWxvPPPy/8b6AoCs8++yzHHHMM+fn5bLfddrzzzjvCf5+K888/n1NOOYURI0ZY/ttRo0bxwAMP8OWXX6IoCqNGjbJ1DbfeeitXXHEFQ4cOTfr7jz/+mCVLlvDyyy8zfPhwDj74YB544AGeeeYZ6uqsdXg0r/zTvm655RZb195ekEEySdKWFi1gFghFLOlzNQUjuhBkuiCZqlo76CQL5GnkezLMLlbHHZFusQq6zV45zlhinWS2BPHPbb3FKXeag5Gqkkx73MpEvnT6fsY17dhTRb2fQDiC06GwQ1mxnsGskvYksUGyycuKouiOidVJlNpnOqVjb6PSOT7NNvV+Zz+BEw049++SR4/C2N4knXqJDfQBTc3OUPEJrPaq+03bLS3seZrdOZS4PqaRfJvDNSCawDXaU/dCDyCDZBJ7mNmT5SnJDQ34fN6ESrJAIIDX68Xv9ydUfnm9XrxeL5FIPMIVDAbxer00NcWHkEUiKj6fF3+jr8VzdU0ygWKFwsJCCgsLefvtt/H7o/ai6ZHlOBVcDoVIJMLZJx3LN998w8svv8ySJUu4++67cTqT3x+SkZeXp1/fueeey0cffUR5ebn++w8++ICGhgZOOOEE4TUhGkw64YQT+OWXXzj88MM59dRTqaqqavH+Un2NHTs2Yb3p06ezfPlybr75ZkvXoTFr1iwmTpzIiBEjKC8vZ9asWQBccMEFptdiHHZoxrx58xgyZAh9+sSHk4wZMwa/38+CBQssXfOJJ55IeXm5/vXqq6/icrnYd999La3T3mjV6ZaStk8kotIQaFk2bHQi6ptCeArFbnTGw0t+Tsu/yc1x4HQohCPRsvpUzn+qddOKI9ucILY6dnAa0DVff51KWUkmsUEynQqw74jEnfoUjoje0mLBEdHWTBJwhmjVWo0vaGsin5ap71uah9vloGuBm031fjbV++kVq9KUSESpS5EcKcp1sdkbsO6IaC1iKexJDzpbsCc96JwkSBZvD7PZumyoJOumO/Uy4Cyxjh5wzmtuS1oCx97elOoMZ6fK2Th1OVn7Ubx92XqQrLIhQGMwjKJA3y55dCtw8yfSniT2iNtTdhKiQweVAbB81Tq6FESDGvfddx833HAD5557LjfcPRWItlD27dUTn8/HihUrGDhwIACPP/44V1xxBaeccgqvvPJK9LkqHD5iF6qrNrN48WJ23nlnIFoVdPpZEwCxIJnL5WLGjBlMnDiRJ598kt12243d99mXAw4bx4g9dsPpdPDt3Dn8snABS5cuZfvttwdg6623Fn7/a9eu5b777qNfv35sv/32uN1uBg8ezEsvvcQ111wDRINTxx9/PIWFhcLrApx11lmcfPLJANx11108+uijfP/99xx22GEA/PTTT2n/XgveASxbtoxrr72WuXPn4nLZC6F07dqV/Px83G43ZWVl+uO33XYbkyZNSvu3xoCXGRs2bKBXr14Jj3Xp0gW3282GDRsSHu/Xr1+Lv/f54oNO8vLy9H+H5cuXc8kll3DXXXdxyCGHCF9Pe0QGyTo59f4Q2j3SWDbsdCgUelw0+EPUN4X0rJsZ8fYwZ9Ix9YoSXbe2MUh9U4hexYLXmU73JcNs/fqaaJCsX5c8NtZFszCykkxih/g0vlQHJ4uOSEBz6lPovthwRLSAWrLKFzBMuLRhT0ZbAuhe6GFTvV/ak8QW9UnaLcGeI6Kqqnklmcd6JVm9vmYS4f4Mp1uu0+0pX9cJlZVkEjukag+LV75kWQogg6rMZB0DEK9asxN01vamXkW5eFxOuhdFz7TSniR2iNtTdirJNFJJU1nVEIP0rZm6cH8kujeaaWIdd9xxHHHEEcydO5d58+bxznsfMO3hB3nwsSe4cOI5/L5kEb1692G77bYTvr7a2loKCwtRVRWfz8duu+3GrFmzcLuje925557L008/zTXXXENFRQXvv/8+n376qfD6GsOGDdO/LygooKioiIqKCv2xbbfdVmidcDjMKaecwq233qoHArNJz549W+i2ZUqy/6/J/n/PnTuXoqKihMeStYHW1tZy5JFHMnbsWK6++uqsXmtbRAbJOjlaNsTjcuBxJWbXi3K1IJn44cnMqQf0IJmoY58o5ppauN/OwSkUjlAb+zfoVuiRJfiSjEjWHgaZOyJmrZGWnHrhdkvr9qQFw7Sql+6xFjFZmSmxiqqqujhyNhwRfyi9FADY1fhLHnwwvo7dSjKtTbl7oZsusSCZrHyR2CFVe1ix3dblNPp+xsfrs2RLYNCftdFuWaXvTVE76q7bk9ybJNbJdvvy/D/XEwxH6Nmzh/7Y1VdfzeWXX47L5aKyMdreGI6gB3iMFU4XX3wxEydOTGhvDEdUPpj3M31K8ujXo1R//KyzztLbLVVUVDV1cM5Ibm4uhxxyCIcccginX3QVV116IffddQeXnn8uubnRawlFVF3X1oyioiJ+/PFHHA4HvXr1oqCgIOH3Z5xxBtdeey3z5s1j3rx5DBw4kP33319obSM5OYn/jxRFSWg/NatM23///fnwww+pr69n/vz5LFy4kEsuuQSASCSCqqq4XC4+/vhj/vGPf1i+Po0LLriAl19+Oe1zlixZwoABA4TWKysr47vvvkt4rLq6mmAw2KLCbNCgQS0045pXyoXDYU488USKi4t55plnhK6hvSODZJ0c/Uaf1zJzV5TrorzW2uFJcwZSOeBgmEYpeNDxBcK6c1OUJFufn4Fwf00sqKEoUJKXY8guSkdEYp1Uovj2NZRijkgSfRYwBIht6PulDpLZr37RxJ+7xkSRtaDzZq90RCTW8Iciuu5JS40/60FnMykAMCZcsiPcH7dPe1UFmvhzlwK3bksN/hBNwTC5Kd6DRJIMs/awBn9IqKJEI90UczDo8VlYN50tgWEQhg3hfs2WtIpMPSFaL896Eutku90yNy+fnIiK0xGXCne73XpVlaMpWgkZUdUWwSSIBoKaB4PCqkp+fgHFRfk4DOvm5OQkDE6LqCoOrE1XDEdUtt5uMF/M/gBFUdhhpyFsLF/P0qW/M2zIjkJrOByOtFVc3bp1Y/z48UyfPp158+Zx9tlnW7pGUUTbLYuLi1m0aFHC76ZNm8Znn33Gm2++yaBBgzK6jmy3W44YMYI777yT8vJyevfuDUTF/D0eD7vvvrvl67viiitYtGgRP/zwA7m5nUM+RQbJOjn69LAkmTs7LWJmB6fo76xl67XnOR0KuTktZ03oE49sZOurY9nF0rwcnA5FF+7f1OC3dGCUSMA4ja95tj7miNhstzSrfLHk1Ju0tGSio6Rl67Wql26y+kViE80JcSgtW7r0vcnC516zkfwUUgBgbN0X/+ynq3LWbMnO3hQMR/S9t2u+m+JcF26ng0A4QmWDn35d8i2vKem81KXQy9T2kHBExRcIW9aJNaski6jRgLdIUFdvXU5VSaaf9exXkmnTB7vJBI4kA+pMKsms+E2qquqtkc4Ue1O8PdJ6u2Uq6RuHohBRVdMWzs2bN3P88cczYcIEhg0bRlFREe998iUznnyEI488CoAR++3PbnuP5OSTjmfqQw+x7bbb8ttvv6Eoiq79ZYdzzz2XI488knA4zJlnnml7nXSItls6HA6GDBmS8FjPnj31SZ6ZYrXdcvXq1VRVVbF69WrC4bAe7Nt2220pLCzk0EMPZaedduL000/nvvvuo6qqikmTJjFx4kSKiwW1jmJMnz6dadOm8dZbb+FwOHRNM22gQEdFTrfs5GiOSDKHWTs82cnW56fQqTCuK5pdN5bgJwtaaRpKdiYeNT84adnFQChiaz1J5yaVI5Kx7otZu6WNlpZUjoidwJuGXvmi2VORbF+W2MMo4t38kG/HEfGaTIrVXiv6XLF1Q+GIPqU52R6qvVYgHCEQirT4fTo0W1KUaNBdURS9VUwGnSVWibeHJX7+891O3TG3so/4TLoGjNWaouumm2IOhnZLG5WZ8b1Jq3LWEqLSliTW0AaPQbKuAesJUVUFLUyVIkam74FhC5pk2nOdKZL9ms5Z2GTJwsJC9t57bx566CEOOOAAhgwZwiP33MmxJ5/Bw488CoDLofDgUy+y6257cPLJJ7PTTjtxzTXXEA7bkxrQOPjgg+nduzdjxoxpUUU1Y8aMNlnIMGfOHBRFYeXKla36OjfddBPDhw/n5ptvpqGhgeHDhzN8+HDmz58PgNPp5P333yc3N5d9992XE044gfHjx3P//fdbfq0vvviCcDjMuHHj6N27t/5lZ632hKwk6+SYtVsanyOC1qKVtt3SrTn2YjdP7fVTCcTq2cVMDk6xipc8t5MCtxNvIExlQyDlYU0iSUaqdstCQ4VKOKKmzBY2p8HEsc+spSV5Zj8T4X5ZSSbJFqmqMiE+sMKOXmZ6KQBrQWdjtWWy6ul8Q5u0LxDC7XILrQtQ44u+N63KGaJJnPLaJik2LrFMqumWicOUgsJTiLWp6PkppAAcDkU/S3n9YsOfhPcmO10DMXvS96ZCKdwvsYcxANbcR9A1/ixMSDYGvhwmAS0LhWRoslupKqcdDiBiXp3m8XiYMmUKU6ZMiV2DyuJ1tQAUFkQrml0OByVdujD18afoUdTS1s866yzOOuuslD+norGxkZqaGs4555wWv1u5ciUHHnhg2r9XkwQVa2pqTF9XlFtuuYVbbrmlxXVtu+229O3bN+XfTZ06NePXnjFjBjNmzEj7nAEDBvDee++l/P2oUaOS/hsBCUE+kdfqiMhKsk5OttstzZx64+9Es/VmOmd2WmQ0qryxg1N+3HmRU48kdknliBgPUlYyjPGgcyoNpXhLS1NQrFLFzEbjQWc77cvR99+1eSWZFO6XWCTVEAywuzeld+rBeiWZFijIcSotBt9EH3fgdkWPWVb3p+YBZ8BQSSbtSWKNVO1hYE9s3KzK2fg74aBzIH1CtDCThKg3UZOsh2FIUyonUSJJhuY35eU49fu7RrwDR/wzqrU7OhQlZaJT08K31G6pV5Il/72diZkQraQDUIi3h7piLxKKWKuYTkUkEmH9+vXceOONlJSUMG7cuBbP+d///se9996bldfLJh999BF33XVXC404SftDVpJ1ctJNzyu20SIWXy+dJpk1ceQGE50zTdQ8EIoQDEfIcYrHfuNirvGbWbcCN6s2+6QjIrGMHoBqdsh3uxx4XA78oQh1TUFK8sU2T69J+3Lzlpa8NAGA5mumDDq7rTk2RuKVmbGWlgKp+yKxR7rkiL12SwGn3mKlik9gzUKPi6pQwHL7su7UGxM4umMvKzMl1kinIRYNOjfasifTysx6v7A9mdlovsWgm5GWmmTR/zYFI5a02CQSc1uyGCTTKr7SdAJYbbdUDVpj5tVp9oJkTodDD+q5YtcXMuvdFGT16tUMGjSIfv36MWPGjBaTFgHmzZuXldfKNq+99tqWvgRJlpC7QicnXVWJLUckkN6pN76WeEuLycHJ8Fo+f5iSfAtBsiTZ+q66Yy8dEYk10rUbF+Xm4G/w26p+SeWIOBwK+W4nvkA49trmLS0+k2y91emzGqqqtpgg1jXmiFR5A3IQhsQS8aEVLQO/diaImWkogXUnXHeW0ux3+W4nVV7rGn9VMVsqNQTJtPblKrk3SSziS2dPdtqXhboGrCVEzQJvhfrkZfvTLbUgWYHHpSeuqrwBGSSTCJOuwt+O/qxe8ZXGdbEa0Epo4Uw1DMChDQMQWlJHC4QZZUO0SrKwlX7QNAwcOFBWeEq2OLLdspMTd5hTOyJWsnYi2Xqr0yjNdM7cLgdup9bSYs8RMbZbaiOdrQQzJJJIbDoYxEfVG9EqM0XtKRxR9RbKbLa0mE0l06dbWnREGvwhgrHDk2ZP2nsOhlX8FoXLJZ0bLUibn6UEjpV2S9F2LjMpADCIjVvUUdI0yYxVzlobt9UBIBKJr5USosnOjhpWq5LNpADik5ftVDlrmmQt7alO2pPEAtq9PFkxgJ3W5bBJxRfEA12iAS3tedoUy6RrKomvL0o4trjLECTThgNkK0gmkbQFZJCsnaKqKmurfRlH2vWbfZqDk5UDRNxpSHdwik2jFHRERHTO8i1mLDWStbQU26hSkLRvmoJhKuqbMlrDFzSIeKc5PIl+rowB31StxmDUURJtaTHT+LNrS3GdjtxYG2iB26UfxDSNKUnHZ0NtE8FwZkFRLUibPIFjv91STLhfzJbMpACMv7OcwElS5azvyY0ygdNZCIUjlNc2ZrxOuiCx9rmyopdpKSEqHHQ2k9awp5epqmoLTTKIJ3GkPXUeqrzWW9+bk+5zqhUXBEIR/KHUn1Oj76bpjKWq+AKDJpmgz2emRwb22y1DkZaVZHamb0okrUk2KhFlkKyd8vGSjex3z+dc/O8fLQk5NiddJZkdAUozDSWwI9wvPjHTsjhys4lHIA9OnZEJM35gv7s/54s/NtleQ6t8URTIzWl5ay206Nhrn3uXQ9ErJZNht6XFzBGxbkstnRCHQ9Ht1kpmVdJ++X1DPSPv/pT/e3IejTbaojTS7SV22i31oFtap96uLZnvd1bFxtMmcCxMTpO0b259dwkjpnzGS9+uymgdXyC5XibYtCeRKkqLQWezCbTanmVVk6zeH9Ide2PXgJ33LWm/1PgCHHjv5xz60JdU1NlPimp7SbK9yfjZTRZ0djpjGsqBeMu85sKlrSQzBLREnH898JbF6jQNzZZchgicFjCTlWSStoLP5wPIaICCbMJvp3yyZCMAHyzawDP9/uL8A7extU66m709R8Q8oGV5gphAm4xdHaV4djFuRPLg1LloCob5ZvlmAM58/nu+v+4gehbnWl7Ha3BCkmlvFXmsfa6Mmi/ptLystLSoqmpqo7arMpuJ9msU5eZQ1xSS9tRJ+OrPSiIq/Lymhlve+ZV7/m+YrXV0pz6N7kuDPySsdacHtNLuIxYTOAL7nbZviQYKNJJJAdipoJO0b7Tg2I1vL2aXfiUM61dqeQ3jfT+ZFIDVFrFwRKUxqJ0ds2dPZlIAxsnLVjQutXOescoZpD11NhauqaHeH6LeH+KSfy/kjQtG2Fonnb6fM5YYbPCHqG8K0a0wUSfW5XKRn5/Ppk2byMnJweFw4G8KoIYCqMEITU3J7Smiqqih6OfY29iIy5G+xqXRH4yuiZOmpuQBwXDQjxoKEPBDiqckxd/UhBoKEAlBU1P0OkLBMGooQCispHw9ieTvQFVVfD4fFRUVlJaW6oFpO8ggWTtl+aYG/fvZSzbaDpL50pYN29F9EWmNtJhdFMjW59ucyFedRBxZapJ1LpZtbEj4+dsVVYzbpY/ldbwmwVyrjohIBaXx9yKOSGMwrGctk7VYG9ezG3A2OvUQ1X1ZV9MoK8k6CUvL6/TvZy/dyD0210lfSRZ9LKJGg9NmNmJcL/10S6tDZVInmfQ17dpTkipnLYEjW5c7B80TC5//tslWkKwpGEErPklWSWa5yjlBCkBkWqzYur4U06E1tL01HIlqXBoDXumo1vX9Wu5NIDXJOgtL1sf3pu9XVlHrE580bsTsvm8MkjVHURR69+7NihUrWLUqGgCvawpS1xjC63Hir3G3+BuNTTWNqCo4vbkJrY7JaAyE2ewN4HE5oD75QKf6piC1sdf15qd+3eZUeQP4AmH8eS68sT0pHFGpqI0Gx5zePOSMJsmWprS0lLKysozWkEGydkg4ovLbhnr959VVPttrpaskK7YxytislQtstLRYqE6zolURCkf091aa17KSTB6cOgdLymsTfl5j0560z16qz6nV0eBmQTcNLdgl0h5pdP7zUzgYdoX7NaHxkrzmlWTWpz1J2i9GR6TKG6C+Kah/9q3gS6NJlpfjxOlQCEdU6puCQkEysyoV4+/8oQihcARXunFjGAPZ5uLlVu2pVk/gGIXGZQKnM2E854H9s54xqJWX5L5vtXpeC2a5HErUCU+B/Unm6fcm7bmiQbKamC0135uKZSVZp2KJIYEDUXsaml9ieZ10MjUQPfNsqEttT263m+22205vuXz6y794/Yf1HLtbPy4ePSjl617x+FfUN4V47sw9Gdi9IO01fvzrBu75/Dd236oL9/7fDkmfM+vHtTz++Z+MGtyDG48cnHY9I0/P+oXvV1Qx6dDBjB3UG4BAKMz5D88F4O2L97W150sk2SInJyejCjINGSRrh6za7E0IBlXU+2kMhMkzcaaTkXYseOwA0RgMCzkMYKhMy6ommUB1mt7SYn3aGZBwQ7cTHJS0X4xOPcDqzZk5IsnaWcB6sEjEqQdrQed4pt6ZUiTWqHFmpaVFCyoXt3BEtOoXaU8dnUAowrKKlo79zn2sOyL69Lwkn39FUSjKdVHjC1LfFKK3wPLp2jc1jL/zBsKU5KXf80Rs1G77slZ5WZybTArAmm1K2ict9qYqr611fP54a2Sy+77VYJFRAiPdZ9BKlbOIFIDToZCX46QxGMYXCNNN6GoNtpSXuK6U1uhcLG1mT6uqvAztZ2NvSjPwDMS6BhwOB7m5UVmPTb4I6+rDKM4c/bFk1AcdrKsP0xhxpH0eQG0A1tWHGYYr5XNdOR7W1YdZXx82Xc/I6toQ6+rD5OXl6n+XC1Q2Ris8/aqTHhbWk0jaKlK4vx2iZUN26V+qH27WVNt07NMEoLQSfOPzzBDK1uuZdattZ+baF1bEkbUDYW6OA7chGxqfICYPTp0BzZ4O2L4HED042cFnUoKvfa6EW09MKtM0dHsSWNdKNU0oohKwMKFQs6ei3MS1i2UlWafhz4oGgmGV4lwXu/QvBTKozDRJjhRarFJpEEjgeFxOcmJixCL2JDThz219b1JVVbcXoz1pthQIR/CHMpseKmn7aEEybW/KtJIsXXuY8Xmm6wlKAVgR7jdKAaTfn6xPi43bUvJKMpnA6fh4/SFWbI6e7fSzns2EqHklWY7+mqLXBiIJUe2sJ9I1ICJ9o9mStSrnVPZUJBOikg6GDJK1QzQNpR16FbFVt2jJbeY3+5Y30hynQy+lF5mmFc0Emjv22u+agtGWFjPEHHstWy9+s69LeaOXJfidiWUVUXs6dKdeAKyparS1jtfk4GTbqU8THI7+XnxdEefG2Ibps2BP2sGpWNpTp0WrItuhrJituuYD9vemuGNvYk9Z1vizUuksJNxvwbHR8IciBMPRiIExSBYdChL9XsoBdHw0e9L2po11fpqC1ifGpusYAPu2ZObU6wOVBAJa2v6lKNkdBgCpEzhFclpsp+GvTV5UFboXutltQClgP4GTTqYGMjnridmTSIDYyqAaq5OXZUJU0lmQQbJ2yKYGPwBlJbkM0B0R69UvkYiqV6uYiY2L3Oz9oYg+/jdVyxkkbgQiGQyzLChYq6bRSHmjj7WLNQRC+hhlScckEIroWlp7D+oKwPraRvwhG46I1oKSqvLFqjiyQOULWGtpSdfCpuEyBMettC+b2ZN06js+m+rje9NW3WJ7U4Yaf6k+q1b2JpH1NKyI94tk662Kl0PcVhQl0f4dsclpIIPOnQHtrLdDWZH+ebdTTeY1EcTX9yZhndj07Wb6ulb2JsM1pmvh1DUzZQJHYoHKpH6T3SpnwaCz5b1JLCGata4BG7YExrNeM3vKk1I1ko5FqwbJvvzyS4466ij69OmDoii8/fbbpn/zxRdfsPvuu5Obm8vWW2/Nk08+2ZqX2C7ZHLvZdy90MyDmiNjJiDQaMpJmI7ettJ5Aesfe7XJYbGkxr06zIzautVOmqiRTVfFDo6R9UhWbyOh0KGzTo5B8txNVhXXV1qvJvGmExsFgS6ItLaJOvYWWlrhTn/4wZmcQRl2S9jDjz/Lg1PGpbIjaU7dCN/272t+bwHxwRWtVZlppafHp1WkClS8WsvVau0qRx9VCQ6pYTrjsNGyO2VP3Qo/u2NvRzDSrJCvy2GsPS/e5B2tTx0UH1Wj7qx1pjeIWlS/SljoLWsC5W4FHT+C0WvuyxQSOiBQAWA06C+hl2kjghCOqfr2pKslkQlTSUWjVIJnX62WXXXbhscceE3r+ihUrOPzww9l///1ZuHAh1113HZdddhkzZ85szctsdyQ7ONnJ1ms3eodCyglFVrLWmlOhTR5Lh72MiLngcjYOTh6XU9cok2XDHZtK/eDkxuFQMrInn8nBqaiVW1osHZxMDmP5tnRfWgqNG3+WjkjHJ57A8WTcbhmfbpk+gSNiT6qqWrYnsUoygSpnfW+yXvmSbEKYDDp3DnyBkP6Z6V7kyagy02uil2n8jIYFquetO/UCHQOtoHOmYZYQlbbU8dlsSOAM6BqVqSmvbSRgQ9vRTC/Wyt4E4p/9eNBZvANHRPrGyt5kfE8tg2SykkzSsUhvkRkyduxYxo4dK/z8J598kgEDBjB16lQAdtxxR+bPn8/999/Pcccd10pX2f7Y7NVu9h59oqXW5mIFn0B5u5VsvWhfvfaaNb6g6brBcETfxNLd7O3pVCQvwdceq2zwy5t9B8doSwC9S3L5bUO9LXvymlRpWc0uimbrCywIgwsHCjJqX04u5iptqeOj2VP3Qje9S/IAe3uTcdKd2bRYEXsKhCOEYs6/aGWmkD0JtC9bqabRSNW6DNIR6SxoTr3H5aDA7aSs2L49mWqSGT5nDf4QJXktz0TJ1jMPaFlI4AjYknFNOwnRVJpksvKl46MlcHoUeuhe6MblUAhFVKq8AcpKrE1iNKt6LLJY5ewTbl+2khC1ItwvPi1ZsxWPy4HHlfj+5dAzSUejTWmSzZs3j0MPPTThsTFjxjB//nyCweRG5/f7qaurS/jq6OjVL4Vuuha4gXjbmBX0LHgaJ9xKea/ZQSzZumYZDKNwuFjZsJVsfTpHRN7sOwPG1mWALhnYk1klmRWtIzAXh9XXtSTcb62F047uS6p2S+mIdHw2G1pauhREHdDGYJhGi9OzmoIRVG3SndlEPgufe0gcTJEMKwFiESkAfa+zsTclTeDkSXvqDFQaqjIVRaFrzJ6qbexNZnuJx+XE7Yy6A2LV/ZpTL9i6HHPCRdY0Oz/a0yRLnsCJ25IMOHd04glRN4qi6Ge9zV47QWeTycs22y1NE6K2hjSZ+3eqmii/k45UthR9zJq2oUTS1mlTQbINGzbQq1evhMd69epFKBSisrIy6d9MmTKFkpIS/at///5/x6VuMfyhsH6T6l7goUt+3Kk3O4Q0x6ydBayJjYuW4IN4S0tDLPAQ1TFL/XEttJD910iloQRSgLKzoJfgxw5MXWP2ZMsR0Ssz01e+NAUjBAWmuoqW4FtqaQmIHcbybei+1JkI90tb6vgYNckKPS5de7LKZ82ejG2+eSmCWprDIHIg12wpN8eBK80+YlxXTOPPPDGkJ3AsVb7EqpzzkuxNeiWZDJJ1ZIztYYDBqbeRwBGadCfeZizeuhz9fUSN7nsia4rvd9bPes3tSbOlQChia2qopP1QaUjggPGsZ/0+alZJ1npSANZlatIlWXNdTn1asmhAL96Bk67KWe5Nko5BmwqSAS3KPbXAT6oy0MmTJ1NbW6t/rVmzptWvcUuiHZxcDoXiPJdeSeYPRYQzARpm7Sxgrd1SJKuuIXqzFxljDJm2tCRrt9QcMHmz78jEqzKjB6dMKsni9pRepwKyN50o+nvxEnzhNS22WzYFw3pbdCrdF1n50rFRVbVF9UsXm0Fnn66h5GwhXK9RaMERaRB0wKPPEbMnO1IAoomsOgFNMk3cX9Ix0Spcuhc2c+otBpzBWPmVnYSoHtAySYjm5Yg74aKBAntB5+RnPaPdyiROx6Zl0Dn6WbCawIlKAZhMXrbgN/lD4lIAVjTERNqXHQ5Fr6wWrXROlQw1Pib3JklHoU0FycrKytiwYUPCYxUVFbhcLrp165b0bzweD8XFxQlfHRnjjV5RFPLdcZF5q469z0TMFSy2tAgE3TREnXCrgQJr4sjJhftB3uw7C5XNDk5a0NmOI2LU+EtGjtOhD8gQOZD7BO3JSkuLVXFk0Wmx2vtRlPgBUUPLLjb4Q0QERKEl7RNvIIw/FjRqbk9W9yaz6WEQv0dbkQIwa10G8ZYWUSkAYzWNX1AkWkyTTAadOzKVzaqctQSOnSpnXQojTbKx0BO/T5vhFdRQMjrh5glRa1IAVtqXU3UNOB2Kvl9Je+rYGBM4YDjrWbQnfyiiD7dIOXnZQrul0WcxlQJohaIFq9OX0w2V0boGZEJU0lFoU0GyESNGMHv27ITHPv74Y/bYYw9yctILiXYWKptlFxVFsV027BU6OFnvgRcS7hdsabHs1NsowS/yuCCS6LxYed+S9ouerS9IPDhlVkmW2p50x15IZN/aIScioCthdcKfT/DzX98UJCfkZWDdQtbN/wCqVkAokHD9qgo+2dLSYdH0yPJynHowym7QWUTf0kq7ZYOgA258jmkCR1AKwNguKro/1TcGKfGtxbVsNusWfAwNm9BE2uxM95O0P5pXOWvBMquVLyCmb2lNFFysbR/EHXuR86jx9w2CTn0kotLY1Eivmp9Z+eXr1C5fAIH4hFA7+puS9kUkJtAPmSdwEoJaWdCftSQF4LbTNSAWeBP9/Nc3hSgKVdF17RwWfPAyoc2rIJJ4VrXih0kkbRnzE2MGNDQ08Oeff+o/r1ixgp9++omuXbsyYMAAJk+ezLp163jxxRcBuOCCC3jssce48sormThxIvPmzeO5557j1Vdfbc3LbFfEK8k8+mNdC9xsqGuyfHjSHOC/uwQfxA9klqfxBcLmU1oaq+H3j+jz7h34P/udF95SOO7UYijqDV0G8uGmMnIiQ1DVbSxpMknaH5o9dS/K7OAEghp/HheVDQFLLWJmGn+JTng4rSMU16kQbV82OTj56+GXN+g1/9/s9+lXzPgpwN3f5/D4EXmAAmVDeWlZN/rWDmFd9xH4/CGhljdJ+6OymS2B/fZlr4UqZxFbsuTUu8WqkkWlAJwOhbwcJ43BMF5/mG6FaZ68cQnMf55/Lvovn7y9gpvXhCk9zMNle3vAXURwwP6snaeQ5x6DL1Bm+l4k7Rd9b2qmSVbbGCQUjpg61EZ8Ag6znfZlkaBzocdFRb0/a10D2nnVNIETCsDSd4j8+DK/uOfS7bkqDn4K5p2Tzz79XNB1G9Z13Zd+a3IoLx5tqX1T0r6oawrqLY3NNcms703xoJYzhRSAPnlZZG8SnBQL4gFnUSkAsNC+XLMa5k9n3II3Ge5dwS4PeHkMaLqhCNweGDACh28wpd6B+AJDTd+LRNIeaFVvZf78+YwePVr/+corrwTgzDPPZMaMGZSXl7N69Wr994MGDeKDDz7giiuu4PHHH6dPnz488sgjHHfcca15me0KfRpfQdwRiTv21qa06H31acVcrbRbik08Mq5rKtwvWAGgvWY4ouIPRchNUrZcvuwXSn59ifzFL0GokYOLQtxbH6amixMiIahdg1qzmgsebmB1rcpDx2/F1uvOg+AVkJNn+p4k7Y/NzcRcu9g8OIExoJvGEbEw/UfEsYFoS0uB24k3EMbrD9GjyJPyuaLVaYV6+3Ly62zYvIHHrz2Tf/ZbTK7aQAFw8CAnM5co5BYUgcsFoSaqVvzMhQ/VE1H/yxsXDkFdcj3sdTw4zO8RkvZFc1sC+4MwfAIDJoostLTEhZaz54hYCRQUeFzRIFkKe/rxf//mmXuuY9r+VVEtN2CH7g6WVcPgft0ALwTq+Wr2O9z1oo+ywtc5puxE2Hw7dNvG9PUl7Y/mmmSlsVYmVY0GyoyJUjNE2pcLtTZeK5PMLdiTmRNuWbg/RRA72OTjyesnsGbB/7h3VAQX4HLA0F4uNngVtupeBDRC1XL+/e4SZn7i5/Cdn6N0/9ug//mQk2v6niTtCy2BU5zr0uVputiszBRNhkK0st8soG1nbzKzJWMrsuh09FTty3/9OIfrLjmb/Uo3cMlebroAuV0d9Chw0L+LG3dODoQDsOILnn3zQ5YsDTH6yCHwfzOg3+6m70kiacu0apBs1KhRaTVyZsyY0eKxAw88kB9//LEVr6p9o0026lqQLFtvrd1SRKfFigCl6CEHDDdms5u94DQ+44blC4QTg2ThENP+dQqTHvkPd472cMUID/TciT/VnTjgtAKmXHIK7NAP6spp+PNbdn3vbkLLyjl/uyryVt8DU59nef/j6TbqAkrLtjJ9b5L2gaqqVDazJ+2/dU0hguFI2jaq5ogcnkS1+CIRc3HYhHU9LryBsHhLi2l2MYUjoqqov7zB6CPPZP5aPxzk4V9H78zSPscxramMQw7angcu3i/qydVvoHbu6xz9+QNsrtjA8T1Xw0fnw/wH+K3/KWx72IW4PNIh6Shoe1O3ZHuT1emWApVklhI4loT7xda1tt85qWxIst/5qqh88ypGTpiBPwynDypi5KHjmLJhD746pA/PTt+XMbv0gZAfKpYQePlRtu/5bw4eEOHQxvfhsQ9h6PFs2P5Myobsa3odkvaDVkmm7Ukup4OSvBxqG4NU+wKWgmT63iRQSSZmT1b2Jm1qZnakAPLTtZz9NYcvHzyPyx5fRq4Lrj1oWyK7nspJ3/QmPHErVt98WHRvaqyGlXMJL7+L/JzvOXbrADvMvwl+fwJ15D9p3OkE8kuS6yBL2h+bm7Uug31NMhFZjYQhTYEwJXmpz5FWpABEJ5nrUgBOhx4UTHmtqewp2Ahz7ubN++7n9Xk+furm4OITD+HV8D+4+48+XP/2cP558HbRVsvNy2HZx2z+z80EI1Wc1msFPPsP2OYgwvtdhXOQ3Jsk7ZM2pUkmMafWFw2ElebHNdq6xr63fLP3CxycLLRbWi3BN16D2Zpm2RCnQyE3xxFb03CtGxbBcwfj/O1dGoMwd1MxnDYLLvyGhyP/x6q+Y+i/3TAo6Qf996Ro9KX8d8E67n5zHg9wGpWuMvBu4rzr7mPAwEG8c9vJ4K00fX+Sto8/FC9J1+ypJC9Hn8ZV4xMPOkcnHolrkpmV4Ru1u7aEY590Ymb9RnjtFJS3zuPSPRS26e5mp2MmwcU/8MuA01hHL0q0KiJFgeLeDDricmZ9v4buF7zCw6FjCLmLadrwOwedfhWD+5bw21v3Q1iKvHYEahuj/x9L8pLtTfYSOCJOvUjli1cgSKAhrKFkYb9L2r782/vw+N50/2sWl+7l5tT9t2HAlZ/AiS8xl91oUvLjQuMuD/QZzphrnuepL1ZRMeomvnftAWqEFV+8yoBd9uO4fQbiX/Oz6bVI2gdJ7clmQlSkWqVQD2ZZ0Z81tyd9Il/WhjRpCVaDLfnr4b0r4MWjOaj7Rk7brZCpV59B0dU/s2LoZfyp9qMo37A35XeFnY7m2pe+4+QH3mHljmfiy+0F9eV88OhVDOzbi6n/PA4CXtP3J2n7JLMlu10DZgOaIKpTqQWnzAfAiLXtg/gkcyv2mZ+kOi2y6lt4cn/4eiqX7eXkjH378/obb6Cc+Q5f5x5AHQXxvcnhhB7bw8hLeH7uGvY793pWl40GxQnLP+Xucw5i1A7d+PrNaabXIpG0NWSQrJ1R7285WcR+2bDIwUlcaDy+eQjcmN1iBzJL2Xp3/Fqb6mtY+9pV8PQoWL+QiSO7898HL2fm/A2w7UEEIypNwWiAJNkEMU/XPjwbPoLJfWdQe/BUKprcNAZVdq1+D6YOg09vj2YjJe0WbXCDosQ/O06Hore1WBEbbwpGNF1toTJ80YOTQ0GfiJkO8ZYWaxPEvP4QaiTCK1Mu5Ydrd4HfPwBHDqdddgu/rtzEURffAQ5H2ml8AE35vXkodDzzxs3ht0HnEIwoBIJBBi24DR7bAxa9qQuTS9on2tSr4ryWe9Nmi1IADQKVZEWxaXwBQ7A7Fa3R0mKlmqbQMAijau1yzj90R9Y/cxJ4K6D7YO597Ute/vJP+u28F5B8n9fXys3hB+dwrvHcAOfN4WPvjgQjUL9pLZ7nDoD/nB0dnCFp1+jTtxMc++j3VqU1xFrEtKmpFoJkAvaULyhirl2jaNeAdg2fvfwQh+/ah6Zvn4s+Yc9zeenrdZx/1wvk5OanncYHkNutLy8pR/Gffd+FI6fywpIcNnnDrP0hdtb79kl9CI2kfZLsfGJ3qEy8dTn951TvwjGxJzvFBf5QhFA49Z5naU13POjcVF/DNSeM5Ngx+6NW/gGFZeSe9hovzF3FLv+Iyh6lO+sVeFys6TaCKwIXEL5kAaFdTufx+QG++L2K5a9cBdOPgHULTK9JImkryCBZOyPtzd5y2bB5UMuSOLJgK5dxXfGx4OIVAL9/8gq7bFvGcZOmEg4FYcdxOC79gXFXPITiiGV3DO8nWQBOO4jVBaBkv7P5eXUd378xlQE7DIegF+bez61HDeSeC48k4GtIeU2qqupTqiRtC82WCj0uHAYBVs2etHYXEYwOdV6aMd529I7SDqHQ1zVvaTFWu5lOPIp9/l1NVTx4xh6cdt1jnPnGJpq6DYHz5uAY/S88BcX6843/lunWq1fz2fWch1ixeh3vTr0KT3EPqF4JM8/hsoP7M/c/T6S9rvqmIE1yQmabJNnepOmT2a4kS6uXKT410l67pUl7mKAUAMQDBQVr5nDmobvw9OzfuOj9Jtj3cjj/S5QBeyc8X9ufipM4Igmt0H2Gc/6z37P485k8eOHY6BN+nUXT1D257qR9qV6fPlhW2eBPK4kh2TKEI6q+BySe9aL2ZLuSTKBroDWkAKLrinUNmAXeNLsP+X00vnU5p114FR/+1sCDC/PgzHfhiAcgt+XelCqBo08iDDlhj7P593cbeOHW87j2iG3BVwkf/YtVd+zGm1OvRY2kDkw0BcN64k3SttATOLnJqjIDlu6BPkE/x2pFssjeZEzypLMnS2vG7KmgajEr7tmfh2fN47+/h/jKPRou/hZ2OBwMZ9CGNEFnY+CwsbA/rmMe47tvvuHWU0Zw6q4FsOoreOYfLJl6HGsWf5v2ujY3+IlE5N4k2bLIIFk7o66x5Q1Kd+otlw1rB6d0Yq7xG73ZRmKl/aQ1xJG75/i53fU8ey68ho21ftbUK/w14j448SUoSpwEpq2bauxyfrMJZw6Xi+HH/RPO+wJOfJn1OdswZU4d1z75Ph9fuRus/LrFGpGIyj9f+4k97viEz3+vML1+yd+LnqlvttnbyTD69MoXZ0LArTmFou2WApn/hHUFWlp8gbBerCUy8Wh/xy88XHMxZ/VZxsBSB6eMOxjnxNlQNqTF8zV7KkzhiOQ3axMo6FrGrmffB5f/AqNv4JNVLh79bB0HnXQR658/E5pqW6zxZ0U9B943h7EPz5WHpzZIMme0S0Gs8sWuJlmaz6nL6dBb7M21+KwMlRFraRF16gFKcsLc7HqBA76/gLtHwS5985h879NwyK1JhcLT2VOBoSpNY+dRxzJk0rtwwVew9WimfdfAlNe/4cDdB6P++nbSa3pw9h/scccnTP96pen1S/5ejJ/nxCCZ9SpnVVUFK8nEqvsbDUkKsXZLsel54ppkLnZSVvI615L383SeOCKXC8cO47IXf4JBB7R4foNJwKD53uTy5HHGTU/R/dqf4ciHoKAHN7/9B8dfcQ/XHLUD1K1vscbmBj+HPzyXfe/+zHKyWtL6JN2bYu2WwbAq1GKs4TWc9dIh2jWg700CHThulwN3zF9pSGNPlnyxHAfnO9/ltMXnsKNrNQ+N68F/p93E/tf/F/K6tHh+suC9hscVn/ip7U/9h+zDTa98g/Pyn2DYSYQiKqfd8zY77j6Sjx66GJIEnmf9uJa97vqUm95ZbHr9EklrIoNk7Yz6JBnmLjYniHktTGkJhqNTI9OuJ9Crr5HtiUer5rzM0/UXc7rrE/oUOXjn5mNY8ttytht7ftLnmx6cUo1FVhTY8SjK/vUdT910HmfuXsgRvTbAjCPg8ylREcsYz321gnd+jh6oPv51Y9rrl/z9xAPOiZ+BUhtaFSLTw0B8EEY8OCw2BVKkpUWzJUVJX+3WVF/D8mfO5SX33XRXq+k2YEd+W/gtNzz3P3Jy89OuXZji/TcPOuu4C+DAq9n15rmcN2ZnJo300Gf12/DEvrA6nmkMhCJMmDGfKm+AFZVeVlX5Ul6/ZMuQrK1J25tqfDaz9aaOiFiLmJ22fbOWFlFHZNGct9ht9imc7fofADsfeREL/6pk73FnJ32+PxQmGFZTrq3Zui8YbhksLhsKp7/FHmfexQ69crlmhBPlP2fCrPMS9JVmL9nII58uA2DWwrVpr1/y96PZktvlwOOK24AdHaVAOEIo9jlJW0kWsyWzBI72uXeY7CMa4hU15tVpkVCIGZNP4pqNk9nOsQ61oBdH3/Eu0z74mcJuvdNer1mVc4u9yemCPSbAZQsZtOt+5OXASX3Xw7R9oFng+fLXf+KvSi/1TSF+XC1lONoamm6lsXU5z+3UkyxWKp1FJ7uKJkStBLSizzNP4ogOA1i75Ac+ueYATvW9gksNwY5HcdHLvzHuwlvTXG/qtRVFMfhOzeyppB8c+xRV//c2eYUluB0qu61/EV4cB/Ub9Kf9tqGOK9/4mXBE5eVvV6e9fomktZFBsnZGnZ4Rid/stUoYES0JIyLiyAUJ5b3Zc+ytCven2pCC3louOnwXtj/4dNau38iqSE++HPEcB0yeRWnv1JMozYJkZmORHa4czrzpKWbMXY0y/HRAJfDZFK46YnvqKtYA8OHicv35yytSt2RKtgypKsns2JOILUV/H2s7zGIJvnHddPZktKVULZy1K35i7x37ctrdbzNnZYhX1UPhvDl4Bu6Z9vW195OyksygF5iM7gN34qmPFnPnS59Cl4FQu4ZN047g31MuBeD3DfWsNgTGlqyvS3s9kr+fusaWGWbNKQmG4xqQIsSz6yZB59z0nysNK1VfCZPJ0ra0mEgBqCpfP3stex5yLJe+vpLvNxfw5g4PweH3oriTB5sh0alKdr3a66kqNIWSXJ+icMApV/LzX5s49aJrQXHAL6+z7sF/sGbJfAA+Whx3SjbUSjmAtoZmS83bbTV7qrfQ1mc8w+SnCWoZuwbSYUyuikgBiEhrCEkBeDdz54lDuebxt5nwVgPvNO5K5Rmfw7YHpX19zZ5Eq5xb4Cni5hmfsfqXeey+xx7RKuf/nEn5rBtRIxEa/CHmLqvUny73praHnhBtdp7SznpW2mTjVc5ilWRmflNci8/aWS+dnQolmX7/iInHHMD/FlVy3nsBXux5NZzwUnSoRRq0e49pgUGK6+s57B/M/W0T8166g56lhbByLjxzEP5VUa2yT5cmdt1oQxckki2BDJK1M+LZ+vgNqkifQGlVp8I82+BwKPqN1lx41Uq7pVhLS9rR5esX4npuNOUrlhAIw1PlOzEmcA8ri/cwfX3Tg1OqSrLm5HWB8Y/DMU9z3edhHvzoL47abyhqQ2WiU19eJ1vE2hjJbMn4sxV78goIjYMFLT7BIEF8XfPPa1pbAlg2m+LXjmKPHkG6FziY0vR/XBc4CzUnz/T1zbP1WotY+qC4MnBfuOBrIoOP4rQ3azn1usd4ctJxrKpKnDK2pLxlO6Zky1KXRPelwO1E6z625tiLBp1je5No+7JAAke0pSWtFECwCd65hL1XT2NEPyd7btuTc7mRxfl7t3xuinXz3U69dcVIrqGyKF0Qz51fiHLwzXDWB1RESjn4gfkcsN9I/pr/KasN9lTZ4Keivsn0uiR/H8k0lMC4N1loD4t9hj2u5NISGqLtliL6ZkYKBPY8UymA9T/B06O4dLt17FrmYud9D+ZSJtHgLDV9fbOqGi1w2KKSrBndd9gHzpkN+1zMH5vDDD/jTi47aldWViQGxZaUyyBZWyOVLp0dexKuJBNMiDZYtCeRM2TavSkSgTl3w6sn8ugYF/ttW0zVP25itueQBO2xZESD2emDeikrMw04nE4G/9/1UXmAbtsx79eVbDNsL+bNnMaaZl0CS6U9SbYgMkjWjvCHwnrLo/HwpGUXvYFw2vaQ5ngNh/F0FApuJFamfWXa0qIu/Dc8NwalajnPnDyQT168n4LTnqEJj2l1GphXFhjHjAu1Ce1yIqfc+Bx9ip38a88A6ozDiTTEs4sN/hBrqxvN15H8baQ6OGn2ZC27KNYeVtRqJfjm2cV0Byf1+2fgleNR/HVMO29/5n37Hb8PPCFarSJQAdRgItyf7zE/OOl4ClFOeIFhw/eixAN71f+P0p+fSXiKzNa3PZLZk6IoetWzJXsSbF8WdURao6XFF0j+mffXbIQXj4aFL+NyOnn36bs49pG51BUM0P8mHWZVzg6HYmhfFnDuthpB0/+9QkjJIRwO4Xz7AoKbVyY8RdpT2yLl3mTDlnyCIvt6u2UWdWLBWFmSpiozkLqFc8PXr8Hzh0Htakr7bMOChT9RMfIaFMUhaE8mlS8WJrjjzIHD7uK77idR4VWZu+BXHB9cBcTPiDJI1vaoSyE2b+usJ5jAtNpumc2ugVRrBhu9/PTAMTBnCgDbjjmfG2b+QkWXXWkUOJs1BSOEY8l+08pMEXvqtg2cO5u7FhSyri7CfTddibt8fsJT5N4k2ZLIIFk7whikKkxSSQbmBxwjouLgIpnAQChCIBbsSqVLlGzN6LoCLWKx56vhMNeeuC+3XzkBwn4YfDjdJ33HQadfFa9WseCIpJp4pB3swhFVf19m7DbmJP5ctIDDd9sKR+XvPON+gF55EYb0jU5aktUvbQs9W5/XvN3STrbeXGgcrE88EtUkE8kuJjs4qZEIU84byxWXXYKqRmC3M/BM/IBBO+0e/zsrjn3KCWIWnHpAcTq5942vWfTSZHbr7WS/5Q9yovNz9t+uOyAdkbZIMk2y6M/Rz0SdpWy9WOWX5tiLSwFkM+jccv/c+Ndi9hm6DQ//5wvwlMBpMyk85BoKc+OJLDPMqpzB0L4skBACGDBsP+Z+u4BP/zmErVwVPOy/mR5US3tqo9T709uSlb1JVAZDtN0yVXA45boCQSijnq2xhfP1+65g0KiTeWtRHWxzEEz8HEfZzkLVKhqm0hraWoK2BHD6zc8y86FJfHx6ATuVz+Ja16u6La3a7LPc1SFpXXRpjbzm9mRDWkPUnkR1ly0OaTJrZ4z+ruX+2Vi7mfEjtmW/69/h23XAuMfgiPvJi+nMiviO2n1JUVK3budbtae8Lrz2xVL+dcS2vDzezdWV17Ojskq3p19lkEyyBZFBsnZEvaFaw9iGkWOY8iV6szdqQJiV+YqIjRudX5GyYatTWgo9LohE+Oi2Y7jnjW+4ZY6fJQPOghNf0Xvo8wUDEMZ1U5bgGzYsK4envAG7wBlvE8wpZpD/D3b96ip26BHdhP7YKHXJ2hJ1Jtl6eyX4WZp4ZKEq0/g8UU0yjXlPXMp1z3zEw98F+Lz4/+CoR8DlSahWMQtAJKxtYk8iQQINRVHo/393wsjLALjQ9wy7V38CwMY6P7U+6Yi0FSIRNS6OnAV7ilc5m1W/WGy3tDwt1jxbr3/mfVXMuGIsP631cvc3IWqPew22+QdgqFYR2ZsEghCa89MYFP83Ldt6Z7ab9D8CxVuxlaOCm3x3s1ffqBD8HxvqhdeRtD7J9P3AUPliQafHJzhQSUtuBkIR/Mm07mI06NIC1totRYbKGPcPddGb/PfFx2kKwX8r+sEpr0NeafS1BVtDjddrOt1SMIGjcczl99HzpEcBuMD1HkcHP6ZXsQeAP6UGbZsilbSGtldZsSdRUXy9ylm4a8BiQtSKFEAogOPNMwk1bCIC1OxzLex2esLrigScjcHsVFPcC0Slaox/U9KVu2f9RO7WIyjCxzPuBzhim+i1/1kh9ybJlkMGydoRqW70EHdEREUOm4IRXQNCeEqLQCuX2+UgJ43uhRGRlpb4TdkB/72YscoX3HSgh+dunshOEx4GR/y1RHWPIDHgmAynQ9EDj1YPT/QYzPs73suoF3w8/cky6l6/HIhqv0jaDqlK8ItsHJxENcmKBLP1Io6yEWvZxdiaC15g5KaXeW5cLvdeNI5/XPV8giaFlWoVvTIz5SAMzTYt2pKiwCG3Mb16d/Z9rp7bb7qJ/v4/Adgk7anN4A2E9P0kG/YkGtTSpQCyPC3WmmPvhIAP/n0i1+xay8NH9+TLT/5HyfYj49cpEHTTMNubwHolmU5xb37Y91k+WOnizGd+5cs7TwSgssHaZGxJ62Kul2ldk8wsqGW0DTutXKmwoqGkJ1j/moPy1gW8fGwu/75qDM99/Gu01TGGlWqVhti/ZaqghnaPEWk3a8HuZzKz+Az++1uQiVfczLab5gDSntoaqRKitirJBO1JPCGa/Srn5sUFvH0hnjVzmXVqd76c+RyHnTO5xXpCHTgie5PHpj25C1g95nn+ipTx8U/reerCQ3FEAtKWJFsUGSRrR6TKLhofE73ZGwM/ZmO8tUNE+koyaxNawPxmb2zh7PbVrfDzv0FxcuujL3P2LU+nXE8kqCVy0Mu3UNLfnPmOIfTe41AGlSpM7PETIxy/slne7NsUZppkdg5OhaZC43GdinRad0bxbhHEWloMTv2y2fDeFQBMuGwyVz/+3yRrirVIqqoqXJlpOeAMBMIq97jPo0thLmWFcF34aXIIsVkGydoMmq3kOOPJBQ079iQqDi7SbhkMRwjEtDyzGXTWbTRHgZnnwtrvUfJKuezpOWy3V+LEPeFBMIhVkVptXzbyW7AHUwPjqfXDiuXLGR/+n0zgtDFEJi8LaaUiPlDJ5XToZ0GRtv1sVjkbz2ONKxfAa6dBJIhj52M4+d73ceYk/juItrIZX9dMWsPO3gTwaGg8Dy4qoqZJJfDZw3ShTu5NbYxUgzD0SjIbmmSiCRzzIU1iVdP6ulaCzm4Xf710KSx+ExwuCk5/hT2OmpDw3AIbydB0ySY7lWQaK7w5nF13Hpd/5GfOb1Xsv/QeNjX4he91Ekm2kUGydkSqGz1YF6D0GUrmU5XNaogIUFp16sH8Zq89vtOCKVxy81SCYRWOeRKG/l/S52dTpwLEHKVUrK5q5PchF/PunaewZx8Hj+Q8RqC23PI6ktbDbIKYvbHgYiX4oYiqD+FIhs9itt6KcH/+pl+4+Ixjo87TrqfBP25M+nzRFkl/KBK1TcynxdoJOK+raaTJWUTpCXfz3aV9Gdt1LZe73pQZxjZEPOCck6ApFH3Mmj2pqiqcdBEZhGGsNrHsiAgEnWfdfAqXPvQmftUNJ78OPXds8VwRXU8NTWg8VVUmGNs3rdvTmioffww4lrsvO56vJxRwV/5r5NWvtLyOpPWoM9iTEe3zHghH0u4fRrwW2iNFhjSJVk1rFBjaGVM5u9reVBysYrd99uX6DzcR6jcSjnkKHC2v244UQEpNMgtVns0JhSOsrfFTcei9TDmqD68erXBXznNUymmxbYZgOKIPH2oRJNMTONYnL5sncMz3Jojbk/hZz3wQhrZ/rnxrCtufPY17v/bD0dNg24NaPFezpcZgWBflT0Vce7alDxpfz749ranysSp3B44/7TTu+oeH6bstYY/IL5a0tiWSbCKDZO2IVJUv0cesZeutZC+ENMks3uijr53+Zu8NhNiz5mP+9+nXPLcwyCzXMTDshNTrWdCpqNdv9umy9fYrySrqooek6lF34Svdnh5KLf+39l7UiPj0UUnrklL3pRU1yYzZRxFR8GxmF32BEDlqgNn3X8y0bxu48PMCOPKhlGO/Rdqhm/8+VXY13h5m/bCj2VJOv2EUHvcYABc630VZ/bXltSStg4gUgKgjEghHCMUO66YtYm7ze76meel2OnC7RKUABFpaAmGG1s3l3pdn89gPQd4rPBm2GpH0ufFqFZEETutWklXEHPge/zcFZdB+5Ct+bgo+TCQkNf7aCqnsqcDtQstpCidE9b3JfC8RaRETrZrW0F5XVaOOePI1w4DKtt/exG8b/by4KELtoY9ATm7aNa0kRFO3W5oH8VJR7QsSiqg05Xbn6ifeQ3HmMNb5A/1Wv2VpHUnrkWrgGdhrXxaWArA8eTm7UgCDlHJWfDKDsAqbu+4Gu5yYdj0w30/iFZ+przWTysyKumgFZt9x1zD58gtwKAoP5DxJdWWF5bUkkmwgg2TtiFQaSmBdgDJegm9+Y7ZSpSJagm98birHOVDxJ8/1eoN3Tsrj6mN354QbpwutJ+I4iLQM5AsGCZJR5Y1WuZSWlLBpzBP8Z2mE0x+ey4zbLrC8lqR1MJsg1uAPmWbWNETHgjscStyxT5utt3dwSi/cH+a6nFe5f7TKTj1zuO3JWeByC6wppveU73YmDBRJXMt+JZlmS10L3LDTOOaXjuW1xQGuPe80ajeusbyeJPvUpQ2SaXuT2H3USuWXltFOn8ARy/wnrGvy2Q+GIxSFqnm+xyu8fWIelx81jGMnPWy6nogmn6XpljbsSWv771qUh3LMk9RG8vjp5yXcdcFRlteStA6pNJQcDkX/LInaU7zKWaCSTD/rpT5HGlu5RMh3O/U8TCo79fpDnO6czS07ruC14wv5zwtP0W3AdinXFN2bVFU1n2QeWyuiIlydp6HtTV3y3Tj7DufHbS5CVVXWzrqTv378wtJaktZB84kKkpxPtASOtXZLsbNZXMs59dohQ0Wo6FCZeIA49Wff39TI4zmP8NgYJ29dsht3vvhZyud6XA7938XsfKYXF6QdKmO/kmyz8aw35k7WKH3oxWa+eeBUkC2Xki2ADJK1I1IdnKKPWawks1CpItJu6TU4yqKkbWkJ+Cj7aCLFio8BOwzl3te/QXGk/7haGeWtvZd0LS12K8lUVaXaF7/ZFw0YxnObdmaTT+X5GS+gVq+ytJ6kdYjrviQXcwXzUnkN0bHgIDYII56tz15LS5+q7znb9T9GDXSx6It32GpY8qoXfU3Bz79Y67L9qswqX9wRAfhy4GVM/jzIonI/D19+rOX1JNlHr3L2pJYCEK0k0/aD3BxHyqCrhkjlS7KprmaYtbR4m4LcnjOdHkodh+87jIfenNeizdSI/vkPhomYtrRE/51EpltaHoQB8b0p301O1wFcuGYME95p4pbn/8cvs1+1vJ4k+9SnS4hatCd7lWTZE+5XFMVU98hZs4LrXP8G4MQr7mGfoyckfZ6GaJVzUzCiJ7pSJUSNmrxWE6LxIFn0/8n6nSdy9qeFXPpePWedNJ5IUEoCbGnqU7QuRx+zUUkm6DsVCiQujb+zLtyfet1Tm15lJ8cqwnndGX/X+7g8ySsyIWqfou3L2nk4bXFBBpVk1cYgmbuAR4qv4tCXGjl56he8ft8VlteTSDJFBsnaEbqGUl6yg5M13RfR9jAQbLe06NRDmgo1VeXZf44hUL6UTWoJUwqvTVvxomHl5ixS+Wb3Zt/gD+kaTV0L3JTm5fDnXpO5+rABfHKaB+WdS6ITZyRbDFVV40GyZvbkdjl08XFRe7LSvmzFsbfabpmqpaWhcj2H/3UXAH/2Px7HDoeZrin6+ReZeFQgOAQgGfGDU/T/U49efRh0+HncMiqXydv+Dr+9b3lNSXap020pWQLHlfAcM0TbWUBM98Wq5guYV6p8/9rd7B/6jqDqRDn2aXDnm6wX/fynazmzcr15Fto3m1Pljd7TusTsaeOQsxk9tA93HeRh5yX3QbDR8pqS7FKf1p606hdRaQ3xhGiBiD1p9mnJnlI74eFggJXPTCQS9LOyeA/Y27zaXrSSUttHFQXyUwyoMk4yt5rEMSZDAboV5rN46BV0z1c4dutGmPeYpfUk2SfuNyWpcta0nAU7cIzDxETbLdPbknUpgEKTAPH3777A0o9fpSmkUnvwvVBUZrqmqHi/9pqtUVwA8YSoZk813XbF0XcIBTnQ9MNLUCd1nSV/LzJI1o5INxrequ6LqNA4iLZbWtNQgtQtLa/cPpGJT37Fns94ucB7AYG8XkLribScxa9XQJPMZtmwll3MdzvJzYkORuhSVMD3u95IjicPVnwJPzxraU1JdjGKlKazJ/Ggs+Y4WGhpSSc2bnFabF5O+paWSaePYdTjK3j9r0J+3+VfQmuKtrRoBz2R9rBgWNUnDYqiOfVdCzwAdCv0sLLf4Qwbeyo5TiU6pdNXZWlNSXbR9p3CZJVklvcm8fZIkapMPYBtod1SdxqSBHU3Ll/MSZfexrAnG5hScyj0Hma6ntE+zYLOQi0tAi03yTBWOXcz2NOmsQ9w2UH9cFYvh8/vsrSmJPs0pKvM1KtfRIc0iVc5Fwm0iFmVAog+N7WdPnLVSdz27gr2m9HIJ9veCCYdA8bXNvv8G6tI0w2oSmfv6UiQAgC6FbqpLNmJiZdfweX7eHB8cTds+sPSmpLsku5+arWSrNEQ+MkzKTAoTBiykaIi2YYUQLpzWbCxgbMmXsCD8wKc/Fl3nDuNE1xTMCEq4DdlIlOjJ0RjXQPdC938uc+NfDZpV87cOQTvXS7bLiV/KzJI1o5IV61VZFX3xUIlmUjli4igY3OStrSsW8DgdW+yVYnC6FH7s8C1S9obctL1BARYRVoG7E7kM+pUaHQrcLNS7c1fu16Dqqq8cv+/WPnzV5bWlWQP7TOnKIntFhpW7clrofJLxLEXGbVtJF1LS8PSz/jku19ZW6fyZPhI8otKhNYUmaIE8QNmusyqsQ3bqmMfz9ZHHcZuMYfkCeUE6L49av0GvnzwLEtrSrJLfHBLMlvSsvWtWEkmtDfZqXJu+dlf++b1lHhU8nI9fFZ2ptB6Rvs0S7oI6WWaDL1JRV1TXGexNNYi1qPQQ71SxFc73ACA+s2jbFz4P0vrSrJLOt0jq/ZkpZJMqN3Sgn02X7eF41yzmsE1cxhQolC264E4um4ltJ7Vyhcz288X3Oua0zxI1r0wGnh+NTIadZuDIOwn8taFcijGFiSuv5w+GSoytMFK5ZfRPlIPJ7NuS+kCzjnfPcaUUQrD+7j5Y8/rLU9HFw46t1YlmeY7aUHnAg8Rp4cfht0KTjf88RH88obldSUSu8ggWTvCpx92Wh6c9Gx9mgygEUsHJxFNsjQbUSpaTLxrrIY3zmKP3io/PXAce136TPT1RW/0hilK2sjnZBjFXMWCZHad+niQrEdR9PC0qO/x3LywJ6e9WcPEU4+R0y63EFpGMD9W6dcc67ov2XPsVVUVcpSbk7SlJRyk8PPr+eXCQiaftBcr+o9LWypvJF+wWkVvD0sTzM4xHCqttohtbhZ07h6zpXKvSvjIRzjy1UYOvPFdPnzmDkvrSrKHvjclc0TyrFW+WNG3NNpSKifH6zcP4rZcN0U2fPln7N44h8UXFVM2/l8UFBQKr5kvMIkTDFVEIlXONhM4BbEqZ4hWvwD8nD+CtX2P4OAXGxh9+Hj8DbWW1pZkB1VVDZXJmduTrSFNQkNlrJz1Unz2P/wXh28d5u0r9mbJ0MusJ0RNbElP4Ji8d+3e0JhhQrRLfg6KAqqqUH3Q/Syry2PUnV/w6KSTLa0ryR7a+STZ/V+7xwbDqtDQBp+FqmSnI671lcqe7CRwUgacNy+HuQ9w9A457HPG1USK++Jyirn4okkXES1nuzI1kYjawnfqHtublkT6wYHXsHRTmCNPPIuNyxdbWlsisYsMkrUjtAx0ssOJ3coXK+1h6Q4kdg5ORuF+NRxm44yzoXY1dBlI6SnP4Ivdr5MJbiYjQYA13eSXUETXDEufrbdbgq9pviRWkgFUNoQ4/YanKMlVOLBnPZEFL1paW5Id4i1Yyf//W9Z9sVA2b9a+7A9F0LS97UyLTVh33mNQsYT8ku58u2O0zVLUngpED04CQuNgCDpbLMOvbp6tj7WJ1TeFCPbdi8FDdsPjhLUfPwaNNZbWlmSHdJXJVm0pXYCgOZpTHY6oKRMjekIo05aWYBO8fxUAFTucxl8le9qqThMdhCFSSWY1gdM8Uw/xtsvKBj95h93G4k2wsrKJ+c9eaWltSXbwh+Ji8+kSosJ6mRakMFqr3bIw2Wd/6Xvw+wfgyOHFbpehKE7LCRyzhIsehDDZ8+w69s2depfToQfMKhzd+Sx3DHNXh7nr2Vk0rv3V0tqS7JAusFXgdult8CK6ZOkCbsnQPvepihcaLJwbNfKT6FGqkQj+ty6DcID6fgfygbqPcMAZjJWZYtIaaSvJPPYCznVNQf3cq9lQt1hlZmW9H3XkPzn7Awfv/97ENWePk22Xkr8FGSRrR8TFwZMcnKxOEIvd7M366sF4oxcQc7Uw3dLY0vLAZcey81Xv8MlKBY5/AfJKDROexG72DodicOzNA3ogOkHManbRD8QDY2C42Xv9bLfXQax6ewo3HODB+clNUoxyC+BLY0sQ130ROTgZM/8i1S9FJtl6Y5ArldhwMuKOSPTvV/78Fe89dVv0l4fewdpAXvR5wtl6sSCxiHA/GHVfMmtpKc5zRbXIYr+7Y/oH/HLtjkzcuRE+vt7S2pLskK4yudjQXhw2mewYXSv6eUrWBt2cfBMtPsis3dL42X/squP54Lvfoag387e+CBAPOEfXtKj7ItDSYtWWtIBz4t6kJXACdOu/La8/dhuLLixk39q3YN2PltaXZI4xkJTOnqxKa1ipzEw/kc9OlXOiE15fuZ7RR53I//4Mwb6XsTTUF7CQwLGoSWYmAyLabtac5nsTxG1rc0OAibc/z7VHbMt35xaQ9/FVcmDTFiAeJG75GXA4FP08JhJ01vcmQT/HzJ7sDDzTnhsIRQjGhgi8cvc/GfKvj/h0lYPle94CKMJ+Exj3OzFpDaGhMhaToVrHQJHHpXcdaHvTZm8AxeVm2lPPcNTgHO7YfRP8OsvS+hKJHWSQrB3hS+OIWBWg1G/OFjWUTFtaLN3sozfT/jXzefO/77O5UeWPXkdCn13114s+z0JZv8AhLz450IkzjZhrxpVkBk2ykrxEHZGSg6+CPruBvxb13ctl2+XfjFl2XTusm7VGQTTzHzIZM2/ETJPM2G6WTmy4OZrj3OAPo0YiXHLWCRz1cg23/1KGf+cTdMF8yzoVppVk5u2WkL32ZUVREqop8ku6sf3E5wAFFr4Mf35iaX1J5vj8qR1x4+dCxJ6sBLWiiZH09mR1UqzxtbX7xG/ffMiV097jiH838k2vs6gJ5wLiCRzj66ezJ6MUQLq18/UEjsW9ydeykqyk2YS3UWdexzYHHA9qGP57MYT8ll5Dkhna59/jciQ9n1jZm6LrWajMNEmIqqqaFR2l+/95AnOW+7jwwyCBvS+LO99WEzhm7ZaimmQ2Nf6SVWYa7cnhdDLlpU8Y2KMIVs+D75+2tL4kc+JVzunPeiK+U7punmQUmlRmNqQJ4KXC+NpefwjVV8WDjz/Nn1URvnPtQ2VOLOBsQ6rDbD8R2ZuNmmQiOm8a1Sa2BLDboSfyzhO30r/EAR9cDd5K4fUlEjvIIFk7Ip1Wi0i1V8JaaTRkUq2tqqlbRexmF/srG7m6fgpzzsrn+YsO4MIp8fZDkaxFizUFHHGRdpbo7+0J98fbw+JZ0XgQM7ZZOl1w9OOsqnMw9uaZvH7/VZZeozNT1xSkJubs2cVscEWRgLh+fC1D5l+g+kWbAJg6SGbtIKZh1GkJL3qLnfM3k58Dx0+amiDELGpPoi0owu2WNqbFNgXD+r+v8fDUIimw1QjY+wJWVEc4+5T/w7tZVmeKoKoqa6p8lg6zyUjXvuxxOfXMsFiQzFp7pNm02HRDBVKR4NSrKv1+nsple7kZt1tvRpxyjbDznbCmQIbd2GrXGuLIzaeHQYoE29j7IL87y5Yu4tVbTrf0Gp2ZxkCYivqmzNYIpr//F9pMiIpU+cc1yZI79YmfT+tVzl5/CMp/ZtJWi7liHzfT7r0Vd2Gp5YSodeH+9BVqdqfFCtlTl63gkFsB+O21G1m3+BtLr9GZKa9ttDwNuzlm+stWznpeC7YEBr8s5d5k3W8y6rs2+EMon97KnDM83H5EXyY9/B+hCZTNEW1ftjLdMhRRCYTF/98lq8osThbA3P8q6DUEfJtZ9/KFwut3doLhCOW1jVv6Mtodf0uQbNq0aQwaNIjc3Fx233135s6dm/K5c+bMQVGUFl+//fbb33GpbZp0Wi3aqPBAKPW44YS1/OI3+7wcJ1pCM9UB345jX6A28FzO/ZSqdeT224WzH/oAxTD+O5ObfdrJgQLik8a17JYNJzr1SXR5eu3Ey3V78b/lYa6+61GCNdKxNyMUjjB26lxG3T+H1Zt9tteJO+IpHBGTw03iWvHMv4hQquaspxRztXgQ09BsL+CtwfXJ9dxzSC6rX7+OHUaOFa6eTLaeqU6FHoQwa7e0rvuiHZxynEqCvcazv3FnLjL6eg5/LciMH2q5ZeKRwq/RmfnfrxvZ/97Pueo/P2cUKGs0abc3azE2Ypb5b45ZZWaDjaEy2vsIhCKEFv6bwo3fcv/hXXjr469RHI54i7GVvUmgfVm73yhK+oC7aOtmc5JVvuhSDcZqh4JuLN3hcoY96eXse/7D0i/ftvQ6nZUJM35gv3s+54eVVbbXMBtcER9WYVGTTODzrwUMUk7jM0oBWKkk085STQF493KK3CoPXnkyh50zWbh60oj2b9MYDKdt4Y5LAaTfS21Pt0wypEmzp4T2vT3O4ZXyrdn1sUrOO3U8atj65L/OxtLyOva9+zNOffZbva3QDvrelOIzYJZkMZKumycZ8TNUenuykmwxPj+86jtYMINij8INj72OO7/QXgJH8KwnIq1h3LesJESTDTzT7geNwXD8M+Byw9GPc8eXAQZd9CYfPXWz8Gt0Zm5551dG3v0ZMxes3dKX0q5o9SDZ66+/zuWXX87111/PwoUL2X///Rk7diyrV69O+3e///475eXl+td2223X2pfaponqHqV2no0bgMhGbyZcbkRRFNNKNauOvbeulsuO2oO5P62ggi5wyuvgLkh4juYwFFtwRJIKxKa6VkENJcvZel9L3Zfi5pVkMa5+ZCbnjOzJJ6flkjP7Okuv0xn5c1MD62oaqfEFufS1hbYde18wvVMfD5KZOyJWhMZBwKm3kV00Pn/YH49BfTl03ZpuR94IxG3Jkk6FYHaxXvB6823Yk3F6mKLEg3vahDejLo8jt4gH776dUQOdnN/vD/hrjvDrdFY+WBQNzM/6cR3//Wm97XXMsvWFKe5/dtZqsbbJIIx4Qsi601AaqcYx+4bog6OuxdFtUOy1rOllQlzaIO3eZLjWdK3WeQKtm8lInq1vaUsAOxx1CaOH9GH/AU7yv7wDwmJBmc6KLxBi3l+bCYQinPrsd8LtkC3XSd/OaEVaIxCK6NUcImczM1vStWxzxJMtED+fDvrjRVj/I3iK4bC7gegkci3QJWpPxr1Gq7xLhmii1U4lmS8Q0oeFJHPsExKiDge7nXUvKqD6qvF9/aTw63RWvvhjExEVflhZzaOfLrO9TjzonLk9WR1aUWQS0G4wkf1IRb7biTPcxJ/PR7Ux2fU0GLhvdE09kGVBL1Mggamqqp5wShckczkdePRJ5uL21HyKefPXSfj/02dXNncZTjAC77wwFbybhV+ns/LKd6tRVbjqPz9nVGDQ2Wj1INmDDz7IOeecw7nnnsuOO+7I1KlT6d+/P0888UTav+vZsydlZWX6l9Npraqio2GceJcssOVyOvTsmiXHXjCopetgpBxlbCFQoKpMv3o8X/9RxdWz/ZxVdxEU92nxNDs3+3xDy1kqRNs4821m67UgWUley0qy5huxO7+QZ//zEYN7uKNClEvfs/RanY3F6+r0739eU8PyTV5b6/gED05WSvBFdSXM2i113QuLB6dCj4sBGz7jnkdnsL4+Akc8CDm5Ca9lLbuYPZ0K43pWKjNrfNF7WWl+4j1Aq5xtfq8be/bVfPboP9m2qwP+eyn464VfqzOyojJuP/9ZsMb2Oj4T50F3RETaly06ImaVNXaqnLWWltL/XcepL69lg2c7GHGx/vt6wWpkI9p+krbKWdSWtEq3cMRSO1J1EnsyalwZkw6KovD6B1/x8cR+bBVaBnMfFH6dzsjS8vi9JhCK8M2f9vRyzCYlW9mbGk2GADSnwCQ5JJpgTLZuaf1fTLp1KqfNaqRhxDVQVJbwWg5FbFgHJOq1pdtPRBNOuti4hQSOZks5TiVh709W5Qyw44hDWPDCDbx/Sh4Fc++E6lXCr9UZWbI+ftZ7M4PqF7OhStrkU6G9yWoCR7PVVO2WetDJmn9b6HGx3fx7OfjR37jiU+DQ2/XfWR14BmJSGFGNsdjrmwWdBSc5G9HOel0Me5PL6dD3uub2dMfz7zHz3O14/BAVPvqX8Ot0RrS2cI0PF8uuJVFaNUgWCARYsGABhx56aMLjhx56KN98k74vf/jw4fTu3ZuDDjqIzz//POXz/H4/dXV1CV8dEeNBINVBwk6LmOhhx8zBFS4bVlX4+AYuLlvA9ft72P/4s1ni3jlpObWddkuR6i9Rp0kv6bdYSab9+2uik2DILiablthnOIy8FIAVL11K9foVll6vM7F4XW3Cz2uq7GVEvCYHpyKTw40Rq0GtAhNn2Wq2Ul/XpVI9+wle/zXITT/3gW1G67+Lt4dZCDhrthQMExFqacl+JZl2MDLaEqTI1sdQDrkVSgdA7Wpq/ztZ+LU6G4FQhN83xB371TZtCQQqySy0tNivJEv+uWqwaU/DvfP4atEaXl8cYsWOF4Iz/hnMaG8ScupN2sMM/zZW9qdk9qTZUjiitrDNot6DUI64P/rDl/ehblgs/FqdjV/XJ+5Ndu3J1Kn3pE9YGtGCWjlORdcxSofebplCdDt+zrMuBTB6zVPU+1WW1eeSt+/5+u+M7WHGauF0KEo8MCUyydwsmC1im80x2pLxutNVJg05+VaUrUZC0AvvXgYZakF2ZBYb7Km8rklIRiYZZklMa3uTtY6ZAuG9yVrQeZCrkh2aFqEAu405BfK7tljTWteAeUGAZksiwWwR22xO6rNe4tAz/ZpLunLsLa+iOJyw6D/w2wfCr9XZ+HV9Ylwkk7NeZ6NVg2SVlZWEw2F69eqV8HivXr3YsGFD0r/p3bs3Tz/9NDNnzmTWrFkMHjyYgw46iC+//DLp86dMmUJJSYn+1b9//6y/j7aAdnDKzUk+8QjM27iSrSes+5Km3TIcUfWS93TVNKqqon52J8x7DEVRuOWBJ/i5z7FA8pupdtO0U/2SPlsvlmkRFYdtjhYIM66fVIDSyKhreeWvrgy5fyWTzjjc0ut1Jpo7ImuqbToiJtl6s2ovI/HsumgJvklVps1s/V6bZvLSUQ4O2sbDnU/PTPidpjdkpfLFOLAjGy0tooMAjNTpWdHkB6ek9uQpRD3qEe7/xk//0x/np/efF369zsSyivoEYd31NU2EbGq/+EwdEXF78lm0J9FsvSV7Cvm5p/RNvp9YwE2n7MOI8eck/LreTkuLJx6ASIVoMNvtcpDjjJ4DfEEr9qQ5UPH183KcuGJnirpkFURDjiO03eFM+aKBIw4+ADWU2dCUjkr2Ejjpq5ytCPf7TNZqsbYnHjDVWgmN2JkUCzBg81c8tNsa5k0s5Lnnp+PMiVfZNyT5TIogkhAVDULEuwbEz3qa0978uvXJy8kSog4HHP04ATzcNv1/vPXAZcKv15lo8IcSqpxVFdZV2xMdN5tIWaz7TQIdOBb0/UCkytm6FACqygUN05g6JofPr92b0657POHXoklLIyKaZEZZDbNgth2pmrg9JV53i6FnRvruDiMvxR9SuW/Smfgq7VccdmQWZymB0xn5W4T7mxuUqqopjWzw4MFMnDiR3XbbjREjRjBt2jSOOOII7r///qTPnzx5MrW1tfrXmjX2W0baMl4BMWMro4zNSvqbU5im3dKo45BqI1JVlatPHs3l191ORFVh7L249jw75dQzo5irFU2yfAFtCfHKFzFxWCP+UBh/rP2l2JAR0TSUEgQojeTksdXR19IYhL+WL8P/64dCr9eZiERUPSNy4PY9AGz31puNsbeiU2HVCdecHLOqTEsHp9p17PHXNHYtc3L6P6+l19ZDEn5t5+CUm+NAu02nC2xZbRGzoqOkHZya3wN0TbIUbUHKNqP5ztuf+gBMv/caCNhry+3I/BprXd5n6664XQ7CEZXyWuuT+QKhCMFwbOJdis9sKk3GZHgt6rSYOSINdtqXv36Egeo6+pd1Yczkl5Ksab99WaQ9TCSYnW8jiaP9+xvtSVGU9Pc7RWHtLldy+9wAH/5azXv3XSD8ep0JTQpg1ODY3mTTETEdghH7fxUImw9p0qvmBStf8t1O/Z5fn8SeNKfXktB4wMcOP0anO67a6hiGjD4u4dd2bAmy3b4cO5/ZqMpsvjeZnh26bcO06v25eY6fC2+dRt3qJcKv2VlYWl6HqkJZcS6DexUB9u1JtJJMyG+yWElm1hqtn0OtfPaXvM0uTT/gV11U/WNKwrAzMFRmZr0DR9xOtfZlS0EyzZ6aVZLFB2GkKjC4jqNnKVzzfiU3TjhC+PU6E1oCR9ub7CZwOiOtGiTr3r07TqezRdVYRUVFi+qydOyzzz4sW5ZcuNHj8VBcXJzw1RHRS/DTBLXMRCI1okMArFaSpa4C0Q5iLoeiCzY2Z8H0a3ng9S945PsAX/U4E/Y+P7Zu8oO+LxDWNdgs3ewFphQ1CLZbiorDGjFutMbNxPh9qoqH/Y47ny/vO4VPz8jH8/HVUk+pGRvrm/AFwrgcCqMzdkRMDk62nHrREvzY4T4QStrGKPr5NFL9n8vJCfv4IbI9H7kPafH7ehsl+IqiGNpQkn/+VVUVPjzpuhc2HBFLlWQxHvv3hzx/Yh+mjgrAp7enfF5nZXllAwA7lBXTv0seYM+ejI5lnok9WZtuaU2TLFsTxNb8MpeKD+4B4Pbg6dRS0OI5tnRfBAZhWGkN1YPOViozG83sKfn9buCQPXn42nN4cXwuRwbfgYqlwq/ZGVBVlb9i9nTwjtGzrW2n3qRaxXhmM7MnKwOaIDakKU3w1U7r8pt3nEX9xtWsU7vxuHp8i9/bsSUwDmnKXkI0G1XOetdAmnP4BVNe5IDtS5k6xkPRnOtl22Uz/toUtaXty4ro3zUfsO/YN5oEovSznpBeprVKMs1WU7VbWi1WqN24mssvmEClL8KT4XGsd7XsnEp1ZkqHiP6ylUSrrmdryZ6SV2bGpTVS2FNOLpf96xZ6FSiMzF0Gf/xP+DU7C3/FtJu1vWltdaNw4Udnp1WDZG63m913353Zs2cnPD579mxGjhwpvM7ChQvp3bt3ti+vXSGieyTaWx8IRwjFDES4kixNtiVegu9MXiE4/3n2WP0kL47PZerFR3DAJY/qv9IOJ80zLdrPVsRco+sJHJwE2y09LgdaZ6uoVoXuhHhcCW2xxsEKKW/2wH6XPoWjy1ZQuwY+uVXoNTsLlfWxqaGFbgZ2jzqu9rOL6Q9O8YBzyHSCpk+gyjNx7eghQFXjUzaTridom/99/EYGXfImT/8Y4vrgOTQEkgTe9DYui9l6E+fBH4rfS8wniNk/OGmVYxppS/Bj9NpqW86+44XoPem7J2HVPOHX7Qxo9tSz2MOAmCNix560z4Y7JnafDLPpyAnrWW1pSVO5kSAFIGBPaiTChFOOY4dHNjNt1Va8ExmZ1MGJt4hZ130R0SQTaePMNwkONicSUfV//+b2FK/MTH1tE299mtP/7yiUSBD+ezGExe24o+MNhPX2xD0GdgGijkg6LcdUmAWJnQ5F/51Z+7LPYiUZpA9ox2UKxD73i+a8zcl3/ocdHvcyqepYKgMtP9f1me5NaROiYmvrQuN2qpxT2FK6BE5ufgFz5n7LSbsUovz1Gfz0ivDrdgYqG2J7U1F8b1pjs91S25/MtJxbQ5MsbkvJzylWKzOvP+doHv6qhiNeDzMtNC5pVbKVamT9OgX2EisVatmqcgaxhOjhZ17B8leu4ridcuDdy6GpNuVzOyOVDX4AhvYtwe10EIqolNfas6fORqu3W1555ZU8++yzPP/88yxdupQrrriC1atXc8EF0ZL9yZMnc8YZZ+jPnzp1Km+//TbLli3j119/ZfLkycycOZNLLrmktS+1TSMyQU90gpjxIJAvGIBKpykTn9DS8uap/vQavHclAKdfdDX/fPTdZusmbz0zTqAUFXNNXE9AuN9kozNW0ohqVdSncZ6EWvg8hTDuUcIRlQcefoy5b0wTet3OgHaj715oODhV+UyDWMkw1VCK/b+KmOhxgdGpF7Mlo65gukOOUCWZv4EZTz5MrR8WOYfyh9o/awcnMLcn42fZ7F4iUknTHL0Ev0W2PubUJ9N9MbLtwTD8NILhCM9PPolwo6zO1NDtqSCzIJluS2k+/3Z0lKyLIydrD0te2ZuKqq9fYPPmzfhCsHTwxYDSwp7CEVX/DNvSfRFqacl+JZk3ENILVprbkxa4T2tPigJHTQVPCYHV8/n5peuEXrczsDlmS3k5TrbpUYjToeAPRdgUe9wKZppkIC6tYUffMh7QbvlZ0D/3IgmhSITw5/ewUw8H++/ch3kFo/EGWg6BsdtuWSCUEBV7/3YqyfSKHU/yqkyzvUnpORhGR23I/95kvOV/Cr92R0fbm7oVuhnQNVblbENaw6itlzIhalJFa8TqdEtjsjUZ+udTZL21Czil1zKG9nRw6Jn/xI87+VnPRtDZyhAMoUoyW1XO6SvJzP7/FIy9FbpuDfXriXwo9yaNSESlyhtPiPbLoGugM9LqQbITTzyRqVOnctttt7Hrrrvy5Zdf8sEHH7DVVlsBUF5ezurVq/XnBwIBJk2axLBhw9h///356quveP/99zn22GNb+1LbNCICrKKOiHYQ8LgcuJxiH4HCNHoqqQ4i/338Ro484XS8gQjsOREOvhWaBbzio4KTV5JZFXMVOezEMyIi2XprU1pS9dWD4fBkthlvPYq7/9qZSbP9nHPJlTTVVwu9dkcnfnDy0LdLHooSPbRXea0LSZvpHuXlOPVAlpk9Wa0kiwZftbHWydqXLTg2c6bwn2PgqeP7cuaUf0f/Psln33a23qQM33hwcqQYKKKhl+BbmHiU+uAkrr+oHnIHY14Nc86rq3n0is69jxjZ7I0FyYrcGbW0eAWqnIvSaFq2WC9grZKsKE0gV3vMoZBSCkCnsZpu397J9xML+PLRS8jdZp/Y9SRes/Fne1IAafYmC3ZqNeisVYm5nQ5ymwW0hTUYi/uwdvgk9njay+iL7mfD4q+FXrujoweci9zkOB30Kc0FbAadBdr3Rc96Vp16MIp4p263FEoILXyRXZUlLLi4F9NejU+ea550slOVGb2G9K1sRikA0+mWNqQAzKuczavQGXEJC4Lbsduj65l0+hjZdhlDqyTrUehhQLfMEzggMMlcqMrZWpW/nsBJNaRJdL1wCN77JyP7O/np8Qn0/sc5sWtObaOWEjix+4M/FEk5vMfKularnMHoOzWrzEwx3bIF7nw4+nG+Xh1m2PlP8tM7Twm/dkemtjGod3t0K/DoZ721VbKSTIS/Rbj/oosuYuXKlfj9fhYsWMABBxyg/27GjBnMmTNH//maa67hzz//pLGxkaqqKubOncvhh8tpfyK6R0UmN2QNnw2xyPQl+C2dmtqf3uXsq+/kg2UhHlm9I4y9t0WADFK3cdrVqRApm7eWrbd2eEpXSRavfjHfjC++/zV27Onmmn0UPN88IPTaHR3t4NS90I3H5aRnkQeITuWzilm1iqIowoKuXhuOiB40SFqZKdgiU/4LfPsELofCeXdOp0ePqN5AMhuNfy6tBp3T25MVjRo7lWT1JgcnkSCZkt+Fk86cSJdc6Lnpa1jzvfDrd2S0dsvuhfHs4voa6wcnvZ1FZG8ycUSC4QiB2OATq5pkySqojZU0phXJn9wK3k24eu7AXufen3LP0z5zbpcDjyu7UgBWAuRWg86pbCn6mLg99frHBThzi3AAf7z4T4hYm/7cEdlksCWAvqX27cknECQuFLQnq049GIMGSSozRR3lhgqYfRMAroOvp/c2Q3XpiubXXG8zIVpo8vlvDMZ1bYUrySwkcFJpP2l7UyjFhNAEnC7qdr+EJZsivP3dCqq/ek749TsylfXxroG+pVGnfr2N9jDNlpxp9JKttFtaDToXpgnARSKqsC8W/mYabFgEuaU4Drsrrf60Fry1EiQzBr2TSYBEX8vC3mSxkqwpGNb3fbuVZABsNZKpy/rx66YI1187CZrqhF6/I6MlcErycnC7HPSNnfXW2dibOiN/S5BMkjkiE/TS3ZCN6GKRVnQqPJoYaWpHRA86rVtAyfvn8eEpeZwzehuufu6L6OjrJGiH89pmpel2pvGBsaUlTQm+vrb5oSzPYhm+VmLfvJ0FrJV1l5ZtxaLPZ3Hubm6Ubx+HdQuEXr8jo93se8Qcka4F0f9W+zKoJMuCI+Kz4YikO5iJHEbCwQBv3noKkUgIdhoP2x2if+a8gZYTVLXDlFV7StUO3fxat5SYq9DBCZh4w0P8/sQZnDI0B965FMJif9dRUVVVryTrVujRbanGZ/3fRUT3KB5wTr++MRlh2RFJsrZoi8i3/32O5599Klr5ceRD4PLo9pRqb8p26zJYa4vOs1pJlqIqM/qYuD3luN28PusdllzeiwPyl8EP0rHXq5xjdqT9t9pOlbNAu7Ho/y87lWTp9yYxp/6fJ/6DF77dhNprCOx1PoqipDzr1ds865klXbTrVxTzs65IJU1zUk1ezncbq9DN7Wn0sWcxY/IJLL6wgC5f3w7/z95Zh0lVtn/8cya3i04FMRBBVFSwW+zu7u7urtfuABO7u1FQDGxMEBDpWNiuyfP7Y+bEzJ54njOLP9id73W91yvs7D3D7rmf547v/b2bVwi9f2eGWVqjqjgEpJ4bWbFx4/m30UtGXKYmZU/uWXXStjUXo5zszfvtW9be53wmTIui7nQdlPQwNj5mNdwjcaPYZJWD2CEc8BP020uAgFxOZvimaAPH8NXsu69MQqoB4P7n3ufMrbvx3N4KfHaj0Pd0Zmjkgm4lKT/qlvYnL3lTV0S+SLaawHzY20F0BEl2syU4j4oYwv0BqP0Xnj8EYi1svu0ujP/4DwLhAlu7FfphbxM4yTLJBDqComKuKXvuzDQzctYkM8G//h4w/CBQk8RfO51Ya5PQ93VWrDAFTgBVxalnx8thr418dEQi4oVJpm+4tCo6C+hUPHbl8Rz06B/s/VIMdddbgMwR347yJ7fxZUP/QqDgHJSn4NuLuRoJkkhSoygKPQ65B4q6QfX0Lp/YN7TGiSU0Cn5I96UaD76kBftCUgBuBef0cxb0K7ZLANrZFhi3dLo345E2Tj79bE54u43b/hkGa24JpDqvAHXtfCldcPboS62xhG2y56lbL8sks7ybBKUA0lhnk23ouV96Y+znN0FLjdD3dVas0MbDSlMJSKXuTx6KzgL3ieiImKzQOBjPnpWviugFfvHifdz3/h8c/3Ybf613LvhT9ipsi2TeGjhusZ65gePGIjWzYO2YNNmw225pZqGL+tMxNzxLt0EjoK0OJt0s9D2dGSvSxeXupSEqioxFR9nPjhtECAFmcoHboo0mSYKB5ktW2rbaue0mBXDHRccwpzbBY38UoI48ErC/m8yFbZmmLbiL7UtpkuksT9G8KX0GhNrLdsjeTb0HDub+p16lokCB78d3+U3My7Pypsqi1B3lRaamKyJfJFtNIELLFe3Wy64dBuegTDsIKxK1HLfbpsxftBR6D4eDn4FA2NFuuU3gpP25wkLbywkiI11G8CQwIibJfukQTTIzxt7Gr/WlbH7rD9x74RHi39cJkd0R0Q57LUGRgeYDjiNiDiPGZshuowSjqGRdJNN83cZeUzXMeJ/iIIzdc1+U8r5AaqRA+8zZwZNXf3IbN27HInWy5YVJ1mqdiJj/LKIlAkBhJexwFT8uSrDt4eeyfO7fwp+js0ETFC8tCFAQ9Ou+VNcSE2ZSaBBhUor6kptWoBWcGJ8igb363WMcuX6CNSr8nHTTM/rfa8lZdsHZsy+ZPoOdD0jpvkhrkln7Esh36wHY5DjotQFTZy3n3nMPEP++TojsRKQq7U+emGQC/iQqBWAlheEGJ/awaxE3HmX0kqe5bacwFx2wKetvf5D+JT2xb7HxpyJv/mTbwJHwpXDAWKYjmtgbmmQW/iSwLTYD/iCMTTW7fnjrMaZPecflGzov4omk3vjsVhwm6Pfp55NsYi9CCHDbNq5BZAlANlIMttR/Z99PZl+yLeL+/RF3bLqYW3Yq5JFxT+MLpN633IZcoPlSaTggrDetwW1EUma7ZaEkk0zEl6TupsHbwdC9QE0w48kzUZNyMU1nQvsJnDyTTAb5ItlqAtmOiBO8MMn07ZY242FB4ix49BiemrqcPV6KkTz0RQiXutq164jUtaYcuCIdbIrCTadFVVVT8OQelHWoJpmXw764Gz+W785Pi5Pc+9x7xOqWiH9vJ0O7RMTjYR9PJInoukcCRWfX8WUPmmT6SIvVBjGX4P6TqzltZIIZ12/Gabc8nfEl26Jzi5aIyPmT2+IKmVEZ7efTGmu/4cwKyaThq9k6SqGAj4KgL+MziEDd6ChO+1jhizkRbjr7UOHv62zIDpzKC4N6MJ99FrtBhElZ6rAd2QzZzZbgzARw3e7XsJjgl//j4i3D/P3Bo3QbuLb+JTtf0pL8cklfCgd8ui6T3X0is5lMu+taJUdaLDXJJDT+dPj8TF/7NEY/3sIF4yfx1xdvi39vJ4MxbqkxydLdei/MTAF/cto2boYXJplTQdtVM++bBwjVzeLiXfpz6zMfZ3zJbtzSa5FMK/zZ6mVK3E2KouixtWgTx0k3VzvvpPxp0DY8MHddNh3XxLmnndBlRfxrmqOoaophpcV4XmM9fVOsQ8HZvG3cqYkjsgQgG2ZWYbZtLW60fT5jrfD+RYT8CpdedD7Dtt1b/5Lt3dSq3U1yvgTOCzvMn19uu6Uck8x6AkdcpsYMdafrOeW9KEMv/Yz3H7te6ns7E8ybYsF0NzV3bckRUeSLZKsJRMYtyyQp+DKaZE7jYU1tMW4NjuO2MY2M6hfkoYcfw1fRX8iuayLisVtvtWocUpoT2qiLmNh4x2mSlXk87I++4gGu2WMA359YSPCbu6W+tzPBEO7PjTZs7hY6BU8lghv5vCT2+vhyVhChqqr+fpaJyNxvYNrzgEK/Ix/EH8xM1K38KZZI6oU+WfaLmyaZF6FxVYW2uHvw1ByN68LLThp/MsxMxR/g1ptv5ISNglw0ZDZUd0022YosVmbA79OfHVn2S4tEA6fFZTxWRCuwnW3Ta7PP6UYnXwLUjy6HaCP035TQZsdlfM0tEZH1JUVRTImIsz+tFCaZzjLITZPMjPV2OpL9NxvIURsGKZ/WdbeJaf7UvTSrgeOBSSYmrSGoSebBn5zGLZ3YWc0LZ6BO/l/qD7vcCIUVGV93j/UkG6JuUgAyW6KRb4gammQd50+7nXU74QD09NUR+fN992/ohNDivKrikF68MhJ72bvJveCsKApOyyp0WwJLAKxgt7SmMf1eds/nX89dhlr7L5T2hW0vzfia5ktNkXjGfWo0Q+WLZEVud5OEHlvRSvEliYIzoHQbTMVam6AC3775aGpDaBfEiqy8KReWc1dEvki2msBgkrl3F0Up+DLbLZ2YAJvPe4wD/F/SvzzI1M/eZat9jha2q3U8bBMRWQq+6eeTrQEAmT8bESadyLZMM+yExgFTp1JuG5g/GOLa+56hd4kvNWO/YrbU93cGJJIqNWmh8e6luXUXtd9lwKcQcqCki17O3hJ7a19tjSX0dc3ZBeJ4pJVTj9yXv6oTsPHR0H9UO7tWNHyzb1nR2Z3gKo4sITReEDBGD0R0yfQtgn4fBcH2CaOWJLVK+tMOh5/D+Av3p28pKT2lLohsViYYwZNsIiLCJMsoZDn87r0UnMMBHwGfJjqcaVsroFo1WyZOuJOdL5nA9OUq7HFnu+Uy9qxMjeXsoVvvovvSKFEkkx1fdmKS6awcSV8CePmdT3hyv1L6rpgCc7+W/v7OgI7UfTF8QECTzHXzsgdmpkOyrCWzVv505L47scuTy5lVMBJGHNLu6/ZFMm/+ZGgoORfJRDeku7Gms+HEfin26E9rbbwtc545m2f2KyT85S3QBcfEnO4m2cRe9Pk3NOTsf/fmaR7XTckmFNsUyZx8adb3E9nopHvZ9dkWGre+CsIlGV83f4/5M+sTOJIFZxAoOsswyTrSlyRHN8247N4X+O7MvtwwugWmvSD9/Z0B7e4mk/5s9jKJPNojXyRbTaALjTsyX+QCJ6ntliYmgFl0+O17zqf85/EAfDfsCnzr7CJsE0TGw+QCp4KgMdJidagaouj+dgKRVtB+RqK6R4Ymmf1h7yURYfB2MGRnSMapfusqPpu+VGpd+eqO2pYoSTW1/UYLmLx2F83Pv1Ow47Rm24zcRsSsR0/8PqWdfz54ydE8OmUZO0xoI7L1ZZZ2rfxJ69SXFQT0zqwo3BJxmW69z6dQFBQPnpx8CeSZNBnY4UpAgT/fZNGfX/PTvFp5G6sxrBKRSq9FZwFNvlDAp3ffGx38ycsSDEVRXP0pu0OtxqOcf+lVTJyT4KF5Q6DPhu3smn3JzEr2yiQDUyJu4U+qqnpikjUJN3DsWc6y4zFm+HuuAxsdBYA68Xqm/F2tP19dBdUdJAWQTKoGk0xAk0xUWkNKCsBhIsHOn/7++Cne+2kBk/5NEBlzAVjcq1YNnERS1ZN8ryxnW71MgQU4Zsgk45F4QpdssGo86Q1RDzFan32ugVApLPmNxp9e5cuZ1dI2Vmdkj4eB9/HlVsHn32mjqwYvMjVgn5cZUyft7X3/1OWgAoVVlIxqLwsR8Pv0z2wV63kZt3Rjf8nEerLbLZ20nDVfaoslpbebVvQawKaHpWPlSbfy0z+LmV/TImVjdUd11tSAdjdF40lvuWgXQ75ItppAjEmW+lo0kSTiMM4ksgQgG+YESDssp776AAdfdDfbP93CJfO3onqdw4TtabAToPTaEVEUxXEjZaMD08sK2s9IlK1iJzQO8p3KbCS2u5xT321lwMkvcOWdD3PfZzM92VkdoXWbywuDuiCp0V2UG2mQDpxcx5e9MMm0ZyGL+WLqLmYU8FprGev/iv2HBrjurCMJV/axtKsn9i1mJpk3fT8QYL5I+pMMY0X7WdjZdtMfdETvDVg2cA/O/qCNg/fbi4Me+aZLBU9a8q4lH2Bmv8j5k2giLsLMFFkCYAXtOc22bdetV356mtcO8HP8qFKuf/QNS5tawK6qmaNnXjXJwJzYt/8ZtEQT+nixiD8ZTEpRKQB7Zo0bK8cV215MdVuQi8Z/znVXXchlr//mzc5qiHgiqT93VVmaZLXNMaluvZn97swk00bN3VjO8v6kvW92Uh+NJ/XPl+FPyQTrzHyE6WeW8NQFe2VoJ5lRYTE1YI77ZKU1nArOYGb1CzLJJArF5nPGqqBdnEsDp6gKtjiLmlaVmy87k2PGTWHKzOXydlZT1KbP10rT+ep1fFmUEFDmsEhJt+Vh4RnYx5G2LOfZn3NYj+n8cWYFjz79EorPOk03FmEYPxPtbvLSwCkR1J8V8SdDLzN3LWdznmo1HeSKTU+A0r7UL5vPQ9edxbFPfidvYzWG9nxoPlQY9OsNy/yGS3fki2SrCUS6GObL2qkjIrIEIBvhgF8fTWuOxGHJb2zw+83ssGaAjdfuzYvdTpYe5YLMbr05mMypI+Kgn+ZE67VCYdA5EGtv3+Gwz4VJBvj7b8wiehFJwJBZzzHui3882VkdUW+hWWCmDctANNgpEUjqY4kkUX0JgMxIi/W4Zb1dd/HLu1i3tJnXztiEk64fb2tX85c6i+6ip/Ew18BJzp/cNijJ2M6JSQY0bXgiD30f5au/a1hrySd8N6fGk53VEYYGiPGzrUr708pgkoFY0dkLkwyMZ6R90dmCjRhphEm3MqTKx+MP3klFnzUsbRYE/fpyCHMynxOTTGcm2zdwAj5Ff19HWy5Cy9lw2m5pMEY9dpbL+vK/2Wtz5zdRFn7xCp/8sUhoOUdngPkM155DrYETTSSlzictzlAUHJ8B0akBL0wyuwVQZu3HjMUSvzwP1X8xuE8VR1yXuUjGDMukPqdtfB3bwJGR1tDOg5KwNTtbdit6NpKbn8pmj7dy66fVrPvXY0yascyTndUR2s/WXDzy3MARlJYxYj13TTJpJpnN+LJxN5kLzkn45GoA1tr1ZAZtsr2tXatFGF6XYID7faKP6ws1cCTvplb7WC9j4Y2XJk6wkJ96H8qgext569U3WLhwIcsa2uTtrKbI9idFUfIbLiWQL5KtJhDZ0uL3KXoS6titz5E2HF38JzyzL8U089YlO6Mc+hiKLyDdCQTDcWMJNSNAr8+hI+JUjJLtLsomD/o2Pgv7hZJLAKww6qjLmXRMMc9su4z1ffNo89JZWQ1hVTAxdxdluvWiz3+pwMY383PhLRHJDMqsAkTq5sHUtCj2ztej+O3fx2nc0ot/uhWinNgpViiUKGy5acrIbiPLxhobbcdhOw1n4tFF3NBvCtPmd52Ry0aL8QbP48uC211LBRZheGWSldiMRlt161s/uxNalkPVWrDJsY52rfypIzTJrIJ98xknonkj+/w7+ZP5bvKqU7L+0bew1RpB7tgBdvN9xz/Lmz3ZWd2g3Q+FQT/BdKGnMGQUWGXYL62mu8npGRAft5T3J1vmiymR1QpDLXXLWfDGtakXbHMRFFba2rW+m9IMcU/jYR3bwJGJz0TvJtEiQTZ8heVsuNkYRvTycXT5L8xevMKTndURVsVNrw0cUSaZvsnciVzgQaYmw3bWc1pvEetNeeZG5k7/BcJlsM3FjnYrHPwpF00yq/skljBYpCL+pP2MWmMJoRHJxkj737kG83SQ14Zo68bHU1oYpEcR7NX8BtMW1Huys7pBVVVL4kYumpldDfki2WoCY0uLGPtlZdCGSwsC9Kn7hU+v2i2VZPQeQfCI56lLpBzOqjDkhqKQn6A/FXBph33GNj4PIy1ONHynbrr15xMfQ1FV1bT9yUr3RbxTaYf5lZvSMGBLAM70vcZfixs821qd0GDRxdIO+nhStdzEZQfZwMnJl7SAIuhXCMlsPEr7ilZk0lBv0V087ZCxXDuxnqbeY2DtnR3tWiYienfRQ+Dk0hHX9KVEuotgCsQEfl/a5W6nz1Qk2a3MxtKGNv7e+CK2WLOQzf1/4/vnM092VkcY/mQqOnsUR26RTURWIpMs25+ytw0vmvEzAw+8nis/ayO6zRXgd35uDfaLlT/l0K23bODI3k3WG3LtoAsvO7CcVTWl/eIFS/y92P+II9l97SAXBF9j2ryukdjbaSd6WYShnWWFLr4kuj1RsyfTENV8xfZuMj2fd190FGvf+g/3TCuCzU5ytut4N3kZD0v9myLxpOXGXJnxMJDT5XMTMTf0nbw1cFRV5e+R5/DeyQM4bEgL6yx4rcswM638yfMmc0kmmWOs52HhGZhGo7PvpqyYtq2xjiPOv5F1Hmjis8I9obibo10rqRrNn7wUnfXNyxbPrLmxZXV/ZMN8f4uMSLr5U6FLQdwNC5pUtjv0JH47rZiren/FX3PmebKzusG8CKwso+icZ5KJIl8kW01gbGnpOPaLLJNsWOvPzHnuak56rZqXF/SBo98iES7Xkx4vTBVFUdol9uZD30vhTUyTTI5JJnLQm4UlrS6SXCn4APNrWrgnfgBJVWHb5HdM+/I9z7ZWJ1jRsQuCfj1RlEnsRYMdkQ1ioiyabFh1AaG9gOmfk1/n0Y//4rrJUaYPPNJSENkMR+aLF1amIAVffIOY+MixU8E59dly86cFta0spYrnEjsBsNuKZ2h1WdLQWdBoIeLuVRy5WbKB4zzSot1zcg0crQCsaVlqqM9KGp6+6XSWt6h8vqiQ4Ij9XO06MzO9aJLZF4mlWc4hOb1MYxNte38qNG2P9cp0XlDbyuOJ3ahTixniW0Tid2utt84Gu+KmF38Sff7N4vp2zL9EUtXjFhn2i+YrrbFEBlNdez41n1Cbqvn2y0m0xaHX6EMgEG5nK8Ou7kvG81WfC8vZ1ORtsdxkLtfAkSls6VtobXy1WLKAnY0VzVEakwU8nEidUcfxJnOWdI2ic6OFP3lN6kUbokKxnkcmmVYArmuxuZvSz/6KifexVnmSniUBxhzvvnXb6W7KZQLHKtazYss6oSDo00NVkYaoGzPT67ZYDQtqW/mibCyzGECZ0kK/GfZj4Z0J2u8texGYMTXQNeLdXJAvkq0GSCRVvbsrTht22iDm4bD/8y3uTt7M4RsEWL9PEdtc/joUVWVcKl40yczfpx32daaCiKxOBZgTe6eRlo5nkmmsGkVB3+KX8blMY6BeRlpUVWVhbSuz1X48tGQDhtzfxNM3XyBtZ3WEroeQ9YxpHcYVMt369PPv1q0X8SWvSb32ubODvmyh8aFzJ/DyQYVcss9wRu1+pKtdp+5iLuNh7mKukkwykZEWl6JBrkwyTaj/h/5H8/i0JAc/+DOvPnarJ1urG6yWInhhvoB587JL0Vlgg5hecJPs1mvPdm1LdtHZ1K2v/ptLB//FG4cUcs/dd9kKIpuRnYiktvHlPr7cZPH8yxec5UYknZhkPlMQ7ZXpvKC2hSaKmFx5IM9MizLu1qtJxjt/EG6l7wdGYl/TJHM3yS2ViSVUfctiNjKWAEj4k3kLspXekcbwUb68k7cPCfLJOcM55II7XO2a7ybtec1lPCzk9xFIf06r+8mrtIbIfeK2hVZvBnlkvmh305fFu7KE7kz+Yxlv3n2eJ1urG6z8qdKDL4F5SZNLkUxg3NIruaBSv5uyYz2TP7XV02/6eCYeXcR3L99NYVmVq91yi0UY9TlMDTgtFmuQHF3OWKAmUNhy9accpTXm17Sg4uOrfiegqirR756nfslcT7ZWJ5jJBebx/ar0s1PT3LW2UHtBvki2GkAm2HFa361BhjYcaaqj5sUz4OWjCRNj9x1Hc8Zjn9F78PqAcSinxia9PU7ZiUguQuPaZwHrjnijxZiRE+QO+tRrSkIBfA5irvGkStRiPMANDa1xvYPp3+JUljSpzF5QTf2MKdK2VjfYXdJ221GdYAQ7giMtjqPL3pJ6rUjWEk1kbKLNGGlZ8APKP59x4LACbn3iLSG7WrLRcZpk9toSKb0DueBJRmy/ySVw0rf7xbwzXwDKuvfnjaX9mFev8vL4+1IzZ50cjRYjLVrQLeNLIL4IRuhuyrHobO7Wq6qa2a3/8g4UVPbde2823et4IbvlWf7U2BbTHw8v/lTsUIiSbeDIjEiqqqoX5lxHxDz60/y0P9WuexhnfRDh0xmNvH7vpZ5srU6w+71pDZ0Gl5FIM0Q1+VKaZan/tvMnzZZPQd9mJgJFUXQmijmxzxhdblwKPz6JoijsdNY9+ALu53+FaZmB9rzmMh6mKEZh15r94q0hKtTAcWO+5LikSbubelWVccOc4Rz6Wiv3jHuRSFPn11KyknDQztrGSFxI40qDQQgQKzqvDJkaneWc1cDJiPWmPgZt9Sg9h9Jnp9OE7FpKAXSEXqajFIB4nOuUh2Wj0TXW6xh/Khy5P3u+qnD4S7Xce4XzeHhngF2jwMibvE81dRXki2SrAWSCHbENYmJJzbdvPMrwwb059YbHAPi652GcEr+ApkC5/poGSUq7FbJHz+pbvXcXwfgZWB2oVoLVTnDqrmTDqVMPmewyL936+bWp7mL3kjAbbLErVx22KTPPKqH854elba1usBudMLRZxA/7FtHASWCkxWtSX1oQ0Df2mIMcM0tFnXRb6i83PBSqBgnZddJQ8pTUO6zfjsSTxBKpn4v0IgwJCr6tP0lu98uG5k/9Kwvpu/+V3LFrES/vGYF/Pvdkb3VB3LRxz5xAevElEO+wi2yL9apJpjPJTOMDLVGjsKss+5PEtJdTX9juEmG7dg2c4pBfSoNQg5PuiyyTTGZEMsVeTv23bSIiwaTJRnMkrjMQNx85jO223ozbdgqzqzIZkp17uUyDDcu5zNPdJPb8+3wKJSFn+82CSwCsUG7hT+aC8/dPXkos0gr9N4PB9hv4zCgO+XWGmjYWnct4GJhjvdz9SWZEUrNtd+YV5bgtVrubBlQW0Wefy1m3R5CTNvKT+GmCJ3urE3QmmamBY/4dui2rMEO/m1wKW7pMjWMDxyuTzLpIpsV6wdYVPHbvrUTiamr5hU8slsy+m5JJoymUk7SGoy+J25UZkdRyJzvihkweZgXNn4b2rSCxzg6UhCC08DtorfNkb3WBXX5uyDJ1fqZ3rsgXyVYDmBMHt2BHJNExLg6bw75hEbx6AkUfX8A/yyNMma9SvdvjfLfO+STwZ4y0WG1okYVGpV7RlBU4eWaS2f8M5AMn8RFJrQNm93MN+H16kdOL7ssCU1LfvSTMlwNPJBjwwfR3Yclv0vZWJ9htUdQSE5lERBczdguc0to9qmp/0XtN6n0+xbKgpflT/M8PGHnh67z2Vxy2Fh+prSw29GS0xMHYxidfdA4HfHpikz0mp13APkU8cJRikrkETjKjm1bQ/GlAVRFVg0ZQMWovCoMKTLq1U7PJzEmG2Z/KTHqWouPgqqoKbV4GQ1vO8W7yuN3SSpNM86WAT+Gy045g2IONTIxsCH03ErarbVVb0RRJ2/c+zgJGYddq5FRWQ8nnU/RCmVvTRfud+32KvnWx3WfLQWx8YV2qU19eGGRQ92L+2eQCTtmiktKGmfDnm9L2VifYsWkNAXwZlrP48683ceyKZB6ZL2DNzNTO++Km+Wx34dOs80ATi9Y7wVUnU4OiKIY8QjrWq89BCgDc/Mm6eOlmqyMaOMY2vtxYzv0rC+nZvRsHnHgaV28bpuj7ByHeuUekrBiA4YBfj51l/MlgOYs2cBxkajwzyazHLbVn/717z+OUN2rY7WUVhrnrZGqoytpG3RiJo5HsvEjfaPGUky95YpJ1hCZZDkyySDzB0oaUzwyoLKRp1Cl8etbaXDpaNbbGd1JkLy7SoBWgGyQbol0R+SLZagDRcRYQS0Ts7MXbWvjlqYvggU3h91cZ0SvAq5ftxV8zZtFj8wOtA6csnQov6FVWAKS2zYFx6HstvGmfxerCk+7Wh8RHJN02tEBuApTza4zAqUdpmNlqP95NjEZVVX5/9nJpe6sT7BiA+lY7mZEWQSZZQdDQPLHzJ69JPVjrkmn+9NlTt/Hr0iSvL+oD3dYStlkSDuh+vSwdGNTkQMFXFMXEiMjqhLYaz7vVeLEVZLQlDKHxlcMkMyciPUrDPBLfk5gSgvlTafnjA082Vwdov7dsEV7Nl6KJpK3OUTbaYkm9nujmT8a4pZNepreic2VR+4Kzdias7VvC+1NnMGNFkuLRx0jZ7andTY0pX6rN9W5yaGLJSgGAif3l4k/mTbF2jTan0TU3aBpK/SsLKQj6oaCMx+O7p744+X+Q9LYxc3WAoaGUO8tZe/4Lg+7PgLEt1tqfvDJfwORPpoKEdjf1mf40JSHoXl5Cny0OlrLbqywl7r+sMTPW8zo1UFZgnewlkqpr4p2NYg8NHNu7KWd9P+1uKqJHaZhXktuzwt8dGhfBT894srk6QFVVy03mILaULBuiPiCil+nVnypMd5PWfIrEE7TFkhQQYY3GH+hXqnDsUUcKs8jA8KWlaV/S8rLCoD91BkvCqeEsO4ED4oWtRFLVX+OmSeal6LyoLvXzKQz6qSoO0a2ilAmh9Ln17YPQ1nlHmO3y3TyTTBz5ItlqAFFhZDCPiFk//HFTAmQ+7BdNfZNNhnRnu1PvYElNQ4pGf/Ik9r3pbcr7rAlkHvYaOoJJ1qs0M3DSimW90wmKLPQOrsNhb7XhywrmQqJot94pKNM6/15owwaTrIjKohA+Be6N7MX2T7cw/KJ3+X3Sm9I2Vxc02CSQZR4Oe2MMxTmQUBTF1Z+8JvVgvfWovjXGeso8nti+nmu2DXPFzfdI2VQURS86L2loQ1VVltanEnzP/mSjrSOr+QJyibibToU2vuyF+RJPJFlcnzpn+lcW0b0kTDWVPNe2NbtMaGbvg4/qtGyyBgs9MsjUORItOpuD1kKXwFyM5eyt6GxVcNY2551W8CEzzyzhuVM3ZvQ+J0jZ1Rs46WdliXY3lXesL4FZZFfGn8TYX276filb3v3JXHAG6FES5qnErkytLmDv+37irQc6bxPHlkmm6yh1vCYZuI8vizI8rVBh2cCJU04Tx5dMYc45Jbz4mNjyCzO0O2hJ+k7SYr1eHexPdmxZJ3hp4NhKAeSQ1EP7qYEoQZ4PHshvSxOcfu7FxFqbPNld1WHWPm3vT+5sr2xocbbrkiYBvUyv2y0rTVp8WjFIK6wfEZjIcUMjzL5mGIdffLeUXYNcEEFVVT2e8Xw3FdjfTV4aOIWCTDLzz9yWmamzPOWLzmZfUhSFHiUh3k9uTn3xYGYsqOG9e86Vtrm6oMGmuFnmoeDcVZEvkq0GkGGSlblQ8M2rsovCfmheAa+fTK/3jiakRvD7ffy1ztlw/EfQd2TG91oyXzpAk0w71JekD3ntsO9TUejJnh44WdCyZZlkQb9P155xC3hEEhEt+G31wCRbnh5R6FUWxu9T6FYSZrZvTSp69CXkhx9euUPa5uoCu1EkvZMsIUDZKlHYMjZcrkwmmfGcNrbFOTPwJpWFCteefgjrb72ntF29w9jQRk1zVGdA9sq16Jz1M/ZCwS920JDJRlP6d26vSSauIZON2pYYiaSKokCP0jA90oX6Dwv3ZNLcBJNn1DD78+ek7a4OaLApbvp8it5RF/WnVp35YugN2UFMHNlb0Vlr0tSauvUNbXF6s4Ld4hMpDSscfsl9UjbBSOq1br1+N63ERMTLSItbt95tUyzIMWmysTw9jqqdMd1LwzRQzBMLh/DO33FuvPOBTssms0tESj3cTTJNF81/bWM9j74EhqZRdkP0+MCHBBMtFPUfwVo7yxWcwcTMbOhgf2q1buCEAj7CAbG7WdSXwBzrWce95okBL5vMq9PM1Z5lBfrdNKF1S3Z5ro2Hv6ljwk1nSNtcHaD5it+0bVdDqUPz2w4GwUBMk8yRSSax8MyMopCfUJqxrTEzG9pihIlySuA9AMLbXUggLOcD2nMRjSepa4np+ZP3ZqjxzMaypma8NESLBXX5tPw25Lf31cIcis6aL2l3U4/SMEl8PNq4Les/1MxxNz5D84pF0nZXB9gzybS7Kc8kc0O+SLYaQIbm65aIaAd9wKewYMpLqA9vAb++hN/n4/lrjmbG9Blsf/JNYNEhtBKgNNaCey+S9TR1RKAjAif7ETxj24cMbVissCXWrfeeiGjFSU2LoHtJ6pI89vI7+OfsEo7t8Tssmy5td2Xi61nLmbUs966noUmWuwCljLaEW5EsNyZZe3+qapnN7r6pqT9sc5G0TTCCgWUNEd2XupeEPQmNg1m/IDsRsR6LcIK3RMRFp8IDK1Nj75UXBvH7FN2XFhcO4onz9mDmWSWsNWfCKsUma2iL8c60Re0CWFk4dYVl/alZRkNJaKQlbU923DJ9JkbjST0xqm+NcWjbqwSJwxpbwppbStkEo+Bc1xKjLZZgcVp7y+vdVF5oXzjJRRzZjZmpMWEd7yaJpRrZ0O4mLUbokfankcfexAmjinl2L1Y5bbJfF9Txy/y6nO3Y+ZMXlrPo5mUwjYjZ/L6aPS6VAcOftPFigObqBYxY8nbqD9tcKKxFZoaWwC9rbKMlGtfjR8+xno22jrfRZXG2iuuSpvTPPJFUhUfXNcQSSf3zVxWHdF+qjvq58Pj9OWj9AJtHp6xS2mTxRJK3py3KeXzLzMrMHgu3k32wQ9S0WEh4u2U0TtJme6ZXJpmiKKbFMoYW38h/nmD63GooHwAbHiZlE1I6bVousKShjUX16bupwpsvme+G7HhXv5skCoRFgrp8bqxMEM/BrKA1obUzTfOn+hFHMrh7mDH9fdR9/pC03ZWJf6qbmDJzec527DTJvC5p6orIF8lWA0gl9TYaDbqtaJwAcTb75TrW3+kIxk2eB93WhhMnsvbJT9J9wBBb21YClB1RJDMHTsmkanREcqXgWzLJvKwyFitsuQVOYO6uyB9Oun6HloikO0mxAWPot/k+gApf/E/a7srCzKWNHD5+KjvdNZm2mPzlZkajzYhYqcvzbgWZorN2udgWnXNJRLLGLeOJJE1vXcfhrzXzZ+nW0HsDaZtgGmlpaNOLZH09Bk7g3q2XYpJ50X2xpeCnfcnDs6X5UmWWLy1vjHDkVeNYs1shzP8W/pkkbXtl4fYPZ3DWCz9zw7t/5mTHaazPGF8S8ycZ5pfbBrGkSZtEdkSsOOQn6E9vzksHxUtn/8rlD77Dvi+20DTqLCl7GsoLg7pg9LKGiGnc0iPL2VQ4yWaYNHi6m8TuE30bnxPLOZcGTnoLonamaf7UWNCb8bdfybrd/auUNllTJM4BD3/Nvg9+peupeYXbBjG5u0mL9TqiISpuKxsVFppk6sTb2OHxGk6bGIahe0vbBKPovKTeuJtKwgGpwrAZ9neTfMFZZkTSVS/TdB7K6s9q55eipM6fyqIQfp+CqsKRVz3Gy8cPYVjRcvh51dl0OeHbuZz9ws+c9MwPOdlxOgNlF2GYz0S3wpb2fqpq//t3XXjmgGyCwfKaFXz50Qds+1QLr0W2goA3TT6znvOSHMkFAb9PP1Ps/Ukm1hMrbJn1Mu2QE7lAj/VSz0/39N20olXhp7fH8dahRfSbNWGV0SZTVZVjnvyOIx+fyhd/V+dky+73ZkgBxPXx5jyskS+SrQYw1oLn3q2PV8/m1dB1jEpMI5qAz+oGwCmTof8mrra1wCkST+oHn0Zl7VHi7ZAHU7EnobK8OaJT8ft6TETsCieReELv6smwX4oFO+xugROYDnsPs/XaBVuV1a2vborAtpcAsODrV5n/y2Rp2ysDX5g6IW/8vNCznXgiqV+O2UGvITYqo0km3hF03yCmJfXeExGt6Dz9py+Z8vtCXvojTvNQ+c6ihp4ZgVOqu+iVgg/2/uRpPEzQl5JJVf+d24u5etep0LuLWUl9czRBS0EPGHUcAHUf3LjKsMkmfDsXgGe+met5oyc4b30rlezWt0j4kpttc7FTlkmW6tZnygFUf3AnbXFY0FZI8bBdpeyZ7fYyjVzqReccGzhJtX3A7y0REUsemgW69UW6FEAOTLLizKJzdWMENj8VwuVQ/RfqKsIm+3Furc4y0fzKK9xGWuRYzhJMMpelNaLam1bIXtKktjXQr+lP/AqM3PFAy0kDEWjaY0sbIjk3Q8FebNxTA0diRLJJl1mwtm/eIit7VmeznH0+hW5pv6puU2Dr81Mv/PKuVYZN9uRX/wLw7T81zFvhvehsJ9oPZk0ywQZO+vkPBXwZC2qsEA749CaLLTNTQvYmG+VZsV7w15fYZ20fa1QG2OOMW6XtadAXYZimBrw2cMB+CsdOosEJoouV3Hwp9bWOZzlXN0Uo3exw6L4OtNXB1Mekba8MLKht1Ze0PfrF7JxsuUkBgLP8RR75ItlqASOpl9CpsHjw276bwFpv7M5I32xOGF3JG/deygtfzIBQsdDnKAkH9G1/2sGzTCuSlXoPdIJ+H93TRbY/FjUQT6r4fYoeaMvCrbsIzslCNjqUSeZRHFlV1XZbCruXpn5myxuj0Hs44xetx1r3NXLZ2fJaISsDP86t0f/76a//9WzH/HuzT0QkuvUS2hLGBrGOZ5JVZGmS9Z05gR9OKuaUHQex6e5HSNvTYNYkW5RjdxFEmGQdv/HI3M21+z1ptqKJJFHJkZbswKk45NeTmuWNUdQtzuGSiTH6nvcZU994WMr2yoC21ETDW79419Bw7tZLJiIR+QZOWyxpOTKqBcCKgv67kEGGjlLzci5b83f+OL2Yo864UFpg3IwM9kt63NJrYm9OxjrCn4wteh3QwAl679ZnMzO1+3x5UwQKK6jd4Dgu+7SNnQ88DjWRG6u4I/D9HONueuG7eTkxne2YmbKsTDDuEzehcRBp4IjHjdmoMGn8AUSnjufBsX4mnjGIwy70rn3aq9Ro4CzKcXQZ7DX+ctH3ExmRFGG/iN512cj2JTCkNZY3RWCjo6gL9OK6t//h1TvOlbK9MpBMqhnLhyZ8+69nW4Yv2UsBiC6VaZEoaimK4kow6JBtsS1RSMTYZNGzPLxnIbdffyEFpRXS9jRo/pSaGkj5k9cGDpincDpAf1Yw13HbFAvio5tWMGK9TCbZ8qZIapvotpfQFFW5+/abaa1dKm2/o/H9v8bd9PXsFTkxne3GzsMBvy6/ktclc0a+SLYaoFVGp8Kie1m3aA7Hbb8Oux58HEqkkanJ9Tiv6iH2PfsW23XwVjB36zVW07IGrUjmraClQevW/zKvDoCepWFXIWg7aAd9JJ4kEjcCFHNgI2NbdESyUaAjItpdyUZrLKEXAqqKLZhkwMYHnEs0AYsXzCW+5P9Xm0xVVb6bU6v/efqSRs+JiPZ7Kwz623UEZSn4YFy0MomIHRsgF02yjG597VwqZr7Bhr39lOye2ya43mXtu/Vel2CA/QYxvetbKBE4hcVGWrSkPuhX9HG3bJh/f7JaFdnMF0UxivLVTW0o5X1ZVrAWrXF45dHb/9/ZZD/8W5vx518X1Hm25aQl53WkRWbzMlhvvGo2JSEy95KGjMUy3zxAWG0j2m1t+m1/nLQtM7S7adayJv0z9vHYrVcUxTKxTyZV/ZmX8SfR5RVum2Iht269znLOZpKl76bo8CO497sYE2c0MPmZm6XtdzS+MyUijW1x/l3R7MmOqqomZqZ1AycaTwrffc0SibjruKU+Hua9gVPXEoVoC4FvHwDgo8pDKCspkranQSsur2iO6slfTkUyXePPmvkip5cpPiKpafw5bjIX3O6XjWyWM2QxM4MFPLZ0ONdOjnDFXU+QiOQ2LpwrZixtzCgE/7rA+9ia092kFVFEGziyRS0nqRpVVXPaFpuxpOnXlylrW0S1Wsb8QYdI2zLDYGa2dQgz046d6olJJkou0PQyhWRqcpACyM6b0gQPhu3H9s8lOP+9Oh675mRp+x0Nc5FMVeH3hd79yU6TzPx3eV0yZ/wnRbKHHnqIQYMGUVBQwCabbMKXX37p+PrJkyezySabUFBQwODBg3nkkUf+i4+5ykJmpMscOKnJJPzxJnX3b8+rX8/ky7kJnmMfDoteSUthb0+fRbu4a5qjqKqqB8E9O6pIlhbSzemgDwd0TVnzAeCFgg9QGBQrbDUJdRe9Mcm07mLI79M7Y93S3fqa5nSRbNfDmHbLrkw8uojA13LrpDsac1e0sLwpQsjv0wuSGh1cFk7MF1kmmaqqpqKzCDPTpbuY03ZLw5dik+7Ap8b5IjGc2qoNpW2Z0cukSdYx3Xrr4NFL4FQoOCJp9iW7gkko4NO3Rsl2GLN1KgCqitNaFektslfd8RgfHlXO7aNXwJwvpOx3NL5LM180319Q2+rZlnO33lsiItKtD/p9OkPMyn4u4yxgMGyr5/9D7eRHAbg/vh89chg1hvZ3U0VRUKjAbgerbn1zNI4mDSIlBZD2J7cRSRGWs1fdFyuWs+ZLNdpG5jXX4X+n7slbhxaybct7/6/aZJF4Qv9das/aQo/+1BpLEE//4rLPwZKQdRziaE8iEXfz1VyYZFqxs64lxuTxl7NieTXzkj34rnRHaVtmVBYF9TN7WrqYktt4mDVbzwvzxTwi6VTYiieStMVSz+/KYJJls5wBfdxSiwNPu+4RthlcyA3b+lF++f/dwqwl9bov1Xm/m5y05OxGa+0gK7SvbSq1nMKJJfU+mScmWfr3t6K+gWsvv5CFDUnGxfegqqJc2pYZGst5fm0ry9NnrdcGDoho/Mk3RN1Zzs6yGmCWqcl93LIqnTe1RBOp5oXPz0nHHMnaVT4G1HwNbQ3S79GRyI71Vpo/eZAD6IpY6UWyl156iXPPPZcrrriCn3/+ma233prddtuNefPmWb5+zpw57L777my99db8/PPPXH755Zx99tm89tprK/ujrrKQGekqLQiAmmDd6k9RH98ZXjmGNcO1jD98MF++Pp7wXjeRxOfpoAejeLW4vpWG1rjObsqVSdYvzXT5cmZKqNCrHhmAz6dYClBq3e5yySUDokwy7VJ27i56C5zq9A0tQb1oYNUJGHFUukv/28v/r5su5yxPdebX6lnCoO6pcd5FHg/7ZgcWhFkMXESAMppI6kmNUCLiukHMO5NM86W2edMYePSD3DA5wn3RfehZlpsv9SxLsTCj8aQewOYUONkswqhPP5MVEv6knWFuI5I688UlKCv0WHTO3ngE7UcNB284hl0PPTnlb5Nu/X9lk2n+tPP6vQDvvgTG2ePkT8IjLZLbKLVExCrRyUUYGYxC8OSHL2CN/y3huh9K+TS5cc4NnOy7KRdfAlPR2eJuCgd8tsxJK4h36yWYZJK+ZMVythrbPfO2Z9h7RHeU6r/gr7el3qMjsbiujWg8SWHQz5ZDugO53E3Gz70omHmfZMQhottiIzINnHRS7zoeJl/Q7V4SwqeAEmng0EsfYM17mrhy3mZUlYlJc9hBURR9iYwR63XEeFjmz7dOL9rKaeVq/tTqwPwz/86dpwa8MskyWc7QvkBUWtWDyc/fw8HDgvi+uuf/VZvsn+rMu2lJfZtnMXAj1mv/zLpp8GWjRVIv1qkham7CFQbl/Ulj9y96+3au+3AJm41vZUJsB10/1iuy76ZwwJfR+JOF1dSAqqp6rGd+Jt1QKDgiKSZT4y1vgvZFMnPzQvt3Hn/lffx51Uj2HxKF7x6Vfo+OQjKpMjet6af5Uy5FsmaHJn6pBzmAroiVXiS76667OOGEEzjxxBMZOnQo99xzDwMGDODhh611Xh555BEGDhzIPffcw9ChQznxxBM5/vjjueMO7zoIqztcE3FVhdZamP0Z4ck3EXriID4Yfzd//TwVgkWw7SUc8tgfbLnfCTkJjYNxKC+qa9N1csoLgxR4uDjMGD24G4DeTR/SsyQne1YdRqsOnQhExfYN9ov9JaUFrLLMFyudCssAsd/GsN6eRGIJ3vrfKcL2I/EEd3/yd05jXGZoz0avsrD+zHjt1jttvJMVoGxxSGqsIKxJ5oFJ1jf9c+nx06MsaVJ5b14BPyjr56TvBym9gU0GVgIpf1IUGNzDe3Jj162v8ZCImM8wpxFJEV8Ckz9Jji/XWZwFlmOlW50L/hDROV8x76tXhO3/Mr+Oez7921J7yws07ceNBlQAqfPXTVzaDk4ddmlx5Ii9b1pBT0Qsxy1zY5L1rSikVG1k1u+/0BiFr8NjACXnItmYtTLvprVzvZssnjO9CVIUkho1Fe7Wy2wQk/QlneUc8OkJpOX2rMIKGH0aALHPbiERE0t2VVVl/Jf/MGnGMqnPZQfNl3qa7qYFHhMR8+IKn4WEg+xIi8x94nY3GeNh8rFewO+jd1kBu7e+z4BSle4lAb7usX/OvgTtY721e3n3Jzuh8Zr0iFWFZMGgSGBEsjFiFLRDDgVtz0wyC5az5b9z46OhtA80LAAJNtn8mhZu/WC6XvjIFdrY2vB+5QR8CvGk2k5DUxROz6xdHOJmS7RIbIxztv+5tJi0N6383A19KwrxkWT3wFS2Guhns9GjaPUV5+xPm6xRSSC9+RRSvuRFqkCDVXOjJZogmo5jZApwxtSM4OiywzlVKGgrG6qqmhqiqc/u8yn6e2ls7kAoTGCHS1Pf9M2DUmyy139awOs/LZD6XHaoaYkST6ooCmzYvwLwnjeZR4Stivl5JpkYVmqRLBqN8uOPP7LLLrtk/P0uu+zC119/bfk933zzTbvX77rrrvzwww/ELIKqSCRCQ0NDxv86G/ZafB9bf3ECDx4xgrdOGwYPbwUPjeHvq4czpEeYTfqH4LY1YcJ+hL65m2HdkxQFFSYmN4ezf4btL4dgKvHORWgcjMR+YV2LEWx2QOC0Vbqjq2HPEX1ysmdVQNKCjyqJbgiYDvuYmCaZYyKibVCSTESsCnx2AXjrFpcw7KFm9r3zC75+fZyQ/Qc/m8W9E2dywMPWfikLTauuZ2nY9Mx47NY7LK4oCBoClCKHvWYrHPARcNl4BCammtt2Sw9MsoKgn/WLGnh+uyW8cEAhow5MJZAd4U/brttD/+8xg7vpwr9eoCe87br1mTpEIgiZhMud/ElEaBxMGn8dUXTWRw1Mtsr68kPZWIY+2MT+R55IUkB0XFVV9n3wK+75dCbPfJPb5jwN1emkY8N0kaw1ltCDP1k4La4o9apJJvj8G0Uy+0TEK8u5X2UhJwY/4otjwrx96rrMGHIkAZ8i3RTJxnq9SzOY0rsPz/FusvgZ11iwR0QgyiQTYWZ6lQLQNF+qTAW+jOaF+ewcfRrv/BNi2LU/8Oxt5wrZ//jPpdz43l8c++T3HVJ01hL4nqXhjKafF7id/6UWrEFHe+nfY6GAD5Q4+BLk7k+DKnxc3mMyU08s5qarzyfuL8x5YgBg23WMu6lPeQEbDaj0bEu7m5oicZIm9pLWBKmS9H2RwpZ+N7mwnIs8NkQtWc5WDdFgAeqW5/Hm9BjbH3omTTViReQjxk/lkcmzue7dP6Q+lx00f+pdXqCz470yM1sctrvq48XCd5O4FACY/cmpgePNl/pWFLCn7xv261/D5NP6MXfT1IbSXP2ptCDIJmsY/rPH8L452bN6zrRYKWxqgohAdERyZbKcW6IGy9m1IbrB/qhVQ3h32jIeuuRIIfvzVrRw/svTOP/laTmx+zVoeVNVUYg1uqW0HxfVe7MbiSf1RoSVD8jeTV0VK7VItnz5chKJBL169cr4+169erFkyRLL71myZInl6+PxOMuXL2/3+ltuuYXy8nL9fwMGDOi4f8Aqgj5tc2isWcFP85pYuuAfWPobLPuT+Io5zF4eZXp1PMUqqBgIww9mzT1Oo+8ZE9j+ytehNFN7LJfxMLBmknVE4FSe1aFYu1dpTvasOm81LR67i4KFrSaBuX2vTLK6rG4ImERr22IZrJLCgSPYftR69C1VqJ38iNCY2NvTUtvyYgmVZQ3eEgYzjAJqAf3S4xVei2ROgRPYFDfsbHkNnGwKcLJFgmycEXqHIn+CXbbfivkD9wQ6xp/MicgeORecrbv12VuDRCHCWGkSHLfUnglZ4f46C3Fkg0WV+e8cuNclLGuGBcub+Pdjdyr+7wuNRo02BpEL4okkK9KBav/KIv358Dwi5sQkk2S+GEm9qO6LPVMtF2FkgAGFUY7zf4BPUdjgsKtBCdCjNOyp82+Goij6vQewnakA7QWGPxk/gzqPviQsBSDTwPGooWS+V8MBY1tsxrlRWMH0ks2ZWZPkgceeQI26i45/9LsRK/44t9bhlWKoNt9NlRrL2Zv4uRvzS0ZHKW4aQRdhObttos3Vn/ZXJ9JLqaOpoA+/9jkQSP3McsUWpobo6MHdcvJPLdZS1UxGnReWM4iNSIqwMsFoQkg3RJ0aOFm/6/iGR3DhxASTZrdy/6XHCNmel16Y8O60xVKfyw5mf9Iaol41M52KUbLbYrXfoUjBGZw1/nKZGADoXxbmnMDrKVujTqMmkWL2d4Q/mYtkOZMLLJ6zXFnObrGZ9vN2HF1O/w5jCVVqk7l2N4UCvox4x1J7zefni/L92euFVi58+B0WTv/R1f47vxpbxif/nXusZ86pjbspN5kasPGnvHC/EP4T4f5sx1JV1dHZrF5v9fcAl112GfX19fr/5s+f3wGfeNVCaMfL2O/UK3j27svZ9YJxcOTrcPTbrHnmm0x59RF+/vJjuHwRnPsbHDCO3/seQCRUodPCzWhxmPkXQV+9SNaawRbqCNy833AAbtl/eM62rMSR9e6iRyaZU2ErnkjqOhYiIy0dsRZcO+RiCVUXktXwv3GvMPPcHuxROQv+/tDR9vyaFv5dYSQJHXnY9ywzDvtck3q7S1SGNiwrZuykSZZMqo6joG5oWTyLnVs/AuC7NU6iusFgOOSK9fuU6QyYPTqM+RLXz+JEUqW+VV6nAsQYK00CgRN4FxuvsTgLrLYOAvQcvAHv33EKs88uYfCMhyHmXEQ2B04/za0lniP7ZUVzFFVNCUt3Kw7lzMx00v6S1X2RWYIBzkUyfQmGx4Jz9LM7KKGFGckBTK/YFui4u+niseuiKHDS1oNylhYwgtP23XpZX9I6+65SAALslyKPIy21NveqnT+deesz3LpHTz47Iogy1XkpU1sswSd/LtX/3DF3k7GRu2+uTDKXpqPM3dRi0sES2harCY23xS1Hr2W3+5nR2lBL3eePEU+qTOp5JIubUmdYR/hTeWGQ3TboTUHQx+nbrZWTLXMxttFifFk+1nOPz0S2mIN3f6qxKJjbbfEMFpbwvyvO5oqtQ5ze62doXIoTPvzDKDhHE0l9I2IuME+U9M/Rn5w2spZKjodpd5NoruMk3J/LxADApCeu5rOf57I0Xsx3PQ4CUrFlLgtgNBw8agCFQT87De3JgCrvm2fB+jlb2SxnkYaoucAlwybTN1sWBTPqB3ayEtscdRk7Da3i7M1ClPxoLQllxjvTTEWyGR13N/UsMwrOtS0xaQYdGL5UEDSWp5khG+t1VXjzeEF0794dv9/fjjW2bNmydmwxDb1797Z8fSAQoFu3bu1eHw6HCYc7JhBeVbHu5ruy7ua7tvv7ImDLoTu1+/sSRwHKjtEkW1jXmuHQHYHDNhvAzuv36hAmjVWAXmvqiMhAhEkmKuZa7FHM1UpDqSjkx+9TSCRVGtpiGRdu5Rrrwzanw5S74NNrYcjO4Lf+XFNmZTI0J/9dzUGjcmNkmgMn7TPnnNS7jbQIdERaHYIwK5Q4dBfN4r5eEpGLTjqQr3+s45Rd12XFpsOobvoX6Bh/8vkU3jh9S+LJpNT2SStoBedoIkkknqQg6Ke+NaYTFGWE+8E0IinCJHPt1otpMplhLvBVWGr8tbe19Sl3wP2fQ908+O4x2PJsW/tfmBL5hrY4v8yvY9SaVcKfLxtaM6J7SQifT6FfRQHT5ufeYXSi4ItrksmxVZxHWuRYnmbULJzDTuc8RP8yhY0P2YnhixoBctb307DFWt358cqdpZe+WMHqOau1YDaKQGeriIojO2j8iegxWcGK+QKpf+eyxkg7fyosq+KSm+6DN06GL+9KaSsVZ8otaPhlfl0GQ2jSjGouGbue1OfLhuZPqSJZ6vlY2pgS83fSmLKCUdi1fmZlFmFo8UXQrwh9Ds2X4klVP5fNyGVb7GPXnsK5r1bz9KAC1r96B6prDR23jsC9h25EazTRboLAC8oKgrTF0s9ZmlRTY6HrJQKREUlRJlmRx/HlOos41a7gDLD/Of9j/+KfYOEP8PlNsPd9tra/yCoyT/57GYdsOlDq85nRFInr8VmPDGkNb8xMpyam5kuReJJIPEE44Pxcy07NOBXhcpGpScSiXHzTffy9LMLbbWuwzdYp+z06yJfW7F7Mt5fvqBeLc4HVc/ZfsZydpDWC/pT+XzSepDmaoEKwFminQ23nT4rPx8cffYjy+E4w81VYfDb0GWFpe1ljG9OXNOp//mrWcmKJJEEBGRc7VJvyprKCIKXhAI2ROIvqWhnSU266qtllysVNSiaPFFYqkywUCrHJJpvwySefZPz9J598whZbbGH5PWPGjGn3+o8//phRo0YRDOZ+oXYFOHbrcxRH7l1egKKkLqpp6TXqHdWtVxSlQwpkYD1vrQfzxZKHvUjglP5ayEXMVWR7khWsRkUVRXGeK9/yHCisZPL3v/PWPefb2taSbU3c/c9Fuev6GYmIMdKyuK4tQzdEFG6JuJYsiCR38oGT/QYx7XlQFKQDlJbFM3np81/5ZUmSl2Pb8Mv8OmKJlGBn95LcNJQ0FIb8ORfIIPX8a40o7TnTkpDSgoCQtlu2PXBhkgnrvsgzyTIKfG7iyBpCxbDDVQB8+fRNNCz519Z+tj/9kaM/GRpKqYS+X0cxySwTEfsilqwtK1ixqAxbYgwNK/z68k34FJWkL8SXRdvra9R7dVAiAilWilVHVhZWz5ldockNomwVMU2y1Nci8aQU+9FOxsDRn4YfBH1GQrSR+a9cbms725f+XtroeXOeBrMmWffiMKGAD1XFE6vGrelYojOR3c8nWd2joqC/3ZY2Mzxvi421UjhvMt2LFErW25J/6xI6C7xXBzVEQwFfhxTIoL2+UDyR1P9bmuWc/lk5jYg1S99NHvUyTZ+91ElSQlFg15tS//3zBGILptna1u4MzZ/+Wtxo+1oRaNIcxSE/xeFAziNiTkwyc1FSZLmIbGGrxGFqIJeFZ4lfX+X0jWD9ngFmbXCacTd1UAMHUuxMt6KhCKwaOF5ZzqIjklqeKiqtIdMQtSuSOfmTMmBTGLY/oMLHV9rK1WjPeO+yAgqDfhojcebXeCsOa6jO0vnW/MnL+LLbUiWn5z0PAyt93PL8889n/PjxPPHEE/z111+cd955zJs3j1NPPRVIjUseffTR+utPPfVU5s6dy/nnn89ff/3FE088weOPP86FF164sj9qp4GWiFg9/I2CXTA7hAI+3YF/SOuDjEhv4ViVoHX868xFMs/bLd0TEV2PTJD5IrtBTEsqs5kMTh1GCit4SxnLdk+3cMq1D9G0wloHcHE6Odg4vRFxaY6aZKqqUt1kHPZa4TOaSHrqWrgl4m5bvjJtyWlL6BdJNN6uwGfuKMtuFCr69k5mnFHMXYeuxz8D9uL7f1O+tF7vsg4JdjoSiqLowZPmT15Hl8HQr3IqbImeU16YZJovFYf8GV0/SzFXMzY8lAumlLDNuGXces5htra157Cj/Cl7QYrmTyvSPiYD88Yjq+DJPHIisj1TdiOl7k8W54AoQ6MdGhazXct7/HtOKXsfdwYoAdPdVC5n6z+A7kstud9NBpPM3pcicUO8uMShAGN+Hlokmji2d5OV2LgGn4/Wba7iwJdbGHLiOOb8MNHS9pK072zYvwKfkmKBennuzag2MeB9PoUe6aUmy5vl7Wr3id1IlxOrv50tF+3NbPh8iq0/JZPmzWaS98k3D3LyBm38ffnazBx2CtOXNNIYiVMc8jO4u/ctySsLWjFW86ecWM4C25LlWc7ivqSqqqU/2emC6hg4mnk9duSQV5o4dL/dbe13dKyXPU2i+ZKmoSkLp0Kx36fovx8Rf5ItbDmxqI3fuaQvxdoIfXkL54wOc+e159Aa7qbHeqvk3VSgxXnG788ry1l0RFLUn7xI1WjTJe3vJhd/2uka/m3wc9gdH/D5s3dYvkRrqvStMBZWLG3I7W7K1vk2Yj15f3LTS3Zi9edhYKUXyQ455BDuuecerr/+ekaOHMkXX3zB+++/zxprrAHA4sWLmTdvnv76QYMG8f777zNp0iRGjhzJDTfcwH333ccBBxywsj9qp4FThVg7NMpyYJgMqDS4rqGAb5U87LunD5fljcah5b1b785U0jZMuXVDioJi4zHt7NssBTA0BKzt7XbmHazXK8wB6/mIf/WA5WsWp7enaJvzmqOJnA7Ohta4npT1KA0TDvj1C9N8+YrClUkWtmd7tbfljYKvqu0TR8++tPAnmPYC3Yp87H1JphD85oO8j+WtTGjbMTV/0rqLssLIYNJ9cfQnscCpMCjPJLPrXLougPD52fbg0/Ep0DrvZ1gxu91LtKSjtCCgd+tzDpxM42Fg/My9bLdsiyX1BNIqeNJ+Jolke51DKzhtyrSCPr7sdDcVShbJPrsRYi2UD9mc5EZHZnxp0xzGXFcWdF8yFXv0Ipkky1lkRDJTCsA+yQv5fQTSTDmZxL7JzZ9szuWC9Xak3t+NeBImPnaZ5Wu0u6l/pbFZMVd/yu7Wawy4eg/+5HafGLGY+OZlGZ0iO83Mxkhc93Op+6lxKUy5O/U5xl5LzG/EehuvUSnNGv4vkO1P2rlY5oHlLDIiKcp88cIka40l9I105rtP+x22RBO2G14bR57Eq3/GefPHRcya+Ey7r0fjSf1nNDId6+VaJKtuzLybtPNLO89k4baR1WiICowve23gWN1NrdozJRnrTX04JdFQ2pf56xyb8aXNVsFYr3tpKrZY0RTVm8Ja3iS7KTbo9xFK+59TfCY6NaATDCT8yfVustvsWLkmd/2zFi/+HufiK69Djbd/3aJ0kaxPeaHOWNeKXF5h6Hynim5GrOclb3KWryh1aFjmYeA/ufFOP/10/v33XyKRCD/++CPbbLON/rWnnnqKSZMmZbx+22235aeffiISiTBnzhyddZaHGEocxhy0LpXbgeSE3UxC4Bv2L89ZyHhloEd6ZK06IxHxKOYadmeSiTJfiky2ZEYPjaKBBJMMCBWV8MuHz/HgHoVU/DoeGha1e43WERnSo0T//LkET9pFUVYQ0J+NyhwSe1FNMpFxS9nAKRwwEsfsETEvvqQmk0x/6pzUH0YcyqARW+urnmHVTOrBGAHV/EkXRvYwMqNrkjkyM8WKzl5Wg9sV4MrduovAXiddzsw7x3L3rmH45Op2X9cEi/uWF+rjFDknIk2ZCx00X6rzsLrbHGBarXM3j3CJFMplE3snJpnhT+LP1B+fv8ZPH6QTwl1vZvcRffWvFQb9DFoFmS9aQplxN+kCw96Exp1GJLWfdWHQ71g0UBRFSJOpnX0bTRk7sXHz+z0w7mmmnVrGiX1nwJwv2r1mcZ05EUn505Ic/Clm2hTbI8ufvCQibiNd2vMuNR4mwaS008zUfCkU8EnFZ3edewA//FsPfTemYKNDMrYkb7aq3k2aPzVqRTJv42EgVtiyi8WyIRI3trPdZkg4mGMUc4xhx8YftuVu3Hvqzvx8SjFDZjwCycz3XdrQhqqmiuFD+5Sl/y5X5ot1A6fOQ5wHuLIfDWkNkfFluYaok/6s1tQpk2AmLv3nd8YefxnfLUzATtew04aDMr4+ao1Vz5+6Fad+j/GkqscXtR43xYIp37GJJVRVFdLLBGNLqVQDRyMvtLub3DelXnXf8+w9tJBxu4Hyy4R2X1+SbuD0KS8w7qYcF2EYzEztbko3cDzEem73SZ5JJoZVry2UR85wSkQ0loTMYZ+Ng0f11/97VUxCwLi0tc5ZWyyh64Bla6e4QYTmK0zBN13YMrpk7uwX+0M0vOH+MHAMxFtTrAsTVFVlUfqw71tRoHdEluZw2FstdNDozp46Ii6FLS2gEkrqJZlkZt23bH/y4kuv3XsZ6185hTM/jMGOV+PzKRw1eg3965sOqnT47v8/aALoWiJS43E8DIyEstUhEdF+T27jywbL0wvzxabg3BqzHTVUFIXBR9wFig+mvwv/fpXxdS1I6l1upuB3THexR5nWXdTG9Twk9abuos9CX8vnU/QzSsSfZLdbOo206P4kWCRTk0nOOO1kRj3WxGOLN4ABm2Yk9b3KwtJj0P8FNOZLXUtMZ9x6Hbc0FyftRiRFtodp0Mc3pRIRNyaZ/d207uhd2WCPk1J/+PhKSGYW+hbr3XojEcnFn7R4IOBTdGaE5k9eGjhuI11yUgDyiyvsxJcNXxIvuP3y6Stc+MRXbDaumVnrnQ4+HydsZST2m66CzBcwRvx0JpnHiQEQG5G0Y/VnQ2QCIRuNpjjSfHYF/D793nTa7njmHS8yYo0qWPYH/PJcxte04nLv8gL6pO+mZY3edGI1mPX9wPiZN7bFpbc6q6rqqqMnw8xsldQkK3PQn9Xia7d4xIzrzjycj2ZGOOtTH+oGBzGoe3GG5nJHafJ1JEIBn34eGsxM79IaxS6asZF4knj6+XMbCxfRhs6G7QSOk5ZzGj0Grs1bT9zFyN5++PxmaMvUll1sjvX0u8l70VlV1Xb+lBOTzCU2K3ZgTuZhIF8k64RwEl/uCCZZaUGQq/dcn77lBZy8TW4rvFcWtESkujGCqqr6IRP0K9KaN8ZacIfuomDgVBD06UwNL9369h0Rjf3iYEtRYJebWNKU5OQbxvPzxy/pX6pvjeljVb3KTIlIDrRhq0tVo+HnktjbB07iW1paYnKBE9iPiGm+JJyIxCN8/+6TqEC3dUdDeT8ADttsICMHVLDPyL46zXpVQzaTrGO69Q7MTMHEXgusWmMdwXxJPUdJ1WV8s+dQ2PgYljUnufOio1ETxmszk3ptPCy3IpnWle+W/llr3cVaD7ovIuLgTk0We3ti/uR0NzVI3k2tv7xO/1AjhUEYe+adQKrIN+7oUfQoDXP9PhsI2fmvUVEY1NmpK5pzS0TCAWO9u11ib/e8W6FQYNwsG402TACnbbEZ2O5SCJdRPetnfnzxlowvmRN7faQlB3/SGHsVRSG9SKwzM1cGk0xvsIhvt5TZlGw3ImbcTYKJuKrS4/dHOXJEkEO3XJMh2xwEwNZrd2fn9Xux2ZpVbDSwQvhz/ZewZZJ5YTlLMMncYgjRpRoZth20bYX8qagKtrkYgOXvXEdb/XL9S+akvkdpGEVJiarXeByNBKhrzrybzLGQLNM5Ek/qSzns7hOnpWTZkNUkcxq31N5PuCG69A+uGDqXYzYMcuftt6H4U/+eJ4/dlH4Vhdy036p5N4FRdNb9ST8zvfiT831i/lm7nXteNMkabfMmwa3Do46HqsHQXE38izszvrTYNG7ZswPypkg8qediWlxdUei9gaOx9+xkauxG9fPIRL5I1glhx3xpiyWIpDvXuTDJAI7fahBfX7YjQ3qW5GRnZUErkrXFUiuDzRpK0iLrAiOSeuDkciErimLSZBI77FVVtZ3bF+nWA9B/Ey79oTfjfopx4bln6htbtIO+qjhEQdBvog1774ho1GCzWGYuNHy3RNygDYsnIjJbiuw0zxpkE5Gpj3Lb1hG+OXMAF931vP7XxeEAb56xJfceupHwZ/qvoTMzG1N+ZHTr5c8REbF97Xfp5k9emGR2gVM44CPoT50NTh1GgNbR5zH84RYufPUf3rr/Uv3vF+sUfGM8rKEt7rgtzQ3Z/qT5UoOHbr3I4gqjKOz8MzDrlglrkpkWA2RDKhGJRymachPP7l/I7OcuZODw0fqXdl6/F99fsRPbmFhlqxJ8PoVu6aLz8sYordGE/nOUTURERiRF9TLB3BDywCTLTkRE76bi7kwp34+17mvi4LOvI9KYErZuixn3dt/yQr1bn8u4peFLxmc1mJle7iZnZrJMImIs1JAft8wuwjUINu10/P0h/eq+55kDy5nw5qf6XytKquj88qljVrmFMhqymWQ12uiyF+aLwIikwZx09lUvSb0T61PYnzY7ifHTSxlyyz/cd/GR+l9r42F9ywsI+n36aF0uTZzsuyng97VbpCAK88/JXeNPXFpDeLulqYGTvUFXqoGjqvDRFfQrhacuP5StDjxN/9IG/cr56tIdOGLzNRwM/P+ivcafdyZZkQsz2bysx4rZboax9Ow/0CTTEAgR3/5a7vomwloH38jSmT/rX9KmBvpUmJhkOUzgaL7kU4wFO7mQC9yYZOalMiJLmroq8kWyTgg7Zo32Z8XkhJ0VxeGAnjxUN0ZMmi8eknqBEUnRcUuQ7zC2xYwOm21HxK1bD1x775Nss0aQ60a3wMyPASOp1w75jhhpsRqbqsxhpMWNgq8lImI6FfJMslKb7mWjTCLSvBy+uB2A0cffQkm33sLvvypAZ2ZmJSKedCoEmGTC22I9MF/sAidFUfRn1q1TXdhjDU7cbztG9fXRe86rEEv5kZlJVmI6g3Lyp6xirHljm6xWhci4sSiTzPwzl2WSWY0MSSUi34+Dmn+guCe9926vDbeqw9Ala9OZHF5YzmDcT3aFWO1ZFmEoedIkszkHnX7X2Rh52NUUhwOUBxMseT91TmpJSGHQT1lhwOjW5zDSovuSRQPHkyaZ3hzrgO2WWsFNQkPM/m5q/++0RSKWGnUFGH06/u6r5nSAHbI1/ryOLkMHS2t40Mt00rZ10/jTEQgTHLE/9RF4/+PPUOsXAoZeZu/yQoAOYTpb+ZNWnJRN7LXiR0HQYMdmQ8afZDXJzOdX9vkns6Qp9ucH8M/n4A/BTtcJvfeqhB6lmVM4NbmML7s2cGTypg5s4IhM4KThW39PXpxZwLz6JI9cdSKQahBqzZqMqYEcmGT6cojCoF4wzIVc4MYk034m8aSqk2fyaI98kawTotRlPEykat8ZYNYlW1jXAqQYHrIQGZG0S7ytoOu+CAZPGqMjW8wVjN+1a3cRWHPDrZg87lK2GhiAj6+CRFxP6vtWaEWy3Le01OuHvalbX+h9pEXfbmmrSSah++KBSVZqw1QzX2puePOWE6ivr4feI2DDw4Tfe1VBj6xtsYvqDB07WbglD2bmpOsGMYkCqQY7MVeQoOEDVz/8GlPPW5fRlTXw7UNApiaZoigdIjae7U8Bv09/JmVHWkS667rYuMv5pAWrPiXFwhOB3bhMMmn8zt0SkRXzZ3HF5ZdR36bCDldCuFTovVcl6OyXxqjuS9ozI4silw77f6ZJlkMDp6SyO1NevJsfTi5mjVlPQeOSjIKz2Zc6kvkCRgMnN5Zz7rovblucrWA3vqxrKAn8zh++7GgueP536pRK2PoC4fdeVWD2JVVVWVhnCGrLolhAR0xUn5wYegAArYNJREFUWqPYi16mA0tNmEkGHHXJ3bx62oZMPKoAJa1Du8TkT2A0RjtiasC66OyNSeZUzDcaoiKxnpwUQDjg17cxZhfhGgX9KdbWwqhdDuT8j9po2OA4qBrk+PpVEeaGaE1zlEg8iaIYYvIycCtsiW6KBXNDVN6fbCdwBOInn9/PPXffzfi9CrhynZmw8CeWN0VIJFV8Sur86WVq4HhlZdVbbFCtzGkCx0WTzPT3+ZFLe+SLZJ0QduNnMt2QzgDzbP2C2lTgNKBKvkimKIre3e0I3RejWy922JspydlJlMxhD8BW50NhFSyfgfrjU/r4nHYx9u6ALS1aEJc5btkBTDI3Cr5A8KgnNRLderutR6IjLX9++S4H3vw2a9/fRPWoi8G3+h27PbKYZPNrU0XnAZVFtt9jB22rol3yEIkniSWsmZPZyIVJZvV7ExF01RAuqcC3y7WpP3x5FzQt00cUtKJirt36WCKpP/9W/iTfrXcvEoszyQy/FC3uaD/zSDypi9YDNEXj2gS4qz9de/rB3DypkQPe9MFGRzq+dlWFORGZX+Pdl8B9RFKUlQnyTDJzcbP9SIt4Awdgrd3OwNd/U4g1w+c36b6kaU717hCWs30i4m27pcZydtd9cUueRIoE2dCnBto1RMVivYYl/3LFAy9z17dRXo1tBwVlwu+9qqB7aer31xpL0BxNsCDtT/09+FORzLilqxSAppeZaDe+Z2tbY9Na2NYbogJFZ5/fzwGXj08xsqa9AIun6fqH2t3UsyP8yUJyosLjkiZj3Ng+NhNtiKqqqi8ykSk6223803Mnl4boe/ddyK+LWnn2tzjqFmcKv++qBDOTbH46b+pVWuBp3NptRFKKSSZJLgBz7mS9pCkSTxKJu+dhW+xzHCcce1TKnz6+kuWNmkxNmIDfpxcQo/Gk582ulnlTDgvPWlxkalJLmtJLzwQYdV0Vq1+2locr3Cj4uYj2r04wz9bPzyFwAhNjxeaAttM5srSlJfaC1XunApzTtjhLFFaQ2PoSxv0YZaN9z6Bm2XzA6P711JlkHdBdtOyIyB32qqq6Bk9OYuDZcEtqrGDHfhEVR26YeCdDqnxsNawfPUbtJfy+qxK0wKmmOUptc1T/WfSrlC86uzEpZcRcCyULzuDsq3bb4myxwYEkeo/kiak13Hrm/jqzS3vee2ZtBZWFuVhXapXYN68EJpkNEzkbXpgv5pFp8+9Z+3eGAj4KnArY1X+zR/lMhnb3cfmVV4Nv1dRJcoM5EdEaOP09+BK4F7akmGSSIy3m98z2J2lfUhTY9WYSSZWnnnicRT+nJAE0ppe28avWtBVUFg2Wepk5MMl0toqz7ktSdd9m3SJQJLCz3+5usmFQZKPsl0d5fv8CDt64iuOuflT4fVclFIUC+nmWa0PUTWgcTBMZrktl3GU6suFUNDD8SfA57T8KNjiApJpk4t2nUKfr8mb6U06xXos9M7NelkkmsLhCdNyyLZbUmy5eFmFk/4yFpABaa9lX/YCPjizioStPprzXQOH3XZWgL2lqjLBAa4Z68CVwl5bRiBwiOak+utkB+rNm3xW+n3a8GgIFJP+dQs23KU1h7VkPB/y6X3n1J6sJHC3Oa4kmhIp5ZjS7LDwD+6JwHgbyRbJOCDtBPiutqM6MjkxE3Ki+xril+89WRJPJ2nb7w052dBMgufHR3D5VZdriKAtfugIwAqfy9FikrNaRGVaJiCFAKWdXJNjRx8MELs8WSZ0KMILT9iMt8YyvW2LWp4zmJ347o4Jxz70h/J6rGqqKQyhKSovht4X1QCqYkvk5aihyCXaaTYGNq5irvgQjd02yjM8m6k8+H5PKDuCEt9u45tmv6FObEnbV/En7f6/+pH1faTiQodPiVUdJRKfFC5NMFEG/T2cSmu2LMl/45CrGDvHx290HsMOR5wu/76oGs47SghxYmWBuunSAXqakOLJmO+hX2o3cetFkYuDmnPd9P457q5W370ktxNBG9c0sDlF2WjYMRkj7RMTbdktnHygM+tHc1s2f3MZjrGAsabKRAnDyp+Wz4LvHGDskwEsvvYw/JD9OtapA86d5NS2sSBeDvDRE3UYkM5YoufhTOODTf/ei95PTVmfdNyUaQrFtLmfM463sdPtUKv94DjD8SbubhKcQspBMqvrnzZDW8Hw3uY9Hii7CMN/fhTIafxZF57ZYQi/KOzLJvrgDWmvYZfRwDrzofuH3XNVgyNREmV+j5U1e7yZnckGTxEZfkQJ2O/s2UwN+n0JBMHVfCS9VKu/P9H4Hs/n4Zs446wICyUjGoh2N9eU11tPyCXPeVFoQ0M8Q6aKzgD/JbIvtqsgXyTohtKQ9bto+BmYx167FJFvWYOou5njY2xXJmiUSEdnkwYn5UixRINIQLCjinhsu5e5dw9wzYiZ9WKEf8Nqh39jWfsOPKKy0urTim9fACeyDHXM3xG77qAaR7X7ZsBOgbnDzp0QcProSgOCYU+i29ijh91zVEPT79GTyx7mp7XP9vI6HpZ9Zu+66k3hxNvTxmFhCWAvCqWig/Z2MJtMOh53FgZsP4JYdw1xV8QFhExuqPNfAyWbMw6uOkpvQOBjPu1uhRGQ8xgolFmN4RpHM4Xc++3P4+0PwBfCPvUnqPVc16OOWDREjEfHarXdhOcvoZcoulXGSAtD8PJZQpZhfJ195N1WFCtv3rGMb5Rf9TvL7FP3ZzLXobMUka44mpBlqbmxKRVGER8Q03ywU1FCC3JbKxD64EpJxGLIzDNlR+D1XRWj+9FP6biotCGT8jkXhNiLZFkui/bXIJnPPDVGru0mPQcUT2mDPIWyy0UhKQzC69j0CxDusgWMekbceX/bIcu4A5ouuPRvyS+kvWxUNRBaeLZv+Ha1THkn9Ydcbwb/65lj63dTYpjdwciYXuG23FLqb5HwpnkjqMaZTrCezpKbHrhcwswbmrGhj59pX9LwGoLwoN4KBVWPD51M8a/yJNF00UofMxtCuhnyRrBOiOOQnkL4Y6lqNooRBGe4aTLI1u6eS+F8X1utbRzwf9loy3hGz9ZIjLU4sNbftMXbY/eRrOPeQHSjxx7gw+JKJSWa8hzCtPwtaYt8R4sgiwY75Z+72cxDZ7pcN7d+R/dmNRMTan1667Qxem/wrakElbHuR8PutqhjUvRiAidOXAh0wHubiSyKFTC0AUFUyGgJOsBNzBSPZlaGfK4rCK+99znlbFLNr+Dd2LJiufy3XIpmVMDLkovvi/vyLJ/XyvgTWHVddaNwmsU3Eouyz3wG8+mcMddQJ0H1tqfdc1aD50oyljbq+n9duvSvL2dPd1AHMF1NTQyYI32DLsSx48UIu3CLMZcEXKS807OQyGgnWiUhZQVDv1suwyVRV7VCxcS9MsnLt59Fq18Cx9qfPnruHtc96mVf/TMCuq3fBGWDNrLvJs76fy4ik0xIlK8iyX5zvJq1AJDd2deOjrzDr4oGcv2EzB/snt4v1zDmCDDRmSzhrRD5nvUxH5os1q7+dLZeFGnaosPCnBoGFZ6cefQDr3V/L59ENYchOUu+5qmGNbkUoSopJ9sv8OiAHckHYOddxWqKUDbccLBtm4oBV4VUvukncTd36rsmrd5zHrLNKuKnvF/QKG8+4kSd49CeLBg54j/XctluCODOzKyNfJOuEUBRFX8Osre8FwW59J8LIARUA/LW4AVVNMZGqiuXXGIN7F8PTlpYO0CQr8sB8AVJR3i43ArC/70vKlv0EpBhDWpDiNRFxmq1visSJJcS79SLBTjjg04vCrh1GAQpyNrRnJvuSMkRr23+2+qXzOOvm8Rz4SiuvJHaBwkrh91tVsWH/CgB+X9gArHyhcZHRZTO7ULRQbCfmmvnZJIOGbmuxeO3U1tIzki+gJlPPuF2BVRRGUp/5jOmrwVfidkv3cUt5XwKs76aIvS8BPHPjabz9Wy0nvxuhfuRpUu+3KmLd3qWEAj7qW2MdyHLOvYFTLKnx5+RLAb9PH8GUbeIU7nQpLUoxQ33z2LDuc/3vNX/yOiJmJY7s8ymmgoG43WgiSTxNK3JKRER1lLxokul3U3P23eTQwEkmuOvW65lbrzK5dW3osa7w+62q2DAd62l3k9cGTsaIpMUz68SctEKxS5HAyX47W5Jxo4aqvmsS3iG1tfTswBsUKpk+4J3lbJPUe22I6neTUwNHTGjc691k5U9uUgA1P7/HDzMWsrBBpefY1b8ZWloQZEiPEgD+WJSbP7ktVhLdFAvyTDItpggHfIQstm+7yX7YYadTbyXQfRBVShO7NLym/31H+VO7hqjHorNIA0fzJ7eGaFdGvkjWSVFlIexsrAXvGkyygVVFOoMJUge96Ba2bLiNSHrZ0iKciDiNW6YP+mgiKS9m3G9jxq3YiB2eaeGKM47R/zqXw15VVUtNMvPBL2NXZDxSURQ9EXHqCiWTRudfpsOoFfjMSb15q5uVPwWmPsRpmwTYeEAR+11wj/B7rcrYcEB5xp89M8l0bRXrjW8ym2J9PsVVkykbTuPLsoGYGX+sdSLfLvZxzlM/8dYj1wEdxySzS0RkdSpExFxFF2F40SQD091kCvoc9TLbGjg49DnXbhvm5jMPpqLvIKn3WxUR9PvYoK+xSTDk9+lC2rIwNoh1xPiynMafm6/KFgmMD1LF28X788uSBI/dehnR1hTbbqUxM3VdMom7yfTzdtqWXCLJzJTxJ7MvmaUGGm2K6wBMe4GX945z0y7lXPPAS8LvtSpjZLqBo8ErK1NRFJPOZftnVuZuAnfWdDacmJnFHsbDNCxa61AWqVUsr67mrTvPAUy+lHMz1MaXJH3U2LzsxHwRZJIJsNKsYBXrGXmTxe88maRq6q1MP7OEd67el2HbrJ6LmbKhFZ015K5JZnM3eZCpae0AVqb5PaUbov4AH/Y4DoCqPyZQPfdvAMrTpACvDZyOvJvAOCecciedmZnXJLNFvkjWSaEJpde0WHREuogmmaIojDAFTxsNrLB9rRsKg/baX2YxV5luvfBIi6PQuGk8QDIRUVWV8Yk9+Wpegq9nrmD25ylh11wSkZZoQu+umxNev0/xJBIpOh4pYts8PiGjSWYwyYyfh1mPo90lXPsvxdMe57rtC/juo1cIFngLMFY1jMwKnLL/LAq3EUktcBL9HbmJw2bDqXspS+k3Y6layXW/92PinATX33onaiLRYcyX7MBJK8zKCpiLFJ1LBCn4IkLLVrBmOTskIlPupji2gmv2X59Tb35a6r1WZZgTkQ0HlEtp55jRsUwyjxpKNolIseQiADOeTe7K2Odaeea7Wh6//nSgA7r1FuLIYK876QTt+Q8HfAT89qF0sTAzUz6x1xKopJp5FtgyySJNMPF6ioIKl19zA93XWEf4vVZlrNu7NOPPucR65iZONrTfoZsemQbZbbGOTDK9USvfwKmN+bjon80Y8XAzx1//OPXLFup6So0CWq5W6EhfAjEmmTgr070ZZAWrqQFHJtm0F2DJrxSVVLDbReOk3mtVhvlu6lEapm9FgSc7rjI1Ev7Ukfp+4H18GeDL4Jac/2URI++v5ubzjgaMhRi53k3ZjQ3RpmU2ROQwRPVnuzLyRbJOCivacFfTJANYK00bBjh7R+86Nk5MMrPIq0gB0piFF2WS2c/thwI+QukAvUmyI9IcTbC0bCjH7b05M88qYa1ZT4Cq5pSIaM9YwMTw0eAleBIZDwOxxF4LnBQFCgLiiYixmTOq/561okcoS48DgE+vhUQUBm+Hf73dhN9nVcfAKqPYt/HACjboV+7wanu4jUjqC0YEzymZRRhuYq7FOQRO9a0x5m96LsdvUsir+yko09/WNYM6mklmtYVLBCJFZ9EiWYsAK80KVWl/yrybtAZO5r8zVj0bvnkw9YddboCAt3H5VREj+hv+c9Gu63m2U+QyItnook+VYasDl8qA+7ZAJyyNFbLZllty2AYBdlK+gngk5/FlvVtfkLs/iSbieiLippfpoegcCvh0VlONRayXHY/Mf+M6aFoKlYNgs5OF32dVRyjgy7hX9hjex7MtJ81Yu3PK1pbHbbGWmmQh7/pB9S0xvu1zCGv3CLHDmj6apzys+5KqettuZycFUOb1bpLZvGzDQtfgWQrAiklm40vNtcuY+EhqAy/bXAjF3aXea1XGRqYi2YW7rOPYBHBCoaBMjYg/yW4yd2Jlpux52L6cRm1rgj977kJShYV//4LasGSlsZy1s6BBwp+i8STRtKyNY9E5r0nminyRrJPC6rC3CxA7M44aswaDuxdz474beKYMg3NhS+sA+H2K0LppaQq+y9x+kUf2izbj/uuwcxjQvQQW/gAz3s+tSNZqXHrZo62lgl1AMwwKvhiTzMm2HjgF5TYeVZq79emfiZ0vffv2kxx07XPMXJGEXW5KVeQ6CRRF4cJd1mGDfmU8cPjGnu34TH5iNdKiPUOixXzNlkhhy13M1XvgVNcSpamgD8eefCqDK33w+c2Uh1NXbH1rTHj7phl2Y4ieu4sCTDJR5otnJpl2N5kKHdrIT3bCdfyBY9nv+VpmF20M6+4u9T6rOnZYrxcb9i/n5G0Gs9mgKs923JIHkU2H2baEGzguTDKjgCf3nKqqSn1LjBkjz2PC0YNZO7QMfnxaTyC83E3RuFEgb1d0To+dyGiz6JstBRs4TkWDjCUAkkXnyiz2S1vM2NJpTrgWTv+JdY++g71faKFhzCUQ8Dbeu6rilv2HM6RnCW+fuaVnViY4x2eOjFcLGP4kVyRz1Mv0UHCua42R8IU569IrePXgIvrOeIZQrF6/O3NpiLZv4BgjkTJ3nsjmZc2XVNWZUedlCQZYM8nsYr27LjySnR5bwOmfBmDzU6TeZ1XHer1L2XG9nuwxvA8HbjLAsx23QpSmGyakSRY2mkEizEdXJlkODZz61hh/DTyQry/dmJcPDKNMuWulafzp/iSRN5mnipy2JRd7mO7pasgXyToprA77FU2p/+5W0nm68W4Y1L2Yzy7cjiNHr5GTHe2wb405MV/ExFzdNr5kw+2wlx2R0aB14xOFPVBGp8SwF7x2FWWh1AXk5bC3Y76A8fllOiLCTDKBooFowS0bQb9Pv8S18WXNl7qbfUlVufTiC3j1zzi3/tUfem8g9T6rA87cYW3ePWtr+lZ40yPTUOww0tJo07m1t5V6nYhWhZuYq1dfAsOf/hp0dGpRw/K/KZvxKgBxkx6eDAx9vywKvpbUS460iHTrRQtwrbmOtJgaOCuaIwB0KzES94U/fsyLU2bx5vQ4dSNO7FQFZ0idkW+duRWX7z40Jzvm5CEbsURSf+5EmmPy2/jSSY6rJplcEN4aSxBNJIkQIr7Vham//PIOuodS/5ZcknpoX9Qr8cRyFkvERTbyReJJnaXsfXw59dmXN6V8KeT3ZfxeJo+7lGgcapIllI46ROo9Vgfsu1E/Pj1/2wyJDS9wGpFscBq9s4CWoLZYbMq0gogUgBdNMu1umtt/T+gxFCL18PX9OW24tGO+aHFeIqlabgi1g8jdVBD04RdY0tQiWMDOhl5wNmk5r2jS7iZTrNe0jJZZ3xDwwTb7HN3pCs4Bv4/Hj92UB4/YWP95e4FbIcpRi7SdLeN32RZ3f66cCs6pv8+lIRpDUXz02vf61F/8+CQ9k8tSX8uVSVZg7U9epABCfus4V7etx3rePnNXQL5I1klhxSSrTh/23Us614H+X0AX27divkiOsRZLBk6utGGPui/aoVxRFIQtzuLaKbDWNT8T//yejK/LwI6CD8bPR+6wl9Mkcx639BY4QfvEfrmVL814n/u3j7Lv0DDX3z9B+j26EkRGWkT9SWZLkRurRhdH9kA/14Kj4rJKmjY6jUs+aWPDvU6hMNma8XUZ2GuSeesA6t16AQp+S9QYI7eC5psi7FkzrDTJqvWic9qfVJV+v9/Pr6cWc/exm7PJ2COk3qMrQftdWmlSmrvPIpuXZZdguOmdFesjYt4aOEG/QmjTo6kL9+eKt+by67iU6Hgud1NpQaBd4ufFn3QmmYt2YonARj7zOSizVAagqihzfHm5qYGjN+2W/cXhZd/zx+nFPPLQgyi+fOhvB6cRSUcRdwsUS/hTJJ7QR6SchPtboglpVrJWBCsvKoAdrqAhonLdzbfTvWUOkJs/ZTdEi0J+3b/kxpfdmWSKIqZtq8eNEtqzYCzCMN9Ny7PvJoBJt3LL9j7+vmFzDj7/Dqn36Epwk8Jw2hCfjYKAX++TicR6bpszi3IYNdT8Kbj29rDm1jS1Rvjn+YsBb75kXgSW7U9expdFNyWXOuS1Zhz/1Pcc/Og3/FPdJPwZOgvyN2UnRTaTrC2W0J2sR75IJg0t2LE6UA2dCrHAqUhytl6cNiw7bpkukhWGoLCS8FpbEU1A9Y/vESTuaeuRU8HQy4iYCAU/w7ZI4CSZhED7orNRJEt3FxNx+OQahvfy88b9l9Nv6Cjp9+hKKHYIUBolAicwdf4Fis6uSX0Owv31Jn8KbXEKL/+VZPbyKMNmjs/4ugzsNhJrz7tbISsbIsmDOUET6dbLLMEA6GbBcl7emOVPsybCnC8Y2ruQc+/qHBv4VhacfEk7j4tCfoICujJ6Ih4TS8SdlspALlIAWgIeQgmE+Sy0CzdPifLkG59T2LKEeg/MFycWkJeRFmEmmRDLOfU1M1NGFHrROe1Pmi+ZWZl8cg2oSdbdej822PlwKftdDU6NEhkNJTBvMnd/rszPntUzpRWwE0mViOQmc/1uKgrCenty7Idhrv2siejHt6W+7qmBY12EEC1kZUN2SZPQ3SQZ62n6s62xhN50WN6U5U/LZ8KPTwEw6PA78Pnlm65dBcUODTdVVaX8yedT9C3CIuwvd71MuYaQhrZYQl84VV4UpGGz81n7/iZOuO8zui/91tOSpsaI/SIwnVwgwfZqFtyULLp5+ad5tXw3p0Yq1uwsyBfJOimyKfhach/0K11mu2VHQjvErVhQeiJrQ+vNhuyWIrdVxl63HhndxdTnPvvWJ/n4xL48u0eSQ/yfewqcmh0uJk/desHClsFYsLfdKji6aYXsorMxupwKnBLfPwkrZkJRN9jyHGn7XQ1OSxzsCkN2kEnE3TWUchi3TPtTRVGQUEkFD1x5Ku8eVsjDG04nTNSbP0WtlwxkFLI8jS/b+1M44CfoTyXqToV3Q5NMMhExFZxVVUVV1QxmZiIWpfr1tCDyZidDZW6j8p0dTr4ko0cG8om4KJNMWgpAu5vSscp+597OkZt249n9Cji59Etvm5ed7qYcRlrcNcncxy21sTQvDRyN/aIxybTRZa3g/MdHT7Pk5w/AF4CdrpW239XgxNxokNYkS8t0CDz/TREjPrEqlJqfDa8N0fLCICgKF19+Fet283HCoCX0ZkVOsZ7VuP3KXNIkspGvxaM/lYQD+t2nxXrLs6Q1Hrr4cBY1xGCd3WDNraTsdzWUOjTczIUz4ftJQqpGe/bsJ3DEC9hmaL7i9ymUhgOUrb8DO4wYwFqVCvvGP/SkP6s9+0G/0m4RmCctZ9G7Sbft7KdelzR1BuSLZJ0U2YGT3g0pDgvpZuWRCacCT6NHJpno4ew2W18saU+DFjhVpotkxZU92fmka1EUhbMCb9Da3ChlL/UZ7JkqXsYtVwVNMjCKZFrR2Ty63Lh8EUP3OoNbvowQGXMBFHjb+tiV4JSIyPqTTCLu1l00Rg29675odPk9zr6DPTYdTA9qOdr/cY6JSObzHw74da2JBkF/isaTxBJp3SPXETGR8WUtcJIcaUn7UiQtpN4UiesFme4lYZ656UwGX/0j9/7og60vkLLdFaFvv2q1Hw8T1VAyJ5UiiYjbSIvOJJCVAtCZL6lnRfEHmPDkePZdL8iJgQ9RWpZL2QPjWba+mzyMtAgmDiUiLGePGkrQfnzZPB6WjMc5+uQzGXJfEx+wHXRbS9p+V4MWp1idq7Kbl2WaLm6sTPNiKO8N0dSzMvrAs/jz1p05ZKiPswOve2zg2N+lOTHJXPxJRGzcK8tZURSHqYEwX732KGc8+QPrPdBE7ajzpGx3RWTEKVnPmPb7CwguPAO5jZTCWs45FJy1XPr+cc/y1xklXLnW3wxJ/iulxWf+DFZFXS++1CK78MzhZyC6KbOzIl8k66TQaMM1LdHMTn1p1xHt70jogZNFMNEgGTgZI125i7mm7Hk77C1HIzc+htbi/lQkalnzz0el7IFYt15m3FJ6u6WAJllHMMnM3cWnrjuFmctjPPkb+EYdK227K6Ij/ckTk8ym4KzZiiVUIgLisGa0+9yBMGx3GQAnK29Ss3ielD1wDp5ktSrMgWWRS1BaImDb6bM5oShkBM41zVGdlVkc8lNIhLdefYGmKMTX3A6KvG997CrQWM7RRJK2rOBclvni9ykUBFO/G5H7xL2B401s3FKrZujeRHsMp1Rp5bC2l6XsgfN4pNHAWQndek2TTKTg7IVJlnU3VWujy6Vhlk95mmCiFZ9PYdTRN0nb7ooodUhKjc3Lgg0cmbvJpYFjtiftT7pAetq2ouDb5VoADvZPxl8zS8oeOI9HlnnwJ+GGqECsl4u0htmfkklVL5b1KAlRNO0JxvT3c+h2w6hcZ3Np210RdnGK+W4SJW7IbKR0m8BxWnjjBKu7qWr9rQmMOACAiwMvShedjfFIe3KBzMKzZklfcvp5ZsSNkkXnzoB8kayTQjvoo/HUdqvljenxsOK8HpkXOFLw9cBJrrsYTST1Ve12cBNzTdkTFy43w3I0MhDihz5Hsu4DTdw37iXqlsyVstnkEDjpjIeVEDj915pk2sajvv56zuj5Pc/uV8i9119EsLBY2nZXhBCTTHgRhni3XtviY6d3Zi4eyWhVxBNJXaciw083PJQX5nVnzENLefd/cmviVVW1HbcEk46SqLZh+nXhgI+Aiz6V0IiYx8ReURQT0zmWqfny7UO8foDCK8cM4Iybn5Sy21VREgrogsbtExE5DSVw3u6XDbdxTqeFN07Q7pES8xng8xHd4Vpe/TPG7Y++xm/fTJS0ac8u0YvCMnqZgttdRXxJdAmAFdrdTVpSXwg9f7mHb04o4rtxF9JjzfWkbXdFGNIaFneTdn+IapJJsPwNKQB72171Z7X3z/DTgaOZXbkV786I8MXdp0vZM38GK38y9Gc9LGkSZmba285lSZPZn+paY/pIYLf5H7GRbzpfndKdeye8I223q6LMZoKk0WYpkRPcFgGYISoFIDs1oNvN1uLb4Upiqo+W2T/w5csPStkUGV2W8aUWwVzHPIGTtNEb0/wyFPAJ6Zp2NnS9f3EXQWHQr1NYlzVGWN6c32yZC/SENNr+MDEOezndF3DXqnATcwXvI2J2dOTeO5xCMBikMAB/v36LlE2nolaJl269MJNME7d0p+B7CZy09d/L0l16LbFfb8aD+BKtHLH7Fux2yvXSdrsq7EZvY4mkfsHL+pMUBd8mqQ/4fYTTLCeZbr25CJCRNPj8/NZjT2bXqnz1/a9Eqv8VthmJJ/UA3Sp5ll0NLprUg3nrkXvRuTAnf2rTfWlwUStMuQefonDg+XdQUFohbbcrwudTKAlZPwuy+n5g7rBLJCJ2LGcJ3zTDaOBkPltF6+7IPdMK+LM6wR2XnyZl00mPz4uGUpPgfSI1uuyhgaNpJS1tSN9N6Ttq0+rXoW4eSllf1jvkWmm7XRVGM89KLzOLkeUCGb1YnfniyCTzVnS2S8I/rzqcg15p5fkpc/ji1cekbDo9syJMZDNipoaxa0NUhEmmN2tzuJsaIsYETiEEPrsOAGWLsyjsOUjableF3Si7LCsT5JhkjW7jlroUgEdfyn7uu63FGT8OZs8XWrnxumtJJsTtOhWItSJjWyxJLCG2sMOpgG2G+Wdjd98b/96uxyKDfJGs00JRFAZUFQIwv6ZFZ5Llxy29QTvIVTVVKDPD2PIjlogE/T5CfrFE3E3MFYyLo8ljtz47cCovKWLj/U9k1tklbFr7NjRVS9h074isDE0y7TIQYpJ56NYPqCwCYEFNC8mkyoqmKAMjf1Px1wupF+x8A+S1/oRhFziZ/+w0dmKGTOLgpklm/ppMIqKdCUG/QjiQ+XyVb3sK1+y9Jn+cXkz427uFbZoLVM6JvVgiIqN7JOJPum/m4E/za1qoTo9bbjLzQRJtDdBnQ9jgQGmbXRlaNz6bpWuwMsUTEb3D7vL8q6rqmtg7bd50QpNNIuLzKRRtdxrXbBvivtFLYcnvEjbti8Syo8sgvkFPjOWc+pqXgvOAqpQvLa5vJZZIsrwpQnHbEr5+7g7iSRW2vwJCRdJ2uyqcxpukpQAkmF8id5PXorP2XGc/qxXrb8vYTQdz+VYhRix7DSQEx0ViPdGpAXMR0XVJU9g93jXuJvmis+ZP82tb9ILz0D8e5JGPpxMv6A5bni1tsyvDTuNP1pdAsiHq0sDRbEnfTQ7Ft7otzqNXiY+xa8SI/vqGsE27phBkxlfi0hpiTLJwwEcgnVfa/RycWG5dAfkiWSfGwPRhP6+mxeiI5MctPaEg6NcLW+0FKJ1HuKxQJEgbdhNzBTkKshnNNpdIcTjA1MrdmOlfCyXWDFPuErbpJObtbUuL2GGvbzxy+Bnk0q0f2C1dJKttpbYlSjypEnvvJjZ5tJEfQ1vAGmOkbXZl2AVOmi8Vh/yuI4EaOpJJBnJMGg1OW11LCkP8vv6ZlIQU+GlCaoW8ALTntSDosyyQl0qOiMk8/zrr08Z2xhKAHPxpXk0rK5oi9KydxvkPvM/IR5up2/xi8OVDExnYNSAaPIy0FArqiLXFDKaj/QYxb0LjTglO3cDt2WTr7SgPAxOvE7apM4ktWZnGSKToZjLRpouWXLTGEsRtmADG6LJ8kaxHSZhwwEdShcV1baxojtJ36p2c+mYth70bhJGHS9vsyrDzJVVVTUtl5KQAZJZgON9N8g3ReCKpL0bJZu2UhP2s2O5qrtmxlIpl38Is8RFmpyaJPnkh2cAJ+hVdr9IOBjPTadzSO5NMy5vm17SwvDlKYWQ5H7z3Eae918bzbdtBuFTaZleGLZNMcvMyyC3C0LWc7fQyJTZlZth1uJt83dfiojMO4387F1Aw5VZICD7/DpvCA36fUdAT9SdBcoGiKPq/w66Qb+il5YtkHY7a2lqOOuooysvLKS8v56ijjqKurs7xe4499lgURcn43+jRo1fmx+y0GGA67PW14HkmmWdo41/tacPyIy3FgrRhETFXGQqyGcZ2oqyRlpAfRVG4PX4IABNffIjZP3wmZNOpu+hJzFWYNuwelOWiU9G7rICgXyGaSPLHogaGN0/lr3kr+H1ZkpLtz5G219Wh+VJ2p1lW3w+M57/DRloEmTRWdq2e+5JwgB/U9fi1eAtQE/wy7iwhm07bw0B+W6yM7pGeiNj4U4aYaw7sF62BM7b+FUpDMKB3DypG7iltr6vDjZkpk4hoz7+bFICmz+RTsN1O5llDyanoHA5wR/xgkkoAZn5Mwy/vitnU/MmBlZlIqsKbyZzGN80w3112d3Qum5d9PkX3p3+WN1HUspC9KudRVahw/Gnng69rjsl4hV2c0hJN6EVhUX/SC85Cwv0pf3JmOcs3RDOlANo3RBfRnXfCe6T+4pOrUOPu90kkntCbJB0xNdDiUCSws+0c64lLC2Qjg1zQGOHkgk+4ZpsQWw0u4bBL75e219Vhp0kmu3kZvC3CsF94ZjSDRBsjYCoa2dxNT7IPkWAFrJgJP4rpqmqxpn2sZz8C7mRP5D5x257ZnMPEQGfASi2SHX744fzyyy98+OGHfPjhh/zyyy8cddRRrt83duxYFi9erP/v/fffX5kfs9PCfNgvqG0FoFdpwf/nR1qtYbcBy+guynRExDrsImKuXplkTTYUfEVRKA4FmJLcgBt+78dOTzdw7slHCtl0ShxkNZRAnEkmIrzsdLm5we9T6FeRGl/+etZybqh8l9lnl/DiJXuw7pix0va6Oux9SU7fDyTXgrtQ8MG0CEPCn5wo+Nrz9lzpcRz2WhsbXf4RHz3prvXntj1SdjW4DJPMTSy2JUcxV3O3PrTkJ65f7x9mnV3GI088K20rDyPRyGY5e0lERJ9/8zNvt51MhkljZdvqrC4OB5ir9uaXnvty4MstjNntIGJtLa42nQpRRSE/PpvlB672XIrE4YCxzbXR1p9y033R/Onbf2o4L/AKZ2/mZ86duzD2hMs82evK0BPSdhMDqd9RwKfYFoWzIaVJJlDQ9tIQ1Z45q7Na86/Hffszt62UAx/4gQcucc/RzA0kq03JThtCreC03S8bQtstc9Cf1e+m2lbqls7l1NBHnLV5iC/eeZ5gQX5sWRZuDRwZlrMokyyZVAX0MlN/r6oIN0bAuZhdHA7QRBE/DjqFuXVJjj3tPJbPc58ccNO3lI31RJlkZtt2/pTL6HJnwEorkv311198+OGHjB8/njFjxjBmzBjGjRvHu+++y4wZMxy/NxwO07t3b/1/VVX2a+AjkQgNDQ0Z/8sjBe2w/2NRA3NXpILIoX3K/j8/0moN15EWKXFkLXlwSUQkmC+y3Xon9ksquFMYdcwNFARgUHAF8T8/cLXZ4lCIMkYijY6sqz0XNo0GjR0QjdtvDM2FSQYG+6V12muM9M2mpLiIAy9/3JOtrg43X5ITGhdPHAwxV6eis7w/OTNfUs/bbLU/fdbeEL8C0958AOJRF5vOSXiZQEc9w57E828ETtY/045K6ufVNLPnkocBSI44iIGb7OTJXldHhzLJBAWNjU69ewPHsyaZ7d0Es9c9mcnzkkxf2saU8e7FIKdnVlEUD4swxJOHUpczymncRgSaP82a9hX7+b4CoGyfW1DyY8vS0OK4SFYsYdxN9kXhbGi/z3hSdd1kvrI0yZodWCra3y2JFvGhsgOv/RXnukdeoXX5fGeb6fe325SsN8EEN/Lpz78I88W0kc8K5vFSL/7Up7wAv08hGk+y7l/3U6hEWV65EcrQPMPZC9w0yeRYzmLPv7nBY+dP5kK3lP6sw/ihdhf80GM/Dnsbnv6pmStPOcDVplueIzs1IMMkc2NmNgk2gzorVtoN+s0331BeXs7mm2+u/93o0aMpLy/n66+/dvzeSZMm0bNnT9ZZZx1OOukkli1bZvvaW265RR/nLC8vZ8CAAR32b1jdYWaSAfSrKKSyOD9u6RV2lFdj45HMuKXWrXcbaREYtwyL2cpGswMdWTvsq4Ztx7znzuW+3QoIfHoFxNocbTp1RMwdHZGkKUP3yFX3xXzh2dGGxVhpdhhYVUQg0cqYf8cB8Puax0JpL0+2ujrKbLr12vilnNB4x60FT9kT173ItmvHfNFec83Dr/LzuQO4eKMm+PYhR5tOhTfwHjiJJPVugutGAc+bL/WrKERRYMi/r+Bb8jutaojiXa/2ZCsP+2fBiyaZKJPMbXsYZOq+SI20RO2bQ9r7xUv68PRN5/DLKcVs3/g6NC51tOnko2DPbrX9jBLJg+FPNkwy3Te9N3BUNUnrO9fw0+I4v1TsDH038mSrq8Mcp5j9qTEHXwKBhqiUJpkEy9nhHjGf8ydeP57TtunN50cXUvjVbY42nQpvIK8/2yLBJHO7m1pMrCAviX3A76NfRSF9l3/L7ePf4ruFCZq2vSa/mMkj7KQ1jKUyXsgFYg2c1CIl6zKHz6d4LDrb+6neaImq3HrLLWy3pp8z1pwDi35x+bzO8VSpS2G43WeUaGK6+lNeuH/lYMmSJfTs2bPd3/fs2ZMlS5bYft9uu+3Gc889x2effcadd97J999/zw477EAkErF8/WWXXUZ9fb3+v/nznTsgXQn9KzOpwRv0y7PIcoGVVkUskdSpul4EKN1m60UCJ+1gFpnT15BMqnoRwG62HlKHbY+9r4OS3lAzG7643dGudnlZBU8ZYycCiX2G7pHLeEPA79M7Q66HfQ7slyE/3sHuj83jrE9UYpuf7slOHoYvZQtle9H305lkHTXSIqF7ocGpoFVs8qXyXgMZflR61HLSrbBitr1Nh7XgYBoxXglMMq040WTjpzK2rBAK+BhQmOS3955l40ebuXTmCAq7D/RkKw/7bXKetlsKJiIiS2W05yORVHV2hwicRuPNTM/dz7qD4RuNgkgDvH+ho02npTIgvy1WhkkmqvtSmEMDZ+3Zz/P81wvZ+slmfl3jeE928khJK2i/L7M/GXqZ4r+joN+nxzyuDVGBorMR64k3cJy205kZ+AklwEPPvM7wXn74eQLM+dLeps78svMlyYKzjCaZi16mpqXo99kXSNwwsLIQ/5cPMnluggu+Kqb/8G092cnD/lkwYj35hqirlrOAFADITSFocNxEGTIY+Nscciaf/e9ohvfywdtnQcJp0YSz7pesnrPodktwH7dslpDp6IyQPkGuvfbadsL62f/74YcfACwfTlVVHR/aQw45hD322IMNNtiAvfbaiw8++IC///6b9957z/L14XCYsrKyjP/lkUJhyM+g7sX6n4f3K/9//DSrP6yCaDMTRq5IJsb+EhFzLQo5H3JWcKMjmw97Cspg99upbk5yxHk38vPHL1naVFXVPXiSuJS0n40dpT8bbkWDFpeigxtGlLfQr2EaKjCrakuGrtHXk508jMApqWb6gK6h5EGTLMU8dE7EpZhkHrr1VkFOSfYzP/JwGLQtS+qaue6E3UjG7dha9sGY2a6o38uIGbuNtMjQ+e1wYuA9tuoPvUr9KDtc4tlOHga7JZvlXJ+LJplbA0diqYyIPTO0M9zKnzI63z4f7HUf+AIsnPomX0642fXz2gX7JWE5vzeYyQLjy27+lMN2S4Ch3QPcMuAbDh8eYNvNhjFi1Bae7OSRgpUcgBdfAhPTWdCfnDXJPOhlOiX12Qz8NcbAJscCMP/pU2iurba02eziS5pd6c3LIktlXHzJrEcmOhabjf3C3/PSnjGOGBGmx75XCm/azqM97KQ1dH+SYWYKytToEzguOVmxB39qdCg668sA0q9Rxt4ChZWw5FeaJ9oTDJwK2Wa7wkwywYVn4M76dPtsnR3Snn/mmWfy119/Of5vgw02oHfv3ixd2p7+Xl1dTa9e4iNKffr0YY011mDmTHfxuzza4+q91tf/e1jffJEsF1jN1te2pHSFyguDUhep6JYWEeaLWRxWeH19OskN2HTb2ukyrb83l/3Ym+d/i3LyySeiWugptcYSaG9vHzw5j52YIUvzLXUpGuSqozR61t08vmeICSesy+zhp1MucbnnkYmCoI9AWinbHDzVpP2pqjgsbMuciDuxX0TEXMHM/JLXqbDSOivO7nwrCtGxd7DZ+BaufWsm4646ztKmW3ddtkgmI2bsqkkWyy2pp24eRybf4Nn9C9n7hDNZb+21vNnJA7Bu4MQTST0RqZKQWRAV29dYhk6+5DeJnMuI9+tSABb+1K6Y1WcEP/Y8mGEPNXHg6VezYv4sS5tu48ba34sk9rGEoVflppcJ7uwXmc6/Ffr/8Ribltdy+379+Xera1mvd6knO3mkYOVPNc3a3SQnWSIqNm4Usxw0/jwswnAaMw74fXr8p98jO1/P87NKGHbLX1x5wh6WNt2WIEkXnF2W1FjZdmuGema+RJrYd9mD9C31MWqvw1hjw6292ckDsGfoarlTNwl/0iZKXH1JQHsWvG1fdmRmZj/3pb1I7nIz90+NMnDfK/lzijXZx210320kMhsdySTLNW9a3SFdJOvevTvrrbee4/8KCgoYM2YM9fX1fPfdd/r3Tp06lfr6erbYQrzLtWLFCubPn0+fPn1kP2oewPbr9uSGfYZx4Cb92Wrt7v/fH2e1hrH1yDhMVjSt3MBJSMxV02hJqkRdmDQazIGTVbdN6zqag/qbxr/B9oPDPLyLijL5VlubioLt9ie35NsMmU49uAuuu42vOeKfSSi/v4aKwpKtruOuQzeRt5GHDkVRLP2ptjmd1BeJFyBTW7tSz7BTh1FEzBW8iiPbd+v1kRZTYh3qtQ4XHn8AI3r52KblQ1jevgnkpkmmFSdEAzyZ5MFdQ0k8qWkHVYUPL8MXb6O2x6YoGx3JIaPyWqK5wEqTrC5dIFMUqCiSSETCckwyp6UyYOquC/pTIqnqEgaWTLJQ+ztkg6Nuo19lIWuWqzS/fRFYNIvcOuIy/mQuUgglIoLsFy+aZLEl02HK3QBMXvNcLtprEwoEty/mYQ2rbbG1Ld5iPdGGqIzGn9TUgNs9YpIDAKCgnKrtTqcxCtN+/on43xNtbboVyVqiCZICS5pkWM5a4TxiwxzXC24e9f0WvX4l/qbFREoHMGvtEzlj+3wDJxfYbV7Wis4yOtmiUhiid5P5ORVFs4NtK/9UNjyUDxdXUNOqMv7akyHeXjrKVbhfsujsNr5phlZItDtTmiS0bDsjVhqHdOjQoYwdO5aTTjqJb7/9lm+//ZaTTjqJPffck3XXXVd/3Xrrrccbb7wBQFNTExdeeCHffPMN//77L5MmTWKvvfaie/fu7Lfffivro3Z6HDVmTe44aMN265/zkEOZRSKiBU6VEkk9iCfiQmKupoBYVKvCbVTG6rDvNXgDPnv7RUb19cOXd8E/kzO+Rx/BCvrx+axp7jIdRkNDTOxw1juMFrbNnX83fbNsNC5fxGUnHURDREXZ9AQuPPYQ9hyRH7XMFRrN3uxPK5pTAYTsghERtoqImCvkplPhRME3vw7gzP89z9QbxjK0MgqvHtcueHLtLloUC0Q+o0jy4ErBlyxgm/HC7edz1l2v0Bz3U3ngvTxy9Kj8QpkcUWZRcNaSkIrCIH6b89gK2nPVGsu9gQPmbr3gc2q6E0VGWgDCxWW8/9YrTDmhgoHVn8GPT2Z8j1kKwK4jXiLBKtDu7aBf0TWnnOAqjuyRSZaIxdhxmy244P16Wvtvw2HHns0JWw2SspFHe1ixX1asdCaZu0aTXiSQGbd0Kb5ZNRfHnngF71y5J58cVUTg7dOheUXG97ixS8x+K1IclxMad17S1JLD3TT1nacZdNS9XPZpG8Hd/8cDx2zJkJ55VmYusNLTisaT+p+rJBo44ixnsXHLIskxxtRrxbScNSg+H+Neeo9796riji0b4dPrLGw6Nx1li+M601OoISqo5eyx6Ly6Y6VWTZ577jmGDx/OLrvswi677MKIESOYMGFCxmtmzJhBfX09AH6/n99++4199tmHddZZh2OOOYZ11lmHb775htLS/EGVx/8vrMSRazTmi8R4GIgn4iK6L5aUeRe4da5ti1nD9oWNjwFU5j1+HNVz/jJsCggZy8zWa0FloWCwU2KjfQBZnX/Jw/7io3fj1s+Ws88rSdjpWqnvzcMeVttiNSZZN0l/0sXGHfxJVMy1RJBJY4aTBoadf/oCAQoOGQ9F3WDJb9S8fnHG97kJg8uOtMgkD+aRFqsRbq+BU+Pifzjzuvt44PsYj9WMgV7DpL4/D2tYSQF46dSDcd66MskEExE3hq+dXTspALvnfo3N9iC4azoB+fAy4gt/1b/WFkuiEVrsmMRGIiLAck6/xo4xnY3ScPuiixktHhdhfPLAeXw5s5ZxP8eo3vyy/Aa+DoKltIbnIpl7YSuWSNIWS6bf24nl7H7PZaPJ5R6xe+73vOol/D3Xg6Yl8NbpkDRYW27b+MIBQ05BJNZrcWkImRHw+ygIagug2tuWWQKQgVgbbz9wGdEEzPcNwDd0d7nvz8MS2vPcGkvozL+6NLnApyAlWyLKShZt4Bj+JFMks9eJLrbJ6/quuzFn3/4sPkWBbx+Evz/K+LrTwjOzXZFtsUkTE1toSZOLlrNnf+okWKlFsqqqKp599lkaGhpoaGjg2WefpaKiIuM1qqpy7LHHAlBYWMhHH33EsmXLiEajzJ07l6eeeooBA/KjGHn8/6My3fHQDngwU/BXDpOsUUCTDMQ3kmkQZZJZXkZjb+Xj6t6MvGsOh+y+NfFIW+q1ArRcmcPejYKcDSf2i2Yr4FMIyTAq/5nEIT1nMbhS4errboBwvljfUdD8SSuMgaFJVinpTyKCxqJirkbnfyWOtGgo6wP7Psyzv0ZZ86j7ePmO8002nXW/tICxNZYgLjBmbXTrBUZaCowRbquthM1emC+qSunkq3hh/wL2GVHFmXe+LP69eThCYzLXtVgk9RKdepDo1gsnInLsl2aTn1oVsx276qNPhyE78djUBjbadHPqFs9N2RTYlKxLDIjoZXbg3QRynX8dy2cxtvlV3j2skEeuOJGBw/Ni/R0FzZ9qTbGeXnSW9CcRJqW54CvSZPRyN9k3W2yK4qEiOPBxVF+I2598i5tPMfTJjOff2pcURZEqjos0WM1w2p7peQnGpJu5aUwzbx7Tm/ue/8j99XkIoawwiEZk1vxJj/OKQrZTJ1YoEiwSizdwxDTONMRNxWwnlrPl3bTubrDZKSSSKtefcQizf/zc+LwuLH/b+NECLSYGuND4srZp3uVuEr3rOhvy83d55CGIbiWp4EjTITP/t2y3vkg6EXEuGsgGT+7bVBy66qEi+h96J7GkQmtTHfVvXZrx3k7sEhn2i9u4WTbKLLq/GswsGuGNR41L4fWT2W7NANOfOJPtjzhP7PvyEIIm2KqNWKqq6rlbbxSJHYpkgmKu5kUYonDf9uXw3K+zK7+HNqExCi888RDq4hQDxtgU66yhlHqt+2d1Ey7P+LyhgE5KydYSAWj1Iub6/XiY/i67rF3Amx9+TrCw2P178hBC99IU87IpEqctHSQbBWfJu0nwLhFZKpOyJzduKbyF0urz+Xw07nQnN32V4PfFbTx2/l6QTGYwVWylAArEP6d+N0km9VZ3U0bnX5SZGWuFV46FeCt77LoTh1/5qNj35SGE7iUpfzLHejlrkgncTQVBn6MsSrHk6LL5tXb6TI5F597D+azn8Vz8aYQrx3/ItLcfzrDp9PzL6M/Kbku2YqEbtpzvTUvM/BS+uheAfS4ZR1X/vA5ZR8HvU3Sf0fzJK8tZe/7N+q5W0EeXBaUAhBs4UXMBymmTuY29XW7g8u8quebTevbfZ09izXWoqurKJJPzpdR7+22Y2Nlw8iUwM8ny45Z55JGHA7TAaXlTRB9B0gMn6e6iHJNMlDYsPNLiynxxHrlZf+s9+XzC7Uw6pohufz4J014S2lCkH/YiHRHJ7mKZA21YpkAAkIhFWfbkkdC0FHquT3D39osK8sgNhj+lfKihLU48PRMl363Xnlf3cUvRwElOpyKHojNw04TPeOy4kbxyYAjlpSOhpcaVnRYO+PWFBTLdepFgx+dT9J9Tg+VIi1xS88fnr7LijVQxnZ1vgD4jhL4vDzGUhgM6Q1bTTqppkt8eBuIjXY2iDRwBlqcZbp1ru5EWDaW91+SNF57mlp2LuWidf2HSza7+af6a0HiYZJG4rND+bjJrv4kyyR4/fy8a5v0KRd1hv0fzY5YdjG5ZdxPkvt1SRC/TdRufqYAtuslce+bc7ia7O2THU2/j7L1G8sDuBYz4/WZYMdv1bjJ/TYpJJtkQtR63lGOSzf/jO8477gBaYyqMOgGG7in0fXmIQ5PPyC6SyeZNZumVViF/EmSSSTZwQn4f4YDFUhm3hRWBMGff8zqDqgJcsrlK8P1zicQSJNKvddtuKeZLcoQAJ18C+dypsyFfJMsjD0FoTLJIPKkfRF47IuKaZO5iriBWJMi0K5rU2x/Kow6+gPD2F6X+8M7ZsOBHQGw708pgkpXabNEB+W7IFUftyIjLJ/LZvAAc9HRq9CCPDkU3U9EZjPGwopBfejtbsUBHUNeScPElfeORjO6LtvHIxrZb0dkfDHHSAxMJVK0JdXPh1eNpbTN+HnaQ8SeZDWLgzH6RKRJU/zuDPQ88nI0equX34q1g9GlC75+HOBRF0e+n5Y0pf/LMJBMsaslrkoneTc5+qiU2dkE9wMa7Hsaltz+eShK+uJ3QzHdT3+vwvMol9XLjxtpGPitf0n7OioKuteSEp64/hRMfmMjm45tp2/PB1Mh2Hh0K3ZfSd1MyqVLbounPyhad3f3J7f4wbKW+rqroY19ucNWfFWiw3vv6VE7ff2uUaAO8eDjxtgbA7W4S15+VXVxhbMa2v5tEbKnRVo7af1fu+aqBUyYWwK43C71/HnLI9qfaZm+yGqGAT28GtcTsn6sGUeF+SXKBqJYz2Pt7v/U2Yfq3Ezl8w0L44w0SU+5p93ns7IrlTeKyGuDsSxn28kWyPPLIwwlFoYAeFGiJiMYkk+/Wy4m5undEJGnDoppkbofy9pfDkJ0ZN7WBs486gIqmOY6Bk84kk9AkE2aSOXTrm1y6qWa0TX2aT7/4mqXNKsvWPQp6rCP0/nnIIXt8ucbjOAuIFZ1FWZmiRQIz3PT4hFgqRVVw6POogUJufeZDlFdPc/28xRKsN4PpKcp+se8wan/nmojEIzS+dBJBEoRCQQYc9VCe9bKSoPtTc2YiIq1Jln7e2mJJvcNthZWlSea2cl44YdjwEBh9OomkyuNXn0y/ZV85M8kkfEl2cYWTL+l3U8h5oQgA/37FiEUv0bdU4bA9t6dg/bFC75+HHLpn+VJjW1z3hQrJTeZFAk0Xtw2UGgqDfv34FGZmRp0LcEILKwIhOPgZKO1DdMlfBF45CSUZ6zD9WbfiQzasNmNrMGI9F1uqivLOWVw3JspaVQGuefAlCBYIvX8ecshuiHpdeAbmxTK5+5PeEJXUcrZ77sMBn75J2unzhdbeBsamplOSn97A8MVvURj0226h1gvOQnmT3Oi+5ktN0Xg79lsyqQrJ6HRm5ItkeeQhgexExDOTTGAtuKiYK5jGLUUPe5dLRDgR8flp3f1+7vxOZU5NjDG/30xF0P4zSG0Qk2R/OTFfGtPsBI1abIu5X1Pw0QVMPraYFy4/gEMvuUfovfOQR3Yi4lWPDMQScT2pl1iCITLSIhJICPtT7w2YOvg8LpsY4d2vprPVogmOhShdENzFbjyR1AX4ZTuMVomI0EIRVYW3z2Zw6zS+Pb03H777DuW91xB67zzkoY20LNeLzt6YL+bzVsSfOlqTzGjgOPtSq2lMxRY738CNv/Xl1i+amff67fRMVNu+tETQl8ADk0zAl8pcfo6smA0vHcHGvVWm3XUgV477UOi985BHtiaZ1sApCQcsx6ycIMIkE93G5/Mp+uIJWWkNe40/QXulvVEPfpa9Xmjjf29PZ50pVzjeJVJi45L+VKbrKNk3cErdYr3J/4PfXmHbwQVM/+ZD1tpke6H3zkMeRqyX8iOvC89ALtYTncARldZwIxcoiqJ/Plebm57IsrUOZusnmpj84njWqPvO9qVmX3KLSWUWNIHxM1JV4xwy29KuWNfcqZMiXyTLIw8JZOsoeZ2t18VcHQ5S7bIPB3yEXAQYi0QDnTTcuvVGV909sSms6sM777zHDTuX8dC2TRyy+H+pE9fKrsTn1GfhhQMnd+aL06XZ8s938PyhkIxRPHJfDr0hv31vZUL3pcaUD63wuD0MBHVfhDXJUs9owmazYzZaYgn9cc+56AyMPvwSbjxhFx7cvYAnB31Mt+X2wZMo49O88Ui4w6iPL1v5U7robLO+XU0m+XfCWfDri6D4qTp2AkM230XoffPwhnaJfbr4LFskM3fDHXVfhPUyOzapN99Zrgm4P8DZD37ABn0KuH2nIJe33Q7xiOVLZXzUK5PM2pfck/qF039k+h27QWst9N2Y7kc/hS/QNcdf/gtozJeWaIKWaNyzL4Ekk8ytUIp80dmNRS8zsq8MGMUxJ55GeRjOG/wvgxe+ZftaQ2xcZmpAVpOsfdG5QS862/vTizefwsK3b0z9YY87Cayzo9D75uENxt2U8qOcYj2B59+4m9yWNMlN4IjcecL3iKJQccDdlJaVUxiEM9vGQ/MKa5vpcyGpZmpYWqFFUqYmHPDrAv/Z/qTdTSkNtq5ZLuqa/+o88vAIswBlWyyhJ+Wet1vGbAQeEe+GgFlsWbYjkiPzJY21N9uRfkffR5wAw2s+hi/vtHyd9m8R6S66rUW2s201W+9WJPt76qess/EWPP/DchgwGvZ9BHz543FlQktEVjRHctpsCWIbKUXHw8zdbBkNCJ+SGoex/nziRWeAyx79gAEbb0NQSdDjg5OgZk5OdrXAKeBTdE0PN5TlwCS7+ZQ9GHbig3wyOw573Qtr7SD0nnl4h96t13VfUr832btJURTTyLH1cxWJJ4gm0lIAoppkwuOWzgWDcMBHwCe+sKKy7yCufvxddhtWztqRP+GdcyybODLaaV6ZZNFEUt8+qkHzLztfWj5vJrtsvzVb3zeLn5t7wWEv5jUyVzKKQ0biuKIpqo+HyfqSZgvcNMnEtvGBeUSsY6U1RNk0h196LzdfcARjhwRY9/urYN5UR7sy+rPyOkr2DRw7f3r97os4/IrH2OrJZpYPPwU2OVboPfPwjm5Z2y1XtamBjtJyzrTp/tyHikq47PEPeP34gezZrx5ePgri0XavKwz60SYx3fy0WVKmBsx6zpm2zXGeyBKAzoh8FphHHhLobhKg1LohAZ/iPiqRBS2pV1Voi1sf0KJJfcqe3LildpC6iSMLjbSk8XtoJNfGjyGRVLn4sit48/4r2tvVGGoSs/XCTDIH3RetcGbZra9fwLhLD2VhfYK7fvARP/i5fBLyH0ALnGIJlYa2eE7dxUIBtkqjYODk9yl6sUtEq8IcONkFEjKBE0BLPMmFsVOZlhxMvGkF1x65FQ3LFrR7neiojF5wFtx4BCb2i2W33n58Of7No3zx+Se0xODPbrvBxkcJvV8eucEsjqyqqj7GLMtyBvMiGOvnynx+u53PxQIsTzOERlok/WlZwRqcHjuHBH6avn+et249sf3nNAmN2zWuNMhutywJBXQtqWx/anBK6iON8OqxFPkihIN+Ko98Akp7Cb1nHt6hKErGNnOt8FwlqUcG5k3mHcQkkxgRS0kBJBxty4xFaniq8Gg+TGyKLxllxROHMv/3b23tusV6iaSqs2Ok9TIjkg2cvz9i43njGFypsNuY4XTbL7+1/L9AtiaZ9v9eis4iUjVaobSj9TKbBWJI2aKzUjGQa4ovo0UpgrlfUfvS6ajJzAmG1BinmD+1mGI9URh6zhJ3UxdBvkiWRx4S6Gbq1s+vaQGgb0WhdJW9IGASYLXpYsgETrIjLfpa8I4YadFeF4nzXGInbvx3Q27/OspRF97Cst8+t7Qrpvsiq0mWPugj8XaFvQa7wKl5OTyzL7dtE+XGPfrw/qTvCJR2F3q/PHJDQdCvBzHLTf7Ur7JQ2pZIIi46HgZym7nckvrU1+T8szkSJ0KIU2IXcPS7cN0Hizhqt81QE5nfLzrSIrsEA8w6Spm2zQtF2vnTr68Q+OgS3j60iJeuOphz7nlV+P3yyA06y7k5SnVThLZYEp8Cvcvlxajd/MkYibQXG9ZQJOFLGbYFRlpEmZnNkThfJYfzRo/T2OqJZva7/AneffjajNeUmkZzWlxGWvTNy4L+5PMp+mfO9iddkyx7dDnWBi8cRveG35l4cn8mffQea264ldD75ZE7zItl5td6v5tENuiJapKBXNHZHLu5M8nEtzk3R5OcFzuN35OD2fy+uew5dkeaVizxZNc8Pia+edmeSWYk9ln+9O9X8PLRrFmuMvWuw3ng7R9R8tMC/wmMBk4UVVVZUNsKQP8KD7Gei1SNqqoSmmRyz75OXOggLT7N5iy1Pw93v4IfFiUZduoT3Hv+we1eJ8p6a5YkF4BZzzn7bnIgF3QR5E+IPPKQgN5dbI4yL53Ur9FNnnXky2CrWB+mMoFTkSyTzMW27EhL6r1Trxt2+pPsvVFvxu9VQM9PzoSmZfpr5HRfnHXTsmG+ELMTskYL5ktb7RKYsB+smImvfABXPDuVnoPWF3qvPDoG3U2JiO5PVfL+JLKRUmZ82dA4ExgLFii+yXYXNf9oDvXgghsfoFeJj1PXq0P59BpPdpsldSrArElmrVMBmf/mBZOegTdOAVTCW5zCwde9KPxeeeSO7qWGXua8FSlf6lNe6KpnaQVd49LubpJq4HS87ouMtqX5dXMGH8nojYbRo1ih6pcHYekf+msKgj59pMVV40+SSQb2/mTVwIm2NvPtzXvCv19CqJSyE9/Ia/r9x+hukgOYu0K7m4ql7QhJAUhpkok/+9q57/cptppCsr6UTKq0xBK0UkByr/tpivloaG5lyYSTwcSAEW0MacUOn4Kw7pGTJpnVIowfP3iOqbftB/E2WGcs3Y56Mq/p9x+ih8mXapqjerwywFOs55zrtMYSuti8K5MsLMckc9NyNtsULbxp58I/FVvwVdGuLG5SefLFN4j+8X7G60QXy+hbzCW2UdpJawgtaOrkyBfJ8shDAjptuDGiJyIDPRz04C42Lio+mXqN+yIAM9xow15GWnSbJSW8OeUPDtl2KDQsgBePSHXFTe/XHLXXYtPtSTLJzAKUdom9zo5ZvojtR63L5c99h1rYDY5+CyoGCL1PHh0HMw1f8ycvRWddgLUDxFzN9kS0KmSYL+KsTCMY23SPo5nz+QR2WzsI3zwAP01ob/c/ZJJpvlUc8hNI65t99OStDNn5GB6c2gojDoGxt0EX1bD4/4I2vry8KZJTAwdMd5Mdy9mDFICTb5qhSwF0ZNFZGzkrDHH/G9/y0407sEWfaGpRS/NyIPPOc9d9kdMkA3t/yu7WxyNtHLnDMLa5fiKvzQAOewH6bSz8Pnl0DAx/iuos51ySeqFtfDL+JCMF4DBmL6s/22paVLP2hmP48JWnmXpyFUPqJsNnNxh2BfVnzcwX0YkMO+aLmUWkMTOnf/k2uxxwNDs9Uc0P6nA46Cnwd11mzP8HNCZZWyzJ9CWNAPQuK6DARsPVCW5MMi3OUxT33MHsS275CLhrOZttypILikJ+zr7rZR44eRu+PK6Y0NunQPUMabuyMjXg3sDpqpstIV8kyyMPKfSrSI2uzF3RwtwcExG3LoYu5irBfBFNwhslEnvZjkhJOIBSVAWHvQQF5TT9M5UHT98JNZnMSHzcRlr0w14isbfTJctIRKItvH/VHnz7TwOP/hhn0S7joPsQ4ffIo+PQN023/3lerf5MektExJlkMuPLIh1GoaRektavB07pM6Jw1OGw7SUA1Lx8Dj+992TKrmRSLxU42fqSVnBOB07/TmHSkzcQicOkmp6oez+YX3rx/wDNl6obI/y5qAHI4W5y8SeD+eIePIv4ZoZtgW69bGJv3p4XLCii38kvQ9VgqJ/H4kcPItrSmGHXTfelWeD+zIadxp82MlZaEIBkEvWts/A3LQQFine6FAZtLfweeXQcNH+as7w5p1hPSArAw90kIwXgNC4lX3A2ihAFQR8jxx5Bz8MfTH1xyl20Tp2Q/pzWRWG7zyjFfLHRUGqJGhq6pQUBqP6b/pPOYcNeCsP6lbHuWS9DUH7EL4/cUBQKUJnW85v8dzXgnVxQGEz7k03+YJ7AcSu6muMht3wExDTJZO8m812i+Hyc8eAnlK2zFUQa4PlDUNMbL0sEZQty8yfrhmieSZZHHnkIYd3eZSgKLGlo45f5tUDuTDI3TTKh7ZY6td39oFdVVUhHSXqkJSuxp/sQ4vs9wfZPt3Dm+K+466x9CQd8uoaNaCIiMyKma1W0E6BM2SoPJuClIzik1z88um8FH736NP02yq///v/C+n3KAPjwj5SeSa+ysMfuonsi4rb1yowiiQKxkdR3XHexxarwtu2l/Nt9RzZ7rI5dDzmROb98Kc4kixhFAlHY+VLGz3H+d/Dcwdy8vZ8Jp27Gc5/9gRLoul3H/09UFYfoXZZq4nz0Z8qfvBScwXj+bbv1HpgvohvEmgWe1WIBnSczmrK356WbOD9UF7LJNZM5be/NMpo4bnZFPmM2ylyYZGXhALx3HsE/X+bZ/Uv4+oW7GHvCZcL28+hYrN83dTd9+88K6lpSvyMvsV6RadzSjq3SKMFylpICEHhOzc+8arH1NRvmTZR6EWLDQ2Cr8/hsTpy1dj6Wb958XN6XvGgo2Wzj8/sUChvnwTN7U5Ko4b3zNuPDr36ltHtf4ffIo2Oh+dMHvy8GYGCu5AIXJpnI3WQesReZwhEhF8hLa2TFj4EQHDwBKtbgta/+Zq8t1yfa0mRqtHY8k8xgZtpN4AQtN0J3BeSLZHnkIYGScIA1u6V0KebXpMQnB3rQqQB3toqUJpkEk6wtltRn9lfGYW/+vIF1d+LYww+mW6HCdrHPUH55XqgTGk8kicRT+hZeDnurRMSfaGOdyWfB7M8gWMTJ937AqN2PFLadR8dDC5w0X/Ki+QLmDWLWz1SGmKuQOLI4k0xkjFO2u9hkpSHm89HzyMcoLy2mOKCSfP0Uuql16dd3/HhYmY0vaQXnQfU/oE44AGLNKGttz5H3TSZUVCJsP4+Ox7AO8if9+Xfp1ks1cKJiSbiR5IiwXwRZzlbFgh7rsHyTc1jarPLD73/T9N7VwndeS07+1D4RUdUkvHUu/PgUoOA/cByjDjpP2HYeHQ+tgaOJjHcvCUkxBzWY45dWG3+SGV8ukSg6i0gBaF9LqugLWZxgWyDe4WoemdGNxY1J7rr6bKpa/sl4vR10X/JQcI4mkrSZfqZakt+/bRavX7AdNC6GHkMpPOEdKvqsIWw/j46H5k/G3ZQjucBlqYwIK9O8NVJEz1lsSZM3JlnGXVLcjZrdHuX4t9t4b9oyHj1zJ0pDYhrRsjI14N7AWX/hK/DWmZAUX+7RWZAvkuWRhyS0xF6D145IkUuwIyPmKnMwa5eIokCRA2vH+2GfafOM25/n98fPYpO+fnj7TPYI/OBq15yceQmesmfrm1ta6PPeGRx35zu0JUNw2IswcLSw3TxWDrTASYPn7qKLhlKGmKtQYi9eIBbTqZDb8Ndi010vqujO2x9NYsq567CWbyFbfXsSZTS5FgtyYpK106mI0af6G169/WJOeW0pyf5j4NDnISi/RTGPjkX23bTSNMlkNsWmbamqfZHADBGWluy22CarRAQYe/xlvHLr6Uw6ppjSH+/nkOjrKbsuxXHz+KYo7DbyNbZFWW/KZRx121tcPzkC+zwIww8UtpvHykH/ysKMIrDXiYGCoM/YZO4yvizGcpYR7nf3U3MM2BhpL4RvZ7Nd89Ln44n3vuPSsQOZsLefIR8dRX9lmUADx9o3nZBisaX+28x+aWyLURVZyLynL+Sgp+fz4r9VKb3Z4m7CtvNYOeiovMlNpqZR4m4Cb/7k1LiXGYeGTJkaM6rWHcMrD9/MaZuGOb3/nxxWfQ+guhedJReegTOTbNS8pznx3Gv5+p2n4PfXhG12FuSLZHnkIQlzYt+nvED4MM6GG1tFhvmiM2lkuouhAD6f/cy+zEiLG/Or96H3wEZHgZrk0MW3s970cc5FsvS/I+hXCAfkN4iZu/XxSCunL7uJn2Yu5cPZcX4edgUM3lbYZh4rDz1Kwxn67kN6emMiFbmwVbQkxKegb5V1QrEXfxLsLgqxaRxs9ltvE/qf9R6U9KK0fgYXL7uC5NK/He15ExpP+VJTNJ4xJhRa9iunNDxEfVuSX2sLad3vSQh5Yyzl0bEw300Bn5JzImKb1KeTaZGCs9nf3NgvqqquFOF+J33L/S9+kMq9rgPgsPrx7LfiCRpboo72mrPHNwVgaPyZEhFV5ciG8exUNAuAHpsdCBsdIWwzj5UHRVEY3MO4j7zeTWa2SocswhDQOJOx6/MphgahwH3n5Esl3Xpzy2s/U9BnfQLNS3gpdANVdb873nl6Ui/BfPH5FD0uNrNfIivm8lrJ7eyxFgysDLLlRS9BaS9hu3msPKzfpzzjz55jPTeZGp1JJib7IJPniLDUZFnOTTbkAoBdjruUh558Ab/fz6gVb3OL/zHqm5sc7Xliktlokm1S/TrPDv6I7QcFeLNufRh+kLDNzoJ8kSyPPCRh7ohcscdQz3Y6kjas674IjLSI6qnIHPbmf4NlsUBRYK97qR64J3s928BHb73FNw+eYTvn7qW7CEYiUqexX6LNqC8ezul9p/PywSW8dM+VjDnkXCmbeaxcjBlsdHkP23SgJxvac5JU0Yu1ZsiIuYKJ5Ski3C8ROImOtBiJiI2PdlsLjnqDH2pLOeP52fx6/8nM/Xmygz2tMC4eOJWnfUlVTR3GuV+z248nceywBI8etTYfTPmF4m59hG3msXIxrK+RiJyz49qet1KJMslEGjg+n+I6Dq1BlPHpdfOyrT9tdR5sczEfzYrzwBOv88GVe6HGrVk1iaSqM+LkRlqy7qZkAt49j0Pjb3HRlmHev/dcTrvtWWF7eax8jOxv+NMZ2w/xbMdpeUUyaZICEFrSJL4IQ6SBY/66TKHA9tkvqoKj3yRZNYT3f1rML49exMQXH7K1Z2jZysV65WkheE0vjuUzGfnJYQzyL+OKPdbk26+/YsCwzaRs5rHyMLiH0UgbO6x3uykCUbiSCyS0Z8HbtlinorP2vjnpz5oxbD/Y+wGS+Ph18kdMvmI3mmuXOdiTZ5JpsZ7mS2oyiTrlHo6puY+CANx+8XHc9srULrmxPF8kyyMPSWw9pDtHjh7I/w4cwZ4jvAuBGuwvN9qwe6JjTsKtigRmiAZOMiMt2muCfoVQwOZY8fnpdvTTbDxiPdbt5uOY4Pvw0pHQVN3upV66iwBVxcZhP+eXKcy6ZRuCcz6jRQ3z+uAr2e/MG1ws5PFf48Jd12X/jfvxxUXb64GvLDLZKu2f16bsjYwu0AIWGSaZ40hLyK/HFzmNtJjRaxite4+jsijImuUqvd4+DH582rLwrHVdZRKRUMCn/5tqW2I8e+Mp1DyyF+FEM98mh1J/0PNU9h0kbC+PlY+B3Yo4d6e1uWDndThzh5WT1IOp6CyZiLgxVUQZnyUSRWzz6xz9aYcreIftSCShrGEmylO7w4rZ7V5mHhmVSUQqi0MA1DRHqVkwm4v3Wo/od0+QVBUuip3MyGNuFraVx3+DE7YazH4b9eOtM7ZkjW7e2bJGkbj9829+hmWkNUTuJpFxS/PXRZiZrkk9QGlvksd+wMO/BqhvU/n9mYth0m2QaH/3GULjkrFeUcqfapujvD/uJs7Yc2MKWxYxK9mXuwbcS+/1NpWyl8fKRdDv49b9h3P8loO497CRQs1KK7jK1EhM4IBc0blZYJRRvoEjEJttdAQv9LmMe6dGmfxXNVOv2hLmf29jT55JVllk3E1tTfWcsMswbrzqUgAeiu/N0q1uQumiG8u9zYnlkUcXRsDv48Z9h+dsxxgRsxFHTndERHRPzAlFUyTuuCFQlAUgM9JiaLQ42/QFAvQ68WnW//UxKotfh+nvos79mmcat+SA8+6gpFtvwHt3MXXYqySmPsGow+6hVxFMOXMgJ0bOZkFJ7r+zPDoeGw+sZOOBlTnZ8PsUCoN+WmMJWqIJshVItIKzqIaQFmA0yXTrHRJwbeSmKRJPBUWlzjZFn/91N92esiPu5arC8RSos+Cds2n64UV+LN+DbQ4+XQ9svDDJILUx0Rep55lzduTa56ey82A//zt1LMeuOJ6ziiukbOXx3+DcndbJ2YbbSJfhT4JFspCfatwTEbMvOSVRMizneCKpszfdPu/AI+9k10gZN63zOSz4Dh7ZioVDjiC02Qn0GJRijWtNLZ8CYbuGkAW6pYtkfet/4oDtL2LSrGbaaouIbXcuHyQ35xqPrL88Vh4Gdivi7kNG5mynyGGkS/MlUWmJIom4zGqZkhVKJNgvog2XQFlP1MMf5+ipV3D2Zkth0s0w/R0WDT2J3lsegS+Q+Z6ySxEqi0OEiaJ8eh37nf8w0QSsv9a6PDHgKrYtybObV0Ucupm3SQEz3FjJ0neTYFErmTSkAEQ2mQsvPNOLzs6+H9rkMDY9bCFjFkxgh+7L4IldYONjaNzwREoHbqC/zst2y6r03VTV8g8fXHwuT06cTsAH8Y0O4enQwbxd2HXvpq5ZGswjj1UARiLifNiLjMxoRQJw7zA2Cxa05MTLxQ/m0qIQzwf35bVNnoWew5j4xzKOvek5Nlt/ALx5Bvz1DrG6RYAql9SrKmvF/ubJ4P+4IDGOgKJSUlzM91s9zk/qOsL06zxWTzjpKDXqFHw5nQqRteCiQX6xBDOzRU9u3EeiG4oHcrLvWqI7Xg/+EI++9jnbHXYWZ+/YHyb/Dxb+SDSS2iglNb4cbeEg3+dMDF/IgeW/E/LDdjvszCM9r6aNsL4kI4/OBzcxY2l/EkxERDr1IMdybslgfrn7019rHckj6z8Dg7aBWAuX3Xwfa6y7Pi+esw1Me5HI8n9J3U1io9saekXncUfwEW5r+L/2zjxMrqrO+99be2/VS3pNuklCIGRjSyJIEAGBGGUQRIEMqDCKiryo6CCD8ghhRmREQUdwGWcQ0AGH1yWOMwqCyiKC8wKTKCQMxBBIQtZOb9Vd3bXe94+qc++t6qpb95xbla6u+n6epx+7OlW3b8v9nXN+2/d3Az61Mo2FHX68/8Z78HD6ZHg0+QA2mT2YYuPTz2YRySpnmcnLTgMGYr8r16AaQaClA0+e9HW8+Y67gFAr9L1/xrqLP4wlc5ux9Z7/A7zxDJKTkZx7cEIyNokzks/ikcDf4ayhB/DVc4L42DuXY/ziB3AIrcpt5qT6MSu/Cvs5YxJDMADnVc7RRMoo0rebvCw/8MyZXmxLyIc35q7Fnvf9CDj2YkBPY/jp72P+Mcfhr06ci9gz/wx9cBui8XjO3+UE/9gbuMH3I/xMux7v7X4Dnz+jBb+65x/xk+a/BuDMB61VeMolZIawK8EH5IJkQGZRnEyknGfrHZbgS019cXBwEtd9w78Q+NgTSKZvxNGP3IU189LA5n8DNv8bTgMw54eT2O0LYqdnLY5YtARo7ce2wSR2HJzAwkVH4+ijFgHJKQzvehW33/09HHhjG+5ZOwV4gYTXj1/feTWW/PWX8NTOKQAvOD6EktlJ5pARL3jYMW1J8uDkaCx45j2lDmWZa8YcOSLFpvHlI9o407oHIyd8HN0rzsfY1vUI+v4bSxuHgcdvBR6/FbdOAE/cO4V7Hm7D+/7+IqC1HwjPxf/sGEIk4cWSZcvRM6cViEfx4h9/izv+5d/Rl96D287QAA0ILjwK2564EUe87SJc9q9/zP69tKdaxWklmWN7KiG2LHCqwynT0iICzj6PhoDXPi8sbPhNfQ7wwf9Acssv8JcfXo7JRAILJ14ANn4cAwDu3uPFF34XwxdfXIh/+Oi5QHge0NKLp17cBX9jK447bgWa/BoQHcR//OQhfO0H/4UrlkziIyszGfsLLrsSZ3/zb7Ff6wB+/xRaQn7l9iNS/TTa2FNEUUPJkVasw4CW00ABIDcEJnNdDW8O/BUGVr0b2376D9g5djcmEzEcsf2HwK5/ww3QkP5zAD//WRJzz12JS887AwjPRdwXxtMv7cScnnk4ftnRQCIKDL2GL//T9/DNn/0Rv7o0hIV9XkT8XfjkV2+HtuJCfPHnLwFwvi6R2UepBKZ8QtRZ0HnCUkEc8hffR2RsyXrdUtWe4roHEg3A+/4FWHUFfvmPn8bw1AvYtXc/go9eDzwKvBQI4vL/SuHK34TwxQ+cidWrVwEtfRic1PDKmyOY2z+AhfO6gclhpPf+Gedfewd+v3Ufdn2mGX6fhqkj34kvX/s1pMIDmPjCrwA4X5tqkfr9ywmZYewW00QqbWifOD88eTE47iRbL7coO8ouSh2cLJOUfAGsu+arePnjt2Lkzw8DB54Ftv8Oh3a9gv/ZkwCQQPMbjwEHfgMAeOipGL74eAwfXenH985rAAAEEzpufyiCtA5cu6od29tOxoOhS/F/P/ZBAMBwdCcAoF1R74rMDkTQebKAIzImeXCSGQteiaBzSeH+LJqmoTngQ0S0cXYuwC0/+iP+z5e3IvDGE8De3wM7/4iXXjuA1w7FoaUPApt+aHx+w4+i+M9Xk/jeX4Xw0VUZB35sZxL3Px5FaxC4+vSj8IPk2eha/Wl87G1LAABDE5n/L9toTzWL05YWaXsqUwJHZm+yCo2XCkTlVNR4PPAdewH+sG0Yz/3yh1gdeh147bdI79+Kl/aM48U3Y1i+7RXgv3cZn7/kjgj2jevY9PEmnNCb+Ztf/2MMT2+LITXpxYLjT8bdyQvw4LpPoiXgxcs7hgBwb6p1zEqy4u2WjpOhJToQrDjtGlDRn3VS+Ziz5zX3YPHl38TOd12PF37xL2hu2wW8/gd4xvdh0+vD+MO2JC7c+jTQmtFa2j+axlnfGEdrEBi5wRR537ppEvvHU7h/ixfPdJ2P/Uuvwj+sWAMAGMpOpW3LaiyR2kPsJZnKLn3ami6bwGkMOAs6W/cmu32kOXu9eCqNeDJdXKMZckNgpu15C07FB777PFZe9p84uPlhoHE79L2b0Zicwv/siOC1YR3XHbsRGPlPAMBTLyfwvv87iXOO9OLRD2b0FT0Atu0cx2hMx7/u6MVLR34Anz3701je1orh8Zjxu1vruN2SQTJCZgg7R8Q6itepOHKpaZmC8SlnQTKVg5OjEeYFHByvP4A5q84HcD4AYONv/4RT9/8QxwYPof381UBkDzC6Gx2vvYDj+1/Hwr5moKUN8DegsaEdN140jiMWL4fvsutx7X2vIah7jA10cDxzcJrTHCx5b2T2Yp3wmk9EsgTfEEeWmnhU4pAj0dLiROfMuG4wEyQbt6wZ3QuXAQuXAbga0HX804b78Y71T+MDK0LAqQPA2G5gbC/m9f0RS0YPoa+rHQi3A/4Qju/vx42xYZz1rgtwb8vZ+P6zu/DxSXMYwKHs4amT9lSzlJr4JYLOspWZpdqXnVa+yAWc5fcm63U1jwcnnXd59tXNeGrrbvxy771YP7Ad16zpARaEgNHdwMRBLOx+Eg3BKczp7Abaw0CwBWvPnYtvLtfx3is/hzP/7SASuo7haBwNgQbDlrg31TaNNpWUY5KVZI2W1s10WofHU9xhdzJUBpBNiDrXfCq054W7+3HmlbcYrz/5r49i7KRf4ua1I3jXqh6gPQmM7UF85x4s630eE7EEEO4H/CEgPA8fu7wNF4XmY+y4y/DFX27H2VNmQMy0JwbJahXxTOnZSeENecEl2YRos00A24rYE0prOecOkQr4ij+L1t+pmmRddtp5wGnnAQB2HhzFh+98CEvX/QHXDaSw7OR5AIaB8f3wHtiOozq3oSHkB9qOAALNQNcxuP1vPVj89vfj0/+vGa/sj+BvsknQQ1m/qb3RD1+JCuxahkEyQmaIJpugligZbvB74Xe4QBmLfYmDzrhD3Re5EnznE1WcODiTaMTu3jNx2kkD0NYcZ/z86ouBqwu8/+8/Css1X0MsmanEawz4jMWeTn1tYxd0lq4kCzgLEOu6bnHs7a8tY09OB2Fk3pMdMlDsXjUNe/3zMDj/vXjrh08DLKPXv/OB6W9vBvClKzPfv/zEXwBkph4BGfFa8T3tqXaxe/51XVfWUSqZwJGcxufEloxKMge25GTK30TSi12tK9F3wtk49aOn5PzbM5dPf//S7BcAdDT9BvvHYhiaiGNuWwMGs7YkRP1JbWLX0iWroWS1jWgiZWsrzhOi8tIajuwpVNqe9idbsK13LT5z2UosPdYU3F8EYMsN09//9uz/PvLSXgDA0IRZ8cKzXu2TM8k8npwWJJOuJJOcvFzqTObzehD0eRBLpjEeSxpTjQshklBej1ZyCIyjvSmhYbs+D13LP4hP3Hh2zr+d/yHg/G9P/8x7sv/bvuVZAMChrD0xgZOhouHBW2+9FWvWrEFjYyPa2tocfUbXdWzYsAFz585FQ0MDzjjjDGzZsqWSt0nIjGDX0mUs9A3O49iNDitVZLP1MmKuTpx6R4u9RPumlcaA1yhvFgcmseh3MrtY0zTZlM3L2pOpoWT/7MeSaSTTmSqrUq2RLQoTxGTsye66MoM1rHRYRoMDmWCj+Hs76NjXLFZNPl3Xc/5tMpFCKvsMOK5+cWhPzodg5La02BGVsKUcKYBi96g4KRYQ05dNezKqMlvq2xGpdewmUspqKAV9HojiMaeVmY4Tog5aOIVj70S439HeJJFgtSJsaTiaMH52iAmcmsfj0WyTOKqaZKX2JqdSAIDluXdYneZICiB7zURKRyxZeH9SnWIOmOe54awNDRq2VN/nvIoGyeLxOC666CJ84hOfcPyZ22+/HXfeeSfuvvtuPPfcc+jt7cU555yDSCRSwTsl5PAjJqRY26QEspUvgPMWsXGH7SdOF3rA6tSXXpydlParLvaaphmO/XBUOCKi3bK+F/tax8haF7An1Wl80USmpaUY1me4VACqZMWXBRlHXPzdxezUqnvhxD6tiCyo0HoRrcvhkM9Wa4PMbsTab312BCLg7LU4K06vV3JvctoeFshtabFDxpZaHFS+RCUqafIxHJG8vamTAeeaRjzPkYJ7k5wmmaZptl0IVuQ1/iQqMyX0Z+2ua+pvSiZwmnIDzslU2rArnvVqG0f25DQh6jSg5bJtv+A1jSnmTlqXrXteYXtSLS4ALAmcqGi3ZCUZUOEg2S233ILPfOYzOPbYYx29X9d1fOMb38CNN96ICy+8ECtWrMD999+PaDSKBx98sJK3SshhRxzIRUDMytikXAk+YB2NXCIj4nQsuILui5NKFZnKFxVHpD3v8DQoFvum+l7sax07e5KfbpmxJV0Hpopk7YDcTKCdNkzmmhL2JFP9UqKC1BrkUHVEhvNsiZn62qYx4IU3+zznOyJjk6aGktOJjI0OA8ROnXqf12NMGCtdOS1TSWbaaH4FnXE9UUmj4ogU25toTzVNOCt8HSl41pPTJAOc7SW6rhu2UeraMvqzURnH3tFZT62STOxNo5MJJFNpDEXj0HVA00yHn9Qmwp7yz3qJVNoIujqX1nCYwHHYugw4DzrLVFFa97xi9hQ19k/5SrI5eWc9JnAyVFUqeMeOHdi3bx/Wrl1r/CwYDOL000/HM888U/AzsVgMY2NjOV+EzAbEQh+Np5BM5baMyFa+ADLZCzkxV7vyXoFTnbPc+7TLLropG878fzacV/3C7GJtIzLxhRwRWeH+Br8Xwv+3c8KdVr4AplNdKogdT6YRz64HMkHnQhV0gHlw8mgoqXuRz/T2MNpSPaBpmmEr+fYkq6EEOJ/IJzMARralxcleIvamZFpHrEgbp/gbGhUcEaPKmfZUV4QNWype+SKVEHUQ1JpKpCGKoMs5LVZKfzZgvzcBlkoyyaBza4Pf2KNHJhOGLXU0BowAP6lNWorYk/U5c2pPTQ4DxDI+jtOgs8x+Z31foXUEcFlJNq1rgAkcoMqCZPv27QMA9PT05Py8p6fH+Ld8brvtNrS2thpfAwMDFb9PQsqBdRHPP5yoHJyc6KlYf1fJg5OD8l5BVEq4P/OeQsEM4/e5WOw7shVjQxOJrNB4ZrHvqvPFvtYxKskm3bcvW1taojbPfiWyi9ZAghNHvFRwfMLihDit/BGI7OLYVBKJVNrQ92NVZu0j7Gl0Mn9vytpSiUEVVpwOrZAJOjtOChlBLedVmdZ7mXY9RX0/wNIiJhwR2lNdYF/lLCbFStiTg+oX6/Pb6LffR2SqnKX0Z0X7cpFAtq7rFvuUCzr7vB60ZhPNwxNxBpzrCHGOE1WYAuE3hfwexwPPHLdbOtRytl6zZJWzpJ9TKjFkDnwqgyYZ7QmAQpBsw4YN0DTN9uv55593dVP5B3ld14se7j//+c9jdHTU+Nq1a5er303I4cJvKZ/Nd+xldSoAa9mws5aW5hIBOCflvQK5CoDM31RIFFrgpmy4ozFz/cHxGEYmE0Y21W7KDJn92LW0qNlT6fZlQ++oTAL7gGmfAZ+zg545QayITkVMzQkBMtl6kZQfmogbB6fOFtpSrVOsMlNlqIxzcWSVNuNSQWfn7WHeEqLQmeu5qCTL7kGDkdxKsi7aU01j2pJ7DSXAmb6ltYKylBSA1HRLCQ2xUsG3qUQa4gioFHTOVmYeHI9RCqCOKFaZOeYm4Fym4gLre8o1qCb/uqUSOG40yYQdmQPP6tuepP+fvOaaa7B+/Xrb9yxYsEDpZnp7ewFkKsr6+sxRwAcOHJhWXSYIBoMIBuv7PyKZvYRDfkwlYtMyjOZir+KIlOiDl2xpmUrEHeu+OMrWZw94qWxLS6hAltNNJdm89gYAwO7hSUN8sq3R7zizRGYnxQ5Ouq5b2pcldV8iMVt7GlfQZyllS2b7iZwoenGnXr3yxePR0NfagDdHJrF7OGqKubLypeYp1tKiMlSm0ciAl3dvsn6mGKbQuDN7agr6EI2nKlJJNq8tuzeNRBFPpjGarYSgPdU2LTZBMhV7ctK+7DQZar1eqYBzwjJN1tFQmZJVzubPG0pUuxViXnsDXhucwO7hSaOqqN7bw+qBYvY0pnDOE/uCU71MJ/bULD3N2fneZHddNzI1Vr9J13VTk6zOK8mkd/nOzk50dnZW4l6wcOFC9Pb24rHHHsOJJ54IIDMh88knn8RXvvKVivxOQmaSlpAPByKxaYu9slMPJ2XDcpnAwfG445YWR6XIeS0thYJkbsqGj+hoBADsPDRhlgyziqzmMUrw8wLOsWQaiZSefY9C+7JdJZlUdrH8o8YB80BUrKVFtZ1FcERHI94cmcQbh6I8ONURxexJRQpA7Avl1CQzqmls9I4AsypZRvfloE1wXEaTKZ8j5mT2pjcORQ2dP69HM9rGSG1iSgEkpnXGqGmSlW5fltlHDF3LWHEJDCC32sbZdEuHVc4Oqt0KIc56u4aiSGZbBnjWq33CRdqXTVtyvp6KZz+WTCOZSsNXJJkutzdlE0wOE6Ky7ZbF9jw3A8/62xugaZl7GhyPMyGapaKlFTt37sTmzZuxc+dOpFIpbN68GZs3b8b4+LjxniVLlmDjxo0AMm2W1157Lb785S9j48aNeOmll3DFFVegsbERl156aSVvlZAZodiUFpXFXizMdmXDsWTKEAV3Mp2r1OQ8gXnYKX1Nj6WlpeRir5CtP6KjCQCwcyhK8ck6olhLi7AtTZOr/miU0iRznlUvWUkmWanSVOLgFHVhSwAwP+vY057qi2L2pKKh1Ogwsy5+l5PkiNPKzHHJ599sZSscMJCZPJuPcOojU0lsP5g5B3c0BZQCBGT2IM55ybSOqUT+kCYVx750wkUl4DyVSE8bImVFJGICXg8CDobANJewJTfnPMC0pzcORTEYEe1hDJLVOsWkNdwMwQCAaMJB0NnRMCVZ4X65roFie56bSrKgz4u+cAgA8Or+iFH1Xe+aZGork0Nuuukm3H///cZrUR32+OOP44wzzgAAvPLKKxgdHTXec/3112NychJXX301hoeHcfLJJ+PRRx9FS0tLJW+VkBmhWNmwik6Fk7Jha+bRiSPi1LGfkGg7E++zb2lxviHlI7L1w9EEXnozs7aINhdSu1iz9VaE3l9L0CfljDrRUaqIToVkFaX4u4u2tEhM9yuEsKedh6J4/VAUADCX9lTzFLMnpaEyRpCsRLtl3LljX+q5F8hWJTeXqn5xUUkW8nvREw5i/1gMT716EAAwtzUkfR0yu2gKeOHRgLSecewbAqbkhNhD5FrESrcvS01etvzuiXgKrQ2FA2CyWrGG/mwRW3LTMQDkJnAC2Qog7k21TzEpAJUETsDrgc+jIZnWMRFLFv2sVGVmSG6/c57Asb+uG5kaIHPW2zM6ZexNLSGfY5+uVqloJdl9990HXdenfYkAGZDRi7niiiuM15qmYcOGDdi7dy+mpqbw5JNPYsWKFZW8TUJmDHOxL6xJJjNBTCxmdi0twqEI+T1Fy4pzrunQEZF1HOyCb6m0jsmEKBuWPzw1B31Gyf0jWzJTcZf1haWvQ2YXhtMcTyGVNgdCRBQ0XwBn7ctGJtCJToXjgHOZxVwlpvsVQmTrX9g5jMHxGDwacEwPk1a1TlFx5EkFceTsOj6ZyLXNfGTsyahydtjC6TThUqqlJSohXF4IYU8Pv5Tdm+Zyb6p1NE0znitr14D1GZMLOpduX5Zx6oM+L/zeTALJSVJIuiqzWMeAS6d+wKgkm8DWvWMAaE/1QLFpsSIhKlNcoGmao+nLExLBbMfTLaWLC0pVObsLOk/bm/rC0hPRaw0qWRMyg4SNUcaFK8lmMrsIlNaUADKBblnHwZjIV+DwNGkpeVapJANytV8AHpzqAWsQzPpcqdgSYBVHtjk4icoXRyX4mfeUammZkBTaF9ctJAoNyA8CyGd+tn1Z2NKRXc1GJQSpXcrZ0mLdF4o59rFkytAOLG9lpuTeVEKfyaqjpIJVDgBgAqdeMKU1zOdVOPlBnwdBn/PnyYkTLtNuab2mnT2Z5zyHVc7ZJG88lUYsOX0fjbqtcu4wuwbGY0kEvB4s6mpWuhaZPZSSApBOiDoIOstoOTsdKiM7eVxUZhaze9kgdj7z5+TtTfSbGCQjZCYJF6skE9l6CUFfJ8LgsqLgTnrrY8m0UR3g9LpGBV0BR0QcnDxapuJNBXF4EiylI1LzBHwe43mxZhiNSbGS4tiNwdLty6aGknOnHrAPZMsenMyW7VJOvbvKFwFtqT4ws/WFNf5kHJGgzwPR6Vws6GwNbDvTfXHY0iKZXbebRAi4mxYLTLcnOiL1QaHnSsWWALMq2FYvMyYX0HKiPyt9frQE0gvZk1FJpliV2RLyo8Mi1L+4t5lTzOuAktMtJZ8nJ0Fns8DA+XAy5wPP5Pym/D1ZIBvEzmcgf2/iWY9BMkJmkkK99em0jpFskKy90blooiHcH08hXaSlRbqSzMFib/23RodjvEWGsVAlmbWSRrXU9yhLNjHk9+QcpEjtUmgi33BU2JKcI2K0LzvJ1juoqgn4PIZuim22XrI9TATax2NJ6Pp0u3d7cGpt9KPTItTPg1N9UCz4athTk3N7ym1psR/W0uD3wutAO9B5S4tckLiY3g2QqZp2Oy32qO7cSpdjemlP9UAhjb+RrC11SNgSYNHLtGu3NIbKOLu2k6CzKQzuzJa8Hs2cvlzAntwIjQusZ72ltKW6QLRT5utlmnuT3Hm/VNBZ13WL71TanmTbLcuxNwHyGmf5HJVXhckEDoNkhMwohaZbRqaSRmVWm4Rjbz24TBaZ0lJuvaPMNcVC73yMt11GRLaSphCXvXW+8f2JA+3K1yGzC2M0uKV9eXgiDgDSgVIn7cuymhLOqj3l2sNEMCOtF67ScVtJBgB/t+4Y43senOqDYlIAqvZUSrx/XCLgDJTWOwJypQCcZ+uLV5JNJdIQcWjVSrKzl3UbYv1tjf66F0auFwq1iA1lbUkmGQo4a42UnZzX5KByekKyOg2wtye30y0B4G/XLja+Z5VzfVDsmVLfm+yDzrndMs4HnpWqcpYdXFGqa0B2Mno+S/tacM6yHuP10d3UnuXuTMgMUigzMBTNLPRNAS9CDiuzgEzFlJigNBFLFnSyZXUqnPTWq2Qv7A5ObttZgMwm+ZvPvh13PvYqPnzqQuXrkNlFoUOEuiNS/vblpqAPw9EEIra6L3LZ9ZDfnM4UmZpu97JBgkJctHoAE7EktuwZw5pFc5SvQ2YPhYbKpNM6hrP7U4ekPYmkRzFHRLb1xNibbKppYsk0klnnxnn7cmEJhPzf1SCxN1sJ+rz4j2vehi/9civeubxX6Rpk9lFIWsOwJcWAs51e5nhcLSHqJPAmczZrCfmwb6ywPUXjcoG8Qpx85Bx89wMr8Ys/7cGFK+cpX4fMHsQaHU+lMZVIGX6S8J3Ug872CRzA2bPv5Oxo/X3SXQMlKslUuwY0TcNdf30i/v6/tuKormYEfKyjYpCMkBlEtB0WdOolD06apqEp4EMklixa/RKZknNEnAj3y2YsAbNawM4RcVNJBgBHdbfg25etcnUNMrsoFHR264g4G4QhN9XVPugsV0mmaRqaQz6MRBOITCXQm61SMa/nTmhccAWDzXWFKdyfq6EkOvnbJB2RUs/++JTcAd9JlbM1iODUEbFraTEz9c6rpgvR1RLEP60/UfnzZPZRqGtAnPVkbcmJXua4hF4m4Gz6suzeBJTqGnCnSSZYt6IP61b0uboGmT00B3zQNEDXM/YkgmRm+7JaJVkx4X7rsBYn674xmCyekcAoJhsjG9SyKy5QGaBWiJDfiy+/91jlz9caDBMSMoMUmng0oujUA5ZsfQndF+fZRfuRw4DaGO+wA0fETQk+qU8KOSKmJplaJZkjTTKHui9S2XqplpbijkhU0uYJAazDVcz2f2FLzUGfdJa50WhpKdFuWc4q5+y/hfweRzpngP1QGTOBQ1sichQKvqpqkhl6mQ4GwDgVMXcUJFOQwmi2aRErhyYZqT88Hm3aVO9UWjd8J1n92cYSlWSyxQXifXoRCQyBrPyNXXGBtSXUbUKUmDBIRsgM0pp16sXiDqhnF4HSZfgTkuXt4mBnK+Yq6dxYr2tXScaDE5HFtCdLkMyozJQ8OElN+5KtfinfwQkoXJFqXK9MlWSkvghbJu4JgeQhRVsCSg/CUJcCsLElyRZOwMzWV0ponNQnhfYmVSkAI+BcZimAUteUFe4HzLNeoX1UJcFKCDDdnsYmy1DlXKKSzOk+0uD3GtOci9lTPJlGIiWCWnJVzhPxlBEQE1h9PtpT+WCQjJAZZE5zZjEfjiaQTKWz3wvNF3lHpNRBJyJZgu9kuuW4QnbRXszVvdA4qU86s9WXhyZixs/ciiMXCzgnUmnEkhmbde7Yl3ZuJlw4IrQnUi4CPo9R8SvsyRBGVkjglAo6Kzv18cJTXQG1Z9/OlkRwu4G2RCQRZz3r3uRWCiCWTBvnxnwmJDXJnFRmyg6VAey7BqxtbITIMCc7cfvQeMaehB5Zi5sq52IJHElbEtI3QPH9zvq7nCZdWixDbfKTOOJ6QZ/zqmlSGgbJCJlB2hsDRsZBOPNDE2pjjAFrS0t5MiIyui/lyi6Kw5QY80yIUzpbMgenwYhZmansiASd6VRk3lu+lpaoZVqsU+yCzrQnooqwp4NZexKOiFKVs2FP9u2WsrZk19IyUXZbyuzNYYcTOAkRdDZP35vcJnAAm/blCujPiipQmUpKu4l8hj01yCeESX3TlQ06D45nbGhYUcsZKN2BE5HUy8y8177SWfhoQZ8HPq+zUEzQ5zUCgGN59jRGW6oIDJIRMoN4PZrhvOcv9irZeqP6pdjCXAHdF9mWM8DeERGtPdZ2H0KcMKcpm13MZuunEinj4CN7eCqVCRy3ZO78Dg85TlpaZIMFgGXqUZ6Okq7r5uGJ9kQk6cyzJzd6meYgjPIkcMQ0Z+tn3V4TmD45zcrYZFbnibZEJMnfmwCzVUx2bwr4PPB7Mw9/8SSOqPpyOlSm/C2cgKmJVvisl03g0J6IJEbQOVtJNqxoS0DpYgBhSzL7SFOJ4RqqU8eLVWaK1y1M4JQVBskImWGmL/YuMiIlFvtITO6Qb7a0pJBOF25piRqaR84XZ7Ex5GdDAC72RJ3OvOyicEJ8Hs2xgLFAPPtTifQ0/QdA7Tl1VEmmMKGoucjBKWbRvaA9EVk6W7L2FMm2tEyoDcEArOLI9kFnp3aqaZphI5GibTLy0/OaLfvYdEdEZOtpS0QOYUtDE3FjPxkqQ/tyIXuKJVOIZ9sw5c96TvYm+aEydpWZ3JuILEb78ni+FICKTI1914BIPsokR0pOc1aQqbHeQ/4ZksUFlYFBMkJmmKJBMiXhfvvF3pjS4vBQYs1yRBP2LS0yGZGwpd0yP/jGsmGiypw8W7IOwSg2hrsY1hatQvY0LhlwBkoHsdNpXXoseOYeCjsi4uDk0eTaoQkBrNUveVXOCsL9xt5UpMp5zEXQuXQlmXNbsk5Om+aIsCqTKNLRGICmAWk9c8abjKcwmRBVzuqDMAq1c1n3gXJKaxhDZWQSoqJroMB1xwwpANoTkcP0m3KlAJQSOIHitgSoJURLBZ1VbAkw7Tm/fZm2VBkYJCNkhjEzIkKTTH2CmLXyqxAiI1LOlhbZwBtgBhZ0ffomQkeEqNKVPThFppKYSqQsemTyz1LQ54Ev+/AXOjzJar4Apdsto4kUhAa5mFjpBGFPxXQqWkJ+eCjmSiTJT+C40ySzdxoMe1LI1pdqiZZtaSk2fdloD6MjQiTxeT2GAz84HjP2Jp8lKCuDnf6ssKWmgNexiHdLib0JMANdcme9wraUSuuGfVLjj8iSnxB1pUlWotU4onDWK7k3KXbMFEuIsiqzMjBIRsgMU6y3Xk33xX6xFwuz00OJphXPqguMjIjEBhLymwEI9taTchFu8BlaLUMTcWVhZCDz7Ns5ImMKhxJT98VeM9CjZWzEKUUryWhLxAVzprUvu9AkK/HsqxzyS4kjq2goWe9huj1RuJ+oM6fJTIhaZTVkq5wBa/ty8UoymWCWUUlWoC1S4EbjL9+WrL+HGn9EFlNaI7cDx41eZqmhMjL21FzCntzvTUUSOLSlssIgGSEzjNURsVa/iKoYGewOTrquKx2enC72MppPmqaVbBFjtp7Iomma0SI2OB7D/rEpAOaUPlnsBmGoVKmUGgZgzVjKOE7FJohRp4K4IT+Bs0/Yk8reVEK4X2UfKan7MiV/TaC4PRmTYmlPRAGrPe13YUuAmXApJAUQUdBQKtVumUrrSnqZ4WJ7U/Z1yO8xJvYR4hThHwkpgH1jmT1KBM9kMBI4RWVq1O2plCaZfJBMdA0USeBQL7OscGUiZIaxHpzeHJmErmdK6dWy9SIjUkjMNY1kVv+rnC1ibhf7/Il8Y3REiAus7cu7hqIAgCM6GpWuZec4jLsIOJdzGh9QupKMByeiQqfFlpKpNPaMZBx7FXtqtgk4A2r2VGqCmEqVM2Da0zRHZJKOCFHHmhDdeUjsTQ1K17LTUVKRAjD2pngKuj59UI01gFCOSrJRJnCIC0S75Ug0gUQqjd3Zs96Awt7k1MeRSbaYZ0f7rgH5BI59uyXtqbwwSEbIDNNlCZJZnXqVEnzRblnIaRCLqiYp4l0qw2gGyeSmtJgTLs3rZqrd2FtP1BFB54PjMewangQADLQrBslsBmGoVJWUOowpO/VB+4MT21mICtYEzt7RKaTSOgI+D7oVKjMbbfYmwNpmXz3Z+mLtlrQnooLVnsTepJzAsZHWUBMaz1wvldYRS6an/bv4PT6PhqBE5ZewlWg8hWTKvC4HNBE3tDX4Db29g5EYdrs464mAcyKlI17g2VfRD2suoXMmgmfqe1Oxdkv6TeWEQTJCZphClS/9ik59o01vvVhUmwM+KRFvM8No79jLCI0DQGv2cDQaNRf7qUQaiVQmi8nDE1HBak87XVaSmS1i5Wm3FFUyhSZ9WX8uU00DWGxpkjoVpHwIW4rGU3hlXwQA0N/eoDQEwq7KOZ3WMR6vgDhyTN65AUxHI9+e2G5J3GBWZsaMvUml8gWwH4Sh8txbE6eF7GnCsjfJJHCtTrs1IUrtWeIGj0czum227hlDPJWGz6OhrzUkfa2mEpPMVYT7jeKCovICckPUBEXPegw6VwQGyQiZYXrDmUX9QGQKr+4fB6Du1Nu1c6mITwLWlpZS4shylWRigxMabIC50Hu03I2LEKeIQ9LOoQkj6Dyg2NJiV6kinlXVdsuCLS2K7ZZi2uDYVAKptHld6lQQNzQHfcaz+IftgwDcty4XCjhPxJPmVFcVsfFSlZkSldOAuTeNWPYmgO2WxB29rZl9aOdQ1LI3ubOnQglRlQSOx2MZVGNTnSZrSz6vx7DpnLMe2y2JS4Tv9PRfMnvTvPYG+LzyYQ2f12NURxbswlHwnUp3DWTsVvas196YsZeRKBM4hwMGyQiZYbpaguhsDiCtA49t3Q9A3alvtBGgVB05bLfY67qek2GUoS272A9bFntre5hKuykhy/paAQBPvHIQsWQaHg2Y26YaJCvuNKjYk7CltJ6pmpx2TeUgWcaWdD03w8h2S+IGTdOwrC8MAHjkpX0A3Lcux5NpJFK5z7547v1euVauUhp/qpWZIuhs3ZuSqbQR4KM9ERWELW3ZM2ZWkil3DRRvX1ZtC7YLOqs69YA5XXokJyEq9DJpS0QNYU+/3uJubwJKBJ0VAlAtpfYmEXSWDpJNLy4A1Katk9IwSEbIDKNpGpbPzTj2YnqYuk5FcXHkMYWSYev7Cy32k4kUROGKfEZk+sFpVLSHMVNPFFkxL3Nw2juasaW+1gb4FbKLgH37skpAq9FvVkcWHAagqKHkL5qtp04FccfyPHty27oMTN+frELjMskRc2+yF0dWz9abtmTVJ6MjQlQ4uqcZAa8Hkamksaf0tysmcIyzns1QGUVty4LDAGLyldMCYU9DE2bQ2awkoy0RNfLPeqpVmQCKVlEmUmlMJuQDxE6F+1WLC/IrycwqZwadywmDZIRUAcvnhnNeq5fg21SSGToVcouone6LOIx5NKDBL9ceWaiSbIwTWohLBtobcyYGqVZlAhbx1TIJ93s8mq3gsqpzAxTL1vPgRNwhEjgCVXsK+DwIZIPV+TotYwqTLQEn7ZZq4siFsvXC3hsDXuWgO6lv/F4PlvS1GK97wkGEJM9NAjsnXFXvy65rQFVoHLBWZk63J1ZlElWWzyvP3gQUT7hYbUGl3VIEl/OZMDQ45exf7E1DE6YtZQaesd2yEnCnJ6QKWGFZ7FuCPteaZBkB/LyWFgUNJaDUwcmsfJFtjzQckYlCBydmF4kaHo+GBZ1NxusVeU6+DOLZz59yB7jR+LNraXETJJuerTcPTrQnoobI1guW9oWLvLM0hr5lnj0ZCRzJ4S92E8TSad11+/LwxPQEDvcm4oalvab9uNubRLvldCdcdWCFuGahwTLmgCb1valwAof2RNSw2hIwPaEjQ3ORoJY4Q4X8HqnkSKkqZzMhKrfnCb9pMpHCVLbCbTKRQjLb0sP9qbxUNEh26623Ys2aNWhsbERbW5ujz1xxxRXQNC3n661vfWslb5OQGedYS5Dsi+ctU84uWrNyY0Umc8kecuwqyVzpVDSJSjKKuZLycvyAaU/XvOMo5euI5zDflgDLtNgyti+XO1tPeyJuOaqr2fj+ijULMH9Ok8277RGTucbyxtePV6CSLJownRNVKQDaEik3yy1B5xvPXap8HcOWJu0qktW6BuwTovJn0/am6Rp/tCfiloaA15gYe3x/K95+dKfytcJF7CmiaEtmENt+8rKsPbWEfPBmp0uLlktxz17L8A1SHioacozH47joootwyimn4J577nH8uXXr1uHee+81XgcCgUrcHiFVw0BHI65buxgej4aLVvUrX8fr0dAS9CESS2JsKok5zUHj39SzizZl/YpjjAFre1ihbD0PTkSdj799EaKxFD78toVG8EgF06kv3m6p3NJSsCXave5LoWw97Ymo4vN6cPv7j8O2/RF87p1LXF3LdETyEzhq+kR2QTIRKPB6NIT8cvlgsTdF4ynEkikEfV5WkpGycOHKfry4exTnHteHIy0BaFnCRQLO1p+Vt91STS8TsJcCoD0RN3z1ouPx2Nb9uP6dx7ga9iX2nmkJHEW/SfhEYlBNfhWaapWzx6OhrcGPQxNxDEfj6G0N5dgSB56Vl4quTrfccgsA4L777pP6XDAYRG9vbwXuiJDq5Zp3HF2W64Qb/JkgWb4jElPNLhZvaVHVfAEKZ+uHxjPfz2lmYJyoM9DRiDsvOcH1dYo59fFkGrFkpp1ZtkXMaGkpEHgzKzPls4H5E/l0XTfaxTpoT8QFF68eKMt1jMrMIo5Ieasys059wCvtOLSEfPBomSm0I9EEesJeHMrKAnQ00ZaIOs1BH7560fGur2NX5VxJKQA37ZZWHaUh2hMpA2ce040zj+l2fZ1iZz2RuFQNOAMZ27Ema9Np3RjcoVJg0NZoBskA4FDWb+pwkRAmhalKTbInnngC3d3dWLx4MT760Y/iwIEDRd8bi8UwNjaW80VIPdNSJCMScdnSUtgRcV9JJrL1ADA4HgMAo4SakJmkVHYRkC+Xt9OqiBiOvfts/dhkEvGsLuEcOiKkChD6Q/ktLW6F+wtP41PX9/N4tGnty4ORzP92tQSLfo6Qw4WwpUgsibQYMZ7FrbSG3VAZd1IA5j46OE57ItWDmcAp1m4pP3E84MsOqsmzJ2sXgbvKzIw9GX4TbansVF2Q7F3vehceeOAB/O53v8Mdd9yB5557Du94xzsQi8UKvv+2225Da2ur8TUwUJ6MJyGzlWK99eMuS/ALtrS40CQT2XrAXOwPGkEyLvZk5iluS+akO5/kpDs7R0R1LDgAdDTlio0LW2oJ+ZQ1DgkpJ8WqX8YVJ901Z4PJ8VQa8WTuoBo3tgSY1S/Cnga5N5EqQtiSrudOi9V1He4nmdsEnZWkAHITOIlU2gg+055INSCCzqPFtJwVnvuWIkkcYUt+r4agTz4MMy2Bk92bumhLZUf6v86GDRumCevnfz3//PPKN3TJJZfg3HPPxYoVK3Deeefh4Ycfxquvvopf/vKXBd//+c9/HqOjo8bXrl27lH83IbWAODzlL/ZjipPuWhw49SrZEGbrSbVjLcHXdTNb70ZPpVLTLfNt6WCEBydSXRTTURpTHIJhreLM35/caCgB0x17YU906kk1EPJ7DQfbGnSOxlNIZSvLytk1IKpf3A1pytzn0EQcug54NNPOCJlJiiVwzL1JXte12FnP6jepaIiJhKhRXCDOevSbyo70anfNNddg/fr1tu9ZsGCB6v1Mo6+vD/Pnz8e2bdsK/nswGEQwyAeDEIHR0pLniIjDvqyQuW1Li4ssC5DJ1g9NxJmtJ1WJCCjHUxkNMlGRJQ4nKgd8Jy0tbtqX87OLLMEn1YLRvpxXmalqTz6vByG/B1OJNMZjSWOKHuDOlgBznxzKz9bTnkiVEG7w42AklrGn9szPRrJOvt+roUly0p2d/qzRbulSCkDXdcOpn9McNCb1ETKTFJu8PGrsTepBsnx7iriwpcy9ZPemibyzHmVqyo70f6HOzk50dqqPWZXl0KFD2LVrF/r6+g7b7yRkNtNaRIBSOCJtkou9WOhFS0vAUh7sZiw4IBb7CQxH40im0oZDwiAZqQaag6aA99hkwgiSiUCUsDUZik231HXdVbZe2PVwnk4FK8lItSDsJb/KWSRwVByR5qAPU4n4NHtyY0vWe5mm+0J7IlVCOOTDwUgsx56GJ8xkqGyVir20hvvplolUphWUtkSqjWLSGuKs166g6yqCztMrydRlaoBC7Zb0mypFRTXJdu7cic2bN2Pnzp1IpVLYvHkzNm/ejPHxceM9S5YswcaNGwEA4+PjuO666/Dss8/i9ddfxxNPPIHzzjsPnZ2deO9731vJWyWkZig0QUzXdSPD2NYgWUkWKN7SYradyTs3gLmoHxibyinB58QjUg1omlawRcywJRfZxXzdl/FYEkJ/WcWeRIXL0EQm4Gy2h9GWSHVQrN1S2FOrG3vKE1wWSSLVKmdRgXlgbAoAaE+k6ihkT6PGOa987WGZ35GV62iQt6eGgNc4Rx6IxCxOPW2JVAfFJi+LJImbhGi+PYnfoWJLgGk3Yk9ilXPlUPsv5JCbbroJ999/v/H6xBNPBAA8/vjjOOOMMwAAr7zyCkZHRwEAXq8XL774In7wgx9gZGQEfX19OPPMM/HQQw+hpaWlkrdKSM1QKCMSiSUNnQpZx96upUU4IiobCAD0tzcAAHYPTxpC4x1NLMEn1UM45MdINJGTrR81Kl9cZBfzy/qz1w9k7U2WruYgAl4P4qk09o5OMVtPqo5SjoiKPYmWlXxHZLSMe9NkPIWJeCaozfZlUi0U6hqolBSAe3tqxCv7I5mzHvUySZVRTLhftQMHKN5uWQ5bAjJ7E0C9zEpS0SDZfffdh/vuu8/2PVYx5IaGBvz617+u5C0RUvMI3Zdcpz7zfcjvUZp0Fw75MZWITe/XL5Mj8ubIJLOLpCoxNP4sQWfR0qhS+SICBZGpwgencINfSczV49Ewr70BOwYnsHvYtCdmF0m1UMiWdF236GUq2FP2msXsqRyOiAg4B30eY5ANITONGXS27k1ZKYAy7k1TiZQxPdbNWS8TJIuy8oVUHeLZj8ZTSKTS8Genlo9MqidEi9nTmOWsp4LhNw1PIp3WcUj4TrSnslPRdktCyOGnUAm+ODjJtloKDC2ZaN7kl6yzUxZHhBNaSBVSqPrFyC4q2JOwlZH8KUqGLak74Wb1S5SVZKTqKGRLE/EUkqLKuQL25NYR2T0cxQFLpl4lgE1IJTCDztPbLVX0/ay2ZC1gENf0aOpi4/Msjj33JlJtWNvyrUEtN+2Whj1FCxcXhBVlavpaQ/B6NMRTaWw/OI54KhPAZoFB+WGQjJAao9AoYzclw9bP5Zciu13s+ztMR+QghcZJFVLYntSFxltL2JJqwBnIrcw0SvAZdCZVQtjSHiaccGFLQZ8HDZLT+AAzsJY/qMatPc1ry9jSRDyF7QcyOrq0JVJNFE7gqE0xz3wmc71UWjfai4HcyhePohRGjrSGsTfRqSfVgc/rMdqNxfOeqXJW953EZ0Q1msDt3uTzetAbDgEANu0cAZDpIAr61AaokeIwSEZIjWGOMp5egq8aJCuWrS+XIzIcTWDb/owj0hWmI0Kqh0L25Ea431qVac3Wuy3BB0x7eu3ghOGIdNOxJ1WCePbTOgwn3G0Cp9WYQlnYEVG1p5Dfa1S6/PeOIQC0JVJdFJoWO+yi8iXk9xrTy632VJ4EjugaiGLPSEZLqbslpHw9QsqNkKoRQefJRMqo0lJptyykGQiUNyH6/17P7k1h2lIlYJCMkBqjkAClOfFItd0y8zlr2XA8mcZkIpX9d7XFviXkNz77yEt7AQDL+sJK1yKkEhSyJ+FAtCrYk8jwx1NpTCXSxs/L6Yj85uX9SKZ1tDf60dfKwxOpDoI+DwJZrRfxvLtpXQYKBwqsr8vhiDyc3ZuWz+XeRKqHQkOa3Aj3A+ZUzELnx3LY0st7I3j9UBQAsJRnPVJFhPOefRFw9ns1NCpVOdu3W7qxJ9G+/PCL9JsqCYNkhNQYYqGPJ9OYSuRm69ub3FWSFTo4aVpuP78s4vAkKgtOGGhTvhYh5Ua0tFj1+NzYU1PAC1+2ZcVahl9ORySataXjB9qooUSqBk3TzKBzVDgiZapynqaXWQF76m9TvhYh5cZOCsCtPVn3u7I49dkqZ5FYHehoQEcT2y1J9WCc9YwEjtm6rHKOKpbAEV0J5UiICr/puP5W5WuR4jBIRkiN0RL0we/NLOiHJjKL/LCLyhfAqklmOvWiJLkl6FPWqQCAgexiL37PER2NNu8m5PDSnj3IC1vSdd1st1SwJ03TCh6ehD25OTgN5NnOcXTqSZUhKlyGsvbkpnXZ+jmrFEAqrSMSc++ITLcnOiKkeugw9qaY8bNK2JNb7Vkgc69NlmocBpxJtSHsydibjCpnl1IA04bKuJfWyPeTWFxQGRgkI6TG0DTNEL8XukSjbnVfCmTr3Wq+CN67cp7xfXcLp4eR6kLoEInBEuOxJFJiGp9rHaXyZut7wiGsWTTHeH3CAJ16Ul10h4U9TQEARss0edlaTROxCJm7cezPP2Fuzus5HCpDqggxCVyc84DKtC+X46ynaVrOWY8BZ1Jt5NuT+4FnGRvM158tx1nvrCXdOa+Xz6U9VQIGyQipQfIX+2EX0/iAwtMty7HQA8DaZT1GIGLdij5X1yKk3Bi2NJZx6sXBKeT3IORXmyZUSKuiXEHnL7x7qfE9K8lItSESOAfGxN6UdUQUpQDaCuhlCltqsAiRq7CkN4zTF3cBAM49lnsTqS7E3jQ2lcRUIpWdxpc96ylLaxS3J7dnvU+ftdj4fvWCDlfXIqTcCHsy9yb1SbGAaS9W/Vld18tiT+1NAXzijEUAgKO7m5UmQ5PSqAsJEUKqFmOxj2Qde2NRVlvswwWc+nJovgCZDON/ffJt+NmmN3HZyUe4uhYh5abLUkmWMxJc0ZaAwtUv5XJEVsxrxb9+aDXSum5M5yOkWhBTuKZl611LAZTflgDg25etxIP/vRPvPo5BMlJdhEM+BH0exJJpHIzE0N4UQFJUOZfRnsRgALf21NUSxE8/cQq2H5jAyiPaXV2LkHKT3zVgDjxTe+6F/mwyrWNkMo6GQAMm4imjE8GtPf3tOYsxtzXEgHMFYZCMkBqkqyXXERGZkS7FEfaVmngk6A6HcNXpi1xfh5ByI2wmkcoEyPZnK8pUbQkwM5PlFu4XnL2sx/U1CKkERiWZ2JuyiZzOZncJnMlECrFkCkGft2xOPQA0BX346NuPdH0dQsqNpmnoagli9/AkDkRiiKcy1SpNAS9CfrUKSrPdsjJ706r5HVg1n049qT7yO3DEWa9T8awn9GcPTcQxEk2gr7XBSIwGvB5lGxX4vB588JQFrq5B7GG7JSE1iHWxT6bS2Jdd7MWEIVmM3vrJ8leSEVLNBH1e4xk/OB7DntFJAMDctpDyNQsK92cdezcaSoRUO4YmWdYReXMkY09ipL0sLUEfxNwYYU/ldOoJqWasZ709FltS1XYtXEkmpABYV0Fql/wOHMOeFP0mwNSfzd+bwg0+6i/PAhgkI6QG6W4xs/UHIjGk0jp8Hk25+kU4G+OxJBLZbGW5NJQIqXa6LY6IcOrnujk45bUv67puBp0VdQMJmQ0YQ2Wy7ctuHRGPRzP2IDGgZpROPakTrC1ieyqwNwEMOpP6oDvbgTM4Hkc6rePNEXfFBcB0e6LfNLtgkIyQGsSaXdybrXzpbQ3B61HLXIRDprMxxmw9qTOsGcY9ZTg45WfrpxJpo1WG9kRqGVFJdmBsCsPRhCFo3NuqXpmZLwdAR4TUC9bBMmJv6mt179RXSlqDkGplTrblP5XWMRyNG76Tm6BzW57+LG1pdsEgGSE1SFdO5Uvm4ORmofd5PWgJZgJlYgjAoXExMVNdwJyQ2UChlpZyZOvFgWkwKxQb8HnQxClFpIbpas4Ew8amktgxOA4A6GwOIuhTf+7zs/WHsvbUwb2J1DjCnqyVZPPKIAVgrXI+NJGxJ571SC3j93rQ0ZR5xl8/FDVsoBzSGkJ/VvhN3JtmBwySEVKD5LSHDbvvqweAtuxI8eGJzCK/P9u339vKCXqktum2jAbfW4YgmXA2hrK2JDQwesJB6lSQmibc4EPAlzl6/mnXKAB3Tj1gamYOGXtTxql3U51GyGzArMy06mWWZ2/SdR3D0QQSKT3ndxFSq4iz3p93jwDIaF62uNCJFXvTIbE3ZfWhe7g3zQoYJCOkBunM6r7EU2m8vHcMANDnclHuDWc+L4YA7M9OzBR9/ITUKqKSbO/olPH8z3VhTz1ZW9qfZ0s9tCVS42iaZuiSbd41AsCdUw+Ye5OwpwPZ/+0O055IbWOdFru3DF0DYm+aTKQQiSWNBE5HU8BVtSchswFx1ivb3pQ9J+4fze5NIiHKs96sgEEyQmqQkN9rOPG/fXk/gHIs9pnP7xudQjKVNlrEeuiIkBpnwZwmAMDjrxxAWgf8Xs0IRKsgAtaD43HEkinDqactkXpgYWfGnn6T3ZvcaCgBpiOyVwTJIiLozMoXUtss7MrY0qv7I3j90AQAYK4Le2oIeA3NzH2jU5ZkKG2J1D5ib3psq/Cb3J3JxFkvv7igh1WZswIGyQipUVYv6AAATMRTANy3W4rFfu/oFAbH49B1wOvRMKeJvfWkthG2FM3aUl9rAzyKQzCAjHC/aDk7MBYz2sPYzkLqgdUL2gGY9uTWERFBsn2jU9B13WxpYdCZ1DhHdjahoymAWDKNtA5oGtDjUgJDVGbuHZ2iLZG6Iv+sV64q532jIkhGe5pNMEhGSI3yloUdxvceDVg2N+zqej2WxV4s9N0tQVfBAkJmAx1NARzV3Wy8PnZeq6vraZqWE3TmwYnUEyct6Mh5fVx/m6vr9VpsaTyWNBwcBp1JraNpGlbPbzdeL+kNu26LNKpfRifN1mVWkpE64C0L2nNeuz3riSrpvUYCJ5MQ7aI9zQoYJCOkRrEu9mct7XHtgFvLhvfz4ETqjGN6WozvP/DW+a6vZ9X4O8CWFlJHnHBEm/F9S9A3zTGRRexN+8fM9rCWkA+NAZ+r6xIyG1hlCZJ9sBx7U04Ch7IapH7Ib/1/zwlzXV1PJGpiyTQGx+PGpFja0+yAQTJCapTF3S3wZqu8PnRK+Q5O+0anLO1hXOhJfXD2sm4AQFPAi7ce2VHi3aXptWTrWUlG6onGgM8IOn/qrKNdT3QVAeehiTh2DUUB0JZI/bBmUafx/QUnunPqAaA3bOrPmnsTEzikPvir4/oAAO9b2e860RLye9GRlaR56c1RytTMMphmI6RG8Xg0bLx6DfaOTuG0o7tcX8+ard+XHTXOgxOpF84/fh4SSR2nLJrj2qkH8rP1dERIffHdD67CC28M48IT57m+VmuDHyG/B1OJNP60ewQAbYnUD8f2t+K7H1iJ/vbGslRPWrsGhqMJAEyIkvphw3uW45RFc/C+lf1luV5vOIShibgxMZMyNbMHBskIqWGO62/DceVZ59HVHIRHA5JpHVv3jAHgGGNSP3g8Gi5+y0DZrteXdTpeH5zA2FQSAB0RUj8s7GwyJom5JaPx14AdgxP48+5RANybSH2xbkVf2a5l7RoYncwEyViZSeqFzuYgLjvZffeNoK81hK17x4wEDs95swe2WxJCHOHzegyxyT9sPwTAPEwRQuQQtvNM1paaAl60BJm3IkQF0XL5h78MZl5zbyJECVFJtu3AOA5kpTX6aE+EKJF/1utjkGzWULEg2euvv46PfOQjWLhwIRoaGrBo0SLcfPPNiMfjtp/TdR0bNmzA3Llz0dDQgDPOOANbtmyp1G0SQiRY1JWZ8BdPpgEAJy+cM5O3Q8isRdhSLGtLJy3sKEsbJyH1yKLuTFWa1Z4IIfL0tzci4PUgldaRSutYMKeRlWSEKHJknt/0Fu5Ns4aKBcn+93//F+l0Gv/8z/+MLVu24Otf/zq++93v4gtf+ILt526//XbceeeduPvuu/Hcc8+ht7cX55xzDiKRSKVulRDikHUreo3vF8xpxBFzGmfwbgiZvRzV3Ywju8x2s3LoBhJSr6xbbrabeT0aEziEKNIQ8OLti839iHsTIeq8c3lPzuvTF3cWeSepNioWJFu3bh3uvfderF27FkceeSTe85734LrrrsPPfvazop/RdR3f+MY3cOONN+LCCy/EihUrcP/99yMajeLBBx+s1K0SQhxiDZId1d0yg3dCyOxG0zSctaTbeG11SgghclgnzmrIOPqEEDXOO94MOnNvIkSd/vbcYgLRRUCqn8OqSTY6OoqOjuJlhjt27MC+ffuwdu1a42fBYBCnn346nnnmmYKficViGBsby/kihFSG7pYQzl6acew//LYFM3szhMxy1p90BAJeD47pacGirvKImBNSj/i8Hnz0tIUAgL8/f8UM3w0hs5uzlvagvdGPtkY/TlnEqkxC3HDbhccCAK5820LKaswiDptK8Pbt23HXXXfhjjvuKPqeffv2AQB6enJLE3t6evDGG28U/Mxtt92GW265pXw3Sgix5euXnICdQ1Esn9s607dCyKxmUVczHr72NIRDfh6cCHHJ9euW4F3H9uHEgbaZvhVCZjXNQR/+85Nvg65nvieEqLP+LQNY2hfG0j524MwmpCvJNmzYAE3TbL+ef/75nM/s2bMH69atw0UXXYQrr7yy5O/IdxZ0XS/qQHz+85/H6Oio8bVr1y7ZP4kQIkFLyM8AGSFlYlFXszE1lhCijt/rwcoj2hlwJqQM9Lc3YqCDurOEuEXTNJww0IagjzIAswnp9MA111yD9evX275nwYIFxvd79uzBmWeeiVNOOQXf+973bD/X25vRO9q3bx/6+sx++AMHDkyrLhMEg0EEg3QwCCGEEEIIIYQQQog60kGyzs5OdHY6m8zw5ptv4swzz8SqVatw7733wuOxL1xbuHAhent78dhjj+HEE08EAMTjcTz55JP4yle+InurhBBCCCGEEEIIIYQ4omLC/Xv27MEZZ5yBgYEBfO1rX8PBgwexb98+Q3dMsGTJEmzcuBFAphzx2muvxZe//GVs3LgRL730Eq644go0Njbi0ksvrdStEkIIIYQQQgghhJA6p2JqjI8++ij+8pe/4C9/+Qv6+/tz/k3XdeP7V155BaOjo8br66+/HpOTk7j66qsxPDyMk08+GY8++ihaWih2RwghhBBCCCGEEEIqg6ZbI1Y1wNjYGFpbWzE6OopwODzTt0MIIYQQQgghhBBCZhCnsaKKtVsSQgghhBBCCCGEEDJbYJCMEEIIIYQQQgghhNQ9DJIRQgghhBBCCCGEkLqHQTJCCCGEEEIIIYQQUvcwSEYIIYQQQgghhBBC6h7fTN9AuRHDOsfGxmb4TgghhBBCCCGEEELITCNiRCJmVIyaC5JFIhEAwMDAwAzfCSGEEEIIIYQQQgipFiKRCFpbW4v+u6aXCqPNMtLpNPbs2YOWlhZomjbTt1MWxsbGMDAwgF27diEcDs/07RAyq6E9EVIeaEuElA/aEyHlg/ZESHmoNVvSdR2RSARz586Fx1NceazmKsk8Hg/6+/tn+jYqQjgcromHk5BqgPZESHmgLRFSPmhPhJQP2hMh5aGWbMmugkxA4X5CCCGEEEIIIYQQUvcwSEYIIYQQQgghhBBC6h4GyWYBwWAQN998M4LB4EzfCiGzHtoTIeWBtkRI+aA9EVI+aE+ElId6taWaE+4nhBBCCCGEEEIIIUQWVpIRQgghhBBCCCGEkLqHQTJCCCGEEEIIIYQQUvcwSEYIIYQQQgghhBBC6h4GyQghhBBCCCGEEEJI3cMgGSGEEEIIIYQQQgipexgkqxK+/e1vY+HChQiFQli1ahV+//vf277/ySefxKpVqxAKhXDkkUfiu9/97mG6U0KqHxl7+tnPfoZzzjkHXV1dCIfDOOWUU/DrX//6MN4tIdWL7N4k+MMf/gCfz4cTTjihsjdIyCxC1p5isRhuvPFGzJ8/H8FgEIsWLcL3v//9w3S3hFQvsrb0wAMP4Pjjj0djYyP6+vrwN3/zNzh06NBhultCqpennnoK5513HubOnQtN0/Dzn/+85GfqIQ7BIFkV8NBDD+Haa6/FjTfeiE2bNuG0007Du971LuzcubPg+3fs2IF3v/vdOO2007Bp0yZ84QtfwKc+9Sn89Kc/Pcx3Tkj1IWtPTz31FM455xz86le/wgsvvIAzzzwT5513HjZt2nSY75yQ6kLWlgSjo6P40Ic+hLPOOusw3Skh1Y+KPV188cX47W9/i3vuuQevvPIKfvSjH2HJkiWH8a4JqT5kbenpp5/Ghz70IXzkIx/Bli1b8OMf/xjPPfccrrzyysN854RUHxMTEzj++ONx9913O3p/vcQhNF3X9Zm+iXrn5JNPxsqVK/Gd73zH+NnSpUtxwQUX4Lbbbpv2/r/7u7/DL37xC7z88svGz6666ir86U9/wrPPPntY7pmQakXWngqxfPlyXHLJJbjpppsqdZuEVD2qtrR+/XocffTR8Hq9+PnPf47NmzcfhrslpLqRtadHHnkE69evx2uvvYaOjo7DeauEVDWytvS1r30N3/nOd7B9+3bjZ3fddRduv/127Nq167DcMyGzAU3TsHHjRlxwwQVF31MvcQhWks0w8XgcL7zwAtauXZvz87Vr1+KZZ54p+Jlnn3122vvf+c534vnnn0cikajYvRJS7ajYUz7pdBqRSIROCalrVG3p3nvvxfbt23HzzTdX+hYJmTWo2NMvfvELrF69GrfffjvmzZuHxYsX47rrrsPk5OThuGVCqhIVW1qzZg12796NX/3qV9B1Hfv378dPfvITnHvuuYfjlgmpKeolDuGb6RuodwYHB5FKpdDT05Pz856eHuzbt6/gZ/bt21fw/clkEoODg+jr66vY/RJSzajYUz533HEHJiYmcPHFF1fiFgmZFajY0rZt23DDDTfg97//PXw+Hi8IEajY02uvvYann34aoVAIGzduxODgIK6++moMDQ1Rl4zULSq2tGbNGjzwwAO45JJLMDU1hWQyife85z246667DsctE1JT1EscgpVkVYKmaTmvdV2f9rNS7y/0c0LqEVl7EvzoRz/Chg0b8NBDD6G7u7tSt0fIrMGpLaVSKVx66aW45ZZbsHjx4sN1e4TMKmT2pnQ6DU3T8MADD+Ckk07Cu9/9btx555247777WE1G6h4ZW9q6dSs+9alP4aabbsILL7yARx55BDt27MBVV111OG6VkJqjHuIQTPXOMJ2dnfB6vdOyHwcOHJgWpRX09vYWfL/P58OcOXMqdq+EVDsq9iR46KGH8JGPfAQ//vGPcfbZZ1fyNgmpemRtKRKJ4Pnnn8emTZtwzTXXAMg4+bquw+fz4dFHH8U73vGOw3LvhFQbKntTX18f5s2bh9bWVuNnS5cuha7r2L17N44++uiK3jMh1YiKLd1222049dRT8bnPfQ4AcNxxx6GpqQmnnXYavvSlL9VM5Qshh4N6iUOwkmyGCQQCWLVqFR577LGcnz/22GNYs2ZNwc+ccsop097/6KOPYvXq1fD7/RW7V0KqHRV7AjIVZFdccQUefPBBalQQAnlbCofDePHFF7F582bj66qrrsIxxxyDzZs34+STTz5ct05I1aGyN5166qnYs2cPxsfHjZ+9+uqr8Hg86O/vr+j9ElKtqNhSNBqFx5Pr8nq9XgBmBQwhxBl1E4fQyYzz7//+77rf79fvuecefevWrfq1116rNzU16a+//rqu67p+ww036B/84AeN97/22mt6Y2Oj/pnPfEbfunWrfs899+h+v1//yU9+MlN/AiFVg6w9Pfjgg7rP59O/9a1v6Xv37jW+RkZGZupPIKQqkLWlfG6++Wb9+OOPP0x3S0h1I2tPkUhE7+/v19///vfrW7Zs0Z988kn96KOP1q+88sqZ+hMIqQpkbenee+/VfT6f/u1vf1vfvn27/vTTT+urV6/WTzrppJn6EwipGiKRiL5p0yZ906ZNOgD9zjvv1Ddt2qS/8cYbuq7XbxyCQbIq4Vvf+pY+f/58PRAI6CtXrtSffPJJ498uv/xy/fTTT895/xNPPKGfeOKJeiAQ0BcsWKB/5zvfOcx3TEj1ImNPp59+ug5g2tfll19++G+ckCpDdm+ywiAZIbnI2tPLL7+sn3322XpDQ4Pe39+vf/azn9Wj0ehhvmtCqg9ZW/rmN7+pL1u2TG9oaND7+vr0yy67TN+9e/dhvmtCqo/HH3/c1g+q1ziEpuusMyWEEEIIIYQQQggh9Q01yQghhBBCCCGEEEJI3cMgGSGEEEIIIYQQQgipexgkI4QQQgghhBBCCCF1D4NkhBBCCCGEEEIIIaTuYZCMEEIIIYQQQgghhNQ9DJIRQgghhBBCCCGEkLqHQTJCCCGEEEIIIYQQUvcwSEYIIYQQQgghhBBC6h4GyQghhBBCCCGEEEJI3cMgGSGEEEIIIYQQQgipexgkI4QQQgghhBBCCCF1z/8H34AW6ezNMR4AAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "f.y3 = 0\n", + "f.y4 = 0\n", + "\n", + "[f]\n", + "t = 0 bind time\n", + "pi = 3.14159\n", + "u = sin(2 * pi * 5 * t) + sin(2 * pi * 50 * t)\n", + "f = 10 [Hz]\n", + "alpha = 2.114 / (2 * pi * f)\n", + "dot(y1) = 11.488 / alpha^2 * (u - y2) - 4.2076 / alpha * y1\n", + "dot(y2) = y1\n", + "dot(y3) = 9.1401 / alpha^2 * (y2 - y4) - 5.7924 / alpha * y3\n", + "dot(y4) = y3\n", + " desc: The 4-pole filtered output\n", + "\"\"\")\n", + "s = myokit.Simulation(m)\n", + "e = s.run(1, log_interval=0.001)\n", + "\n", + "def low_pass(time, data, f, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f in Hz. \"\"\"\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", + "\n", + "t, u, y = e.time(), e['f.u'], e['f.y4']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (5 Hz + 50Hz)')\n", + "ax.plot(t, y, label='Simulated, n=4, f=10Hz')\n", + "ax.plot(*low_pass(t, u, 10, n=4), 'k:', label='SciPy, n=4, f=10Hz')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "a86a8334-e3c4-4912-b236-fd62086093f3", + "metadata": {}, + "source": [ + "Looks great!" + ] + }, + { + "cell_type": "markdown", + "id": "957681ff-0882-4141-8457-89e0d0b76dff", + "metadata": {}, + "source": [ + "### Six pole Bessel filters\n", + "\n", + "Applying the same methods for a six pole filter we obtain:" + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "id": "6eb455f1-3ba2-43e9-8abb-a1c4d9f36a20", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "2 sigma_1 = 5.0319\n", + "(sigma_1^2 + omega_1^2) = 26.514\n", + "2 sigma_2 = 7.4714\n", + "(sigma_2^2 + omega_2^2) = 20.853\n", + "2 sigma_3 = 8.4967\n", + "(sigma_3^2 + omega_3^2) = 18.801\n", + "None\n" + ] + } + ], + "source": [ + "print(even_poles(6))" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "id": "9b76c99b-cb1f-420e-8580-d074b1f8c205", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "2.7034 rad/sec\n" + ] + } + ], + "source": [ + "print(f'{bessel_natural_cutoff(6):.5} rad/sec')" + ] + }, + { + "cell_type": "markdown", + "id": "55d89ad9-b1b5-45c6-b4f4-d79f47241f5f", + "metadata": {}, + "source": [ + "We can find $\\omega_0$ using SciPy and fmin:" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "id": "48686b58-6027-4937-ba4d-e5afe94c7f08", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "f.y3 = 0\n", + "f.y4 = 0\n", + "f.y5 = 0\n", + "f.y6 = 0\n", + "\n", + "[f]\n", + "t = 0 bind time\n", + "pi = 3.14159\n", + "u = sin(2 * pi * 5 * t) + sin(2 * pi * 50 * t)\n", + "f = 10 [Hz]\n", + "alpha = 2.7034 / (2 * pi * f)\n", + "dot(y1) = 26.514 / alpha^2 * (u - y2) - 5.0319 / alpha * y1\n", + "dot(y2) = y1\n", + "dot(y3) = 20.853 / alpha^2 * (y2 - y4) - 7.4714 / alpha * y3\n", + "dot(y4) = y3\n", + "dot(y5) = 18.801 / alpha^2 * (y4 - y6) - 8.4967 / alpha * y5\n", + "dot(y6) = y5\n", + " desc: The 6-pole filtered output\n", + "\"\"\")\n", + "s = myokit.Simulation(m)\n", + "e = s.run(1, log_interval=0.001)\n", + "t, u, y = e.time(), e['f.u'], e['f.y6']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, u, label='Noisy (5 Hz + 50Hz)')\n", + "ax.plot(t, y, label='Simulated, n=6, f=10Hz')\n", + "ax.plot(*low_pass(t, u, 10, n=6), 'k:', label='SciPy, n=6, f=10Hz')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "d841d052-5734-456e-848f-4b27dc24f95a", + "metadata": {}, + "source": [ + "It works!" + ] + }, + { + "cell_type": "markdown", + "id": "3a2985af-e49c-4187-a2e4-76aaf5c1ef3b", + "metadata": {}, + "source": [ + "## First-order approximations\n", + "\n", + "Finally, we compare a 6-pole filter with a 1-pole approximation." + ] + }, + { + "cell_type": "code", + "execution_count": 25, + "id": "a6ed5de2-aec1-4f3c-bee9-0f457c3b5936", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "m = myokit.parse_model(\"\"\"\n", + "[[model]]\n", + "f.y1 = 0\n", + "f.y2 = 0\n", + "f.y3 = 0\n", + "f.y4 = 0\n", + "f.y5 = 0\n", + "f.y6 = 0\n", + "f.z1 = 0\n", + "\n", + "[f]\n", + "t = 0 bind time\n", + "p = 0 bind pace\n", + "pi = 3.14159\n", + "u = sin(2 * pi * 5 * t) + sin(2 * pi * 50 * t)\n", + "f = 10 [Hz]\n", + "a1 = 1 / (2 * pi * f)\n", + "a6 = 2.7034 / (2 * pi * f)\n", + "dot(y1) = 26.514 / a6^2 * (u - y2) - 5.0319 / a6 * y1\n", + "dot(y2) = y1\n", + "dot(y3) = 20.853 / a6^2 * (y2 - y4) - 7.4714 / a6 * y3\n", + "dot(y4) = y3\n", + "dot(y5) = 18.801 / a6^2 * (y4 - y6) - 8.4967 / a6 * y5\n", + "dot(y6) = y5\n", + " desc: The 6-pole filtered output\n", + "dot(z1) = (u - z1) / a1\n", + " desc: The 1-pole filtered output\n", + "\"\"\")\n", + "s = myokit.Simulation(m)\n", + "e = s.run(1, log_interval=0.001)\n", + "t, u, y, z = e.time(), e['f.u'], e['f.y6'], e['f.z1']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (s)')\n", + "ax.plot(t, u, label='Noisy (5 Hz + 50Hz)')\n", + "ax.plot(t, y, label='Simulated, n=6, f=10Hz')\n", + "ax.plot(t, z, label='Simulated, n=1, f=10Hz')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "baef0f1a-9a84-402f-b2de-8abfa7734a83", + "metadata": {}, + "source": [ + "The 1-pole filter doesn't filter out the high frequencies as well, but has considerably less lag.\n", + "_However_, for patch-clamping we're much more interested in the step response:" + ] + }, + { + "cell_type": "code", + "execution_count": 26, + "id": "8f130c8b-3a8e-4b96-aa9f-556e93540de9", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "# Update the model to use pacing\n", + "# We also re-interpret time as being in ms, making the frequency 10 kHz\n", + "m.get('f.u').set_rhs('p')\n", + "p = myokit.Protocol()\n", + "p.add_step(level=-50, duration=1)\n", + "p.add_step(level=50, duration=1)\n", + "s = myokit.Simulation(m, p)\n", + "s.pre(1)\n", + "e = s.run(2)\n", + "t, u, y, z = e.time(), e['f.u'], e['f.y6'], e['f.z1']\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.set_xlabel('Time (ms)')\n", + "ax.axhline(-50, color='#ccc', ls='--')\n", + "ax.axhline(+50, color='#ccc', ls='--')\n", + "ax.plot(t, y, label='Filtered, n=6, f=10kHZ')\n", + "ax.plot(t, z, label='Simulated, n=1, f=10kHz')\n", + "ax.set_xlim(0.99, 1.13)\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "08710c2e-dbc4-4afb-af94-ee03042abecb", + "metadata": {}, + "source": [ + "Here we can see the much faster response of the 1-pole filter, and the much smoother response of the 6-pole.\n", + "The phase-shift in the 6-pole causes a notable delay in the jump.\n", + "\n", + "The effects are very shortlived though, so we're unlikely to notice this until we sample at a high rate and really zoom in.\n", + "At 10kHz, the filters seem unlikely to have a big effect on any currents." + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.12.7" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index f494585..7530342 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -579,20 +579,16 @@ "cell_type": "code", "execution_count": 5, "id": "2a831ee3", - "metadata": { - "scrolled": true - }, + "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -607,20 +603,16 @@ "cell_type": "code", "execution_count": 6, "id": "5d429877", - "metadata": { - "scrolled": true - }, + "metadata": {}, "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -629,20 +621,19 @@ "\n", "t = d.time()\n", "dt = np.mean(t[1:] - t[:-1]) # In ms\n", - "f = 1 / dt # Sampling frequency, in kHz\n", - "w = 10 # Cut-off frequency, in kHz\n", + "fs = 1 / dt # Sampling frequency, in kHz\n", + "fc = 10 # Cut-off frequency, in kHz\n", "\n", - "def low_pass(data, w, f, n=3):\n", - " \"\"\" Apply a Bessel low-pass filter with cut-off w (in Hz). \"\"\"\n", - " w = w / (f / 2)\n", - " b, a = scipy.signal.bessel(n, w, btype='lowpass')\n", - " return scipy.signal.filtfilt(b, a, data)\n", + "def low_pass(data, fc, fs, n=3):\n", + " \"\"\" Apply a Bessel low-pass filter with cut-off fc (in Hz). \"\"\"\n", + " b, a = scipy.signal.bessel(n, fc / (fs / 2), btype='lowpass', norm='mag')\n", + " return scipy.signal.lfilter(b, a, data)\n", "\n", "fig = plt.figure(figsize=(15, 4))\n", "ax = fig.add_subplot()\n", "ax.plot(t, d['ina.INa'], label='Original')\n", - "ax.plot(t, low_pass(d['ina.INa'], 10, f, 3), label='3d order 10kHz Bessel')\n", - "ax.plot(t, low_pass(d['ina.INa'], 10, f, 6), label='6th order 10kHz Bessel')\n", + "ax.plot(t, low_pass(d['ina.INa'], fc, fs, 3), label='3d order 10kHz Bessel')\n", + "ax.plot(t, low_pass(d['ina.INa'], fc, fs, 6), label='6th order 10kHz Bessel')\n", "ax.legend()\n", "plt.show()" ] @@ -777,14 +768,12 @@ "outputs": [ { "data": { - "image/png": "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\n", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], @@ -848,7 +837,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.3" + "version": "3.12.7" } }, "nbformat": 4, diff --git a/artefacts/artefacts-6-epc.ipynb b/artefacts/artefacts-6-epc.ipynb new file mode 100644 index 0000000..ad7b285 --- /dev/null +++ b/artefacts/artefacts-6-epc.ipynb @@ -0,0 +1,533 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "cf0eda98", + "metadata": {}, + "source": [ + "# Modelling patch-clamp experiments: filters\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "7ae20d53", + "metadata": {}, + "source": [ + "As in the EPC-9" + ] + }, + { + "cell_type": "markdown", + "id": "f43319ae", + "metadata": {}, + "source": [ + "\n", + "\n", + "_**Figure 1**: Model with filters as in the EPC-9._" + ] + }, + { + "cell_type": "markdown", + "id": "26ad6d1b", + "metadata": {}, + "source": [ + "Approximating all filters as first-order filters:\n", + "\n", + "\\begin{align}\n", + "6.1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\dot{V}_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.3. && \\tau_a \\dot{V}_o = V_\\text{ref} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha \\frac{R_s^*}{R_f}V_f + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.6. && \\tau_\\text{f1} \\dot{V}_f = V_o - V_\\text{ref} - V_f\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.7. && \\tau_\\text{f2} R_f \\dot{I}_\\text{obs} = V_f - R_f I_\\text{obs}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.8. && \\tau_\\text{fs} \\dot{V}_c = V_s - V_c\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "b98ce079-c14f-458e-9d86-16ba3b39844b", + "metadata": {}, + "source": [ + "### Myokit model with first-order filters" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "a0d006cb-b169-4d58-88c0-ce36f14c74bb", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "import numpy as np\n", + "import matplotlib\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "f50d9640-53c1-47a5-b96b-000b279940c3", + "metadata": {}, + "outputs": [], + "source": [ + "m1 = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "amp.Vf = -80\n", + "amp.V_obs = -80\n", + "amp.Vc = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "alpha = 0.7\n", + "beta = alpha\n", + "Rs = 6e-3 [GOhm] in [GOhm]\n", + "Rs_est = 6e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 23 [pF] in [pF]\n", + "Cp = 6 [pF] in [pF]\n", + "Cp_est = 5.5 [pF] in [pF]\n", + "Rf = 0.495 [GOhm] in [GOhm]\n", + "Cf = 0.16 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "\n", + "# Voltage clamp and compensations\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm_est * dot(Ve) + Cp_est * dot(Vr)\n", + " ) / (Cp + Cf)\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + "dot(Ve) = (Vc - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc + alpha * Rs_est / Rf * Vf + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "\n", + "# Output filters\n", + "f1 = 10 [kHz] in [kHz]\n", + "f2 = 10 [kHz] in [kHz]\n", + "tau_f1 = 1 / (2 * 3.14159 * f1)\n", + " in [ms]\n", + "tau_f2 = 1 / (2 * 3.14159 * f2)\n", + " in [ms]\n", + "dot(Vf) = (Vo - Vr - Vf) / tau_f1\n", + " in [mV]\n", + "dot(V_obs) = (Vf - V_obs) / tau_f2\n", + " in [mV]\n", + "I_obs = V_obs / Rf\n", + " in [pA]\n", + "\n", + "# Input filter\n", + "tr = 0.04 [ms] in [ms]\n", + "tau_fs = tr / log(9)\n", + " in [ms]\n", + "Vs = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vc) = (Vs - Vc) / tau_fs\n", + " in [mV]\n", + "''')\n", + "m1.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "markdown", + "id": "b2a5e658-7b1e-4211-9890-83346af9f677", + "metadata": {}, + "source": [ + "### Myokit model with Bessel filters" + ] + }, + { + "cell_type": "code", + "execution_count": 20, + "id": "a858bde4-57ab-4d74-ad7d-871213689445", + "metadata": {}, + "outputs": [], + "source": [ + "m2 = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "amp.Vf11 = -80\n", + "amp.Vf12 = -80\n", + "amp.Vf13 = -80\n", + "amp.Vf14 = -80\n", + "amp.Vf15 = -80\n", + "amp.Vf16 = -80\n", + "amp.V_obs1 = -80\n", + "amp.V_obs2 = -80\n", + "amp.V_obs3 = -80\n", + "amp.V_obs4 = -80\n", + "amp.Vc1 = -80\n", + "amp.Vc2 = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "I = 10 [nS] * Vm\n", + " in [pA]\n", + "alpha = 0.7\n", + "beta = alpha\n", + "Rs = 6e-3 [GOhm] in [GOhm]\n", + "Rs_est = 6e-3 [GOhm] in [GOhm]\n", + "Cm = 25 [pF] in [pF]\n", + "Cm_est = 23 [pF] in [pF]\n", + "Cp = 6 [pF] in [pF]\n", + "Cp_est = 5.5 [pF] in [pF]\n", + "Rf = 0.495 [GOhm] in [GOhm]\n", + "Cf = 0.16 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "\n", + "# Voltage clamp and compensations\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm_est * dot(Ve) + Cp_est * dot(Vr)\n", + " ) / (Cp + Cf)\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + "dot(Ve) = (Vc2 - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc2 + alpha * Rs_est / Rf * Vf16 + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "\n", + "# Filter 1\n", + "f1 = 10 [kHz] in [kHz]\n", + "a1 = 2.7034 / (2 * 3.14159 * f1)\n", + " in [ms]\n", + "dot(Vf11) = 26.514 / a1^2 * (Vo - Vr - Vf12) - 5.0319 / a1 * Vf11\n", + " in [mV/ms]\n", + "dot(Vf12) = Vf11\n", + " in [mV]\n", + "dot(Vf13) = 20.853 / a1^2 * (Vf12 - Vf14) - 7.4714 / a1 * Vf13\n", + " in [mV/ms]\n", + "dot(Vf14) = Vf13\n", + " in [mV]\n", + "dot(Vf15) = 18.801 / a1^2 * (Vf14 - Vf16) - 8.4967 / a1 * Vf15\n", + " in [mV/ms]\n", + "dot(Vf16) = Vf15\n", + " desc: The 6-pole filtered output\n", + " in [mV]\n", + "\n", + "# Filter 2\n", + "f2 = 10 [kHz] in [kHz]\n", + "a2 = 2.114 / (2 * 3.14159 * f2)\n", + " in [ms]\n", + "dot(V_obs1) = 11.488 / a2^2 * (Vf16 - V_obs2) - 4.2076 / a2 * V_obs1\n", + " in [mV/ms]\n", + "dot(V_obs2) = V_obs1\n", + " in [mV]\n", + "dot(V_obs3) = 9.1401 / a2^2 * (V_obs2 - V_obs4) - 5.7924 / a2 * V_obs3\n", + " in [mV/ms]\n", + "dot(V_obs4) = V_obs3\n", + " desc: The 4-pole filtered output\n", + " in [mV]\n", + "I_obs = V_obs4 / Rf\n", + " in [pA]\n", + "\n", + "# Input filter\n", + "tr = 0.04 [ms] in [ms]\n", + "a3 = 1.3616 * tr / log(9)\n", + " in [ms]\n", + "Vs = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vc1) = 3 * ((Vs - Vc2) / a3^2 - Vc1 / a3)\n", + " in [mV/ms]\n", + "dot(Vc2) = Vc1\n", + " desc: The 2-pole filtered stimulus\n", + " in [mV]\n", + "''')\n", + "m2.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "id": "90afe52d-14b5-4dca-9061-77a85e2beb05", + "metadata": {}, + "outputs": [], + "source": [ + "p = myokit.Protocol()\n", + "p.add_step(level=-80, duration=100)\n", + "p.add_step(level=40, duration=100)" + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "id": "a4899a6d-dcd7-40c0-b645-3f846def1867", + "metadata": {}, + "outputs": [], + "source": [ + "s1 = myokit.Simulation(m1, p)\n", + "s1.set_tolerance(1e-8)\n", + "s1.pre(50)\n", + "d1 = s1.run(200)" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "id": "a0dade5f-ffed-4edd-811a-ae67424dd141", + "metadata": {}, + "outputs": [], + "source": [ + "s2 = myokit.Simulation(m2, p)\n", + "s2.set_tolerance(1e-8)\n", + "s2.pre(50)\n", + "d2 = s2.run(200)" + ] + }, + { + "cell_type": "markdown", + "id": "d3321fb0-e322-4371-95dd-5b260f970d14", + "metadata": {}, + "source": [ + "## Simulations with both models:" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "id": "98954441-5cef-4e14-97c0-8673a229405b", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "colors = matplotlib.colormaps['tab10'].colors\n", + "fig = plt.figure(figsize=(13, 4))\n", + "\n", + "ax1 = fig.add_subplot(1, 2, 1)\n", + "ax2 = fig.add_subplot(1, 2, 2)\n", + "ax1.set_xlim(99.9, 100.5)\n", + "ax2.set_xlim(99.9, 101.5)\n", + "\n", + "ax1.plot(d1.time(), d1['amp.Vc'], 'k', label='Vc, n=1')\n", + "ax1.plot(d2.time(), d2['amp.Vc2'], 'k--', label='Vc, n=2')\n", + "\n", + "for i, f1 in enumerate([5, 10, 20]):\n", + " s1.set_constant('amp.f1', f1)\n", + " s2.set_constant('amp.f1', f1)\n", + " s1.reset()\n", + " s2.reset()\n", + " d1 = s1.run(200)\n", + " d2 = s2.run(200)\n", + " ax1.plot(d1.time(), d1['amp.Vm'], color=colors[i], label=f'Vm, {f1} kHz, n=1,1')\n", + " ax1.plot(d2.time(), d2['amp.Vm'], color=colors[i], ls='--', label=f'Vm, {f1} kHz, n=2,6')\n", + " ax2.plot(d1.time(), d1['amp.I_obs'], color=colors[i], label=f'{f1} kHz, n=1')\n", + " ax2.plot(d2.time(), d2['amp.I_obs'], color=colors[i], ls='--', label=f'{f1} kHz, n=6')\n", + "ax1.legend()\n", + "ax2.legend()\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "5e0a078e-d780-4ee0-8fe6-b4db3d169925", + "metadata": {}, + "source": [ + "## The effect of the stimulus filter\n", + "\n", + "Filter1 and filter2 set to 30kHz and 100kHz - so pretty much off.\n", + "Stimulus filter varied." + ] + }, + { + "cell_type": "code", + "execution_count": 25, + "id": "328e063e-e842-46ea-a562-279cb274791e", + "metadata": {}, + "outputs": [], + "source": [ + "def reset(s):\n", + " s.reset()\n", + " s.set_constant('amp.f1', 10)\n", + " s.set_constant('amp.f2', 10)\n", + " s.set_constant('amp.tr', 0.04)" + ] + }, + { + "cell_type": "code", + "execution_count": 33, + "id": "9dbca592-9a45-4853-84f5-5e792273e8da", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "reset(s1)\n", + "reset(s2)\n", + "s1.set_constant('amp.f1', 30)\n", + "s2.set_constant('amp.f1', 30)\n", + "s1.set_constant('amp.f2', 100)\n", + "s2.set_constant('amp.f2', 100)\n", + "\n", + "fig = plt.figure(figsize=(13, 4))\n", + "\n", + "ax1 = fig.add_subplot(1, 2, 1)\n", + "ax2 = fig.add_subplot(1, 2, 2)\n", + "ax1.set_xlim(99.9, 100.5)\n", + "ax2.set_xlim(99.9, 101.5)\n", + "\n", + "for i, tr in enumerate([0.04, 0.004]):\n", + " #s1.set_constant('amp.tr', tr)\n", + " s2.set_constant('amp.tr', tr)\n", + " #s1.reset()\n", + " s2.reset()\n", + " #d1 = s1.run(200)\n", + " d2 = s2.run(200)\n", + "\n", + " c = color=colors[i]\n", + " #ax1.plot(d1.time(), d1['amp.Vc'], color=c, label='Vc, n=1')\n", + " ax1.plot(d2.time(), d2['amp.Vc2'], color=c, ls='--')\n", + " #ax2.plot(d1.time(), d1['amp.I_obs'], color=c, label=f'{f1} kHz, n=1')\n", + " ax2.plot(d2.time(), d2['amp.I_obs'], color=c, ls='--', label=f'{tr} $\\\\mu$s')\n", + "#ax1.legend()\n", + "ax2.legend()\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "40b061d4-4517-4c5c-b2ad-167956ae2eba", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "e06c12ce-9f41-4b3d-9843-9fd7214f686e", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "abb227a1-f16e-4939-85d6-47dfeac02ea7", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "b8679799-c4b2-43fd-a1d3-80a1ff8ffeb0", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "2ea89790-bfca-492c-92a8-4c85ed47366f", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "9f924922-c19c-4567-949b-76d6bebb746c", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "ccc5b7a4-127f-4e4b-b6ed-699dd1fc230b", + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.12.7" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/resources/patch-comp-8-filters.png b/artefacts/resources/patch-comp-8-filters.png new file mode 100644 index 0000000000000000000000000000000000000000..ab930bbda9045daad4b9591ca9c1a11a6eb5d83e GIT binary patch literal 21851 zcma&ObySpX^ezlTi=cvpq{;wFcZWd@4bq(>Dcvm?Gz>YUz=(8riwZ9=3=Pr<(k)P9JbyaziJ2ZE&u&_vA3No5lSP*^i^9&(Acz;b( zg9rX1a#qlH!@|0&aQni(asRIYeE7&+R?q#76Wra)+|?S(%gc+)_MN?(rMa^;my_$; z^c@KrEUd>^FqxNH-Wgl7UKv`;N8S4aUY`0zcjT}s?(>={Is8_S%kO$dnJAbm#}RB1 z$@wzRLOGY0w-P#Jx+O?i5t$oLQ(>-)>rh}5mmB=~F!SJIsWIvH~BtVU~kg^=~h^i7vpB-7vot zG!0=BifPZ+m0)k6^bB4`n8v09XrJh+#nVEjJ^aB(BIF|Xz3Heq*Hd^uu4ME<&SpPg zM5*qka?vaOuFT1fepE>m_I+azH*!);%|SngSo z4FN1OB#$WN;l+v+bk#`62XTAp^sXM>R$SvtO+Q%5eX`2N4#>jn<;T^GVs^Ev3^y)( zcpb!>hWZKYQThPnBep44bx0%pST*alJ7z3?0<40OKNrLV!jR-nUh!T%^oacxiO%H5 zvlO?ow!L_c)r`n+WB~3Kjz69e!3>@|&UxD8Z|c`>80(PO+wVr9w=6rqzFalJSqcD8 zD+`8gVAb$c-&>6NC92E+e-`=nIsa$1sc>MWP>=Xg0n30_n!2#`E{b$FF7f|aD{neH z|GSsq0__6M!d8p?-;6%C+gX4ua_vX}qjAGD((T>u2bdYb?N`80ec1o^U-5r$vuc#b zY%Bf6Qu*8bw}@@&cy|Yrr;~$Z{C-^dZsHSW-e3{!(HMe2QjXX^GyO%suid_QNkMuB z=}rm3lN!bTfyYh!p0$O)UAaIZRB?C8tWxaJTM_=h5}vL@7Q+0qCmKKgXaD~)N+TT@ zTe85@9g*94G=S}^a(uIp+Yssurm?sJOYWnAN#mE{8z`Kopb!m~x3gPZ1Rv)+h4{Q6 zJEeOTDNMJz_@q!l^MK9sf{gkeN1t9hzBlD54^^e;>c8&v_$HcgxniN`Z$}LH{p5%H zJGlF|dL=%s7`=>hzwgZM4BdmvULYqAIu4dgZjuMLXGL`fz%cAKa2CYP9J|iXI$}DS zz_J@*$BjNXl{Rzw@@&O9!wnCty)G?Izk@&Cbn>5+9Al=Zh193w&JPE<3|dJWl!m>0 z2>(#tGcxl6E%w^HzHxy0BV!>tw= zTyZof)T2l5q!h`?$DiQt@57C7XXAmK5&%2H7nw(A_1V^7mQ#@`h#F#N(mnQ9>-=8!{H!gN?PA5GfdvE#uhS3a=cQ~rN)hWSN?$7t>&7zU53~#?+ zD1vWNk($JVl-~CmxK|o7-1Prvb<&}&I+$UG-x2CgN&oN$rD7g4*G9iTsCt))9omgRliIQ?VxNu&1_7p9GAF3HOgwR=qkR~ zfEtI_2{>NeUtr)jq<>?C!9GMBx*Rry@oZeMsi#f79RAQz9zu)WD!Tl%mQl>9R%N7v zoHT;@VFh60aPd_q4Xp&^-EiUK|9y^H4Z-Vpv7m|tONV@d_$@!jUuEM#|YAt8W#r*F@m>P{UMuqs}m380=aReqMx&@8gbqlr`&Khb0(!19TL4oYzE=c z(-bx%FmtbIG_BcoHFH`Zff@cJvyr4Yl5^9)KSq*8dZ{^VuCeb&cG`s=|3#bWR+fJ| z{*nE#{5;`qdIiyU1Y8gye1`uT+%^sQY41LM{_-@gS>oo&I5(p1$)%AO&eTsj)qq2e zKmQhd(GDf3?_qy?MRjArP-r4qLufnR_7SMS+iOIr zRQabZ!*!CEEh!)JryKRu5gbrQxN*sYvlsmySsE`u_In6z((}7Te+q<~l(Wc^!1z&8 z?}R~Q%QJ)72YLp7BJJLCkE;cOVbASD`vhs=p1OGH_%bPPDap7f7~<={Y&_yOd~D0T zKxwA->is$MB;!?j((=;STXCGZ)X_%Y|A2p9c=Uxh$9AIH8DJIV6an z&LQeRU6JgpSk|6iRhOx+MQ^Ur8_dg9ZusS;GtAZiTaCP`7hM)5{`tHM%>tWB;vrGC z9A!wQ^17e2M$~fO>pn&h4s6%R7h*#xIY;3w-lfM@b$>6u*dQWeWH(AYM&xLBK08a2 z_*>*JzungEANQm)5-gRbA_kGyD^a#Zaay=W*fZ%vm2-`9eF+>B1;|MOGlLnIhV$Z} zn`=>fj1^CgP?!>k;2ocTJxX3mQK6CH^6*P^2z=w# z1@T1i&d{fG!!W1CKIW^}nh6a{y_9&m*rw!MeSw^|GHCNsc};TI4W#Yq&j$5jZi@(O z)>mpZp@g$zrijt!F?x4Cpo9=zki6jS5H+^7l_2&(CC$~EiFe8k>?g+(VH-xRe_+Y> zd$}t}HLPZt;B&^Cm&u>EtNBBY(bA)#{J34Z*E`c~(N6pAKut2-?B!nrTX1pmb>LQSk&8 zYw9FgqDw=$pnK|x<;X++V$bMQ6ld_TLsznOkU+KFNe?0s8l~{nkWjg2W&)iopBLDT z-51i0c7WBKy;|sg;nlfzEC8z}UY^f!JNwI|U-q59I;rDNnCJ;sFX0g@lJWE?897U0 z1XC!%(t*5v_?uvQKKKTQ!?!I_f>jSogX-{Q$voq>>_W;}R326)ag>Um6qN`Yr%$iD zxH)1^bD^&0=mJL=IVr|}k;c>Mp-#H!QMPcVkZk`jx6suyMIdreVgJ0rvR8<|S!=ku zL(7bo-|)Vd@_O#ymAkNn;EUioc%4wb9$azikvC3lA zHMf$%%K{Z8#%()%)Qw3(*p&Dx4Lu`pBP#ke0$PO(vc;Csxvulrl)CSeEZ}ktqqPzk zkvoI+VTJi@$`zXEEmnA)<6*TjQcg>TyYSH;7J8@CO&Vr!2TY?_Dvvp&SeHsw^R*sF1`P@hPI;%9^tEv1&ZkzZxUaW4Z`@oC&3={J7QB$SDp9qD!0xp%v#| zim@kWH*YbGcYF}q9ne`zcq!YYwL9MC34Xq=>x?OOb^lgl)6dn7l!B#e#$XkqZFWq! zaIWH2+Mwel9n4F{s|~>8)$bfz(gJ(}!C9PVBbD?Z-># z)phdD=15Vw!Ow~nC)6!_ zLv&TRLUtb3O6;C^xTKfYd!IQ}oIL-f0m)_T;G^qNktMJ}Qvma)2*QQoJ;p| zMr1ateq;4GS_eB-e;6%={SMbNf@QzDl}KW-tu# zE_sx1=Qqf`z{AvXn@TK0knlRO9dDz4nAodf_6|j}4=5_=Hm#RYnR8-tGN0QDTE^D4 zF!&F6>_;%632&e5l9~1A>$PhxN@ld3{tlr_#D(Sw?xcg&5wl_tR;3N?Ux9gO2hXX> z0jz7>41y?TBZw5Ow~6LGe!p+W@y#Dmj?;Bf43cTQmR&p+KR>IQ23;LNVfB#J^hqz5 zZryB|BS+5uEp`}02q*cBN?X^|8<8Yl9ikLMW=2>+D$QZCBtT4I1>va*Reu^jJ}X6ShhT#<`cCre*RO}`Bdjjp@u-}4TTe*UpkxFvu}L&b zH@#sSOpKy|#c_477ZtaXQu zv;_bB+xCSd>=%MG(LDzB2I`?YJ+7ol03%R3_bAHNuepAIm?jGIQ{14W-+TqTay_he zjt*nh_pyKzha7~A6d4zcu(gbq} zHLq*>oI>6P6NhYv^dNR1Wmuk%N44`;UeRMqK#Y{B9h(h@lP3Ltl)3EpeQ4x;;4SR- z6*T&_iq`%(jT1X1rP~@ zx4AId!n0Q-Ep#jJ?^1V^=vPN@HLX2;*SMT4XIyLzw`cArsO!}WDn(s)K>0811vct+ zwaDUZ?af4URy+iQQ7IufA^Jm7rm2%phUz>!JmJYSLu~k@_*tKiIdMn@s`+5CSmjDh zL+BOj-OT2$&V&*=p6YMxlb+8GJ(8G-mK! zMFXrY5(%AYYU8D4n2!G(c3H|Oxec~IHabce<-6;!aLPenOvOXef!0x?A(h}*@9r3R zLA#**>gA=jZTZE2=(z}nSe(Gjuii#DTHg!CpTmWo@BIGva#*K>rGvmjq$|0DIP9;j zg!8>WeaXX~hH1yY37N6h2S!T&^KOe7toqeRa{y&$fggWG857PowDDFqx^$0X8Q~l0 z{*uZg5~RAPT{(ZTu7=*Sg z!wAZmVzZL9ow0<>chklcf6NK%g9#eLzcE^km+05Ln{Dc~Ui=wOfsY6zumE0P973Ke zet+{~wrKZ(T->xwA63}L_USZz-_}v%u)aX70f>`)>?-z2vX>dZU!wEs!hQ#Lht^9I8L$1BF9iq`Lpw9ht<_ zcMl~w@zdlp(f#OTol8aC*Tww~3NtSo>@Ea1Cq~D9!oy^+f*tE;lP664N)fZ6V6fVa zYOiU>B6cz|jH0hFAT5lo>1Mo7Rv2O8#qMn1wXvG(7&P$vBr9q)_HE0%B&4y1b_qsX zUPvy3)cVTFojs&$w5Or%8OsdDNbc16cL>OM+Jn6~y`mAw{=#j0X2H0}}erzh0;+rs;*oQ+as;dK#% zcWd!-3g^4R!cI#>mh2SJ4mn7V5?{5|qTRvQ_c+T9{pTB&iaw*}S;)dCm_a<}sNM5h z_z1`_l1~$=f=}U|?I^bpNuqil=>?MGje9U0qBqf6EMuelGX?*(^OdLR|H6A!`*=O2 zBUyW^j$R#VYb8i3I=OlnHePBtk*-lH^ECb$JNrc;N;mJ_y6$+22B#CjLV1A(mea9HvVzRKOU9ox112oO%VFn+Zh(^>K ze4oZE7^N$-i0itY^c7bQ;C33v=|3qHiC36ORAwnQLDERuQ=ZIvWlI9=f~*(*mbO(|AK0HJsQzo94?UMGfafjI z6t=d34a*G1P?`GkwqJY9UZiJvjO$CBE`&-l3#zEdBJi476MA$ejJ@bze;jQ+zDc!= zF$afT>ZQ}iD2-B5QR*?a{FrPK04tWmS+mU0sl0a?rTo7+wVkbK!-DX*1{T|eSMQhz zAxav@I2oeLhHmg}NTP(Qn{$u+$am=071l2OG|sI{){d8hux|vaQ}|;IW31tI^5=J8 zpK#P<+|64Dx6=pkh`NVTvKdJm%*6>xEEeR;ZXsIXxNXBBPLT2Z!+)7G$I|@eEAzk(@&Ov zlaOE&E%~TA2kbq4rgOgzcljLgen%W8q8@d)ykLawD){Pa!e%O$VY>(f*)$*|s$DCj z0?z$!c-RT<`qn#63pLJqPOd*m%cG`E94#3CU1@0xJPv`GKmEC#G3njaPtUE6u^3Xc zrZMYSHBR$c-HbsQLwWMm`(3n7j8{Q^9>%eoMgeir@g;pw>zE7yypQtq{cmD$SMJLd zi$R&u-gAnK13~3s+lG$wPHGI#t6RP}$BmS10$l z+1O~Ft&;@WZ0Tp^m&^}1r@J1n<4ZhLK^Sq$%E~U2TAmjq+edBiA!;F~{Cm7P$Iom1 zVQbxq=-)vjYyQT$%j^r4He!*sVNM$9_w$jk8}@1M3w&9G@_Rw!5QIx6maKKFw5qjl4iFRU!zC1R6tcitIYZFc6wZm zX|mkIrdunq%~SKxF)~62UTmi*0IX}Z{iRH3gKxRt*I%pn7+M=G_gq-vBQrB}meaOe z#KMiD_((w~vBXF#G^GWH?(QXX+D|tgb1jRYS0rN^ScrFTufio6BTf98QN~3+h4$e{ zM;eufQ|ao2H>%bkGSLt9@yXZa#uu+p99<)7cq_Uh|2tSr2`d}w4)?57haEK= zw(Wp>#L7|^R+4y$5N?I@)WPC)XR}8YwWtfiJQ?ECoQZpYZGSloUEtP|eCc$)iRggB zSg|dc12RNEywVzRBd-uQ&;3o%zZE-lE>4uf9hpn>>H1Om6<$uyp_LBJzkUqq7m`tVno54%vZaPHuy8n=e`&JwO>G335^VA;yHJTP#!xYTuhf_@Tz}ypMTs8|KkPSveBXsG+b&hWS|B z$jOMU4;xrK)frr2uMQ|}2gD)Lc#6a0f_QbTQR;*?#-9#IAe1T9*OadyCwXgU6EBn& zj22?nT2u&AxcnXwaIiyPkbyOmFU zKPfniLzc&WJMA{i+uOmQWZB0c*RrLc7JiqJ>9d0L+^X!Jvi+6`zbAESCfoe(i)D9= zHG*Yy-%B$?0h)Y|L877apv0iI_g(Y0koa=qo2}oLv9-k9ErTZBe?G7+88&;C);dg9 z-EAo6;$5-8uEW|YDlRMf7x1?ec%9N)R~j}Pl^&u~>%)jtIy`9@51NRGh*)6=JpCi+ z%WWEX_Lbh}Z#=%<)A&@PEoAhGW_R`v?J%XUg$+^>Qt~kbbrH|=86UI_?k3cgQT+K> z*_ylh^e$kjY_VTy;rJY>(Ic%JVuwj?*t=-Z&+`A z(e{%3hYgR?z9}Z8a^2Q!vsWW+3a4S$cLGMVity~u<7uaXfxu&aEUAmFYPNeuMn=j5 zS(2O9#^Y19_K$?$(ai@M=6(Ob#%bJ?IHD>Z4al7e=RchTA=g9gS0yuobXSs?&G}kj zXKO=wlYpG4OE}cb8MTu<`T?f{!G*wizWcB*c z&xcIpiQ^G(2P<4ivI%k^v`6@7Lpcff*zSOn+3vxd*Xi!y&=WX6|HC!*74QjZC}{<; z7n+WCsm3$25l2wxmo;tT=D7CkoeE>(9YbM54Hty7!_%_m z+jr5-=f`oYC%OZX_Kk6{?uK`X<;dx7#>aRPfZeUwoq=Z~WqHAX|D~E|$R& z=)y7Eb<^*x5t>ZKo6uI()WSbJW=kT0+((Y#Cx3I+XAfSCP-8L`t1$RkEO+DUaZPYY zpNs|>Q*AfX|Iu6$)j%A9bY0<9{RP}7W;UT@<0`7;qf&Fj64z?LjJ~F(W}U0GUEB!) zYqoz?rtd}}ZAp2!sM`u^?!wyRvx^ak;S<{KF=fWhQ^sDi+U9qOskmzF%Uj18eAjYZ z>)THkBMYfNjR|!bahxevQF5C&{P=WFEg&`M&SCV$m2SN#B}UfEbmBo7O@l z%d^Rsne~xH3Qa3GdDWTj4|axc1QgxHaM(lo39tH19vg}Iw~2MLarg9Ug`(^e{I3Hy z`VjSS6vZj8GnTYKd*3%TqIvwASRRWbvK$8c8l>dw2KUnhn>%TdL`?MdMC9yhp}W&} zBwn8qtla6#CORS()Titsvt=d=muUxQP8T4}X|w5>Mz?if0GToE{X)~XAOMAG)81{( z(F!WLzPd=cxY^qnD?Zz*9#|VMUGym(5Z{$B@!J*zU(ww;mOPnBNai$Tu|=uCx?|}3 zV#Ie+Tb7dz?=~hAL;^Lnv;<6tZD2o`J<7I4IcEkvSaZt% z5_ROkkCTu|*x&B2tV>qx>~h&ml+gn|YsUEp2{_oa;oR+)2mq|yjwjzzZ8vR}HOrB= zNyA9BD3E-Umom^yOdNsqQ1kQUeN@k7n3~v>8&uH!ZQINIIM2<)I_GN_ z8y3uv+-`%fl((AKhol5Q_w0_i_9$hJcCk*0iSfRQ9=waayrolk-v9N<&g`T^b${<{ zxoLY~I0)x&O=0~dE2Ro-rQK}LpBD;X)TFNxk^FCO_5X?kjCe}3S`pXg*=qK#=?DVO(6oFR7I;D%PCvz8pOT%AtYTQ@Q$P(k`u@tSVuj8ycnaur;nOy`oTw?E3S`e zS2LHc=KX;t-5S@+-<=j?N+TN7a^L%M@K=M`58#v1>Fo&dS0|{7^~6XX>ospx^*KyC z*4Ki7_+{p5c%$mLTsDdd`}q1|SC?8IEbsP|eL1JsG*}jUdNKD3ay5yeIiJeoPZa~&UykZu9Fs4tFk*j zIDb&#C8~7NU|IZh=gwv&8V!y&psfX~2^hS;%xq_hc~pVr)#pRj=$iQax{Xk-CrZVA zG}@8W*`*~6edw)T_VOA`rucO8ZkyrGu}Q1%B%p5D#btbr$M@2@^kgq%@8@q#qA2@3 zgRk~ug9P}itE%{JkMJsm&n^5-3IkbhAVns<^)He%L)epWQr zD~m{4Z@bu0x@yKK_NBg3sN%cYdMjNGLVax2{`JPv@MA72MZ0?r^lsF|CGW=}Tqcz7 z{K~vah1iO_A-|p4uNqd;?5TMzaO-DWi4C1v1>IIL)*!oGO|viibG})=8pV$>o2;_F zOD7V?Ue=I1vxM5H%JsP$WIB7A>syjVK1l1xJe2&NK2S6y564Y+`1kse;s%*Hu%2;4 z(|F*{_}te9tMG^^p}~d;Jyy}pb;9_-O>DK_T!%kklG_8ADq0yzCNJamST$C>4x%n+ zvuDgxC75Cxplyv{wl#cZS;cDShp_2QJ5=4SH|#Hy*0ttwcBG8erLD*M_KPmm{&7)J zx1#7~Nj0^I^W!)9%I3GTq~-}`ko2v1H!C&;&?*|qf42AI-XlS~he4-57#nt)HhU#i zIXA10OG+5nzoJlK;yVqYz=-op1o;C9o#^*9>q%&---rqOvBec;H`Dc&6Z zs$|OX*Ps+s;KUNX8Eb@SWX6|B5(#4S6V6uI=ixRy**;@>A(X&@Ro1*CCN%GV;J{d? z`1<4B%BrfWmTcinAbbV{clUQTxJ=cNEfAW$M{>q6N$FaSWtBS@m)0!;C}8Mh%!jo4 zFYbwvYY+2Q?Sx6{qfBwHvOQ|BD=RzAyQ=+`r}K7QzrQu{LbgCuzI}~J9DejY+35$? zHleC1%%1T)cH?j1w=9d_73S-Cx~p%`h;2eCqwOd%UAi7g0LH_Ie(e16U;ruRaWz>` zH{;q@GBE!rrPh9e59Fmsa=B>&wg@(F?q>*JY;jaMWE^{>e`}V_J7H0q``cR`Z1!|0QS%#liB`6ed?jCql zY+9P4bsKp|Sb7ZV93HV|I78&Y(LMfs7^0F&?T=QWHzd?2w)bGVA znuvcMWaSTnC8HdJ9f`1;Ay1!UJCN2OMg;@~-odkF5^NX;KElTDFJu{Ae|MQoQh=Tx ztqp}nY*ZHIDWz~-ZaX2X6_U#HJ@gBGZzK3bpKp{47~qJa>?4!ksGAyMH%!FG}m@6w`%jw_P>; z5MoJ*iI;^11vb(!({h8_jcY4DpVkk7X3IW9=s=`PR3G68u6DB3vX*Sn;~?1D&z=6C zcRr))!hW78o9QmRhWUNlb`|FlX_83w?T;mVbZzW&cWf*KrP^av^+xu9Vnwk%@QSRX z4N;UZsa?##o<6+7Tax0TA4$o%_>)}iFEFCF0D8KG1X8Zh9pbVp0s8V*!1LG~&tz7K zcXxZ8?xi-I-+^l;w0CE(67|m)dt3=o_neC>&?#2(_*v`mlzlyesO#SyPQ$v(H-L^} zEc=tQg3bBR@+e@2e%`o$rsG^iP#lssf+*4&$POJo7G?0BMbGu9edZ!Q$3EOPEN0oN z9sj1ri005v(O%vuocQ|&unh_<3ENQZnOALC(U15)(tG}X;sf&Bd@sjrV7VmPwzkjt z-(r-3^HcBG0}!MPRYDa8)-M7C*PwQknr~nabIPqVvc5=s9)vS|^TGjk%JH8s-`TJd zcfif{oDZvi)U6}Db%KDo%>=P8-sfP&`X~c<$kw{I16e9y@2379j;OsIEzr0P_$s}< zy`%|RLst9giW>}^t;{5>HR4U|+TS<|Jw~GgyVHI$*)qXQ$5vWL>sU55VS9f|&zBMg? z2$Oc>OLhm;#ys@(3%iR7&vjC2o&UVTh;4FoMLl{A-~w@mRdnrvN7h%l+S|wp#J;-z z-XwNbB?GL>^0ZICi;C!_ZZ6%pS`UYJv$I`$pWkJWNCmOjWxIC5bv4sVM|h?gI%XwP z-Ln3m4r?w>YrBr|mRVB6iC+Q1@v@DjSU#4)$gN-SHpI-|T%XVZ!!!c=>0NrU#J5>K z`BgvM;|>ixmh7f#*0})T&8b=|kNq2@;9%V)$J;Ld^+|^8FSnEsn3w$r4@0@(n~SwH z4xcVFPg+8_G6S8S9T;k!cvu5@rujfXr~qS5P0sPYV!)z)Ic^4#6RC{XrtEb@(rF z`9_GnCz|9^R)HxmN))F+IB5fPnk1Ol3<@pAgRS*x6rd^kiNiPWhor>X& zZl~boY)CaxbuA--J6;=Z)5^Kzspj%-gPUmkl0P?l2t4WM?>sDvjFhk0CrlmDwf@_b z!NwkA+R5*LHx#lN{Bl^f-MVz`l{COSK|f!-2^?n~XWj4I@i*ievfU>@DEaLPPzlL+ zN>UOMIay);V+(}CGJ&osFlH`})tm6b7r89mg(XWDx~2iv!JH;74Z|nSE6BG~prHB9 zpX1PbQVm`7^O2E}<&&;`oVr2#zo_OJS3&%&mU&u9`MWJ6ySu+5^wDPEYa5EAgtfDF z!tg=wTso*hkhrtyVFT;lgC~t#PYwwr@BMyu{^(xRF)c-F8J>AXL721bCjOTZ-(5W2 z?91@Mp_7R))AQDj50qEqBQw~EWal60QYsy5kgZQ_^$({mu%WH;)D~AfpZ1%JIPs4Q zer(ng>@bbm`==*;7COZCh;)%&py{NlH%QR3qL{aR7&*E&w)iVD;E;_4L>X(4v=tsx zo8F(YR7Ovnjc~gxs~4Re%ZAa8GGK9496m^-(C}~V->D5lvFL%(Fzx0d@(E? z93RFmLkxVbl@}GyFSL$=Zz#`uz=C{2_Nz#nHNujSS6McnODgMsazlp*db4w1o#3Fi z67P5h1n)gLE=0B>DjhWr=b<^-3cX^lSavL|;C;ap_7}de!mtZYe#5lPg7LQ=8TksD z&Cb-tM;9CdAjAKQ<{$VAG9H$A1%Ei4HGRTld6s`b;s<~=20}sCml-0VhrCpv01p0VqFzkc>*4%(&Iq#LxQr@f$t| z_3MX|Z?k99_m&S__|r8)EuqXLOqY_9v<+=E-1gtkQ{`sO;9IcHV1h8({^O^K zf1(oHBao8mQ$zAqG>`c~_6_Pgb3v;EkISOW_4!0QOA3cR#2G-i7BpI?i(c1K6SFq< zypf@G3M5ODKCAyW253L7^ryRR*Dep}cBr zT3vbkjvd~$?8|vh*|Gu*h#htYGX({lY$ix?xQ@Bshqa9t*5$_W$EPq@Ye+q`6t@ja z6$-Vj4$(l=LE0dyJk{mU=qEE{jZw}Ao$VvBrkPNCj+~1NiC5ZzjwR5UUvYfx$=!wj z6@dWUpP(j%T>dex5Kz#3N-jO~>r8a>WP}FSTi$!sF8^*rSxJdQVywxsE?%u}0w@(( z1|f#yMAI-}0ytNi@w4nO_ZiMe_ZzuG}2s4`cFs}|| zXE%NSvLIJ}i`*Mt{})clX)yRzDW%~@0Vu?8NxLXz8hxHpUn(a&J(_+vBD#7f9Lafr z%if;MW!wuwSywPNj$VWFpVb#Z#BazcwBBpegKe+`1dPk4_&1K+B zuNPh{w9@@VXb)u)DWN&Ijg2!w>x^mub!!p2%DOLA%)`zug2rztS{T5ar$%jTUZbcs zKww}_-s~x7i141Z-&}EHKeqMIE_W=jOSF>3vs$jL=BC!rm?{m+xA^+E(4!4>L~QjH zw4P@`id&2R`?uWZ11jboNe4>2`KDS6oMaL}{C6G$14~wBxxs0{Qs$}NP(6C14$fyc zAiFzG_m_Nt+4QYoG7WL#6reglX`BeL?eOML*Ex%)DZ_X3G9MM+uvmE5=^t1eQdXNe zTKQ2YRsRRWi3g@pf>zv<@>W+qah9lqf7})=S{vPbXC#=ybXJEJ;hz=FMRIVEmDH=g;&; z9rZVUBCAFL+68&KSz11t+O#gQR@O<#=y-wTIGfD7{%_&)Kj}czQX`vHlu19cyJKv? z;RYaj7JpHh*3{4WZeF}PT3lR20zaCLrHp-TXqv!A*e@G&)@RD+viS3Fi_ZZW7GRLS zB3oaqu?vbKl~v2F+N5>E@sq4xrXCQA=nk8Psj5z+=*5$B zUVlpF)T{Wi&{Q|?y%f!|-fG1s8XxC==>Eu(8Ezk7nx+d6zNpmL<)dDM@=fX=cJBW>gN+aGD25-;Tk%akMieRc zSpAFbm z>R&HHk&^f2B}iaEoiqJUp$ZuBPvqOI9-vrhdXb*5CD}g&6_HP1->_d-dH>hit3N^H zt*vR?Mj~YoZ!iC`nOW?FVHJ4Q_D~Pc$PVuHi$()S5RRsni=vLd1YAnMVXvTAmeun3 z_zS~@G7fqtR<|D|8-}|J1+gMgojZtK573)Fg z|D;a=Rm?D*od7#{5V|X*bL-{h6U!sqh4%%U0gf?~c+UzgZ9vPH-5m!!e~me7YnV7B zvfm+aF7)EbM@mp-SN#mTcczW_$u+(Yd%$ac%dWegGcMhKL3GD6N>OIvj`pP%n<%iN z3~cH=W?iTc>!UNp@q%=Z3VnBtmVFmn4UaAMd%$TmP#^2mQ&M~0}YIS2%^^wS{9&}UcBpmJ;fgC&L%dv;%-fJKrbn}6W zCCAmYCr*6fOPz$6aV&Rx^YKigrFxyT%7lPfj$_wD6MAORTfe}m=TZ%99Bl0RPKVL(GUEDvwCmS#P$m_GxPDfc z2g;9r1WO@EdtIZvu&$VEQ%1=^2UI8l;yAIU9mSqYlohYVw$6yaM9Njv;i}>oV9Qhe zXRW?!#Iy_=C8NWT0XPzh4Sf~T>iAIvaih3L8X5$_ialkSy#ryt#8g<&V6@I{=kYnND=%2rY|9*Z@<5a?Qb&h772 z=F%7%$5V^96U8-zeV1uw_QdY+l4t??im{FnTU=(EQJ`8I(S|jSoNS@{=`HTeQju5_ zU-zFC{{?+8iXiw$h@ghUw+B-aX#6_<>Jzv7>7X7t9W;6hawQNk>9s$Gsy?R=p%394 zJ`t>bu8}!Q)FB=0f>8a>B`Ov>h&8I_tt#|N)PLU0w0Ex{1+0ABdlR%yQ??~Vsv_3wl{0m-3oT3Ed-aTaRtk$na4EG#mQ_e;PY?? zRk@3jWPy99t;kl^Lc#{J0+k2tAcD%|DO5pgvAI|u4%M;x)3(t!G_^dJps6ZYIC~q` zlV6X2jN2AJ+KJZrNt4k>%6C)Z?_O>-p z3$Y70=Gj(IQ$`BpP}7Sg#+!!q&JV=fAd*-eZHy5^GwtC>L7qu5FY+%*NY0*L`^K}W zAUm54!3l=HI2n})(U2}-2*cgXU5o4)LBwFS^;xFIB94W@fKm?c4AQ5<;Ul>!NxI%2J?7<4ao7p3i%N>*qb{?SI_Ju+^nRvqRI zmsy-=&2-mX^lHB_&NXiKlr2NdULK@9M2>_XQZVy%868-BX(yxr1ovjP!g&g zBJiesR%9Vfm9Tcw@^WOrrZ>I2Pya?|rOAk|TCGq2gm=%StBE-*Y!Ec}j!`2@UUtk6 zlW}07w|rhzIyur4N@(>5m=;@H2zEGa+*kSlO~PuXqN1Aj`9-1o@aDL_eby}d78?U$ zp>o!9nu3IyXJMkl$)MJ**7H{c#V@-cM!>-MCgfA53TQEI!Yk87)D zL{&wn)ZiD8(?%9Sk^PyHhK7cVzW$`(WDJ0K8TJJLU3-6iJO_Um z#N5{ynP;uwXC>bY6H%Cs+*jeS;ed`2`0)$T>36tN0@a)L-e~&Z$+^avF)9te=ot91 z#!RIMRXzUfzNB4^2<@ruiV%!>$eqqOcl9RmP_6T8>kW+N$@-%ONtmT}>^ptLXn9G= zRs#^6ghWB@g3WxJB#=9bkM{9NXu?n|KwG&dTWj-S(I2Kn9{!UUQI_a#CP1NNwDRCw zsFXGBBb+)X!6#b5_c=g@oa-8}RfQ?hrq`p5?;jTyqD&BSK*bD4BtwEC#kp4RytF*E z43Oqg9+rEwsTPy`Yv_RTg*g8v>0N?rnbeFr+6wkTQSKplw+2vK7MdiFe>1TY*pC%a zkh7|e0`}O=tQy{*h3S1+{SzJ0?77`^Z_jW+T4VUgBGH{baTT>GWTpn2wl0y5;gLks z3QB%~I@V3X9l;6*;5y^%d1`3Hvl>s1-1*GUTke&MvI~OcLBAr3ZXmiqd&s%1c^PT2 zbT3mE_$ZB;z%j+)hKXqpKS5l-I_(gfMknWQi%XsFQgvLw>z*|^oHEBDQ4}RCDrq&_ zKo8XsH$B-Hi+r0MP-g`e@IqmVHNCrx7}j!geGz_ku*&ayXoCTI(ISwgJ$7wOnpdHm zN>DOTQEf16ju7h6=71k#??IjvDhlH^G}d)Xg33YtDl6FHQmpjKO1jfZL0*jAEa32V z6UqPsp*(zmkgE!$^3o-O8512mVR&v^+_3aq3lYKWks`o%?p60N6=F05bnv-Qd=fiP zP{4j0{sA~ow*eY?RgtCGgr2}*8VB0pE2=H5aWF>8=KUuutcQcQ|1SWufK~<$oelgA zzo%)|vB=fCpClXRxePD01W(69$4(CzrLi-Z%ovDw8+h{P0KK!CRG8Oy;Cb zUi5e%cwA<1O0~~c)2-GCbR5uy0fAC-=ye3$T$qAcB!iq~qs*!GXnY6$5oPr>-kW`+ zP=MrA&C$SHYteH-6V@KtRJ@DppqBcxK^2f#oxmm@N%@Psu)t)90v$SQf2q5kPCC%P zomnZF4htk4AoYob`6loE`qN({dWZgTAuZ1X8wSn<=#gOc%`aO0ODF|S^L^iZaOE3P z5C`bc#;%|uPL0mF1AD7}KJeDMqyI!Uxz&y@09A(Hkn~d2pvqcmPnqIkOEJ~R>lMXC zKSohT+S>*&r75#OK*{i44A&Q5>*H=m13l;sqy(xVkUD`jVj(n64Y23v}N7=iljQh2Gwr!FyHVvXTft6 zyJ7_kwqbthjv|vvO;NfQJs2pcJ^7Wuwrcl91FAn(^O;euN~a>w@WW(>uNQUNBzu_Z zKMI69MMVm7+4t4#QR?IcaD!A??!&MN)l4yMa1@5&>5e*^OQnYOF@TfIRN`v1QUS#< z*R3D|-LrQ|y%!Q`PcK7X3o0bJVY&jY=l7jkQ0rkzpSmFmV#Fe$gV%ZWs1VQrTsLQF z&14L8*tL4^{l!rQ-8_{hHiZgJ8rj@sSd!ZrQ#-$e*D#_4$3pC8AWhKTa|pcog6vJq z!@z00WHJ{>+cR+>m$ZP}vy05*E?Dz7qqtU!xL`pk?w)-5Czoc|muI(P`13dUfHC>` z_hPp_`!hxZP_ZW5?Cj!2O^hvwW85s3Q48afo9)>Ecda92$NsJxD>9Ri4Y~1O=AN0iHx1+pJRjrGR(uJoZkUXv8RSLtK-7=F$oYVs-S5Q-cHt9K zh0R5j5&sc>Nh-RoYpV2@nx{e{k(?maH%LkOwAr*Z*P5P@k?}9xP)qA?A|n&^U9LA{ zwjN>%ckjnzy!p|g`{&%p1d4lQY;%#i_BBky^whaeYHB)tAFP)bsbB$9`HK(1X1!0y zV>9>|{7Y+f$$jfLuQ%{owMAl8m{6qMhYg+}R8heH>fp=+pZpqRWnk0ina~Xx~ zB800UTeukeOhU&B}ta7VeHpVerJC3_sqQSJn!?I z^F8PLIg>9CU6j`4*dl{JU?i^kW5$A*1~i3GwQtjMKN@DM3B-L>W;cfb0E~+~_%V-+ zuUQxxasZ*Qdeg~i3sk0pUZvRvBWmY}hsdEw?)Q>2l(4lB5>kiNlzOHYH~Ba@S=-v0 z5B!)9zJx;6xw~r}qBdt#{MO_+zPU1wj2MQ{rKeh=PB1mhud z{do*$v5W92nK-Pvbgjo&1MT6yf57s&!r^Lqku3H;RnYR!97F`MHXC4Hw%AuxJ5$%< zdmomhS)V-1;e|6?ocGI$*`_ zlIJ){&VR|)#MD$Ohy#GWY53C<2f+3d)6&xD>LoCyc_*r5u#%REHw`Wu4+u%0uBG3; zFv^|QqowddTLah1z&Unz;iaRKlRi8esXC(+Ny0X0z+W^QN^8mr#d~5$Cst;)-KEkX zGg8O2zL+_Eg8PJMRJ$o<%*^+1c?WSxNvybdG+7`aP0^#9I&{M(k(&6BTqGLd3cW>x z*KR}ik^Vd_w%x7eo{UT0+YzC+gBD!KIww4z+s9u;z4_#o;dJl6Uq2;nUVqZnd9gD{ zfJ|#y?UGdR>{$my+E5Y9nE0|2AD;?+S=-!DdBfv#_rU5&m3KZ-x-hY|H`klX2>nt{ zUS8flj;_>HM>(tKhGrYbdO5ex_o9|vUhX;5iBExiTL<6kGt8C%$fcvJOQUo8IK)%m(Yc3Y?|9cD+HHV|+@lu-Jys3|t`BbZo|d`p#GTVEfla^`}F zWw$ofLH+SY?t3mT*S~Jq&W9f|15zk8oFnQwjLydwlsGt5S2QyD90#?3#UG3WbSeqc zFNC$3ZP*tdUQdLC|2QMV;LaUcP$g5p_Zq)u*U7wL)4*XPN`KWuTy2f76D-o{aZ_pfKc@2vUCokavqR5h_t->N(=6+i2=$U{0hh0A zI4S(3QwM48iQ;9X7SH`9&{pJ!8UiRi0fPDp^ywy*IHcbFXL2o~!T6PR{UN(<{2zzG zJjCD}pJn0(QwC5uvq=`j8-N`6%zud*_BfoWFS@t21P|}XHluL`s~R3>KlkLut7vs5 z4guw6njRJx&(Fyr!62x#9Yy3qK31a`eW=<(ZnYrsI!JVoWib8pwd@{VeH+N`Ur(+u zXyALu?LIl?E&P~?@yt8N#PQE^a_HJtvGS0HTEQS{&yQEr^YW4b;^GE$U4^k&qT374 zF3p#$pOxqe3JbkylF4IKmBvcoEeK2$>d>q6Vq*4y&z(GVN*01J)~NLLOoIw4;4uF- ztMNbV#J$Z$UTHfz==T+0?9kKIEt0>*J4p3vXk&|ME*s+jIf zSqHD2t`|&dAQ5c`8UxBMb4#4BK+4zly({%Y zPsn;C`TCg&1>Z;)NlfhoS)BCgDPE#}Di?q%f`|DVIyn8@vAwAGAQM^9L~) zk?Kntpya?ozS`d2ZU^>Y(5#Ar@}zg=bFXmw^dluRLHDN^)ZcwEzo3>~rro6l+pG%; zt@kh?8L+olLtl1Vp-J&iAi z9;(0^Ko+AbckD=<_q!1LY^ya@r=n@Ao&VU$h`Fz2-d~E4N-=gyG;){6M*++V}cE@BQEFm)78CDEa(4UiNM#bEu?N z(o7m%^4|}oO*wq9?3X`W769;?CVtb{kCti>l9T%v>}VlO5I}dc?oK`C#LanVw3pb_ zbo&zgFOU#52FHQH=pqlb?D(mvDetYa`Zc|!cU#1np6vLZ+2X31A5bPL`B6=$DZebF`L9D5fn|M^};8x2Tu(T1)b8e03EDN;@_(zH)= zz2JAzxHD>-#lQp1nrOY2_y?lm>f5n{(*6Um&tJI?O45x6ZxLwtYRLYip!fc^*Bx)~ zoffGOFz5NwvWwqUc*)8apBr!ww;syp& z#Xt0CQ+S55@5fxt3z>+TN#Lc@Z5g+3(!e#CI}bw;_(S8bzvwyD_oZR`gJkdo-#8&F ztNYk286g;JZn+Dt)(U4dS~6U?zPiTJr=WG7{I9jNX-1h>TZFWxgN#|YS}Ac@kcM_tx<2zY>V_BO+^qC;okW(P&zvRNf0|RVXy4J6yzQl5g_OCxS}zo*!iV*=9Gif~&!F+Lw};$J_E`ES9Z*8NfgkYNIt z!lO%Ljq1PZmMrlj1kPMOhl$5sj=WqE(7JIt>KKVgo=V_i0l>7c#$#*-um^kE;2Uru z9-(`~FT}Eg){dqtdfb9|mX?`$A#U;{GJg&~a%yoqR;HpeQNlse*uG7%2wA?lBN8`B6Luh%Li>8iGS4?b3C0pz3d9r@Q(!`GZtim-6J>T? zfaxNGXdeH~5iq^IfYq-)V6<5DMC%*Z=Sj4Gp=6gfvXnxd)nT zG$M6O-*OOsv+8r-SHFtlFEi~Eak~>QPjZ%9;}4Iwlw%~HsE#rTVg3o@*Vor)soxsC zr|3TX`lY|QdGdCU+9C*;rurj@$A27Sj`5)o}J zTqVhh`{L!Zm^{P?C;oi50BIv7_tnbGKD%q(zNCR^+4>qkiM#)!!Fx3LFEQ=KrOHFX`Qup}ixR>8>fqY*?=TP4{Og<@f42s0jQOrx%! zmR2}_e$FT>pKm%`wMiV!96`LX2v=3 z(mjiDiedTD?tf3Mk0;Yd8*|xbMmlO_I2dF|*~JHnDtDYI6q|aZXKLd>rClQScFG^Wx?=a_^#T zKi=A!_I(;=59FTHR|t1DS4P+lW}aFU!chMh^lc>j9d9)&Bo{2$^u@NPK6?LsJ~j0j z;*}jF|7h^`M0~J&>?#x(F4u5Dm^D+>+zxxZ(W!*xL4Vs;w1dGFyFxbp)L!{Lf2p;q zlR3^WuIc!a@f)vJaGSme-^m1@9F>(aZb7buljFP;Nk!RK^yhO{i32Ogm`0JG-doqF zR>L(4T$4{RHi8mC?t)(=LkYnjn6kH>Ka{w5)YXS}ktde$3F?t0w$GL-P4b4mqs{s= z+Q+R;MIBXF`dj=?p+JH@Rm=A9lSVgtWz=wSaallLXq3N<{cfyGt2{@tqk=SIO{dDU zsN|xD*T*?t@>H4Sk)%GVX`fUdu#4n{?rESK_~O68{;c$0CeA`6ALn9eF$f9Tk97ptp5!))6igES-mK$r%G&^YT_t2#`Me{s8OIEe_W> zfn^#6seC^yvH+Pcjl3#IQy#pFLIz1xm0mQL%qG+4a_)&t^4pU;39JRmNSzICjU*V= z?m?=c7~ycFLplZ$n}o$cp*VGSq`$v^hLMG%M1B-hqt=}}cXWZH*dhh!R5CNP^l>x= z^E!Eko(`!mepZ*+n9osDxV-eM~4&^4`wDZzkw4n-U@EG{Xdyv9U1)rqT4*;YF(u zGZE&6ooBG5GBUU!b#W0mdI6a#eFUk(5pfW!7dmz;8%# zZ#vI!?eQeRGkuzx`YZX#lWi! Date: Fri, 6 Dec 2024 13:44:02 +0000 Subject: [PATCH 75/77] Working filters into the main body --- artefacts/README.md | 14 +- artefacts/appendix-A4-bessel-filters.ipynb | 181 ++++++-------- .../appendix-A5-bessel-filter-odes.ipynb | 84 ++++--- artefacts/artefacts-2-compensation.ipynb | 211 ++--------------- ...-epc.ipynb => artefacts-3-filtering.ipynb} | 222 +++++++++++++++++- ...ns.ipynb => artefacts-4-simulations.ipynb} | 4 +- ...ied.ipynb => artefacts-5-simplified.ipynb} | 0 ...ummary.ipynb => artefacts-6-summary.ipynb} | 0 artefacts/library.py | 3 + 9 files changed, 367 insertions(+), 352 deletions(-) rename artefacts/{artefacts-6-epc.ipynb => artefacts-3-filtering.ipynb} (67%) rename artefacts/{artefacts-3-simulations.ipynb => artefacts-4-simulations.ipynb} (99%) rename artefacts/{artefacts-4-simplified.ipynb => artefacts-5-simplified.ipynb} (100%) rename artefacts/{artefacts-5-summary.ipynb => artefacts-6-summary.ipynb} (100%) diff --git a/artefacts/README.md b/artefacts/README.md index 4b0d3b3..982af3a 100644 --- a/artefacts/README.md +++ b/artefacts/README.md @@ -14,17 +14,21 @@ The first notebook describes the uncompensated patch-clamp set up, and shows how In the second notebook we update the model to include the compensation circuitry commonly used in patch-clamp amplifiers. -## Simulating a manual patch clamp experiment [![github](../img/github.svg)](artefacts-3-simulations.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-3-simulations.ipynb) +## Modelling filters [![github](../img/github.svg)](artefacts-3-filtering.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-3-filtering.ipynb) (Unfinished) In this notebook, we walk through the steps of a manual patch-clamp experiment. -## Simplified models [![github](../img/github.svg)](artefacts-4-simplified.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-4-simplified.ipynb) +## Simulating a manual patch clamp experiment [![github](../img/github.svg)](artefacts-4-simulations.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-4-simulations.ipynb) -In notebook number four we derive simplified models of the compensated voltage clamp setup, which can be used in fitting. +(Unfinished) In this notebook, we walk through the steps of a manual patch-clamp experiment. + +## Simplified models [![github](../img/github.svg)](artefacts-5-simplified.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-5-simplified.ipynb) + +(Unfinished) In notebook number five we derive simplified models of the compensated voltage clamp setup, which can be used in fitting. -## Summary [![github](../img/github.svg)](artefacts-5-summary.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-5-summary.ipynb) +## Summary [![github](../img/github.svg)](artefacts-6-summary.ipynb) [![nbviewer](../img/nbviewer.svg)](https://nbviewer.jupyter.org/github/CardiacModelling/fitting-notebooks/tree/artefacts/artefacts/artefacts-6-summary.ipynb) -Finally, we present two simplified models (with currents in pA and currents in A/F), in equation & Myokit form. +(Unfinished) Finally, we present two simplified models (with currents in pA and currents in A/F), in equation & Myokit form. ## Appendices diff --git a/artefacts/appendix-A4-bessel-filters.ipynb b/artefacts/appendix-A4-bessel-filters.ipynb index cdb92b1..9346e7f 100644 --- a/artefacts/appendix-A4-bessel-filters.ipynb +++ b/artefacts/appendix-A4-bessel-filters.ipynb @@ -14,7 +14,8 @@ "id": "8da3b3fe-426a-4710-a21a-d01126734998", "metadata": {}, "source": [ - "[Bessel filters](https://en.wikipedia.org/wiki/Bessel_filter) are popular for low-pass filtering in patch clamp hardware and software.\n", + "[Bessel filters](https://en.wikipedia.org/wiki/Bessel_filter) are popular for low-pass filtering in patch clamp hardware.\n", + "Compared to other filter types, they have very little _overshoot_: when you pass a voltage step through a Bessel filter it gets smoothed, but the filtered voltage _almost_ doesn't go beyond the intended step (graphs below!).\n", "\n", "In this notebook, we build on the concepts reviewed in [Appendix A2](./appendix-A2-laplace-and-filters.ipynb) to explore Bessel filters in detail." ] @@ -293,16 +294,15 @@ "\\end{align}\n", "we can immediately see that the \"gain\" of 15 is correct.\n", "\n", - "Guessing what fractions 1.83890732, 1.75438096, and 2.32218535 approximate is harder, so instead we write out the equation for denominator $D$ symbolically, using $a\\approx1.83890732$, $b\\approx1.75438096$, and $c\\approx2.32218535$:\n", + "Guessing what fractions 1.83890732, 1.75438096, and 2.32218535 approximate is harder, so instead we write out the equation for denominator $D$ symbolically, and then fill in $a\\approx1.83890732$, $b\\approx1.75438096$, and $c\\approx2.32218535$:\n", "\n", "\\begin{align}\n", "D &= (s + a - bi)(s + a + bi)(s + c) \\\\\n", - "&= ((s + a)^2 + b^2))(s + c) \\\\\n", - "&= (s^2 + a^2 + 2as + b^2)(s + c) \\\\\n", - "&= (s^2 + a^2 + 2as + b^2)(s + c) \\\\\n", - "&= s^3 + a^2s + 2as^2 + b^2s + cs^2 + a^2c + 2acs + b^2c \\\\\n", - "&= s^3 + (2a + c)s^2 + (a^2 + b^2 + 2ac)s + c(a^2 + b^2)\n", - "\\end{align}\n" + " &= ((s + a)^2 + b^2))(s + c) \\\\\n", + " &= (s^2 + a^2 + 2as + b^2)(s + c) \\\\\n", + " &= s^3 + a^2s + 2as^2 + b^2s + cs^2 + a^2c + 2acs + b^2c \\\\\n", + " &= s^3 + (2a + c)s^2 + (a^2 + b^2 + 2ac)s + c(a^2 + b^2)\n", + "\\end{align}" ] }, { @@ -389,7 +389,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -405,12 +405,21 @@ "plt.show() " ] }, + { + "cell_type": "markdown", + "id": "bc392c63-7265-43ad-b61c-f5130af0363e", + "metadata": {}, + "source": [ + "Note that the vertical line at the center of the angular frequency plot shouldn't really be there: The phase shift just starts at 0 degrees and then increases, but by convention we call the shifts beyond 180 degrees negative shifts instead." + ] + }, { "cell_type": "markdown", "id": "2729e681-e32b-4f86-a9f3-406a4703ee3f", "metadata": {}, "source": [ - "If we're not worried about performance, we can even write these methods as a function of the order $n$:" + "We can write the `mag` and `abs` methods to take an argument $n$ for order (or pole count).\n", + "(Although the example below is a very inefficient way to do this)" ] }, { @@ -421,7 +430,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -469,7 +478,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -490,6 +499,7 @@ "\n", "b, a = scipy.signal.bessel(6, 1, analog=True, norm='delay')\n", "w, h = scipy.signal.freqs(b, a)\n", + "ax0.plot(w, np.abs(h), 'k-.')\n", "ax1.plot(w, -np.angle(h) * 180 / np.pi, 'k-.')\n", "\n", "plt.show()" @@ -500,7 +510,7 @@ "id": "84f64705-d646-475f-a7a1-5cfc9f8a9ce8", "metadata": {}, "source": [ - "This confirms that the SciPy `bessel` function with `Wn=1`, `analog=True`, and `norm='delay' is the canonical \"Bessel filter\" described by the transfer functions above." + "This confirms that the SciPy `bessel` function with `Wn=1`, `analog=True`, and `norm='delay'` is the canonical \"Bessel filter\" described by the transfer functions above." ] }, { @@ -510,7 +520,7 @@ "source": [ "## Emulating an analog filter\n", "\n", - "We can also use SciPy to emulate the effects of an analog filter on an analog signal, although we represent it here as a digital (sampled) signal!\n", + "We can also use SciPy to simulate the effects of an analog filter (i.e. a fitler implemented in electronics) on an analog signal (some fluctuating voltage on a wire).\n", "\n", "For this, we use the method [lsim](https://docs.scipy.org/doc/scipy/reference/generated/scipy.signal.lsim.html)." ] @@ -526,7 +536,7 @@ "With these settings, `Wn` is interpreted as something related to \"group delay\", and using `Wn=1` just gives the normal scaling.\n", "\n", "When applying a filter, we'll use `Wn=w, norm='mag'`.\n", - "With this setting, the filter is _normalised_ (hence `norm`) so that `Wn` becomes the cutoff point, i.e. the point at which the gain is -3dB." + "With this setting, the filter is _normalised_ (hence `norm`) so that `Wn` becomes the cutoff point, i.e. the point at which $|H(i\\phi)|=1/\\sqrt{2}$." ] }, { @@ -581,7 +591,7 @@ "id": "68f6dc22-219f-4fba-a7bc-ef6055de1201", "metadata": {}, "source": [ - "Both filters cause quite a phase shift, but otherwise work well." + "Both filters cause quite a phase shift, but otherwise do a great job of reducing the unwanted higher frequencies." ] }, { @@ -597,9 +607,10 @@ "\n", "- Order `n`\n", "- A frequency, _expressed as a fraction of the Nyquist frequency_, which means it's a fraction of _half the sampling frequency_. Yup.\n", - "- Analog `False`\n", + "- Analog `False` (the default)\n", + "- Norm `mag`, to let Wn set the cut-off frequency\n", "\n", - "And for the filtering we use [lfilter](https://docs.scipy.org/doc/scipy/reference/generated/scipy.signal.lfilter.html#scipy.signal.lfilter)." + "And for the filtering we use [lfilter](https://docs.scipy.org/doc/scipy/reference/generated/scipy.signal.lfilter.html#scipy.signal.lfilter) instead of lsim (this _applies_ a digital filter to a digital signal, instead of _simulating_ the application of analog filter to an analog signal)." ] }, { @@ -693,10 +704,10 @@ "id": "b473031f-6a1b-4177-b1b8-18ff14f37ae2", "metadata": {}, "source": [ - "## Two-pole bessel filter\n", + "## Two-pole bessel: the stimulus filter\n", "\n", "The HEKA EPC-10 uses a second order Bessel filter in its \"stimulus filter\", which is applied to voltage steps to reduce capacitative transients.\n", - "So we'll have a look at this filter in a bit more detail.\n", + "So we'll have a look at this filter in detail.\n", "\n", "First, we'll look at it the conventional way: as a low-pass filter over a _periodic_ signal, analysed in terms of its _frequency response_." ] @@ -851,53 +862,6 @@ "plt.show()" ] }, - { - "cell_type": "markdown", - "id": "5d97160d-1561-4a32-bb22-329ec34121d4", - "metadata": {}, - "source": [ - "#### Intermezzo: General magnitude for second order equation" - ] - }, - { - "cell_type": "markdown", - "id": "da94518d-5c22-4761-8aca-89f09d6769fe", - "metadata": {}, - "source": [ - "For the general form\n", - "\\begin{align}\n", - "H(s) = \\frac{\\omega^2}{s^2 + 2 \\zeta \\omega s + \\omega^2}\n", - "\\end{align}\n", - "\n", - "We obtain\n", - "\\begin{align}\n", - "|H(i\\phi)| = \\left| \\frac{\\omega^2}{(i\\phi)^2 + 2 \\zeta \\omega i\\phi + \\omega^2} \\right|\n", - " = \\frac{\\omega^2}{\\sqrt{(\\omega^2 - \\phi^2)^2 + (2 \\zeta \\omega \\phi)^2}}\n", - "\\end{align}" - ] - }, - { - "cell_type": "markdown", - "id": "231f9c00-e071-4504-b2cb-ec68da005540", - "metadata": {}, - "source": [ - "Filling in, we find\n", - "\n", - "\\begin{align}\n", - "H(s) = \\frac{3}{s^2 + 3s + 3}\n", - " = \\frac{\\omega^2}{s^2 + 2 \\zeta \\omega s + \\omega^2}\n", - "\\end{align}\n", - "gives\n", - "\\begin{align}\n", - "\\omega = \\sqrt{3} && \\zeta = \\frac{\\sqrt{3}}{2}\n", - "\\end{align}\n", - "for\n", - "\\begin{align}\n", - "|H(i\\phi)| = \\frac{3}{\\sqrt{(3 - \\phi^2)^2 + (3 \\phi)^2}}\n", - " = \\frac{3}{\\sqrt{\\phi^4 + 3\\phi^2 + 9}}\n", - "\\end{align}" - ] - }, { "cell_type": "markdown", "id": "282f4725-b77b-4d25-87a4-a10d6d442716", @@ -1058,7 +1022,7 @@ "id": "41011a4c-fe8f-41a9-8654-876546e323f6", "metadata": {}, "source": [ - "This looks quite nice, although it has a slight overshoot.\n", + "This looks good! And we can see the near lack of overshoot that makes Bessel filters popular.\n", "\n", "We can use the equations above to work out the rise time, but it's probably not a lovely expression so we'll just do it numerically:" ] @@ -1171,14 +1135,14 @@ "source": [ "### Does this match what we measure?\n", "\n", - "The HEKA EPC-9 (not 10!) has a [paper describing its hardware](), which contains a nice block diagram (Fig 1).\n", + "The HEKA EPC-9 has a [paper describing its hardware](), which contains a nice block diagram (Fig 1).\n", "This shows us that\n", "\n", "1. The stimulus filter is applied to the command potential before any other additions (e.g. Cm and Rs compensation)\n", "2. The \"V monitor\" is read directly from the stimulus filter output, again without any interference from other components.\n", "\n", "As a result, we should be able to see the effects of the stimulus filter on a recorded \"V monitor\" signal.\n", - "Here's one we made earlier:" + "Here's a recording made using an EPC-10:" ] }, { @@ -1342,23 +1306,27 @@ }, { "cell_type": "markdown", - "id": "e3a94057-262f-4645-a459-dd9d21ef64a9", + "id": "04e40e45-9ef2-4938-9fe8-82443e65690d", "metadata": {}, "source": [ - "### Can we use a first order approximation?\n", + "#### Is Filter2 involved in this recording?\n", "\n", - "Can we use a first-order approximation to emulate the stimulus filter?" + "Although the EPC-9 diagram shows Filter2 is only applied to the _current_ monitor, the manual for the EPC-10 (and for recent versions of Patchmaster) describe it as applying to the voltage monitor as well.\n", + "This _might_ explain the discrepancy above.\n", + "We can test by emulating filter 2's effect on a stimulus filter with rise-time 20$\\mu$s.\n", + "\n", + "Based on the meta-data in the recording, and the EPC-10 manual, we'll use a 4-pole Filter2 with an 18.22kHz cut-off." ] }, { "cell_type": "code", "execution_count": 24, - "id": "b20cbe38-3107-4f0a-86a2-c0cb4a15588c", + "id": "433620bc-d869-45c8-97f8-11aa5bd3f8f9", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1368,11 +1336,13 @@ } ], "source": [ - "def y(t, tau):\n", - " t[t < 0] = 0\n", - " return 35 + (-100 - 35) * np.exp(-t / tau)\n", + "t = np.linspace(0, 1, 10001)\n", "\n", - "tau = fmin(lambda tau: np.sum((y(d.time() - 1, tau) - d['vfiltered'])**2), 0.02, disp=False)[0]\n", + "def low_pass(time, data, f, n=3):\n", + " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f (in Hz). \"\"\"\n", + " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", + " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", + " return t, y\n", "\n", "fig = plt.figure(figsize=(5, 3))\n", "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", @@ -1385,43 +1355,46 @@ "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", "\n", "t = np.linspace(0, 2, 2000)\n", - "ax.plot(t, y(t - 1, tau), label=f'tau={tau:.4}')\n", + "y = -100 + 135 * bessel2_step(t - 1, 78.8)\n", + "ax.plot(t, y, label='Rise time 20ms')\n", + "\n", + "tt, vv = low_pass(t, y, f=18.22, n=4)\n", + "ax.plot(tt, vv, label='Low-pass filtered 18.22kHz, n=4')\n", + "ax.plot(tt - 0.005, vv, label='Low-pass filtered and left-shifted')\n", + "\n", "ax.legend(loc=(1.1, 0.5))\n", - "ax.set_xlim(0.99, 1.15)\n", + "ax.set_xlim(0.99, 1.1)\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "8f698264-d3a8-4e93-b5cb-798f51e241c7", + "id": "01f526dc-11f9-4638-92b1-ecbd05c48be5", "metadata": {}, "source": [ - "Probably." + "So it seems Filter2 is not to blame." ] }, { "cell_type": "markdown", - "id": "04e40e45-9ef2-4938-9fe8-82443e65690d", + "id": "e3a94057-262f-4645-a459-dd9d21ef64a9", "metadata": {}, "source": [ - "#### Filtered voltage monitor?\n", - "\n", - "Although the EPC-9 diagram shows Filter2 is only applied to the _current_ monitor, the manual for the EPC-10 (and for recent versions of Patchmaster) describe it as applying to the voltage monitor as well.\n", - "This _might_ explain the discrepancy above.\n", - "We can test by emulating filter 2's effect on a stimulus filter with rise-time 20$\\mu$s.\n", + "### Can we use a first order approximation for the stimulus filter?\n", "\n", - "We'll assume a 4-pole filter2 (so no filter1+filter2 cascade), with an 18.22kHz cut-off." + "The 2-pole Bessel was easy enough to implement, but having 2 state variables is maybe a bit over the top.\n", + "What does it look like if we approximate with a single-order filter?" ] }, { "cell_type": "code", "execution_count": 25, - "id": "433620bc-d869-45c8-97f8-11aa5bd3f8f9", + "id": "b20cbe38-3107-4f0a-86a2-c0cb4a15588c", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1431,13 +1404,11 @@ } ], "source": [ - "t = np.linspace(0, 1, 10001)\n", + "def y(t, tau):\n", + " t[t < 0] = 0\n", + " return 35 + (-100 - 35) * np.exp(-t / tau)\n", "\n", - "def low_pass(time, data, f, n=3):\n", - " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f (in Hz). \"\"\"\n", - " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", - " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", - " return t, y\n", + "tau = fmin(lambda tau: np.sum((y(d.time() - 1, tau) - d['vfiltered'])**2), 0.02, disp=False)[0]\n", "\n", "fig = plt.figure(figsize=(5, 3))\n", "fig.subplots_adjust(0.14, 0.15, 0.96, 0.98)\n", @@ -1450,24 +1421,18 @@ "ax.plot(d.time(), d['vfiltered'], 's-', label='Recording')\n", "\n", "t = np.linspace(0, 2, 2000)\n", - "y = -100 + 135 * bessel2_step(t - 1, 78.8)\n", - "ax.plot(t, y, label='Rise time 20ms')\n", - "\n", - "tt, vv = low_pass(t, y, f=18.22, n=4)\n", - "ax.plot(tt, vv, label='Low-pass filtered 18.22kHz, n=4')\n", - "ax.plot(tt - 0.005, vv, label='Low-pass filtered and left-shifted')\n", - "\n", + "ax.plot(t, y(t - 1, tau), label=f'tau={tau:.4}')\n", "ax.legend(loc=(1.1, 0.5))\n", - "ax.set_xlim(0.99, 1.1)\n", + "ax.set_xlim(0.99, 1.15)\n", "plt.show()" ] }, { "cell_type": "markdown", - "id": "01f526dc-11f9-4638-92b1-ecbd05c48be5", + "id": "8f698264-d3a8-4e93-b5cb-798f51e241c7", "metadata": {}, "source": [ - "So it seems Filter2 is not to blame." + "This is not far off at all, and any discrepancies only last for 0.1ms. Compared to e.g. fast-capacitance compensation, it is unlikely that approximating as first order will cause any problems." ] } ], diff --git a/artefacts/appendix-A5-bessel-filter-odes.ipynb b/artefacts/appendix-A5-bessel-filter-odes.ipynb index b6ad726..612d97d 100644 --- a/artefacts/appendix-A5-bessel-filter-odes.ipynb +++ b/artefacts/appendix-A5-bessel-filter-odes.ipynb @@ -15,16 +15,16 @@ "metadata": {}, "source": [ "In [appendix A4](./appendix-A4-bessel-filters) we explored Bessel filters analytically and filtered signals using SciPy.\n", - "In this notebook, we will rewrite some common Bessel filters as ODEs, allowing us to simulate them in an ODE solver.\n", + "In this notebook, we will rewrite some common Bessel filters as ODEs, allowing us to embed them in ODE models.\n", "\n", "In particular, we will focus on 2, 4, and 6-pole filters.\n", "\n", - "- The HEKA EPC-10 uses a 6-pole analog Bessel filter as part of the voltage-clamp circuitry (filter1), an additional 4-pole analog Bessel as optional output filtering (filter2, run in series with filter1 for a 10-pole combined filter), and a 2-pole analog Bessel filter over the command voltage to reduce capacitative transients.\n", - "- The HEKA EPC-9 uses a 3-pole Bessel filter (filter1), a 4-pole Bessel filter (filter2), and a 2-pole analog Bessel filter on the command voltage.\n", - "- The Axon Axopatch 200B uses a 4-pole Bessel filter over voltage (presumably also used in clamping) and a 3-pole Bessel filter over current output.\n", + "- The HEKA EPC-10 uses a 6-pole Bessel filter as part of the voltage-clamp circuitry (filter1), an additional 4-pole Bessel as optional output filtering (filter2, run in series with filter1 for a 10-pole combined filter), and a 2-pole Bessel filter over the command voltage to reduce capacitative transients.\n", + "- The HEKA EPC-9 uses a 3-pole Bessel filter (filter1), a 4-pole Bessel filter (filter2), and a 2-pole stimulus filter.\n", + "- The Axon Axopatch 200B uses a 4-pole Bessel filter over voltage and a 3-pole Bessel filter over current output.\n", "\n", "We'll start simple, with the 1-pole filter.\n", - "These results will mainly be useful if we want to approximate a higher-order filter with a first-order one." + "These results will mainly be useful when we want to approximate a higher-order filter with a first-order one." ] }, { @@ -117,7 +117,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -148,7 +148,7 @@ "fig = plt.figure(figsize=(9, 3))\n", "ax = fig.add_subplot()\n", "ax.set_xlabel('Time (ms)')\n", - "ax.plot(d1.time(), d1['filter.pace'], 'x--', label='u')\n", + "ax.plot(d1.time(), d1['filter.pace'], '--', label='u')\n", "ax.plot(d1.time(), d1['filter.y1'], label='y1 (10 kHz)')\n", "ax.legend(loc='right')\n", "plt.show()" @@ -198,7 +198,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -220,7 +220,7 @@ "ax.axhline(0.9, **kw)\n", "ax.axvline(1 + t1, **kw)\n", "ax.axvline(1 + t2, **kw)\n", - "ax.plot(d1.time(), d1['filter.pace'], 'x--', label='u')\n", + "ax.plot(d1.time(), d1['filter.pace'], '--', label='u')\n", "ax.plot(d1.time(), d1['filter.y1'], label='y1 (10 kHz)')\n", "ax.legend(loc='right')\n", "ax.set_xlim(0.96, 1.13)\n", @@ -313,7 +313,7 @@ "\\ddot{y}(t) + 3 \\dot{y}(t) + 3 y(t) = 3 u(t), && \\dot{y}(0)=0, && y(0)=0\n", "\\end{align}\n", "\n", - "We can convert this to a system of first-order ODEs by choosing $y_2 = y$ and $y_1 = \\dot{y}$ to find\n", + "To convert this to a system of first-order ODEs, we choose $y_2 = y$ and $y_1 = \\dot{y}$ to find\n", "\\begin{align}\n", "\\dot{y_1} &= 3(u(t) - y_2 - y_1) \\\\\n", "\\dot{y_2} &= y_1\n", @@ -374,14 +374,13 @@ "id": "c5000893-6a52-4e11-998d-19aef6087219", "metadata": {}, "source": [ - "Next, we make it tuneable by adding a scaling factor to $s$:\n", + "Next, we make it tuneable by adding a scaling factor:\n", "\n", "\\begin{align}\n", - "H(s) = \\frac{3}{(\\alpha s)^2 + 3\\alpha s + 3} = \\frac{3}{\\alpha^2 s^2 + 3\\alpha s + 3}\n", + "H(s) = \\frac{3}{(\\alpha s)^2 + 3\\alpha s + 3}\n", "\\end{align}\n", "for\n", "\\begin{align}\n", - "\\frac{1}{3} \\alpha^2 \\ddot{y}(t) + \\alpha \\dot{y}(t) + y(t) = u(t) \\\\\n", "\\ddot{y}(t) = \\frac{3}{\\alpha^2} u(t) - \\frac{3}{\\alpha^2} y(t) - \\frac{3}{\\alpha} \\dot{y}(t)\n", "\\end{align}" ] @@ -391,7 +390,7 @@ "id": "d516934d-4bf5-4bf7-973d-756dd5609d93", "metadata": {}, "source": [ - "This scaling factor will change the filter's frequency, relative to its _natural frequency_ for $\\alpha = 1$.\n", + "This scaling factor will change the filter's frequency, relative to its _natural frequency_ (the $\\alpha = 1$ case).\n", "To find the natural cut-off, we can try solving $|H_2(i\\phi)|=1/\\sqrt{2}$, but it's easier* to just use rootfinding:\n", "\n", "(*See [Appendix 4](./appendix-A4-bessel-filters.ipynb) for some background on the scipy functions involved.)" @@ -431,7 +430,7 @@ "This means we can set $\\alpha$ using a cut-off frequency $f_c$ (in Hz) as:\n", "\n", "\\begin{align}\n", - "\\alpha = 1.3616 / (2 \\pi f_c)\n", + "\\alpha = \\frac{1.3616}{2 \\pi f_c}\n", "\\end{align}" ] }, @@ -494,7 +493,11 @@ "id": "ee27af92-acc7-4003-8534-b164049ebb9d", "metadata": {}, "source": [ - "It also seems we can still relate this to the rise-time with the first order equation:" + "We can also relate this to the first-order rise time, by setting it to the same cut-off frequency:\n", + "\n", + "\\begin{align}\n", + "\\alpha = \\frac{1.3616}{2 \\pi f_c} = \\frac{1.3616}{2 \\pi \\log(9) / (2 \\pi t_r)} = \\frac{1.3616}{\\log(9)}t_r\n", + "\\end{align}" ] }, { @@ -521,7 +524,7 @@ "id": "62121ad3-18da-43f3-9f14-34517251ad1d", "metadata": {}, "source": [ - "Which lets us relate it to recordings made with an EPC-10 and a stimulus filter set to 20 $\\mu$s (but with a rise time much closer to 40 $\\mu$s, see [appendix A4](./Appendix-A4-bessel-filters)):" + "This lets us compare 1st and 2nd order filters with the recordings from an EPC-10 again (see [appendix A4](./Appendix-A4-bessel-filters)):" ] }, { @@ -532,7 +535,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -542,6 +545,7 @@ } ], "source": [ + "# Load the \"20us\" data, which appears to have a rise time of 40us instead\n", "d0 = myokit.DataLog.load('resources/rise_time_20us.zip')\n", "d0 = d0.npview()\n", "\n", @@ -549,23 +553,28 @@ "[[model]]\n", "filter.y1 = 0\n", "filter.y2 = 0\n", + "filter.y3 = 0\n", "\n", "[filter]\n", "pace = 0 bind pace\n", "time = 0 bind time\n", - "fc = 1\n", - "alpha = 1.3616 / (2 * 3.14159 * fc)\n", - "dot(y1) = 3 * (pace/alpha^2 - y2/alpha^2 - y1/alpha)\n", + "tr = 0.04 [ms] in [ms]\n", + "# Second-order\n", + "a2 = tr * 1.3616 / log(9)\n", + " in [ms]\n", + "dot(y1) = 3 * (pace/a2^2 - y2/a2^2 - y1/a2)\n", "dot(y2) = y1\n", + "# First-order\n", + "a1 = tr / log(9)\n", + " in [ms]\n", + "dot(y3) = (pace - y3) / a1\n", "\"\"\")\n", "\n", "p = myokit.Protocol()\n", "p.add_step(level=-100, duration=1)\n", "p.add_step(level=35, duration=10)\n", "\n", - "fc = np.log(9) / (2 * np.pi * 0.04)\n", "s = myokit.Simulation(m, p)\n", - "s.set_constant('filter.fc', fc)\n", "s.pre(1)\n", "d1 = s.run(2, log_interval=1e-3).npview()\n", "\n", @@ -575,7 +584,8 @@ "ax.set_xlabel('Time (ms)')\n", "ax.set_ylabel('Command voltage (mV)')\n", "ax.plot(d0.time(), d0['vfiltered'], 's-', label='Recording')\n", - "ax.plot(d1.time(), d1['filter.y2'], label=f'Simulation, tr=40 us')\n", + "ax.plot(d1.time(), d1['filter.y2'], label=f'Simulation, n=2, tr=40 us')\n", + "ax.plot(d1.time(), d1['filter.y3'], label=f'Simulation, n=1, tr=40 us')\n", "ax.legend()\n", "ax.set_xlim(0.99, 1.15)\n", "plt.show()" @@ -638,7 +648,7 @@ "source": [ "Note that the first and last form a conjugate pair.\n", "These conjugate pairs appear in all Bessel filters with 2 or more poles.\n", - "The set of zeroes is empty, which will be the case for all Bessel filters.\n", + "By definition, Bessel filters never have any zeroes.\n", "\n", "Using the pole-zero representation, we can write the filter as\n", "\\begin{align}\n", @@ -654,8 +664,8 @@ "\n", "This shows that we can write a 3-pole bessel as the product of a first and a second-order filter.\n", "As a result, we can treat it **as two filters in series** (see [Appendix A2](./appendix-A2-laplace-and-filters.ipynb) \"Block diagrams\").\n", - "In fact, the standard way to create a 2n-pole filter in electronics, is to build a stack of n 2-pole filters.\n", - "So this should be similar to what we find in real amplifiers.\n" + "In fact, the standard way to create a 2n-pole filter in electronics, is to build a _cascade_ of n 2-pole filters placed in series.\n", + "So this should be similar to what we find in real amplifiers." ] }, { @@ -694,7 +704,7 @@ "id": "edd6e6fe-ea48-4ada-8f45-8460400dc24d", "metadata": {}, "source": [ - "Using these numbers, we can break down the 3-pole low-pass Bessel filter into a first-order filter\n", + "And with these we can break down the 3-pole low-pass Bessel filter into a first-order filter\n", "\\begin{align}\n", "H(s) = \\frac{2.3222}{s + 2.3222} && \\rightarrow && \\dot{y} = \\frac{u(t) - y(t)}{1 / 2.32}\n", "\\end{align}\n", @@ -850,7 +860,7 @@ } ], "source": [ - "def low_pass(time, data, n=3):\n", + "def low_pass_natural(time, data, n=3):\n", " \"\"\" Emulate an analog Bessel low-pass filter with its natural cut-off point. \"\"\"\n", " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", @@ -860,7 +870,7 @@ "ax = fig.add_subplot()\n", "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", "ax.plot(t, y, label='Simulated')\n", - "ax.plot(*low_pass(t, u, n=3), 'k:', label='SciPy, natural cut-off')\n", + "ax.plot(*low_pass_natural(t, u, n=3), 'k:', label='SciPy, natural cut-off')\n", "ax.legend(framealpha=1)\n", "plt.show()" ] @@ -992,19 +1002,13 @@ } ], "source": [ - "def low_pass(time, data, n=3):\n", - " \"\"\" Emulate an analog Bessel low-pass filter with its natural cut-off point. \"\"\"\n", - " b, a = scipy.signal.bessel(n, 1, analog=True, norm='delay')\n", - " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", - " return t, y\n", - "\n", "t, u, y = e.time(), e['f.u'], e['f.y4']\n", "\n", "fig = plt.figure(figsize=(15, 4))\n", "ax = fig.add_subplot()\n", "ax.plot(t, u, label='Noisy (0.2 Hz + 5Hz)')\n", "ax.plot(t, y, label='Simulated, n=4')\n", - "ax.plot(*low_pass(t, u, n=4), 'k:', label='SciPy, n=4')\n", + "ax.plot(*low_pass_natural(t, u, n=4), 'k:', label='SciPy, n=4')\n", "ax.legend()\n", "plt.show()" ] @@ -1115,12 +1119,6 @@ "s = myokit.Simulation(m)\n", "e = s.run(1, log_interval=0.001)\n", "\n", - "def low_pass(time, data, f, n=3):\n", - " \"\"\" Emulate an analog Bessel low-pass filter with cut-off f in Hz. \"\"\"\n", - " b, a = scipy.signal.bessel(n, 2 * np.pi * f, analog=True, norm='mag')\n", - " t, y, _ = scipy.signal.lsim((b, a), data, time)\n", - " return t, y\n", - "\n", "t, u, y = e.time(), e['f.u'], e['f.y4']\n", "\n", "fig = plt.figure(figsize=(15, 4))\n", diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index 7530342..cac1a19 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -462,223 +462,48 @@ }, { "cell_type": "markdown", - "id": "4c9cce05", + "id": "cb286752", "metadata": {}, "source": [ - "## Filtering the output\n", - "\n", - "Patch-clamp amplifiers have several options to filter the data as they record it.\n", - "In the HEKA EPC-9 and EPC-10, which are based on the Sigworth design discussed here, there are two built-in analog filters, one of which is always on [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4).\n", + "## Variations\n", "\n", - "Instead of working out the transfer function etc., we'll simulate the application of the analog filter using a digital filter from SciPy.\n", - "I'm not 100% sure this is the best way to do it.\n", - "Some discussion can be found [on stack exchange](https://dsp.stackexchange.com/questions/8319)." + "As with the uncompensated model, we can create model variations by choosing slightly different equations to model the main op-amp.\n", + "These are discussed in [Appendix B2](./appendix-B2-compensated-models.ipynb)" ] }, { "cell_type": "markdown", - "id": "4a694c01", + "id": "3e18bb3d", "metadata": {}, "source": [ - "From the manuals, we can see that the EPC-9 uses a 3d order Bessel filter set to 10kHz in most situations, while the EPC-10 uses a 6th order one.\n", + "## Simulations\n", "\n", - "Let's see how this might affect a sodium current, i.e. a Beeler-Reuter sodium current when stepping from -80 to -20mV." + "As before, we can code this up in Myokit and simulate a voltage step.\n", + "\n", + "To allow switching off compensation, we will add a lower bound for the denominator of $\\dot{V}_\\text{est}$:\n", + "\n", + "\\begin{align}\n", + "\\dot{V}_\\text{est} = \\frac{V_c - V_\\text{est}}{\\tau_\\text{est}} &&\n", + "\\tau_\\text{est} = \\max \\left\\{ (1 - \\beta)R_s^*C_m^* , 10^{-8} \\text{ms} \\right\\}\n", + "\\end{align}" ] }, { "cell_type": "code", "execution_count": 1, - "id": "7743c602", + "id": "5ada4776-e480-4aea-a67b-5a138ff8b699", "metadata": {}, "outputs": [], "source": [ "import myokit\n", "import numpy as np\n", + "import matplotlib\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", "execution_count": 2, - "id": "775d4495", - "metadata": {}, - "outputs": [], - "source": [ - "m = myokit.parse_model('''\n", - "[[model]]\n", - "ina.m = 0.01\n", - "ina.h = 0.99\n", - "ina.j = 0.98\n", - "\n", - "[engine]\n", - "time = 0 [ms]\n", - " in [ms]\n", - " bind time\n", - " \n", - "[membrane]\n", - "V = 0 [mV]\n", - " in [mV]\n", - " bind pace\n", - "\n", - "[ina]\n", - "use membrane.V as V\n", - "gNaBar = 4 [mS/cm^2]\n", - " in [mS/cm^2]\n", - "gNaC = 0.003 [mS/cm^2]\n", - " in [mS/cm^2]\n", - "ENa = 50 [mV]\n", - " in [mV]\n", - "INa = (gNaBar * m^3 * h * j + gNaC) * (V - ENa)\n", - " in [uA/cm^2]\n", - " desc: The excitatory inward sodium current\n", - "dot(m) = alpha * (1 - m) - beta * m\n", - " alpha = 1 [1/mV/ms] * (V + 47 [mV]) / (1 - exp(-0.1 [1/mV] * (V + 47 [mV])))\n", - " in [1/ms]\n", - " beta = 40 [1/ms] * exp(-0.056 [1/mV] * (V + 72 [mV]))\n", - " in [1/ms]\n", - "dot(h) = alpha * (1 - h) - beta * h\n", - " alpha = 0.126 [1/ms] * exp(-0.25 [1/mV] * (V + 77 [mV]))\n", - " in [1/ms]\n", - " beta = 1.7 [1/ms] / (1 + exp(-0.082 [1/mV] * (V + 22.5 [mV])))\n", - " in [1/ms]\n", - "dot(j) = alpha * (1 - j) - beta * j\n", - " alpha = 0.055 [1/ms] * exp(-0.25 [1/mV] * (V + 78 [mV])) / (1 + exp(-0.2 [1/mV] * (V + 78 [mV])))\n", - " in [1/ms]\n", - " beta = 0.3 [1/ms] / (1 + exp(-0.1 [1/mV] * (V + 32 [mV])))\n", - " in [1/ms]\n", - "''')\n", - "m.check_units(myokit.UNIT_STRICT)" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "id": "177a0f1f", - "metadata": {}, - "outputs": [], - "source": [ - "p = myokit.Protocol()\n", - "p.schedule(start=0, level=-80, duration=100)\n", - "p.schedule(start=100, level=-20, duration=20)" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "id": "dbea8388", - "metadata": {}, - "outputs": [], - "source": [ - "s = myokit.Simulation(m, p)\n", - "s.run(99)\n", - "d = s.run(6, log_interval=1e-4)\n", - "d = d.npview()" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "id": "2a831ee3", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "fig = plt.figure()\n", - "ax = fig.add_subplot()\n", - "ax.plot(d.time(), d['ina.INa'])\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "id": "5d429877", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": {}, - "output_type": "display_data" - } - ], - "source": [ - "import scipy.signal\n", - "\n", - "t = d.time()\n", - "dt = np.mean(t[1:] - t[:-1]) # In ms\n", - "fs = 1 / dt # Sampling frequency, in kHz\n", - "fc = 10 # Cut-off frequency, in kHz\n", - "\n", - "def low_pass(data, fc, fs, n=3):\n", - " \"\"\" Apply a Bessel low-pass filter with cut-off fc (in Hz). \"\"\"\n", - " b, a = scipy.signal.bessel(n, fc / (fs / 2), btype='lowpass', norm='mag')\n", - " return scipy.signal.lfilter(b, a, data)\n", - "\n", - "fig = plt.figure(figsize=(15, 4))\n", - "ax = fig.add_subplot()\n", - "ax.plot(t, d['ina.INa'], label='Original')\n", - "ax.plot(t, low_pass(d['ina.INa'], fc, fs, 3), label='3d order 10kHz Bessel')\n", - "ax.plot(t, low_pass(d['ina.INa'], fc, fs, 6), label='6th order 10kHz Bessel')\n", - "ax.legend()\n", - "plt.show()" - ] - }, - { - "cell_type": "markdown", - "id": "5034894c", - "metadata": {}, - "source": [ - "For this fast current, the filtering does seem to have a notable effect.\n", - "It's also worth noting that, in the published EPC-9 design, the $R_s$ compensation uses a signal that has been passed through this filter.\n", - "\n", - "For now, we will not include the filter in our model.\n", - "See [Kuo & Bean (1994)](https://doi.org/10.1016/0896-6273(94)90335-2) for a real-life mention of the filter's effect on very fast INa properties." - ] - }, - { - "cell_type": "markdown", - "id": "cb286752", - "metadata": {}, - "source": [ - "## Variations\n", - "\n", - "As with the uncompensated model, we can create model variations by choosing slightly different equations to model the main op-amp.\n", - "These are discussed in [Appendix B2](./appendix-B2-compensated-models.ipynb)" - ] - }, - { - "cell_type": "markdown", - "id": "3e18bb3d", - "metadata": {}, - "source": [ - "## Simulations\n", - "\n", - "As before, we can code this up in Myokit and simulate a voltage step.\n", - "\n", - "To allow switching off compensation, we will add a lower bound for the denominator of \\begin{align}\n", - "\\dot{V}_\\text{est} = \\frac{V_c - V_\\text{est}}{\\tau_\\text{est}} &&\n", - "\\tau_\\text{est} = \\max \\left\\{ (1 - \\beta)R_s^*C_m^* , 10^{-8} \\text{ms} \\right\\}\n", - "\\end{align}" - ] - }, - { - "cell_type": "code", - "execution_count": 7, "id": "7d682716", "metadata": {}, "outputs": [], @@ -736,7 +561,7 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": 3, "id": "169e0123", "metadata": {}, "outputs": [], @@ -762,7 +587,7 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 4, "id": "2e3eadba", "metadata": {}, "outputs": [ diff --git a/artefacts/artefacts-6-epc.ipynb b/artefacts/artefacts-3-filtering.ipynb similarity index 67% rename from artefacts/artefacts-6-epc.ipynb rename to artefacts/artefacts-3-filtering.ipynb index ad7b285..c7c2725 100644 --- a/artefacts/artefacts-6-epc.ipynb +++ b/artefacts/artefacts-3-filtering.ipynb @@ -6,9 +6,31 @@ "metadata": {}, "source": [ "# Modelling patch-clamp experiments: filters\n", + "\n", + "In the [last notebook](./artefacts-2-compensation.ipynb) we updated our model of the patch-clamp amplifier with a variety of _compensation_ circuites.\n", + "In this notebook ....\n", + "\n", "\n" ] }, + { + "cell_type": "markdown", + "id": "5f19814d-9e7d-44aa-8385-d6722bb2fa98", + "metadata": {}, + "source": [ + "Here, we will introduce the ODEs for filtering without explanation.\n", + "The ODEs are derived and discussed in [Appendix A5](./appendix-A5-bessel-filter-odes.ipynb), \n", + "Bessel filters in general are treated in [Appendix A4](./appendix-A4-bessel-filters.ipynb), and the necessary Laplace transformations and filters in general are discussed in [Appendix A2](./appendix-A2-laplace-and-filters.ipynb)." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "7c121f6b-6895-4d17-af00-2ba713be94d1", + "metadata": {}, + "outputs": [], + "source": [] + }, { "cell_type": "markdown", "id": "7ae20d53", @@ -500,10 +522,208 @@ "outputs": [], "source": [] }, + { + "cell_type": "markdown", + "id": "0cd7c315-300c-4806-963e-c1ee4bec70d6", + "metadata": {}, + "source": [ + "## Filtering the output\n", + "\n", + "Patch-clamp amplifiers have several options to filter the data as they record it.\n", + "In the HEKA EPC-9 and EPC-10, which are based on the Sigworth design discussed here, there are two built-in analog filters, one of which is always on [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4).\n", + "\n", + "Instead of working out the transfer function etc., we'll simulate the application of the analog filter using a digital filter from SciPy.\n", + "I'm not 100% sure this is the best way to do it.\n", + "Some discussion can be found [on stack exchange](https://dsp.stackexchange.com/questions/8319)." + ] + }, + { + "cell_type": "markdown", + "id": "6716b33b-e455-49eb-b3b3-067d94fd9326", + "metadata": {}, + "source": [ + "From the manuals, we can see that the EPC-9 uses a 3d order Bessel filter set to 10kHz in most situations, while the EPC-10 uses a 6th order one.\n", + "\n", + "Let's see how this might affect a sodium current, i.e. a Beeler-Reuter sodium current when stepping from -80 to -20mV." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "3425887e-136e-45a7-a7cb-36fad431d23c", + "metadata": {}, + "outputs": [], + "source": [ + "import myokit\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "0b89dd81-ed67-43d8-bd85-94b3afc3258c", + "metadata": {}, + "outputs": [], + "source": [ + "m = myokit.parse_model('''\n", + "[[model]]\n", + "ina.m = 0.01\n", + "ina.h = 0.99\n", + "ina.j = 0.98\n", + "\n", + "[engine]\n", + "time = 0 [ms]\n", + " in [ms]\n", + " bind time\n", + " \n", + "[membrane]\n", + "V = 0 [mV]\n", + " in [mV]\n", + " bind pace\n", + "\n", + "[ina]\n", + "use membrane.V as V\n", + "gNaBar = 4 [mS/cm^2]\n", + " in [mS/cm^2]\n", + "gNaC = 0.003 [mS/cm^2]\n", + " in [mS/cm^2]\n", + "ENa = 50 [mV]\n", + " in [mV]\n", + "INa = (gNaBar * m^3 * h * j + gNaC) * (V - ENa)\n", + " in [uA/cm^2]\n", + " desc: The excitatory inward sodium current\n", + "dot(m) = alpha * (1 - m) - beta * m\n", + " alpha = 1 [1/mV/ms] * (V + 47 [mV]) / (1 - exp(-0.1 [1/mV] * (V + 47 [mV])))\n", + " in [1/ms]\n", + " beta = 40 [1/ms] * exp(-0.056 [1/mV] * (V + 72 [mV]))\n", + " in [1/ms]\n", + "dot(h) = alpha * (1 - h) - beta * h\n", + " alpha = 0.126 [1/ms] * exp(-0.25 [1/mV] * (V + 77 [mV]))\n", + " in [1/ms]\n", + " beta = 1.7 [1/ms] / (1 + exp(-0.082 [1/mV] * (V + 22.5 [mV])))\n", + " in [1/ms]\n", + "dot(j) = alpha * (1 - j) - beta * j\n", + " alpha = 0.055 [1/ms] * exp(-0.25 [1/mV] * (V + 78 [mV])) / (1 + exp(-0.2 [1/mV] * (V + 78 [mV])))\n", + " in [1/ms]\n", + " beta = 0.3 [1/ms] / (1 + exp(-0.1 [1/mV] * (V + 32 [mV])))\n", + " in [1/ms]\n", + "''')\n", + "m.check_units(myokit.UNIT_STRICT)" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "723c9f87-06ce-401c-9001-a13673a5a9a4", + "metadata": {}, + "outputs": [], + "source": [ + "p = myokit.Protocol()\n", + "p.schedule(start=0, level=-80, duration=100)\n", + "p.schedule(start=100, level=-20, duration=20)" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "9446ee70-24a1-46cb-af96-a15cd828220a", + "metadata": {}, + "outputs": [], + "source": [ + "s = myokit.Simulation(m, p)\n", + "s.run(99)\n", + "d = s.run(6, log_interval=1e-4)\n", + "d = d.npview()" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "fc8ba242-3907-42d8-9391-97f38bc20e09", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure()\n", + "ax = fig.add_subplot()\n", + "ax.plot(d.time(), d['ina.INa'])\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "c2c0ca21-7feb-4a1a-ad3f-769c8675e164", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "import scipy.signal\n", + "\n", + "t = d.time()\n", + "dt = np.mean(t[1:] - t[:-1]) # In ms\n", + "fs = 1 / dt # Sampling frequency, in kHz\n", + "fc = 10 # Cut-off frequency, in kHz\n", + "\n", + "def low_pass(data, fc, fs, n=3):\n", + " \"\"\" Apply a Bessel low-pass filter with cut-off fc (in Hz). \"\"\"\n", + " b, a = scipy.signal.bessel(n, fc / (fs / 2), btype='lowpass', norm='mag')\n", + " return scipy.signal.lfilter(b, a, data)\n", + "\n", + "fig = plt.figure(figsize=(15, 4))\n", + "ax = fig.add_subplot()\n", + "ax.plot(t, d['ina.INa'], label='Original')\n", + "ax.plot(t, low_pass(d['ina.INa'], fc, fs, 3), label='3d order 10kHz Bessel')\n", + "ax.plot(t, low_pass(d['ina.INa'], fc, fs, 6), label='6th order 10kHz Bessel')\n", + "ax.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "16b5be63-7407-4283-b457-c0dd43fced61", + "metadata": {}, + "source": [ + "For this fast current, the filtering does seem to have a notable effect.\n", + "It's also worth noting that, in the published EPC-9 design, the $R_s$ compensation uses a signal that has been passed through this filter.\n", + "\n", + "For now, we will not include the filter in our model.\n", + "See [Kuo & Bean (1994)](https://doi.org/10.1016/0896-6273(94)90335-2) for a real-life mention of the filter's effect on very fast INa properties." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "61e1f286-87cc-4af4-9961-6daa2a528bcd", + "metadata": {}, + "outputs": [], + "source": [] + }, { "cell_type": "code", "execution_count": null, - "id": "ccc5b7a4-127f-4e4b-b6ed-699dd1fc230b", + "id": "8360dd77-6aa5-4a5c-a62e-b5c3cb8db7f4", "metadata": {}, "outputs": [], "source": [] diff --git a/artefacts/artefacts-3-simulations.ipynb b/artefacts/artefacts-4-simulations.ipynb similarity index 99% rename from artefacts/artefacts-3-simulations.ipynb rename to artefacts/artefacts-4-simulations.ipynb index a984738..abcb858 100644 --- a/artefacts/artefacts-3-simulations.ipynb +++ b/artefacts/artefacts-4-simulations.ipynb @@ -7,7 +7,7 @@ "source": [ "# Simulating a manual patch clamp experiment\n", "\n", - "In the [last notebook](./artefacts-2-compensation.ipynb) we completed our model of whole-cell patch clamp in voltage-clamp mode, with compensation circuitry.\n", + "In the [last notebook](./artefacts-3-filters.ipynb) we completed our model of whole-cell patch clamp in voltage-clamp mode.\n", "Here, we use this model to simulate a manual patch clamp experiment." ] }, @@ -1076,7 +1076,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.12.7" } }, "nbformat": 4, diff --git a/artefacts/artefacts-4-simplified.ipynb b/artefacts/artefacts-5-simplified.ipynb similarity index 100% rename from artefacts/artefacts-4-simplified.ipynb rename to artefacts/artefacts-5-simplified.ipynb diff --git a/artefacts/artefacts-5-summary.ipynb b/artefacts/artefacts-6-summary.ipynb similarity index 100% rename from artefacts/artefacts-5-summary.ipynb rename to artefacts/artefacts-6-summary.ipynb diff --git a/artefacts/library.py b/artefacts/library.py index 77594c2..223984f 100755 --- a/artefacts/library.py +++ b/artefacts/library.py @@ -264,6 +264,9 @@ def bode(magnitude, argument, axes=None, lo=1e-2, hi=1e5, **kwargs): ax1.set_xscale('log') ax1.set_xlabel('Angular frequency') ax1.set_ylabel('Phase shift (degrees)') + ax1.set_ylim(-195, 195) + ax1.set_yticks([-180, -90, 0, 90, 180]) + ax1.grid() else: ax0, ax1 = axes From 89ccabea1e1e708001e9becd31d29a3af46eecf2 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 20 Feb 2025 09:24:05 +0000 Subject: [PATCH 76/77] Working on filters --- artefacts/NOISE.ipynb | 44 ++ artefacts/appendix-A4-bessel-filters.ipynb | 2 +- .../appendix-A5-bessel-filter-odes.ipynb | 38 +- .../appendix-B1-uncompensated-models.ipynb | 2 +- artefacts/appendix-D2-inspecting-noise.ipynb | 4 +- ...ppendix-D3-liquid-junction-potential.ipynb | 12 +- .../artefacts-1-modelling-patch-clamp.ipynb | 2 +- artefacts/artefacts-2-compensation.ipynb | 44 +- artefacts/artefacts-3-filtering.ipynb | 729 ++++++++++++++++-- artefacts/artefacts-4-simulations.ipynb | 2 +- artefacts/artefacts-5-simplified.ipynb | 2 +- .../resources/patch-comp-8-filters-NEW.png | Bin 0 -> 23022 bytes 12 files changed, 797 insertions(+), 84 deletions(-) create mode 100644 artefacts/NOISE.ipynb create mode 100644 artefacts/resources/patch-comp-8-filters-NEW.png diff --git a/artefacts/NOISE.ipynb b/artefacts/NOISE.ipynb new file mode 100644 index 0000000..5be9fe4 --- /dev/null +++ b/artefacts/NOISE.ipynb @@ -0,0 +1,44 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "86649012-4219-45f8-a42b-2f222159d7c9", + "metadata": {}, + "source": [ + "\n", + "\n", + "- Look at noise notebook again\n", + "- Look at pink noise:\n", + " - Can we tell from spectrum what \"color\" the noise is?\n", + " - \"Pink noise\" looks interesting\n", + " - https://stackoverflow.com/questions/67085963/generate-colors-of-noise-in-python\n", + " - https://en.wikipedia.org/wiki/Pink_noise\n", + " - https://en.wikipedia.org/wiki/Johnson%E2%80%93Nyquist_noise\n", + "- Look at shot noise:\n", + " - Looks interesting: https://electronics.stackexchange.com/questions/716039/noise-in-classical-quantum-transmission\n", + " - But what time scale does this happen on?" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.13.2" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/artefacts/appendix-A4-bessel-filters.ipynb b/artefacts/appendix-A4-bessel-filters.ipynb index 9346e7f..fba4077 100644 --- a/artefacts/appendix-A4-bessel-filters.ipynb +++ b/artefacts/appendix-A4-bessel-filters.ipynb @@ -1452,7 +1452,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/appendix-A5-bessel-filter-odes.ipynb b/artefacts/appendix-A5-bessel-filter-odes.ipynb index 612d97d..9e73b45 100644 --- a/artefacts/appendix-A5-bessel-filter-odes.ipynb +++ b/artefacts/appendix-A5-bessel-filter-odes.ipynb @@ -84,7 +84,7 @@ "This means that, for $\\alpha = 1$ the cut-off frequency is 1 rad/sec, and for any other $\\alpha$ the cut-off is $1/\\alpha$ rad/sec.\n", "For a cut-off in Hz, we get:\n", "\\begin{align}\n", - "\\alpha = \\frac{1}{2 \\phi f_c}\n", + "\\alpha = \\frac{1}{2 \\pi f_c}\n", "\\end{align}\n" ] }, @@ -117,7 +117,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -198,7 +198,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -259,7 +259,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAu0AAAEmCAYAAADWea6NAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8ekN5oAAAACXBIWXMAAA9hAAAPYQGoP6dpAAB+HUlEQVR4nO3dd3gU1dfA8e+WbHohIaRAGqH3EDpSBUIHRZpIB0GxAD9EQKWJoIgUC6BSRV5ABRQFEUSRFqkJvbcASQhJSK+7O+8fgdWYAElIsgmcz/PsAzt7ZubM3SRz9u6dOypFURSEEEIIIYQQJZba3AkIIYQQQgghHk6KdiGEEEIIIUo4KdqFEEIIIYQo4aRoF0IIIYQQooSTol0IIYQQQogSTop2IYQQQgghSjgp2oUQQgghhCjhpGgXQgghhBCihNOaO4G8MBqNhIeHY29vj0qlMnc6QgghhBBCPDZFUUhMTMTT0xO1+uF96aWiaA8PD8fLy8vcaQghhBBCCFHobty4QYUKFR4aUyqKdnt7e7h3QA4ODuZORwghhBBCiMeWkJCAl5eXqdZ9mFJRtN8fEuPg4CBFuxBCCCGEeKLkZfi3XIgqhBBCCCFECSdFuxBCCCGEECWcFO1CCCGEEEKUcPku2vfs2UO3bt3w9PREpVLx448/PnKdv/76i8DAQKysrKhYsSJLly4taL5CCCGEEEI8dfJdtCcnJ1O3bl0+//zzPMVfvXqVzp0706JFC0JCQpgyZQpvvPEGGzduLEi+QgghhBBCPHXyPXtMp06d6NSpU57jly5dire3NwsXLgSgevXqHDlyhHnz5tGrV6/87l4IIYQQQoinTpFP+RgcHEyHDh2yLQsKCmL58uVkZmZiYWGRY5309HTS09NNzxMSEoo6TSGEKH5GI0pmKnHRt0lLTsDd1RmVogeDnrCwMG7euoV7WScqVnAHox6jPp2fd+5Dn5lBt1b10WlVYNBz9NQFDp+6SHUfN1oFVAJFAcXI7FXbyMjUM65PKxxtLUExsjf0Er8EnyGgkgf92tQGxQiKkUlf7yApNZ33+jfDzckWFCO7j19jzR+nCajoymtd6phiX/vyL+7EpzBnQEMqutkDCrtPhbNw62nq+TozvXc9QAFF4dVlf3MjJpk5/QOo5eUIwP5zUXzw4ylqlnfk4wEBptjXVx/lYkQi779Qi4b+ZUBROHIllsnfnaaSmy1LhtTNOjZg7NqTnLgRz/SeVWhZ1QUUhRM3Enhj7Wm8nK1YM/J+rMKEDec5eDWOd7pUpGOtsgCcj0xm6MpTlLPX8eNrAaa3ZMqmi/x5Lpa3gnx5PtANgOsxqfT78gT2Vhp2jA80xc78+TK/nozhtbZeDGjiAUBUQgY9Pg/FQqNiz9sNTbFzf73G5pAoRjzjyfAW5QFISNUTtDAEgL0TA9Fqsr78/mxXGP936DYvNXFnTJusGwtm6I20nHsEgN/H18fOSgPA13tusXxfOC8ElmNCkI9pf80/PILBqPDL63Upa68DYE1wBF/8eZMudcryXlc/U1s+Oz+E5HQD34+qhZezFQDfH4nik51hPFutDB8852/abpdPjxOTnMmaYTWo7GYDwC8n7jBr63WaV3Lkk96VTLG9lpzi5t00lg2qRu0KdgDsPBPLuz9eIdDHnsUDqppiByw7zaWoVD7rV5lGfllTO++7FMf/vr9MTU9bVgyuZood/s05Tt1KZm4vf1pVcQLgyLUExqy7SEVXK9aNqGGKfW3dRQ5fS2RmD186VHdGURRO3kri5W8v4u6oY9PomugNBowGI5N/usb+Swn8r50nnWuVQVEUrkanMuL/ruFsY8GWMbXIyMhAr8/kg+3h/HkhgVdalOO5uk4oQERcBkP/LwxrCzXb36xNRno6GRnpLPgjih3nEhjUyJm+9bO2ezdFz+C1N0GlYtf4OqSnpZKelsZX+6P55XQiL9Rz4KUGZUCB1EwDL66NQAX8PKYWKkM6qSlJrDkSx+YTiXStacfQRk5Zv/aKkT5rbqNSqfhuVHWsVJmkJiXw/fFE1ock0L6KLaObZrWZgsJL6+6QaYTVQ6tQRmckJTGOX84ks/pIAs/4WfNmi3uxCozcGENShsLSlyrhYQsp8XfZcSGFrw/G08jLigmts2JR4PWf44hJMbKgT0X8nNQkx8ew92oqn+9PoK6HjsltnUzv0Vu/JhCeaGROT1+ql9OSfPcOB2+ks2BvAlVcLZjRzilro8B7u5K5GqtnWjdv6nlakhRzm+MRGXy0OwGfMlrmdPxnu7P+SuX8nUwmBnnR1NeaxJhIzt3JZObv8XjYa/ikyz+x8/ancSIykzeeLU+bynYk3gnn2l09U36Lx9lGzefdy5hiPz2YxuGbmYxq6U6nGk4k3LlJeIKBt7bFY6tT8dVz/8R+dTSDfdczGNLMjZ51nUm4fYOYFCNv/ByHVg2rezuTlGEkr4q8aI+MjMTNzS3bMjc3N/R6PdHR0Xh4eORYZ86cOcyYMaOoUxNCiLwx6MlMiOL6xTMkxUVRr1J5SE+EjCR2/PU3x85cokV1d5pXdoaMJGJiYhj02R7SM/X8Pq4e6NNAn8Y7P13nq+AYxjW3Z8ozFmDIICFNwfmjRADS37VHp8maq3fx72l8tD+DcU10zA/KKqRQFHrOzIqNfssOF5usIm/bX+lM3Z3Oy/UtaNXN2pT2+8sTSNPDMIe9ODpmxR4OTmfujnQG1Lagn3qbKXbZlkRiUhXGVDiLm2tWQXj+SAYrdqbRs5qW19yPmmJ/PpBIWLzCWzVvU9EzK/bG8Qx+OpxGauwtqHHFFPtnSBLnoo28VecuJGWdcm6fzeTX0FQSY6PgfLgp9sDJJI5FGHmzdgIYszp0Yi7p+f10CjExargcY4o9di6ZvWEGxtQKBV1WbPx1PX+dT6GKixquJ5liT19JZt8lA1HXzoBjVgGbHGEg+HIyFRxUcONvU+yFqyn8fUXP7evnodxVANKiDfx9JRknK+DGQVPs5Wup/H0lk17+aVA+DICMBCN/X0lCp8kee/VebEevNPC7lfVjlarw95Ws95Nbh0Gd9d5fv57G31cyaOmeCjcjst56vcLBq1mxhptHwSor9ub1NA5ezaBB2VS4GWXa38ErCRgUyLwZAvZZ73349XQOXk2numMq3Iw2xR65mkBCOqTfOgGpWbG3b2Rw8GoaPjYpcOvuP+1+LZHIJIWUmydBn/Xe3wnLii2rTYFb8abY49cSuXxXIenmaVBlvfexNzI5dC0VG1Lg1j/v0anrSZy4bSTh1jmwzIqNu5kVS2YyhKeYYs9cT+bQTQNxN8+DXdZ7n3hLz6FrKSQnJ0N4iCn23PVkDl0zEHvjEionC1RAWkRWrK+TCk1kKJr779GtFI6E6Um6cwOH+NsAaOMMHL2eTDlbFVZ3jnPvN5HwqBRCbupJiY2gbGosAEkpRo6FJWFrAXYxJ005xMSmEnorkz7x0Xjqs95DbaaRYzeSAXC6e8oUmxifxvHwDLr6G/FVpYEKklQKofdinRPOYG2hAi2kJ6VxIiKDtt4KlSwys35OFIXjt7LaqkzCOcraqMESlLR0TkVm0MxToYqV4Z92D08mTQ9OCRfxdlKDNfyakRVb11WhmrXyT1tGJBOTquCYcAkfSw3Ywh5DBqdvZ1K5jEIN23/mGb90O4mweAX7xCv42mvADkKUrNjydkZq2SeaYq/dSeZctBHbxKv4uWjBAS6qMzl9OxMnnZHajv/8nNyMTuJEhBHrhOtUdLMAJ4iI1nM6So+F2kgdp39+Tm7fTebELQOWiWFUNGTFJiboOROlR28wUrdMqik2Oj4rVht/g4p6HZQBY5qBM1F6yturssXGJ6Rw8pYedXw4FfXRUAasDVmxTlZQzznNFJucnMrJW5kY48KpmBkDznBTa+RMlB6dJis2If2fNn4UlaIoeY/+78oqFZs3b6Znz54PjKlSpQpDhw5l8uTJpmX79+/nmWeeISIiAnd39xzr5NbT7uXlRXx8vNxcSQjx+BQFUu+SHHmF/X/tIjEmnF6NvCAlBlJief//9vHTkRuMbVGGl2oqkBbP5VgjlT7LOiEnTfnn79DLP6fy9bFMZra25L1WlgDEpiq4zM06KWW8a4/FvUL8f7+lMf/vDCY20/FR+6zTf5pewfqDRFRA3BQXHGx0oNEyb28ySw8mMqRRGd4Ncge1BWgsaPbJaTRqNT+9FoCzgzWotfxwNIp1f9+kfW1PRneoCmoNqNS8seIgmQaFWQMa4eJgAyoVe85EsOXQNer7u/FimxqgUoNKw4ffHyQ1Q8+Y7g0pV8YeVGpCLt3mt6OXqFLBledb1bkXq2Llr0dJSsugT9sA3Fyyeqsu3rzD7mOXKF/Oic7NagEqUKnY+EcI8cmpdG5eG3cXR1CpuB4Rw59Hz1PO2YHOzetmNaRKxdZ9x4lNSKFtwxqUL+cMKhURd+L48+hZytjb0umZAFCpABW7Dp7kzt1EmgdUw8vDFYA7sfHsPnIGOxtrOrWob4rdH3KO2zFxBNashI9nOQDiEpLYffgUVjodHVvUN72fh05cICL6LnWq+OJXIavDKSk5lT8OncRCq6VTy3962o+duczNyGhq+HtRyccTgNS0dHYeCEWtVtO19T897cfPXeN6eBRV/cpT1S/rVuUZGZls33cMgK6tG6JWZxXMpy9e5/KNSCp6uVPZrwJ3YuOIS0zmt73HSM/IoHYVbzIyM0lNSycyNpEMjR0uLs54eZXn8J/bSElK5NzVCPT6TDyc7VBhRJ+ZSSqWWHrXw87BAVcPTy5sXU56QjQRd1MxGg24WCloyOr1S9M5QbUOWNvZU9bDk9idX6JPiOJOshGjAs7WKtPPdbraBqVWV6xsbClXvgJ3/1xJZuxN7iQbMRihjLXK9GE0DQuo+xyW1ja4VfAmbs8aMqKuEpOioDcqOFmpsNTeizUA9fuhs7LCzcuH+APrSQ8/T2yqQqYhe2yGQUGp1wcLa2vcvf2IP7iRtLBT3E3LinWwVGF1LzbToGCo2wsLaxs8fP1JPPozqVdDiEtXyDCocLBSY6PTgkqF3qiCGkHobOzxqVyVu8d3kXD5KPGpRtIyjdhbW2BnpUWlAr1RhaZyCyxtHKhYrRoxZ4KJPX+E+JRMUjMNOFjrsLOxBBUYFdB4B2Jp60C1WjW5cyGEqLOHiUvJICVdj4ONJY52VqhQZfUxl6uGlUMZatasQcz1c0ScPUJcUhqJKRk42lpRxtEGlUqNoihkOnph4+BMzZrViY+4zo3Th4lPTCUuKRU7Wytcnezu/X5CurUbtk4uVK9elZTYSK6dPER8cip345OxtbbCzcXBdNOfNEsXbB1dqF6tMumJsVw5cYjE5DTu3E3EzsYSd1cnVGTFpmodsXF0pnqVSujTk7ly/BAJyancjonH1sqKCh7OgAqVClKwxtrBmSpV/FEbM7h0/AhJKalE3LmLtaUOH89yWTmoIMmgw9Leiar+flhqVVw8cYiklHRuRMZgZWlBRa+smlIFJGSq0dk6UamiD3bWOs4fP0xyajrXb0Wh02mp7PNPp3FCOljYOuHv642Tgy3nQg+RmpbB1ZuRWGg0VPErz/2PJHHpChY2Dvj5VKBsGUfOhhwiPSOTS9fD0WjUVPf3Mv1Ni081oLFywMfLE49yZTkbcpCMTD3nr95ErVZRs7IPqWkZjJv9dZ5q3CIv2lu2bElAQACLFi0yLdu8eTN9+vQhJSUl1+Ex/5WQkICjo6MU7UKIPNFnZBBx6QRethlw9xrcvcYnq7fw44HzvN7MgT6Vsnq+L8YYqPJ5MnY6SJz88EL8bqqC18IknG00XJ5RDwtrB7C055uDUew+F0uPZtXp0ToAdHboNTas2XEMO3t7nuvQAq2VHWgtCY9J4m5yGuXcPHF19wStFYrWEj1atJbWebojniiZjEYjcYnJRMbcJSr6LnfuxhFzN4HYu/HExidgaeeEX90mJKcbSM7Q88OiaSQlxpOanExaShIZqSlkpqegz0jD2rMq7r3eJV2fVUDfWNgXY3pyrvvVeVTFY9Anpuc3Fw/FkHgn11gLF288Ryw2PQ9f9gqZMTdyjdU4lKPCKyvQqlXotGpu/t87pEffQK21QK3R/vPQarGyc6LFa/Ow0Kiw0KgJ3fwlibfD0Got0Gq1aC0s0FpYYKG1wNLahk5Dx2GhUaFVqzmxdzsJ0RHoLHTodBZY6CzQWVhk/V9rQYugbmjVKtQqFVfPnyYxPhYLrRYLjebeNjVotVp0Wi3Va9VGq9GgUau4G32HjPRULLRatFoNVjoLtBYadBotFhZaHB0dsNCoUatUqFWgVatRq+X3TxS//NS4RT48pmnTpvz888/Zlu3YsYMGDRrkqWAXQogHSUtO4uzB33E23sFHGwNRZ7lwKpTas09gqYH4SfamQvjSqVT2XcyktXsKfXyzerk9XF2o7ang7mxHRs2e6JzcwMaF0ZUT6J6gp0at2lCtNti4UMbKiaSPcv7JHDQIBv1nmRYY2ixnvp5+4PmfZSpA/hKWDAaDgeiEZDIULfGpmcQmpfLbL1u4E3uXmJhY4uLiiIuLIzEhnuTEeOwqVKV8uyEkpOqJT07j0ofdHrhtK98A3Pq+b3oetvsXlIzUXGPV9ndNBTuAysIKlVGP2sIKjc4Src4Krc4KC0srnCv406qGG9YWGqwtNBzu3g8lMx1rayusrKyxtLLEytISaysrnF1caN6mPpYWanQaDddarEGtGLG1scLO1ho7G2vsbK2xt7bB3tYaBzsbNPcL2Zkd896QQxvlPbZd5bzH1sz5zfwDudvnPVaIUiLfRXtSUhKXLl0yPb969SqhoaE4Ozvj7e3N5MmTuXXrFt988w0Ao0eP5vPPP2f8+PGMHDmS4OBgli9fzrp16wr3SIQQT7TUpERO79tGg3LpcOso3DrG6C8Pszo0naktdcxok1WI+xgV9Mas4cEJ1t44evpDGV8Ge6ho3U9D/YZNoE4jsHPDzsKKE1Nz7qt+c6ifc7EoZRKSUrhyI5y4VD0WDmWJTc4gMjaR75ctIiYmhrjYGBLjYkhJiCM9KQ59SgI2VZvj2uNtABSjgbCPRz1w+1ZJ6aTXvd8DrkKls0HJSEGts0ZjaY3W0gadtS06a1vc/WvSuq4ntpZa7Cw1HI4fi5VWg4OjPWUcHXF2csTJ0Z4yDvaUK+tC5UoVTYW47oMoNJo8ztD8wqd5bp9nKrfKc6wQwvzyXbQfOXKENm3amJ6PHz8egMGDB7Nq1SoiIiIICwszve7n58e2bdsYN24cX3zxBZ6ennz66acy3aMQ4qGM6cmobx2Ba3u5e3o3buN2kWnIfgFmfXf4xUYF9h5QrzO4VsOyXHWu97XFs1ogagtL0/aa3HuI0k1RFG5FxRARm4jB0oGoxHRuRN1l/ZKPuXP7NndjokiKvUNaQgzG9KyL0myqt8K1+1tZ6xsNhP3fkgdu35iejKVWjYO1BY7WFqTVaIylToetvQMOjk44Ojnh7FQGF5cy+Pr68UyLJjhaW+BgrUU94SauTg5YaDUP3L5Jl9mF1yhCiKfCY41pLy4ypl2Ip4CiQOQJtn2ziPeWbKSeSwbLu+lML1f7PIm4dPh1QjMCmrWB8oHoXWuicfZDpclDkSRKhaR0PeFxqVy9fZcNa7/l+vXrhN+6SXTkLZJio0hPiEHRZ+QsxD/uaZoWLhu1hjLVmxM4bCbOtjqcbXWE/PAZDvZ2lHN1xcOtHOU93PDycMPf24OKFTxwsLXOuR0hhCgCJWpMuxBCPEhKQhzbVs+nRZko3KL2QMIt1Jf0HLueQlyCCuy8wa8F+LYgeEB1nCoGZivQ5Q9Y6XPzdjQHQk4TcuocZ85f5EbYdaIibhEXFYFl+erYtx8DgGLQE/bJe1lzw+dCrU/Fr6wtrvaWlLO35FS/0ZQt44hXeU98vSpQxc+Lan5eeHu45hxa8tKq4jhUIYQoVHLOE0IUL6Mxa/7qExvoPm4puy6nszDIkjebWIKFDa06tGSVvy0deg+Hms3uTdsHZR65YVESGI1Gzly5wYGjJzl+5jzJig6nGs9wPTaFq7fjOT6za+494oDewhZ7wNHaAk8nByyf6YKTgz0VKnjh7+dDZT8fqvn7UMPfm7JO/7nQ8MXFuW5TCCGeFFK0CyGKxYVje1n36QzeqR2JNjFrmrnuleFSrBbLis3gxUng1wJrC2sGmztZ8UgGo8LNuylcvJ3Iks8Wcv7cWcKvXyY+/Fq26QktK9TAfUBF03ONQ1lUhkwcylWgXHlvKnj74ufnQ5WKfgTUrELjejWxu3dzHd5sYY5DE0KIEkmKdiFE0VEUuLaPzOAvaT7y/4hOUQjsb03XWs5Qowej+/Xk9cptUGnkT1FJZTQaOXzqIr/tOcjBo8c4f/Y0aSpLbJ8dY5qW8Oba5RgS/7nDJio1lmXcKOPmhV/1OgzsWA0fFxu8nW1wm3QZ1//2kgshhHgkOVMKIQpdanIyf675kM6qvyDiOBbA0HoWnElypGznsdB3HFhYo8vDtkTxScs0cPF2EmcjEvj841mcDTlI7I1LOW7so7FzpkKrV9Bp1VQsa4t7p76UsVJTp1ZNmgXWoVXDOjjZ25rtOIQQ4kkkRbsQovAYDdzdv5LqXV7hdqKe06/aUsPTHur246PRw1G51zJ3huKe1LR0tv51kF//3Mfhw4eJjLqDfbcpGIxZ480jDwaTHnYyK1itxc7dBy//alSvWZsG9WrTv1crvJxts26+M7aleQ9GCCGeAlK0CyEen6LApd9h51TKRJ2hhRccCtdwy6c3NcbMA1sX5Abh5qMoCjfvpvL1/21k52+/ceF0KHfDLqAYMrPFWT2biLNzGaq7O6Aa+DIuOgNtmjWgfbP62NvINIhCCGFOUrQLIR7LX5tXM33K//ipZwYOliqwcuTLuROwb/kqFvYu5k7vqZSZqefn3cH8uP0P7AM6czQsgciENKK3rSH55E5TnNrKjnIVa1CjTgDPNG3CoN7tqeheBpVKJbeiEkKIEkaKdiFEwWSkoP9jDsNHzOZyrJFFh2x47+1x8Mx4nG2czZ3dUyUtPYP1W/9g09YdHD14gMiLJzFmZN0N1H2wE5buldCqVdRs2haVrxtNGjei67MtaNOwTs45zIUQQpRIUrQLIfLv0u/wyzi0cWF81tGSLVEVGPPl91CxrrkzeyooisK1mBT2XYpmxarV7Fn5kalIv09taYN75Tr0bVCeFzo1JsCrDNa6zmbLWQghxOORol0IkWdRt64zqnc7RlcMJ6iSFhy96DR1Hp2qdjR3ak+8Kzci+Pyb7/ntt9+gciuSXWsCkJagw5iRgsbaAe9ajWja/Bl6dmpL99ZNsNRZmDttIYQQhUSKdiFE3kScYMHQIH4MjiT0rIqL34xHGzQDdDK1X1FQFIVf9xzi67Xfs3fXb8RcOW26k6h9iga3oFoE+pShSZtuuA9sRq/2zbHQasydthBCiCIiRbsQ4tGOroZtE3ivYToXI+2YOvsTtF1fNndWTxyDUeHwtVh+Cj7DgtdeID0uKtvrdp7+1G/elr4vPMeQnu2x0cmfcCGEeFrIX3whxAPF3A7nu+kDeMXtGAA2tbrww5TPwVZmhSksySmpLP52M7tDz3PbswXRSekoioJBUaHSWuJZsyFt23fklYEv0LROVXOnK4QQwkykaBdC5Cox8hoNa9fganQqtj2tGTRuJjwzHtQy28jjSkpO4fM1G/l2/Xec/fsPjOkpqCxt8XotACd7G56tXo4qqzbwfKsAypVxMHe6QgghSgAp2oUQOcVewf67Fxhc08iak1oChi+CliPNnVWpt37rn8z79AtC92zHkJZsWq61d6HOMx2Y3qcGHQMrYSHTMAohhPgPKdqFENkoN4+iWtcXku8wtXslxi5fjaN/oLnTKrVux6ey5XgEG4/d5O/vvyL+wEYALBzKUrdlR4YO6MfwXkFYWsifYyGEEA8mZwkhhMmahdPZvmouq7tr0XrWRTXgexzt3c2dVqkTl5DIjIVf8+3qlagDnsO6UmMAnOo8i4c2meFDBvP6Sz2kUBdCCJFncsYQQgAQfmgLI9+aQboe2gXWYeg728DS3txplSo79x1k2kefcmjnjxjSs252ZKN14JlnO/J8/fJ0rd0BR5sR5k5TCCFEKSRFuxACrh/Ac+do1vey5o9YdwZ/sR8s7cydVamQkalnytzP+Wblcu5cPmVabunsSdue/Zkx/lUa1qxo1hyFEEKUflK0C/GUU8KPo/q/vpCZTM8uQfTs939gYW3utEq8pHQ93x2+wYr9Vzi0aBGZd66BWoNXQEtGjRrF/wb3wkrmURdCCFFI5IwixFPsz5/+j/f/N4IfemlxrtYCpGB/pJ17D/LO7Pkk1etPimIBgGfbgVS1SuT9t16nkfSqCyGEKAJStAvxlMqIvcXQYUO4HpvJ7KOezJshBfuDKIrCkm83MvvDj7h15ggAzgZn6gb1ZVhzP3rV74i1TmPuNIUQQjzBpGgX4mmkT0e3eShb++qYc8iGWev2g5WjubMqcYxGI/O//pY5c2YTe/181kKVGp8GzzJ5dC9G9myFWq0yd5pCCCGeAlK0C/G0URTYNgFuHKSmtzPfztwFZX3NnVWJoigKu8/c5LmgNsTfugyAysKSgA69mTttMs82rGHuFIUQQjxlpGgX4imiKArTRnRjgPVuqrpaQK8VULayudMqUQ5ciuaTnRc4ev0uGbblUFtG0KTrAD6f/Q4BVXzMnZ4QQoinlBTtQjxFvprzNu+v2MoX1ioub/oAp8rtzJ1SiaAoCp+v3sCMme9j1XECWody6LRqXn5rJi+3r0kNHw9zpyiEEOIpJ0W7EE+LlFi6pm+mlY+Gbq3q4xQ0ydwZlQjrtmxn/FuTiLxwHACHgz8wbtpcXm3tTzkHK3OnJ4QQQoAU7UI8JRQFtrxOeVUUu8bVQT1qF6ie7gso9x4OYejoN7l8bC8AKq2OwM4vsmL++9T2r2Du9IQQQohspGgX4ilw9acP8Tv3C2h0aPqsBCt7c6dkNul6A90GvsLODctBMYJaQ7VWPfly/ge0rFfV3OkJIYQQuVKbOwEhRNH6ftkCqr4whQXB6dBuBnjUNXdKZqEoCjtOR9JhwR4OXosDxYh77WfY+HswZ//4QQp2IYQQJVqBivbFixfj5+eHlZUVgYGB7N2796Hxa9eupW7dutjY2ODh4cHQoUOJiYkpaM5CiLwyGvh15VwyDRBr7QdNXjF3RmaxdvOvdJn6DS+vOcr1mBT827/E1MXruBW6h+fbNDR3ekIIIcQj5bto37BhA2PHjuWdd94hJCSEFi1a0KlTJ8LCwnKN37dvH4MGDWL48OGcPn2a77//nsOHDzNixIjCyF8I8TB/L2F5u2Q2DXDhvWW/PnXj2G9G3KZRh+d56fnO7Fo+Bws1vNranz3vdGbGK/3kxkhCCCFKjXwX7fPnz2f48OGMGDGC6tWrs3DhQry8vFiyZEmu8X///Te+vr688cYb+Pn58cwzzzBq1CiOHDlSGPkLIR4k5jL8MQuVSsVz4z5B9xTdQElRFN6d+zl+lapweOdmQIVf1Zr8MqYJEztWw85SLucRQghRuuSraM/IyODo0aN06NAh2/IOHTpw4MCBXNdp1qwZN2/eZNu2bSiKwu3bt/nhhx/o0qXLA/eTnp5OQkJCtocQIu/i7t7lw9FdyEhPAb+WUH+QuVMqNodCT+FdqxEfvP06+pQErN38+Pibnzj7xw9ULe9i7vSEEEKIAslX0R4dHY3BYMDNzS3bcjc3NyIjI3Ndp1mzZqxdu5a+ffui0+lwd3fHycmJzz777IH7mTNnDo6OjqaHl5dXftIU4qk3bUx/Jv9wnv6bMqDbp0/NsJhF63+lScNAbp45gkprybODxnPj4ikmDOyG6ilpAyGEEE+mAl2I+t+Tn6IoDzwhnjlzhjfeeIOpU6dy9OhRtm/fztWrVxk9evQDtz958mTi4+NNjxs3bhQkTSGeTmkJNCYUdzsVr4wcCs5+5s6oyMWnZDJ2fQjzj2Vi4eqHS9VGbNl9kN9Xf4KLvY250xNCCCEeW74GdpYtWxaNRpOjVz0qKipH7/t9c+bMoXnz5rz11lsA1KlTB1tbW1q0aMGsWbPw8Mh5e3BLS0ssLS3zdyRCiCx75vJilVSem1YH69cWmjubImU0Gpky9wt+T/MnOk1Bo9EwedFqJvUMxMpCxq0LIYR4cuSrp12n0xEYGMjOnTuzLd+5cyfNmjXLdZ2UlBTU6uy70Wg0cK+HXghRiO5cgL+zLgq37vExaJ/cD79Xrt/EP6A5H01+g4u/Lqeiqy0bX2nG9N6NpWAXQgjxxMn38Jjx48ezbNkyVqxYwdmzZxk3bhxhYWGm4S6TJ09m0KB/Lnrr1q0bmzZtYsmSJVy5coX9+/fzxhtv0KhRIzw9PQv3aIR4iqWlpfF857bsvpIGVTpC5fbmTqnIfLV2I9Vq1ebaib9RaS1pGViTbW+0IMC7jLlTE0IIIYpEvruj+vbtS0xMDDNnziQiIoJatWqxbds2fHx8AIiIiMg2Z/uQIUNITEzk888/53//+x9OTk60bduWjz76qHCPRIin3JIPJrL5yC0OXVBxefY0nsQ+9rS0NHoOfY3f1i8HwNq9Il+vXMOAjrl/0yeEEEI8KVRKKRijkpCQgKOjI/Hx8Tg4OJg7HSFKHkXh9oKWvL/+IA2bt2Hwgt/MnVGhCzl5lg7dnyf62jkAqrfrw851X1G+rKO5UxNCCCEKJD81rgz8FOJJcG4rbgkn+LyHC7yx2tzZFLrT4fGM/OYQMeFhqK0deHXqPBZNHCF3NBVCCPHUkKJdiNLOaIBdM7P+3+QVsHc3d0aFRlEUNh27xZTNJ0nXlKXW0A+YPzyIdg2rmzs1IYQQolhJ0S5EKTd55AvcPR7KlPbl8G7+prnTKTQRt+/QsvPzJFTuiLVvPdpUdWVh3w442liYOzUhhBCi2EnRLkQpFhsdzaI1W0jNNPJcv454Wz0Z47t37f2b7j2fIyU2Es2lC3y4/g/GB9WQ4TBCCCGeWgW6I6oQomRwvr2P7QOseKOpHR3GzDN3OoXi0xVr6fBsG1JiI9GV8WDJNxuY0KmmFOxCCCGeatLTLkRppSiwZx4tfbS0HDgRrJ3MndFjURSFUW9N4+tPZgEKzlUa8Me2H6nrX97cqQkhhBBmJ0W7EKXV+V/h9knQ2WVdgFqKZWZm0va5l9i39TsAKrd+nuAt3+Jib23u1IQQQogSQYbHCFEKJScnExjUj4V/p5NRbyjYOJs7pQLLNBiZ9vNZQq7dAZWadsMncWrnd1KwCyGEEP8iPe1ClEJrPp3FsbBE4pPUvN6s9Payp2YYGPN/x/jjXBRlO73Bq6Ne5qPX+qJSyfh1IYQQ4t+kaBeiFBrsfQtVFyscqzZH41Q6x3wfPHacARM+ILPhQKx1WhYNbEJQzSdnjnkhhBCiMEnRLkRpE3cD60u/MKqBDkbNN3c2BbJ111881707mSkJuGHDppXzCfQpvUN8hBBCiKImRbsQpc2hr0AxgF9L8Khj7mzybcX6zYwc9CLGzDRsK1Tjx8+mS8EuRClkMBjIzMw0dxpClHg6nQ61+vEvI5WiXYhS5PjhYCa/Po8xgSq69B9j7nTybdGybxk7aggYDThXaciB33+hqlc5c6clhMgHRVGIjIwkLi7O3KkIUSqo1Wr8/PzQ6XSPtR0p2oUoRZZ+9A6/XkjD3taJLpU7mDudfPl48UomvjYCFCOe9dtyZOePeDjbmzstIUQ+3S/Yy5Urh42NjVw4LsRDGI1GwsPDiYiIwNvb+7F+X6RoF6IU+V+9ZOwjdHQfMAgK4au24vL70fO8/eYroBjxahTE8T9+pIytlbnTEkLkk8FgMBXsLi4u5k5HiFLB1dWV8PBw9Ho9FhYWBd6OFO1ClBbhIVTSn2NuRwcY/J65s8mzI9diGbvlGmV7TMQ+6iRHf12HkxTsQpRK98ew29jYmDsVIUqN+8NiDAbDYxXtpaerToin3ZGVWf9W7w62Zc2dTZ7sOXuLQSsOkZSup22HTpzY+b0U7EI8AWRIjBB5V1i/L1K0C1EKHN73J29+uJyzdwzQYJi508mTDxZ9SbtmgcTfvsEzlcqyckgjbC3lyz0hhBCiIKRoF6IUWPrxVD4NTuGjI1bg08zc6TzSomVreHfcq2TG3cbpxgGWDW6AtU5j7rSEEOKBVCoVP/74Y5Hvx9fXl4ULFxb5fnKzatUqnJyczLLvkmD37t2oVKpSO/ORFO1ClAJ9/JLoVV3LyKGDoIR/Lb183UbGjR4GihHvJp35e+OXWFlIwS6EMJ+oqChGjRqFt7c3lpaWuLu7ExQURHBwsCkmIiKCTp06mTXP3JT2Qvv06dP06tULX19fVCpVgT+wfPXVV7Ru3RoHB4cHFt53795l4MCBODo64ujoyMCBA/NdoD/ow9uQIUPo2bNngXIvLFK0C1HSRV8iyOkKP/S1p/lLk8ydzUOt/3EbIwf1RzHo8QhoS8jOjdhbP968tEII8bh69erF8ePHWb16NRcuXGDLli20bt2a2NhYU4y7uzuWlpZmzfNJlJKSQsWKFfnwww9xd3d/rO107NiRKVOmPDDmxRdfJDQ0lO3bt7N9+3ZCQ0MZOHBggfdZ0kjRLkRJd3xd1r+V2oFdyb0R0Y6/9jOgby8UfSZlazYn5I8tONvJRadCCPOKi4tj3759fPTRR7Rp0wYfHx8aNWrE5MmT6dKliynu3z2s165dQ6VS8d1339GiRQusra1p2LAhFy5c4PDhwzRo0AA7Ozs6duzInTt3TNto3bo1Y8eOzbb/nj17MmTIkAfmN3/+fGrXro2trS1eXl68+uqrJCUlwb3hHEOHDiU+Ph6VSoVKpWL69OkAZGRkMHHiRMqXL4+trS2NGzdm9+7d2ba9atUqvL29sbGx4bnnniMmJuahbXX/uDdt2kSbNm2wsbGhbt262b6RyK+GDRvy8ccf069fv8f6UDR27FgmTZpEkyZNcn397NmzbN++nWXLltG0aVOaNm3K119/zS+//ML58+dzXSc1NZUuXbrQpEmTbB/gHuV+O/330bp16wIfX15I0S5ECXbrxg2WLl3C3VQF6vYzdzoPlJiWSf8Rr2PMSMOpUiBH/vgFNydbc6clhCgGiqKQkqEv9oeiKHnKz87ODjs7O3788UfS09PzdWzTpk3j3Xff5dixY2i1Wvr378/EiRNZtGgRe/fu5fLly0ydOrWALZdFrVbz6aefcurUKVavXs0ff/zBxIkTAWjWrBkLFy7EwcGBiIgIIiIimDBhAgBDhw5l//79rF+/nhMnTtC7d286duzIxYsXATh48CDDhg3j1VdfJTQ0lDZt2jBr1qw85fTOO+8wYcIEQkNDqVKlCv3790ev1wMQFhZmatMHPUaPHv1YbVIQwcHBODo60rhxY9OyJk2a4OjoyIEDB3LEx8fH06FDBzIyMti1axfOzs553peXl5fp/YiIiCAkJAQXFxdatmxZaMeTG5nKQYgSbM1ns5j8QwTfV7Rk16ySN9YSINNg5NW1x7DpPBH1gTXs/n45PuVK7/hLIUT+pGYaqDH1t2Lf75mZQdjoHl3GaLVaVq1axciRI1m6dCn169enVatW9OvXjzp16jx03QkTJhAUFATAm2++Sf/+/dm1axfNmzcHYPjw4axateqxjuPfPfN+fn68//77vPLKKyxevBidToejoyMqlSrb0JLLly+zbt06bt68iaenpynX7du3s3LlSmbPns2iRYsICgpi0qSsYZVVqlThwIEDbN++/ZE5TZgwwfQtxIwZM6hZsyaXLl2iWrVqeHp6Ehoa+tD1HRwcCtweBRUZGUm5cjm/jS5XrhyRkZHZlt2+fZu+ffvi7+/PunXrTPOo39e/f380muzXYqWnp5vaRKPRmN6PtLQ0evbsSdOmTU3fghQVKdqFKMEqZFymjpuaFzs3Bwtrc6eTg9Fo5O2NJ9h7MRo7Byd+2bSWml5SsAshSpZevXrRpUsX9u7dS3BwMNu3b2fu3LksW7bsoUNX/l3Uu7m5AVC7du1sy6Kioh4rtz///JPZs2dz5swZEhIS0Ov1pKWlkZycjK1t7t9YHjt2DEVRqFKlSrbl6enppjvVnj17lueeey7b602bNs1T0f7v4/bw8IB7F/NWq1YNrVZLpUqVCnSsRS23+dAVRcmxvF27djRs2JDvvvsuR3EOsGDBAtq1a5dt2dtvv43BYMgRO3z4cBITE9m5cyfqIr5TuRTtQpRUmam8VO4cL422wzh4prmzySEzM5O6LTpw27EGToFdWDygPnWlYBfiqWNtoeHMzCCz7Dc/rKysaN++Pe3bt2fq1KmMGDGCadOmPbRo//fdK+8Xfv9dZjQaTc/VanWOYTv37yKbm+vXr9O5c2dGjx7N+++/j7OzM/v27WP48OEPXc9oNKLRaDh69GiOotPOzg7uFasFldtx3z/OsLAwatSo8dD1X3rpJZYuXVrg/ReEu7s7t2/fzrH8zp07pg9c93Xp0oWNGzdy5syZbB/C/r2t/34wsbe3zzETzaxZs9i+fTuHDh3C3t6+0I7lQaRoF6KkuvQ7ZCSCoxdq35I1N7uiKAT1HszZg7tRaYOZ9fpg2lQruRfJCiGKjkqlytMwlZKmRo0ahT4vu6urKxEREabnBoOBU6dO0aZNm1zjjxw5gl6v55NPPjH10n733XfZYnQ6XY4e3oCAAAwGA1FRUbRo0SLXbdeoUYO///4727L/Pi+Ikjo8pmnTpsTHx3Po0CEaNWoE98b1x8fH06xZ9nPohx9+iJ2dHc8++yy7d+9+5IeQ3GzcuJGZM2fy66+/4u/vX2jH8TCl77dMiKeAoigEb/qSxkYFTY0eJW5u9rHvfsCfP60DlZrhUxfxStdG5k5JCCFyFRMTQ+/evRk2bBh16tTB3t6eI0eOMHfuXHr06FGo+2rbti3jx49n69at+Pv7s2DBgofOE+7v749er+ezzz6jW7du7N+/P0cPta+vL0lJSezatYu6detiY2NDlSpVGDBgAIMGDeKTTz4hICCA6Oho/vjjD2rXrk3nzp154403aNasGXPnzqVnz57s2LEjT0NjHiW/w2MyMjI4c+aM6f+3bt0iNDQUOzu7fG0nMjKSyMhILl26BMDJkyext7fH29sbZ2dnqlevTseOHRk5ciRffvklAC+//DJdu3alatWqObY3b948DAYDbdu2Zffu3VSrVi3PuZw6dYpBgwbx9ttvU7NmTdOYeZ1Ol68LWvNNKQXi4+MVQImPjzd3KkIUi6MH9yuA4l9GpeivHTR3OtmsWLdJQaVWAKXlwP8pRqPR3CkJIYpJamqqcubMGSU1NdXcqeRZWlqaMmnSJKV+/fqKo6OjYmNjo1StWlV59913lZSUFFMcoGzevFlRFEW5evWqAighISGm1//8808FUO7evWtatnLlSsXR0dH0PCMjQ3nllVcUZ2dnpVy5csqcOXOUHj16KIMHDzbF+Pj4KAsWLDA9nz9/vuLh4aFYW1srQUFByjfffJNjP6NHj1ZcXFwUQJk2bZppX1OnTlV8fX0VCwsLxd3dXXnuueeUEydOmNZbvny5UqFCBcXa2lrp1q2bMm/evGz5/ldux3337l0FUP78888CtP4/2/zvo1WrVtna8VEl6bRp03LdzsqVK00xMTExyoABAxR7e3vF3t5eGTBgQLZ2zO09fP311xUPDw/l/PnzivKfn4N/Gzx4sNKjR49s+T7smP7tYb83+alxVcrjDHoqJgkJCTg6OhIfH2+Wr1yEKG7fL3ibkZPn0r6aA9+HxJWYnvaDx47TvHlzDGnJ+DTrytk/N2NdCr8WF0IUTFpaGlevXsXPzw8rK7kPgygc06dPZ/fu3TnmmX9SPOz3Jj81rpxthSiBeleIovsEe+7WGlpiCvbYu3G069gFQ1oy9r512LdlrRTsQgghHttvv/3GokWLzJ1GiVeguWkWL15s+rQQGBjI3r17Hxqfnp7OO++8g4+PD5aWlvj7+7NixYqC5izEky0jBS78hqVWhXuLknP75UV7bqKt2QGLMp5s3bKZCi7yrZcQQojHFxwcbLp4VDxYvrvJNmzYwNixY1m8eDHNmzfnyy+/pFOnTpw5cwZvb+9c1+nTpw+3b99m+fLlVKpUiaioKNOdtYQQ2WWc2YYuMxmcvMGzvrnTAWDj0ZusDr6OY+NerPr4XVrUrmjulIQQQoinSr6L9vnz5zN8+HBGjBgBwMKFC/ntt99YsmQJc+bMyRG/fft2/vrrL65cuWK6otbX17cwchfiiVS/+8s4q5L5+r3mVC0BQ2PW/rSDGQeSQGXJG89WpkcDKdiFEEKI4pav4TEZGRkcPXqUDh06ZFveoUMHDhw4kOs6W7ZsoUGDBsydO5fy5ctTpUoVJkyYQGpq6gP3k56eTkJCQraHEE+Da5cvcfrGXYJvGnBv/Ly50+HgseMM6tODayvG0chNzdhnK5s7JSGEEOKplK+e9ujoaAwGQ447S7m5uZnmqPyvK1eusG/fPqysrNi8eTPR0dG8+uqrxMbGPnBc+5w5c5gxY0Z+UhPiieCrieTam3YcjbHGsUZbs+YSHx9PUNceGDPSsHd04YthrVCrzd/zL4QQQjyNCnQhquo/X9kripJj2X1GoxGVSsXatWtp1KgRnTt3Zv78+axateqBve2TJ08mPj7e9Lhx40ZB0hSi9Dn/Kz5Oap5//nnQmG9mFkVRaNO9L/ER19Hal2XzDxtwdbQxWz5CCCHE0y5fVUHZsmXRaDQ5etWjoqJy9L7f5+HhQfny5XF0dDQtq169OoqicPPmTSpXzvl1u6WlJZaWlvlJTYjST1Hg/Las/1ftZNZUxr77ASF7fgO1lvcWLKNNPRkWI4QQQphTvnradTodgYGB7Ny5M9vynTt30qxZs1zXad68OeHh4SQlJZmWXbhwAbVaTYUKFQqatxBPnI0rP2fU6tPsu6kCf/MNjfl9zwE++yhreFrbIRN4b1h3s+UihBBCiCz5Hh4zfvx4li1bxooVKzh79izjxo0jLCyM0aNHw72hLYMGDTLFv/jii7i4uDB06FDOnDnDnj17eOuttxg2bBjW1taFezRClGJrV3/NV8cy+T3WAyztzZKD0WjkxWGjUAx6XOu05OfF7z9w6JsQQjwtVq1ahZOTk7nTKNWmT59OvXr1zJ1GqZbvor1v374sXLiQmTNnUq9ePfbs2cO2bdvw8fEBICIigrCwMFO8nZ0dO3fuJC4ujgYNGjBgwAC6devGp59+WrhHIkQpNzrQgtcaWtCrd1+z5bA6+Dq6oAnY12rDlvXfYGMpdzwVQpR+UVFRjBo1Cm9vbywtLXF3dycoKIjg4OA8rd+3b18uXLhger5q1SpUKpXp4eHhQZ8+fbh69WoRHkX+bdq0iaCgIMqWLYtKpSI0NLRA23nzzTcJDAzE0tLygYX3yZMnadWqFdbW1pQvX56ZM2eiKEqe97F7925UKhVxcXE5XvP19WXhwoUFyv1JUqAz8quvvsqrr76a62urVq3KsaxatWo5htQIIf4l9S4dHK/QobM1dB5hlhROh8czZ9s5tPZl+fyrFTSp7mOWPIQQorD16tWLzMxMVq9eTcWKFbl9+za7du0iNjY2T+tbW1vnGB3g4ODA+fPnURSFc+fOMWrUKLp3705oaCgajaaIjiR/kpOTad68Ob1792bkyJEF3o6iKAwbNoyDBw9y4sSJHK8nJCTQvn172rRpw+HDh7lw4QJDhgzB1taW//3vf495FOK+As0eI4QoZFd2g2IE12rgWPzXepw4fZZ+Uz4lw2CkXXU3BjaRgl0I8WSIi4tj3759fPTRR7Rp0wYfHx8aNWrE5MmT6dKlS7a4l19+GTc3N6ysrKhVqxa//PILPGB4jEqlwt3dHQ8PD9q0acO0adM4deoUly5dYtiwYXTt2jVbvF6vx93d/YHTXd/fx2+//Ub16tWxs7OjY8eOREREFPjYBw4cyNSpU2nXrl2BtwHw6aefMmbMGCpWzP3memvXriUtLY1Vq1ZRq1Ytnn/+eaZMmcL8+fMf2Nt+9epVKlWqxCuvvILRaMxzLv/9luP+Y/r06QU+vtJCinYhSoC1yxdzOdYI/s8W+771ej0dn+vDqdVTUU7/ytwX6sg4diFEviUnJz/wkZaWlufY/04HnVtMftjZ2WFnZ8ePP/5Ienp6rjFGo5FOnTpx4MABvv32W86cOcOHH36Yrx7z+z3xmZmZjBgxgu3bt2cruLdt20ZSUhJ9+vR54DZSUlKYN28ea9asYc+ePYSFhTFhwgTT62vXrjUdz4Mea9euzXPOhSU4OJhWrVplm/kvKCiI8PBwrl27liP+1KlTpm8AlixZglqd93K0b9++REREmB7r1q1Dq9XSvHnzQjuekkoGrAphZuG3bvHSgt9RAXcGNsClmPc/cvw7RFw8hdrSlkUTX8bZVlfMGQghngR2dnYPfK1z585s3brV9LxcuXKkpKTkGtuqVSt2795teu7r60t0dHS2mPyMldZqtaxatYqRI0eydOlS6tevT6tWrejXrx916tQB4Pfff+fQoUOcPXuWKlWqADywVzk3N2/e5OOPP6ZChQpUqVIFnU5H1apVWbNmDRMnTgRg5cqV9O7d+6HtlJmZydKlS/H39wfgtddeY+bMmabXu3fvTuPGjR+ay4Om4C5KkZGR+Pr65ppHZGQkfn5+puXBwcF07dqVyZMnZ/tAcl9uMwv++2fl30OVLl++zGuvvcbs2bNp3759oR5TSSRFuxBmFnvpKK19NWQY1bjUK9752XfvP8jqLz4B4LnXptKzRZ1i3b8QQhSHXr160aVLF/bu3UtwcDDbt29n7ty5LFu2jCFDhhAaGmoquPMqPj4eOzs7FEUhJSWF+vXrs2nTJnS6rI6PESNG8NVXXzFx4kSioqLYunUru3bteug2bWxsTAU79+51ExUVZXpub2+Pvb15Zhd7lNxuvPnf5WFhYbRr145Zs2Yxbty4XLezd+/eHMfYunXrHHHx8fF07dqVTp068dZbbxXSUZRsUrQLYWa1dDf4c7AtBr82YFF806CmpaXRq98AFKMB1zqtWDN7fLHtWwjx5Pn3/Vj+67/DTP5diP7Xf4dK5Da8oiCsrKxo37497du3Z+rUqYwYMYJp06YxZMiQAk1BbW9vz7Fjx1Cr1bi5uWFra5vt9UGDBjFp0iSCg4MJDg7G19eXFi1aPHSbFhYW2Z6rVKps3yqsXbuWUaNGPXQbX375JQMGDMj38TwOd3f3XG+8yX96/l1dXfH09GT9+vUMHz4cBweHHNvy8/PLcf2AVpu9XDUYDPTt2xcHBwe+/vrrQj6akkuKdiHM7VJWz4umcvGOZ39x9Dhib15GY+vEhm+WY62TPwdCiIL7b9Fqjtj8qFGjBj/++CMAderU4ebNm1y4cCHPve1qtZpKlSo98HUXFxd69uzJypUrCQ4OZujQoY+dc0kdHtO0aVOmTJlCRkaG6ZuGHTt24OnpmW3YjLW1Nb/88gudO3cmKCiIHTt2FOibg3HjxnHy5EkOHz6MlZVVoR5LSSZnaSHMKDE2Cqsr+7CAYr0INfjYSTZ/8yUAgybOpk1d/0euI4QQpVFMTAy9e/dm2LBh1KlTB3t7e44cOcLcuXPp0aMH3BtH37JlS3r16sX8+fOpVKkS586dQ6VS0bFjxwLve8SIEXTt2hWDwcDgwYMf+1jyOzwmNjaWsLAwwsPDATh//jzc6xl3d3fP83YuXbpEUlISkZGRpKammuZ7r1GjBjqdjhdffJEZM2YwZMgQpkyZwsWLF5k9ezZTp07NMWzG1taWrVu30qlTJzp16sT27dsfOs7/v1auXMnixYvZvHkzarXa1MN//0LcJ5nMHiOEGX32wWRcZt/ho8OWUK56sexTURRWnErH9bl38GvTj6VTHv5VqxBClGZ2dnY0btyYBQsW0LJlS2rVqsV7773HyJEj+fzzz01xGzdupGHDhvTv358aNWowceJEDAbDY+27Xbt2eHh4EBQUhKenZyEcTf5s2bKFgIAA09SW/fr1IyAggKVLl5pihgwZkuuY8X8bMWIEAQEBfPnll1y4cIGAgAACAgJMHwYcHR3ZuXMnN2/epEGDBrz66quMHz+e8eNzH3ZpZ2fHr7/+iqIodO7cOV8zAv31118YDAa6d++Oh4eH6TFv3rw8b6O0Uin5uQTbTBISEnB0dCQ+Pj7X8U9ClFY9mlVlS/AFPh/5DGO+2lss+9x6IoIx/3cMC42KLa89Q3UP+Z0SQuRNWloaV69exc/P76kallBQKSkpeHp6smLFCp5//nlzp5Or1q1b07p166dinnNzedjvTX5qXBkeI4QZbRrsyYnat/DsUzwXDR0OPcmU9WcBW15pXUkKdiGEKAJGo5HIyEg++eQTHB0d6d69u7lTylViYiKXL1823URKlGxStAthLmkJaCKPE+ChgcAueVjh8ej1ero+34foiJvUHfo+Y9oUfJymEEKIBwsLC8PPz48KFSqwatWqHLOflBT29vbcuHHD3GmIPCqZP0VCPA3C/gbFAGV8wcmryHf3xpT3ibp6DrWVHR8O64ilNu93+hNCCJF3vr6++boBlBB5IReiCmEmr7/1Du/+kcZNu3pFvq9TZ87z5YIPAej68iQ6NKhW5PsUQgghROGRol0IM0hNTeWrbUf5YG8GKa5FW7QrikKPFwdj1GfgWCmQNR8+HXeOE0IIIZ4kMjxGCDNQUuNY2sWKI7f0VG7Zu0j39cmSFVw5fhCV1pLPPl+Mg7WuSPcnhBBCiMInRbsQZmBz5zhD61kwtG1VcKpQZPuJi4vjvclvA9Cg53AGBjUqsn0JIYQQoujI8BghzOHavTnZfVsU6W62nYzEolJTdC5erP/8gyLdlxBCCCGKjvS0C1HM0tPT2bp5M83tjLgVYdGekJbJgj03cW4/mrFtfKno5lRk+xJCCCFE0ZKediGK2ZEDe+j15VnqLk1G8W5aJPtQFIUFOy5wJzEdv7K2vPKszBYjhBAPo1Kp+PHHH4t8P76+vixcuLDI95ObVatW4eT09Hbg7N69G5VKRVxcnLlTKRAp2oUoZknXQ6hdTk2LSvaoimg8+4effsmHbwwgM/oG07vXlDnZhRBPtaioKEaNGoW3tzeWlpa4u7sTFBREcHCwKSYiIoJOnTqZNc/clPZC+/Tp0/Tq1QtfX19UKlWBPrDExsby+uuvU7VqVWxsbPD29uaNN94gPj4+W9z9ffz7MWnSpHzt60Ef3oYMGULPnj3znXthkuExQhSzIF+FoFfsMFR/vki2HxcXx4z3ppCeeBevpNO0qjK6SPYjhBClRa9evcjMzGT16tVUrFiR27dvs2vXLmJjY00x7u7uZs3xSZWSkkLFihXp3bs348aNK9A2wsPDCQ8PZ968edSoUYPr168zevRowsPD+eGHH7LFzpw5k5EjR5qe29nZPfYxlBTS0y5EcbvxNwAa36IZGjN8XFbBrnOpwLpPZxXJPoQQorSIi4tj3759fPTRR7Rp0wYfHx8aNWrE5MmT6dKliynu3z2s165dQ6VS8d1339GiRQusra1p2LAhFy5c4PDhwzRo0AA7Ozs6duzInTt3TNto3bo1Y8eOzbb/nj17MmTIkAfmN3/+fGrXro2trS1eXl68+uqrJCUlwb3hHEOHDiU+Pt7Uczx9+nQAMjIymDhxIuXLl8fW1pbGjRuze/fubNtetWoV3t7e2NjY8NxzzxETE/PQtrp/3Js2baJNmzbY2NhQt27dbN9I5FfDhg35+OOP6devH5aWlgXaRq1atdi4cSPdunXD39+ftm3b8sEHH/Dzzz+j1+uzxdrb2+Pu7m56PKxoT01NpUuXLjRp0iTbB7hHud9O/320bt26QMeXV1K0C1GMjPpMuHkk64l340Lf/tnzF9m8ZhkAg8a9h185x0LfhxBCZKMokJFc/A9FyVN6dnZ22NnZ8eOPP5Kenp6vQ5s2bRrvvvsux44dQ6vV0r9/fyZOnMiiRYvYu3cvly9fZurUqQVsuCxqtZpPP/2UU6dOsXr1av744w8mTpwIQLNmzVi4cCEODg5EREQQERHBhAkTABg6dCj79+9n/fr1nDhxgt69e9OxY0cuXrwIwMGDBxk2bBivvvoqoaGhtGnThlmz8taR88477zBhwgRCQ0OpUqUK/fv3NxXHYWFhpjZ90GP06KL/hjc+Ph4HBwe02uyDRj766CNcXFyoV68eH3zwARkZGQ9cv0OHDmRkZLBr1y6cnZ3zvG8vLy/T+xEREUFISAguLi60bNnysY/rYWR4jBDFaMWi2cz88BYvN7Lj3fdqFvr2B7z8OoohE4dKgSycMLTQty+EEDlkpsBsz+Lf75Rw0Nk+Mkyr1bJq1SpGjhzJ0qVLqV+/Pq1ataJfv37UqVPnoetOmDCBoKAgAN5880369+/Prl27aN68OQDDhw9n1apVj3UY/+6Z9/Pz4/333+eVV15h8eLF6HQ6HB0dUalU2YbvXL58mXXr1nHz5k08PT1NuW7fvp2VK1cye/ZsFi1aRFBQkGlMd5UqVThw4ADbt29/ZE4TJkwwfQsxY8YMatasyaVLl6hWrRqenp6EhoY+dH0HB4cCt0dexMTE8P777zNq1Khsy998803q169PmTJlOHToEJMnT+bq1assW7YsW9zt27fp27cv/v7+rFu3Dp0u+00H+/fvj0aT/Vqw9PR0U5toNBrT+5GWlkbPnj1p2rSp6VuQoiJFuxDF6MBfO7mRoJBi5Q6awv3127L9d0L2/AYqNdNnfYitpUWhbl8IIUqrXr160aVLF/bu3UtwcDDbt29n7ty5LFu27KFDV/5d1Lu5uQFQu3btbMuioqIeK7c///yT2bNnc+bMGRISEtDr9aSlpZGcnIytbe4fSo4dO4aiKFSpUiXb8vT0dFxcXAA4e/Yszz33XLbXmzZtmqei/d/H7eHhAfcu5q1WrRparZZKlSoV6FgLQ0JCAl26dKFGjRpMmzYt22v/HjNfp04dypQpwwsvvGDqfb+vXbt2NGzYkO+++y5HcQ6wYMEC2rVrl23Z22+/jcFgyBE7fPhwEhMT2blzJ2p10Q5gkaJdiGL0Wb/KvFQmhArPdi30bU96fx4A3s268WbvZwt9+0IIkSsLm6xeb3PsNx+srKxo37497du3Z+rUqYwYMYJp06Y9tGi3sPin80OlUuW6zGg0mp6r1WqU/wzbyczMfOD2r1+/TufOnRk9ejTvv/8+zs7O7Nu3j+HDhz90PaPRiEaj4ejRozmKzvtjuP+bR37kdtz3jzMsLIwaNWo8dP2XXnqJpUuXFnj/D5KYmEjHjh2xs7Nj8+bN2fLMTZMmTQC4dOlStqK9S5cubNy4kTNnzmT7EHafu7t7jg8m9vb2OaaKnDVrFtu3b+fQoUPY29s/5tE9mhTtQhQj26ijtPXTQrMueYjOu3ORCaQ2H00Zax++nDMetVpVqNsXQogHUqnyNEylpKlRo0ahz8vu6upKRESE6bnBYODUqVO0adMm1/gjR46g1+v55JNPTL203333XbYYnU6Xo4c3ICAAg8FAVFQULVrkfpO+GjVq8Pfff2db9t/nBWGu4TEJCQkEBQVhaWnJli1bsLKyeuQ6ISEh8K9vC+778MMPsbOz49lnn2X37t2P/BCSm40bNzJz5kx+/fVX/P39871+QUjRLkRxSYyEuOugUkOFhoW2WUVR+GDrWRS1BX2HvEzHhlULbdtCCFHaxcTE0Lt3b4YNG0adOnWwt7fnyJEjzJ07lx49ehTqvtq2bcv48ePZunUr/v7+LFiw4KE38vH390ev1/PZZ5/RrVs39u/fn6OH2tfXl6SkJHbt2kXdunWxsbGhSpUqDBgwgEGDBvHJJ58QEBBAdHQ0f/zxB7Vr16Zz58688cYbNGvWjLlz59KzZ0927NiRp6Exj5Lf4TEZGRmcOXPG9P9bt24RGhqKnZ1dnreTmJhIhw4dSElJ4dtvvyUhIYGEhAS490FJo9EQHBzM33//TZs2bXB0dOTw4cOMGzeO7t274+3tnWOb8+bNw2Aw0LZtW3bv3k21anm/CeGpU6cYNGgQb7/9NjVr1iQyMhLufcDKzwWt+SWzxwhRTDZ89Qnzg9M5r/iBVeH1Qiz/6U/2nItEp1EzqZPc+VQIIf7Nzs6Oxo0bs2DBAlq2bEmtWrV47733GDlyJJ9//nmh7mvYsGEMHjyYQYMG0apVK/z8/B7Yyw5Qr1495s+fz0cffUStWrVYu3Ytc+bMyRbTrFkzRo8eTd++fXF1dWXu3LkArFy5kkGDBvG///2PqlWr0r17dw4ePIiXlxfcGxqybNkyPvvsM+rVq8eOHTt49913C/V48yI8PJyAgAACAgKIiIhg3rx5BAQEMGLECFPMqlWrTMNwcnP06FEOHjzIyZMnqVSpEh4eHqbHjRs3ALC0tGTDhg20bt2aGjVqMHXqVEaOHMm6deseuN0FCxbQp08f2rZty4ULF/J8TEeOHCElJYVZs2Zly+X554vm/iv3qZTHGfRUTBISEnB0dDRN7yNEadS+fkV+D7nKl6+25eUvdhXKNqOjY/Dw9gUre16f9w3zh7XLw1pCCFEwaWlpXL16FT8/vzwNTxAiL6ZPn87u3btzzDP/pHjY701+alwZHiNEMelWwwa7VC3NWxXeRaKj35qKPjUJS3tXJr/QvNC2K4QQQhSX3377jUWLFpk7jRKvQMNjFi9ebPq0EBgYyN69e/O03v79+9FqtdSrV68guxWi9DIaeaNmPJv72lCzZfdC2eS162Fs/vbejZTemIyrg3WhbFcIIYQoTsHBwTRq1MjcaZR4+S7aN2zYwNixY3nnnXcICQmhRYsWdOrUibCwsIeuFx8fz6BBg3j2WZmKTjyFYi5BegJorcG1cMadD39zEkZ9BrY+tfjkfw+eskwIIYQQpV++i/b58+czfPhwRowYQfXq1Vm4cCFeXl4sWbLkoeuNGjWKF198kaZNmz5OvkKUStcObyc1UwGPuoVyU6UTp87wx88bAHh94lTsreRGSkIIIcSTLF9Fe0ZGBkePHqVDhw7Zlnfo0IEDBw48cL2VK1dy+fLlHHeuepD09HTTdD7/ntZHiNKq77g5OHyYyLZbjoWyvWGvTwCjkTLVmzL95aK9Wl0IIYQQ5pevoj06OhqDwWC6le99bm5upjkq/+vixYtMmjSJtWvXotXmrYdxzpw5ODo6mh73py8SojQyGo3cjolDb4RqDVs99vbuxCdx/noEoOKdqTOw1Oa8BbMQQgghniwF+p7+v3NpKoqS6/yaBoOBF198kRkzZlClSpU8b3/y5MmMHz/e9DwhIUEKd1FqqY16rr5hy427WrwadXzs7a07EoFLn1nUUmIY20emeBRCCCGeBvkq2suWLYtGo8nRqx4VFZWj9517d7A6cuQIISEhvPbaa3Cv11FRFLRaLTt27KBt27Y51rO0tMTS0jL/RyNESXT7FCpjJt7uLuBc8bE2lZCWybK9VwCY8mI7NOoH34xCCCGEEE+OfA2P0el0BAYGsnPnzmzLd+7cSbNmzXLEOzg4cPLkSUJDQ02P0aNHU7VqVUJDQ2ncuPHjH4EQJV34sax/PevDQ+74lhevTJ3P3dgYKpezo3Ntj8LJTwghhBAlXr5njxk/fjzLli1jxYoVnD17lnHjxhEWFsbo0aPh3tCWQYMGZW1craZWrVrZHuXKlcPKyopatWpha2tb+EckRAkzYMJcXvkllRsW/o+1nT3Bh/i/jydx68uRDG1YTnrZhRCimKxatQonJydzp1GqTZ8+Xe7T85jyXbT37duXhQsXMnPmTOrVq8eePXvYtm0bPj4+AERERDxyznYhnhYpKSms33uRpUcz0XjWfqxtjfnfFADcajenb/PCmetdCCGeBlFRUYwaNQpvb28sLS1xd3cnKCiI4ODgPK3ft29fLly4YHq+atUqVCqV6eHh4UGfPn24evVqER5F/m3atImgoCDKli2LSqUiNDQ039s4fvw4/fv3x8vLC2tra6pXr57j7qXXrl3L1h73H9u3b8/zfnbv3o1KpSIuLi7Ha76+vixcuDDfuT9pCnQh6quvvsqrr76a62urVq166LrTp09n+vTpBdmtEKWOSp/O2udtOXsnE486bQq8nb3BhzgVvAtUat57913pZRdCiHzo1asXmZmZrF69mooVK3L79m127dpFbGxsnta3trbG2jr7XacdHBw4f/48iqJw7tw5Ro0aRffu3QkNDUWjKRmzeiUnJ9O8eXN69+7NyJEjC7SNo0eP4urqyrfffouXlxcHDhzg5ZdfRqPRmK5XvO/333+nZs2apufOzs6PfQziH/nuaRdC5J114lX61dIwo7MnKscKBd7OmAn3etkDnmVU9xaFmKEQQjzZ4uLi2LdvHx999BFt2rTBx8eHRo0aMXnyZLp06ZIt7uWXX8bNzc00jPeXX36BBwyPUalUuLu74+HhQZs2bZg2bRqnTp3i0qVLDBs2jK5du2aL1+v1uLu7s2LFilzzvL+P3377jerVq2NnZ0fHjh2JiIgo8LEPHDiQqVOn0q5dwWcaGzZsGJ9++imtWrWiYsWKvPTSSwwdOpRNmzbliHVxccHd3d300Ol0D9zu1atXqVSpEq+88gpGozHP+fz3W477j6ehQ1iKdiGKUuSJrH896hT4ItS9wYc4eSCrl33qe9LLLoQomZKTk0lOTkZRFNOyjIwMkpOTSU9PzzX238VaZmYmycnJpKWlPTI2P+zs7LCzs+PHH3/Mkcd9RqORTp06ceDAAb799lvOnDnDhx9+mK8e8/s98ZmZmYwYMYLt27dnK7i3bdtGUlISffr0eeA2UlJSmDdvHmvWrGHPnj2EhYUxYcIE0+tr1641Hc+DHmvXrs1zzgUVHx+fay969+7dKVeuHM2bN+eHH3544PqnTp0yfQOwZMkS1Oq8l6N9+/YlIiLC9Fi3bh1arZbmzZsX+HhKi8e/n7oQ4oG2b92Czx0DVRrXpKBflo6dnNV74FavjfSyCyFKLDs7O7g3ftzV1RWAjz/+mHfffZcRI0bw9ddfm2LLlStHSkoKV69exdfXF4AvvviCcePG8eKLL2YrPH19fYmOjubUqVPZhl7klVarZdWqVYwcOZKlS5dSv359WrVqRb9+/ahTpw7cG9Zx6NAhzp49a7qvTMWKeZ+i9+bNm3z88cdUqFCBKlWqoNPpqFq1KmvWrGHixIlw7+7wvXv3NrVTbjIzM1m6dCn+/lkTF7z22mvMnDnT9Hr37t0fOfNeblNwF6bg4GC+++47tm7dalpmZ2fH/Pnzad68OWq1mi1bttC3b19Wr17NSy+9lGP9rl27Mnny5GwfSO6rUCHnt9IpKSmm//97qNLly5d57bXXmD17Nu3bty/kIy15pGgXoojo9Xqem/UTaZlGLnR0p3IBtpGSnsmNVC1oLHjr7bell10IIQqgV69edOnShb179xIcHMz27duZO3cuy5YtY8iQIYSGhpoK7ryKj4/Hzs4ORVFISUmhfv36bNq0yTQkZMSIEXz11VdMnDiRqKgotm7dyq5dux66TRsbG1PBDuDh4UFUVJTpub29Pfb29gVqg8Jw+vRpevTowdSpU7MVyWXLlmXcuHGm5w0aNODu3bvMnTs3W9EeFhZGu3btmDVrVrb4f9u7d2+OY2zdunWOuPj4eLp27UqnTp146623CukISzYZHiNEEYm5E0WAuwZ3OxX+jQrWA/BjaAQ2bUbRcMp3vN7r2ULPUQghCktSUhJJSUmULVvWtOytt94iKSmJzz//PFtsVFQUSUlJeHt7m5aNGTOGpKQkli9fni322rVrJCUlUb169cfKz8rKivbt2zN16lQOHDjAkCFDmDZtGvxraEt+2NvbExoaysmTJ0lKSuLo0aM0bNjQ9PqgQYO4cuUKwcHBfPvtt/j6+tKixcO/LbWwsMj2XKVSZRtuZM7hMWfOnKFt27aMHDmSd99995HxTZo04eLFi9mWubq60qhRI9avX09CQkKu6/n5+VGpUqVsD602ex+zwWCgb9++ODg4ZPsG50knPe1CFBE3i2QODLNG0Vihcs177819BqPCl3suAzC6Q110WvmMLYQouXK794pOp8v1YsTcYi0sLHIUrQ+KLQw1atTgxx9/BKBOnTrcvHmTCxcu5Lm3Xa1WU6lSpQe+7uLiQs+ePVm5ciXBwcEMHTr0sXM21/CY06dP07ZtWwYPHswHH3yQp3VCQkLw8Mh+E0Bra2t++eUXOnfuTFBQEDt27CjQNwfjxo3j5MmTHD58GCsrq3yvX1pJ0S5EUYk4DoDKvRao8z+i/e25i7lwTo9bxer0a+RVBAkKIcSTLyYmht69ezNs2DDq1KmDvb09R44cYe7cufTo0QOAVq1a0bJlS3r16sX8+fOpVKkS586dQ6VS0bFjxwLve8SIEXTt2hWDwcDgwYMf+1jyOzwmNjaWsLAwwsPDATh//jyAaXaXvDh9+jRt2rShQ4cOjB8/nsjISAA0Go3p2oXVq1djYWFBQEAAarWan3/+mU8//ZSPPvoox/ZsbW3ZunUrnTp1olOnTmzfvv2h4/z/a+XKlSxevJjNmzejVqtN+dz/puFJJl13QhSVf88ck0+xsbEsnP4WkavH0srxLjY6+XwthBAFYWdnR+PGjVmwYAEtW7akVq1avPfee4wcOTLbsJ2NGzfSsGFD+vfvT40aNZg4cSIGg+Gx9t2uXTs8PDwICgrC09OzEI4mf7Zs2UJAQIBpast+/foREBDA0qVLTTFDhgzJdcz4fd9//z137txh7dq1eHh4mB7/HgoEMGvWLBo0aEDDhg1Zv349K1aseOC4dTs7O3799VcURaFz584kJyfn+Zj++usvDAYD3bt3z5bPvHnz8ryN0kql/HuwVAmVkJCAo6Mj8fHxODg4mDsdIR5JURSqetjjY5vGmi/m4d5xbL7WHzl+CssWzMGynC+3Lp/Dxc6yyHIVQoi8SktL4+rVq/j5+T1VwxIKKiUlBU9PT1asWMHzzz9v7nRy1bp1a1q3bv1UzHNuLg/7vclPjSvdd0IUgevXrnHxdjLX1OBcpUm+1k1JSWHN10sA6DLwFSnYhRCilDEajURGRvLJJ5/g6OhI9+7dzZ1SrhITE7l8+bLpJlKiZJOiXYgi4Gmv4tAIW24mgs6rbr7WfX/+F6QnxaF1dGP+pFeKLEchhBBFIywsDD8/PypUqMCqVatyzH5SUtjb23Pjxg1zpyHyqGT+FAlRyuliL9CwvIaGrtXAIu9TiRmNRpZ8kTXG8pnnBuNT1nzz8QohhCgYX19fSsHoY1HKyIWoQhSFqDNZ/5arka/VvtmwifjIMNSWtsyd8mbR5CaEEEKIUkeKdiGKwJJvNvLTuUyS7R88h29ufjsehsbOhSqtetKwcvHPNCCEEHkhvchC5F1h/b7I8BghCll6ejpvLN+P3qgQNqo8eb0tSHK6ntNWNSk/ejkf9qlVxFkKIUT+3b/5UUpKSoHuIirE0ygjIwPuzW3/OKRoF6KQJcXH0a+2jmt39VSo8/BbVv/bpmM3SUjT41vOgW6BFYs0RyGEKAiNRoOTkxNRUVEA2NjYoFKpzJ2WECWW0Wjkzp072NjYPPYFyVK0C1HIXNQJrOlpCVonKOOXp3UiIiL5cPEqFI/6DG3mi1otJ0EhRMl0/06a9wt3IcTDqdVqvL29H/sDrhTtQhQ200Wo1UCdt8tGJn0wjzPffoJD9Wfo/f6fRZufEEI8BpVKhYeHB+XKlSMzM9Pc6QhR4ul0OtR5rAceRop2IQpZ+s2TWAKUq5mn+LS0NDZ8swKATt2fw9ZSfi2FECWfRqN57DG6Qoi8k9ljhChkVV/6EN+FiZxOcspT/PylK0hPvIvGwZUP/zeiyPMTQgghROkjXXpCFKLExESu30kGwLN6w0fGK4rCp59+BkDDzv3wdXUo8hyFEEIIUfpI0S5EIbK3siBmogPnovWUqdz4kfF/7DnA7avnQGPBjAmvF0uOQgghhCh9ZHiMEIUp+gLO1tCsiivYuz8yfOqH8wHwqN+W9vXzdyMmIYQQQjw9pGgXojBFnc36t1wNeMTUTgaDgTMXLgPw8qjRMtexEEIIIR5IhscIUYjmfbEM1bV0+vpVoMIjYg9eu4tj7w8oE3eD8S92LqYMhRBCCFEaSU+7EIXok+/2MWFnOuHGso+MXft3GAD9g5rjYK0rhuyEEEIIUVpJT7sQhcRoNPJyE0fO3dRTLbDlQ2MPHT/LtiMXwdKOl5r4FFuOQgghhCidpGgXopCoDRnMaJoOig1UrP/Q2GGjx3D9yAEaDppCdY8uxZajEEIIIUonKdqFKCyxl0ExgpUj2Lo+MOzS5SucPrgbFIXB3VoXa4pCCCGEKJ1kTLsQhSTq/CHS9AqUrfLQmWPembMAFAX7igEM79q8WHMUQgghROkkRbsQhWTE5I+xnZ3INyeVB8ZkZmby8w/rAOjefwiWWk0xZiiEEEKI0qpARfvixYvx8/PDysqKwMBA9u7d+8DYTZs20b59e1xdXXFwcKBp06b89ttvj5OzECXSrYgojApUqFjtgTFrvt9ManwMahsnZrw2uFjzE0IIIUTple+ifcOGDYwdO5Z33nmHkJAQWrRoQadOnQgLC8s1fs+ePbRv355t27Zx9OhR2rRpQ7du3QgJCSmM/IUoMY68VY2I/9nRrHX7B8bM/2wpAFWe6YK/u2MxZieEEEKI0kylKMqDv8vPRePGjalfvz5LliwxLatevTo9e/Zkzpw5edpGzZo16du3L1OnTs1TfEJCAo6OjsTHx+Pg4JCfdIUoHkYjzPYEfSq8fgxc/HOExMbepaybG4o+k883/cWY5x4+LaQQQgghnmz5qXHzNXtMRkYGR48eZdKkSdmWd+jQgQMHDuRpG0ajkcTERJydnR8Yk56eTnp6uul5QkJCftIUovgl3Mwq2NUW4JT7vOunY/R4jloON4/LBahCCCGEyJd8DY+Jjo7GYDDg5uaWbbmbmxuRkZF52sYnn3xCcnIyffr0eWDMnDlzcHR0ND28vLzyk6YQxe7b5Yt5dWsqO6PKgib3z8IbDt9Aa+fM0CFDsLKQC1CFEEIIkXcFuhBV9Z/p7BRFybEsN+vWrWP69Ols2LCBcuXKPTBu8uTJxMfHmx43btwoSJpCFJtfd+xiyZFMjsXa5Pp6dEIqO07fBqBvQ/kQKoQQQoj8ydfwmLJly6LRaHL0qkdFReXoff+vDRs2MHz4cL7//nvatWv30FhLS0ssLS3zk5oQZvViY098UnS0ad4w19e79xnAjXNXqN/rFWqVlwtQhRBCCJE/+epp1+l0BAYGsnPnzmzLd+7cSbNmzR643rp16xgyZAj/93//R5cucst28eTp4qdn9rNWNHqmbY7XYmNjOfjHVtKuH6ddjYd/uBVCCCGEyE2+etoBxo8fz8CBA2nQoAFNmzblq6++IiwsjNGjR8O9oS23bt3im2++gXsF+6BBg1i0aBFNmjQx9dJbW1vj6Cg9juIJEX0+61/XKjle+njxcoyZGejK+TKuX+fiz00IIYQQpV6+i/a+ffsSExPDzJkziYiIoFatWmzbtg0fn6wZMyIiIrLN2f7ll1+i1+sZM2YMY8aMMS0fPHgwq1atKqzjEMJs7oRdJPZ6JH5l1OhcKud4/f7PeZOOL+BkqzNDhkIIIYQo7fI9T7s5yDztoiRb/MHbjHl3Lt1r2vHTqcRsr4UcP0n9enVAreGX4NN0aVTVbHkKIYQQomTJT41boNljhBD/SI6+ha0FVKrgmuO12Z9+BUCZqo3o1CDn0BkhhBBCiLzI9/AYIUR2b3WvwQQHe9Jrd8y23Gg08uuP3wHQ+bm+qNWPnhZVCCGEECI30tMuxOOKuYxKpcLKI/vQl6j4FGya9MfavyGTXn7RbOkJIYQQovSTnnYhHlfslax/nStmW/zb2WhsarenUcde1PLJOXRGCCGEECKvpKddiMdw/do1Os77m7d2pIGzf7bXNoXcAuD5gApmyk4IIYQQTwop2oV4DGdDD/HbxTR+vaQHZz/T8hUbNrN302qU1Di61/M0a45CCCGEKP1keIwQj6GWpw1fd7NCZ1cGLKxNyz/6+BPuHt2Pt51CWbsBZs1RCCGEEKWfFO1CPIYKlkmMqK8D3/qmZbduhXPhWDAAr4wcasbshBBCCPGkkOExQjyOmMtZ/7r8M579oy+WgWLEukINBgc1Nl9uQgghhHhiSNEuxGPYvXc/l2KNGBx9Tcs2rPs/AJp3fA4rC40ZsxNCCCHEk0KGxwhRQJmZmbSb+SsGI9x83pnywPGTp4i6dh7UGv43erC5UxRCCCHEE0J62oUooJjoaKq7WlDWRoVn9YYAfLJ0FQCOlRvQPqCSmTMUQgghxJNCetqFKCB3Bx0nR1ujKAqqe9M9nrwaARotbTv3RKNWmTtFIYQQQjwhpGgXoqBisy5CVTl6gYU1aZkGMhoMxKtKdyaMbmHu7IQQQgjxBJHhMUIUlGnmmIoA/HkuiuQMA17urjSt7GHe3IQQQgjxRJGiXYgCGv3eArr8XwoHbmcNkVn7+2EAutbxQKWSoTFCCCGEKDxStAtRQLuPXWDbRT1pVq78tT+YteN7ELluCl3rSC+7EEIIIQqXjGkXooCWvujPxXNnqBPYhOFL7s0a4+xKrfKO5k5NCCGEEE8YKdqFKKDW5eJpbavD6Fub37f+D4AuPXvJ0BghhBBCFDoZHiNEQWQkQ/IdAH4/cYOUuDuoLG0ZN6S3uTMTQgghxBNIinYhCuD8kb/YcVnPzXRbPln+PQDudVpS26esuVMTQgghxBNIinYhCmDd/60l6NsUZuxT2LPjFwB69pJediGEEEIUDSnahSgAB006NVzVVCjrSFriXdRW9rw5sKe50xJCCCHEE0ouRBWiAMZ3rMT4Mnac9n6Wz5XnKKfLpKpnGXOnJYQQQognlBTtQhRE3HUAQpJdsK3WmBHPVjZ3RkIIIYR4gsnwGCEK4m5W0f5nlDUAHWu5mzkhIYQQQjzJpKddiHy6cP48Pacfpk45uOh5ELdAH6q525s7LSGEEEI8waSnXYh8unT6GGfv6DkXbeTUHz/hr7otN1QSQgghRJGSol2IfGpaxZ0dL9kwoZmOTJWOMQNfMHdKQgghhHjCSdEuRD6VUWJo76/F21GNU+UGNK1awdwpCSGEEOIJJ0W7EPl1b+aYq3eNtOzQBbVahsYIIYQQomgVqGhfvHgxfn5+WFlZERgYyN69ex8a/9dffxEYGIiVlRUVK1Zk6dKlBc1XCLNbuWELOy/rORejMHpQH3OnI4QQQoinQL6L9g0bNjB27FjeeecdQkJCaNGiBZ06dSIsLCzX+KtXr9K5c2datGhBSEgIU6ZM4Y033mDjxo2Fkb8QxUpRFF7/eh8dvk3hisGddnUrmjslIYQQQjwFVIqiKPlZoXHjxtSvX58lS5aYllWvXp2ePXsyZ86cHPFvv/02W7Zs4ezZs6Zlo0eP5vjx4wQHB+dpnwkJCTg6OhIfH4+Dg0N+0hWiUKWnp9M3oAyHb6bxwosDWbR0tblTEkIIIUQplZ8aN1/ztGdkZHD06FEmTZqUbXmHDh04cOBArusEBwfToUOHbMuCgoJYvnw5mZmZWFhY5FgnPT2d9PT0bAcEML2jG8MCs25mk5qpMOCHRAA2vVwJWytLAJbtucH3oQl0qaJjeKCVaRvPr8vaxv8NrYiLfdY2vj1wizVH4mhX0YJXG1ubYl/8PoE0PawY4EN5FzsAfjgcwdfBsbTw0TKumY0pdsimRBLSFZb09aKiW1Zj/xIayWd7YmhUXsuklv/Ejt6SSFSywoLny1OjghMAO09HMW/XHeq4aZje1tYU++a2JG7EG5nTzZ36fi4A7L0Qw6ztkVQrq2F2+39iJ/6WxKVYI9M6lqNZFVcAjly9yzs/h+PrpGZ+JztT7Hu7kjkdZWBSu7K0qeEGwIkb8by1+SYedmq+6PZP7Ky/UjgWrmdsKxc61c26edCFyERe/y4MZ2sVy3r+Mzf5x/tSCL6hZ3SzMjzXwBOAGzEpjFh7FVsLWPPCPz+In/6dyu6rmQxt5Ei/JlkXcUYlpDFw1WW0aviu7z+xXx1JZfvFTPoH2DOkhXfWz0NqJr2/vgDAhj72WGiyxpSvDknjp3MZPFfLltFtfQHIMBjp9kXWB8Zvetljp8uK3XAynQ2n0ulUzYaxHfxM++vy+Wn0Rviqhx1lbbK+iPrxbDrfhKbTtpI1b3euyKbeOlRo2d1xDEIIIYQQxSFfRXt0dDQGgwE3N7dsy93c3IiMjMx1ncjIyFzj9Xo90dHReHh45Fhnzpw5zJgxI8dytWKglosBgOQMhYsxWf/3Ixxb472LAdPTuBhjQDH+EwuYYn2IwNWYVYypM9K5GGOglbc6W+zlWAMpmVBBFYWvMRoAi8ys2Iaeqmyx1+MMRKcouCt38DPGAmCVmcHFGAM1ymaPvRlv4Hq8QjklBj9jHAC2mZlcjDHg40i22MhEAxdjjLgod/EzZn04Oa7PinW1yR57Jzkr1skYh58xGYBLej0XYwzYaJVssXdTDFyMMWBvSMDPmApAuCErVlGyxyamZcXaGBLwM6YBEG/MWuZpn/3YUjKyllvqk/AzXgNAfy/W0TJ7vhmZWcu1mcmmWJ3RmLVMnT3WoM+KVWWmmGLjlH/e+1ouBlPRzr39GTPSTLGZxn9iq5Ux4GSVFfsDWcfcPv2f2Ps/J3ojVHY0UN4h60uoX1VZ221WIT0rVgW3KUuzBgEIIYQQQhSHfA2PCQ8Pp3z58hw4cICmTZualn/wwQesWbOGc+fO5VinSpUqDB06lMmTJ5uW7d+/n2eeeYaIiAjc3XPe/j23nnYvLy/mThpFRe+sXlyD0cjpC1cB6Nq5I1bWWT3ax48f5+Kly7iUcaS8W1nTNk6cuwxAx/btsXPI6iE+deo0586fp4yjPV4e5UyxJ89fQVEUnm3bhjJlygBw/tw5Tp4+g5ODHd6e/3wIOX3hGgajgVYtn8HVNWsbly5dIvT4CRzsbPGt8M/xnb10nUy9nmeaNcHdI+s4rly5wrGQUOxsrE3HBnD+yg3SMzJo0rghFSp4ARAWFsahw0ewsbKikm95U+zFazdJTUunYYP6+Pj43nuvbnEg+CBWljqq+HmZYi+HhZOckkr9enWp6O8PQFRUFHv27kNnYUE1f29T7JUbESQlp1C7Vk2qVq0KQGxMLH/s3o1Wq6VGJR9T7LWbkSQkJVOjelVq1Kh5732LZ8fOXajVampV+ac3+0Z4FHcTEqlSqRJ16tYBICk5ie3bdwAq6lT7Z5z4rcg7xMQl4F/Rj4CAgHs/H2n8/Ms2AGpV8UOtzvoQFhEVw53YOPx8vAls0CDr58SgZ/OPWwCoUdkXrUYDwO3oWG5H38WrvCeNmzQx7e+HTZtBUajm743u3rdAUTF3ibwTi4e7G82bNwfAvWp9XN3+aVchhBBCiPzKz/CYfBXtGRkZ2NjY8P333/Pcc8+Zlr/55puEhoby119/5VinZcuWBAQEsGjRItOyzZs306dPH1JSUnIdHvM4BySEEEIIIURpkJ8aN1+zx+h0OgIDA9m5c2e25Tt37qRZs2a5rtO0adMc8Tt27KBBgwZ5KtiFEEIIIYR42uV7ysfx48ezbNkyVqxYwdmzZxk3bhxhYWGMHj0agMmTJzNo0CBT/OjRo7l+/Trjx4/n7NmzrFixguXLlzNhwoTCPRIhhBBCCCGeUPm6EBWgb9++xMTEMHPmTCIiIqhVqxbbtm3DxydrfHNERES2Odv9/PzYtm0b48aN44svvsDT05NPP/2UXr16Fe6RCCGEEEII8YTK9zzt5iBj2oUQQgghxJOmyMa0CyGEEEIIIYqfFO1CCCGEEEKUcFK0CyGEEEIIUcLl+0JUc7g/7D4hIcHcqQghhBBCCFEo7te2ebnEtFQU7TExMQB4eckdKIUQQgghxJMlMTERR0fHh8aUiqLd2dkZgLCwsEcekHiwhIQEvLy8uHHjhszC8xikHQuHtGPhkHYsHNKOhUPasXBIOxaO0tCOiqKQmJiIp6fnI2NLRdGuVmcNvXd0dCyxjV6aODg4SDsWAmnHwiHtWDikHQuHtGPhkHYsHNKOhaOkt2NeO6TlQlQhhBBCCCFKOCnahRBCCCGEKOFKRdFuaWnJtGnTsLS0NHcqpZq0Y+GQdiwc0o6FQ9qxcEg7Fg5px8Ih7Vg4nrR2VCl5mWNGCCGEEEIIYTaloqddCCGEEEKIp5kU7UIIIYQQQpRwUrQLIYQQQghRwknRLoQQQgghRAlnlqJ98eLF+Pn5YWVlRWBgIHv37n1o/BdffEH16tWxtramatWqfPPNNzli4uLiGDNmDB4eHlhZWVG9enW2bdtWhEdhfkXRjgsXLqRq1apYW1vj5eXFuHHjSEtLK8KjMK89e/bQrVs3PD09UalU/Pjjj49c56+//iIwMBArKysqVqzI0qVLc8Rs3LiRGjVqYGlpSY0aNdi8eXMRHUHJUBTt+PXXX9OiRQvKlClDmTJlaNeuHYcOHSrCozC/ovp5vG/9+vWoVCp69uxZyJmXLEXVjk/beaao2lHOMw9vx4iICF588UWqVq2KWq1m7NixucbJeebx27G0nWeKvWjfsGEDY8eO5Z133iEkJIQWLVrQqVMnwsLCco1fsmQJkydPZvr06Zw+fZoZM2YwZswYfv75Z1NMRkYG7du359q1a/zwww+cP3+er7/+mvLlyxfjkRWvomjHtWvXMmnSJKZNm8bZs2dZvnw5GzZsYPLkycV4ZMUrOTmZunXr8vnnn+cp/urVq3Tu3JkWLVoQEhLClClTeOONN9i4caMpJjg4mL59+zJw4ECOHz/OwIED6dOnDwcPHizCIzGvomjH3bt3079/f/7880+Cg4Px9vamQ4cO3Lp1qwiPxLyKoh3vu379OhMmTKBFixZFkHnJUhTt+DSeZ4qiHeU882jp6em4urryzjvvULdu3Vxj5DzzaHlpx1J3nlGKWaNGjZTRo0dnW1atWjVl0qRJucY3bdpUmTBhQrZlb775ptK8eXPT8yVLligVK1ZUMjIyiijrkqco2nHMmDFK27Zts8WMHz9eeeaZZwo195IKUDZv3vzQmIkTJyrVqlXLtmzUqFFKkyZNTM/79OmjdOzYMVtMUFCQ0q9fv0LOuGQqrHb8L71er9jb2yurV68utFxLssJsR71erzRv3lxZtmyZMnjwYKVHjx5FknNJVFjt+DSeZ/6tsNpRzjOPbsd/a9WqlfLmm2/mWC7nmcJpx/8q6eeZYu1pz8jI4OjRo3To0CHb8g4dOnDgwIFc10lPT8fKyirbMmtraw4dOkRmZiYAW7ZsoWnTpowZMwY3Nzdq1arF7NmzMRgMRXg05lNU7fjMM89w9OhR01dDV65cYdu2bXTp0qXIjqW0CQ4OztHuQUFBHDlyxNSOD4p50HvzNMpLO/5XSkoKmZmZODs7F1OWJV9e23HmzJm4uroyfPhwM2RZ8uWlHZ+280xB5KUd5TxTOOQ8UzRK+nmmWIv26OhoDAYDbm5u2Za7ubkRGRmZ6zpBQUEsW7aMo0ePoigKR44cYcWKFWRmZhIdHQ33ful/+OEHDAYD27Zt49133+WTTz7hgw8+KJbjKm5F1Y79+vXj/fff55lnnsHCwgJ/f3/atGnDpEmTiuW4SoPIyMhc212v15va8UExD3pvnkZ5acf/mjRpEuXLl6ddu3bFlGXJl5d23L9/P8uXL+frr782U5YlX17a8Wk7zxREXtpRzjOFQ84zRaOkn2e05tipSqXK9lxRlBzL7nvvvfeIjIykSZMmKIqCm5sbQ4YMYe7cuWg0GgCMRiPlypXjq6++QqPREBgYSHh4OB9//DFTp04tlmMyh8Jux927d/PBBx+wePFiGjduzKVLl3jzzTfx8PDgvffeK5ZjKg1ya/f/Ls/Pe/O0yks73jd37lzWrVvH7t27c3xj9LR7WDsmJiby0ksv8fXXX1O2bFkzZVg6POrn8Wk9z+TXo9pRzjOFR84zhas0nGeKtWgvW7YsGo0mxyfBqKioHJ8Y77O2tmbFihV8+eWX3L59Gw8PD7766ivs7e1NJyEPDw8sLCxMxSdA9erViYyMJCMjA51OV8RHVryKqh3fe+89Bg4cyIgRIwCoXbs2ycnJvPzyy7zzzjuo1TJDqLu7e67trtVqcXFxeWjMg96bp1Fe2vG+efPmMXv2bH7//Xfq1KlTzJmWbI9qx9OnT3Pt2jW6detmet1oNAKg1Wo5f/48/v7+xZ53SZOXn8en7TxTEHlpRznPFA45zxSu0nKeKdbfDp1OR2BgIDt37sy2fOfOnTRr1uyh61pYWFChQgU0Gg3r16+na9eupl/u5s2bc+nSJdPJCODChQt4eHg8kX9Ii6odU1JScvzB1Gg0KIpi6i152jVt2jRHu+/YsYMGDRpgYWHx0JhHvTdPk7y0I8DHH3/M+++/z/bt22nQoIEZMi3ZHtWO1apV4+TJk4SGhpoe3bt3p02bNoSGhuLl5WW23EuSvPw8Pm3nmYLISzvKeaZwyHmm8JSq80xxX/m6fv16xcLCQlm+fLly5swZZezYsYqtra1y7do1RVEUZdKkScrAgQNN8efPn1fWrFmjXLhwQTl48KDSt29fxdnZWbl69aopJiwsTLGzs1Nee+015fz588ovv/yilCtXTpk1a1ZxH16xKYp2nDZtmmJvb6+sW7dOuXLlirJjxw7F399f6dOnj1mOsTgkJiYqISEhSkhIiAIo8+fPV0JCQpTr168rSi7teOXKFcXGxkYZN26ccubMGWX58uWKhYWF8sMPP5hi9u/fr2g0GuXDDz9Uzp49q3z44YeKVqtV/v77b7McY3Eoinb86KOPFJ1Op/zwww9KRESE6ZGYmGiWYywORdGO//U0zB5TFO34NJ5niqId5Tzz6HZUFMUUHxgYqLz44otKSEiIcvr0adPrcp4pnHYsbeeZYi/aFUVRvvjiC8XHx0fR6XRK/fr1lb/++sv02uDBg5VWrVqZnp85c0apV6+eYm1trTg4OCg9evRQzp07l2ObBw4cUBo3bqxYWloqFStWVD744ANFr9cX2zGZQ2G3Y2ZmpjJ9+nTF399fsbKyUry8vJRXX31VuXv3brEeV3H6888/FSDHY/DgwYqSSzsqiqLs3r1bCQgIUHQ6neLr66ssWbIkx3a///57pWrVqoqFhYVSrVo1ZePGjcV2TOZQFO3o4+OT6zanTZtWrMdWnIrq5/Hfnoaivaja8Wk7zxRFO8p5Jm/tmFu8j49Pthg5zzx+O5a284xKke+jhBBCCCGEKNHkig8hhBBCCCFKOCnahRBCCCGEKOGkaBdCCCGEEKKEk6JdCCGEEEKIEk6KdiGEEEIIIUo4KdqFEEIIIYQo4aRoF0IIIYQQooSTol0IIYQQQogSTop2IYQoRaZPn069evXMtv/33nuPl19+uci2HxUVhaurK7du3SqyfQghRGkkd0QVQogSQqVSPfT1wYMH8/nnn5Oeno6Li0ux5XXf7du3qVy5MidOnMDX17fI9jN+/HgSEhJYtmxZke1DCCFKGynahRCihIiMjDT9f8OGDUydOpXz58+blllbW+Po6Gim7GD27Nn89ddf/Pbbb0W6n5MnT9KoUSPCw8MpU6ZMke5LCCFKCxkeI4QQJYS7u7vp4ejoiEqlyrHsv8NjhgwZQs+ePZk9ezZubm44OTkxY8YM9Ho9b731Fs7OzlSoUIEVK1Zk29etW7fo27cvZcqUwcXFhR49enDt2rWH5rd+/Xq6d++ebVnr1q15/fXXGTt2LGXKlMHNzY2vvvqK5ORkhg4dir29Pf7+/vz666+mde7evcuAAQNwdXXF2tqaypUrs3LlStPrtWvXxt3dnc2bNxdCqwohxJNBinYhhCjl/vjjD8LDw9mzZw/z589n+vTpdO3alTJlynDw4EFGjx7N6NGjuXHjBgApKSm0adMGOzs79uzZw759+7Czs6Njx45kZGTkuo+7d+9y6tQpGjRokOO11atXU7ZsWQ4dOsTrr7/OK6+8Qu/evWnWrBnHjh0jKCiIgQMHkpKSAvfGxZ85c4Zff/2Vs2fPsmTJEsqWLZttm40aNWLv3r1F0l5CCFEaSdEuhBClnLOzM59++ilVq1Zl2LBhVK1alZSUFKZMmULlypWZPHkyOp2O/fv3w70ec7VazbJly6hduzbVq1dn5cqVhIWFsXv37lz3cf36dRRFwdPTM8drdevW5d133zXty9ramrJlyzJy5EgqV67M1KlTiYmJ4cSJEwCEhYUREBBAgwYN8PX1pV27dnTr1i3bNsuXL//Inn8hhHiaaM2dgBBCiMdTs2ZN1Op/+mDc3NyoVauW6blGo8HFxYWoqCgAjh49yqVLl7C3t8+2nbS0NC5fvpzrPlJTUwGwsrLK8VqdOnVy7Kt27drZ8uHezDAAr7zyCr169eLYsWN06NCBnj170qxZs2zbtLa2NvXMCyGEkKJdCCFKPQsLi2zPVSpVrsuMRiMARqORwMBA1q5dm2Nbrq6uue7j/vCVu3fv5oh51P7vz4pzf/+dOnXi+vXrbN26ld9//51nn32WMWPGMG/ePNM6sbGxD8xFCCGeRjI8RgghnjL169fn4sWLlCtXjkqVKmV7PGh2Gn9/fxwcHDhz5kyh5ODq6sqQIUP49ttvWbhwIV999VW210+dOkVAQECh7EsIIZ4EUrQLIcRTZsCAAZQtW5YePXqwd+9erl69yl9//cWbb77JzZs3c11HrVbTrl079u3b99j7nzp1Kj/99BOXLl3i9OnT/PLLL1SvXt30ekpKCkePHqVDhw6PvS8hhHhSSNEuhBBPGRsbG/bs2YO3tzfPP/881atXZ9iwYaSmpuLg4PDA9V5++WXWr19vGuZSUDqdjsmTJ1OnTh1atmyJRqNh/fr1ptd/+uknvL29adGixWPtRwghniRycyUhhBB5oigKTZo0YezYsfTv37/I9tOoUSPGjh3Liy++WGT7EEKI0kZ62oUQQuSJSqXiq6++Qq/XF9k+oqKieOGFF4r0Q4EQQpRG0tMuhBBCCCFECSc97UIIIYQQQpRwUrQLIYQQQghRwknRLoQQQgghRAknRbsQQgghhBAlnBTtQgghhBBClHBStAshhBBCCFHCSdEuhBBCCCFECSdFuxBCCCGEECWcFO1CCCGEEEKUcP8PyKcJf0Q1YwkAAAAASUVORK5CYII=", "text/plain": [ "
" ] @@ -331,7 +331,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -442,7 +442,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfEAAAE5CAYAAACAiRmkAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8ekN5oAAAACXBIWXMAAA9hAAAPYQGoP6dpAABgNklEQVR4nO3dd1hU19bA4d/QO6J0QRDFAogoKmLDir1EY49dYy9JjNEYW2KNJWq6JpbERE1i2pdiNFEs0dg7dlFQQVQQBKQN5/tDnSuCOoOMQ1nv88xz75zZc87amJk1e59dVIqiKAghhBCi2DEydABCCCGEKBhJ4kIIIUQxJUlcCCGEKKYkiQshhBDFlCRxIYQQopiSJC6EEEIUU5LEhRBCiGJKkrgQQghRTEkSF0IIIYopE0MHUBzl5ORw/fp1bG1tUalUhg5HCCFECaMoCnfv3sXd3R0jo6e0t5USbO7cuQqgjB8/XnMsJydHmTFjhuLm5qZYWFgoYWFhysmTJ3U6b0xMjALIQx7ykIc85KHXR0xMzFPzUYltiR84cIAVK1YQGBiY6/j777/PkiVLWLNmDVWqVGH27Nm0atWKs2fPYmtrq9W5H5aLiYnBzs5OL/ELIYQovZKTk/H09HxmXiqRSTwlJYW+ffuycuVKZs+erTmuKApLly5l6tSpdO3aFYC1a9fi4uLCt99+y/Dhw7U6/8MudDs7O0niQggh9OZZt2xL5MC20aNH0759e1q2bJnreFRUFHFxcYSHh2uOmZubExYWxp49e554voyMDJKTk3M9hBBCCEMrcS3xDRs2cPjwYQ4cOJDntbi4OABcXFxyHXdxceHKlStPPOe8efOYNWuWHqIVQgghCq5EtcRjYmIYP34869atw8LC4onlHu+eUBTlqV0WU6ZMISkpSfOIiYkp1LiFEEKIgihRLfFDhw4RHx9PcHCw5pharWbnzp189NFHnD17Fh60yN3c3DRl4uPj87TOH2Vubo65ubmeoxdCCCF0U6Ja4i1atODEiRMcPXpU86hTpw59+/bl6NGj+Pj44OrqytatWzXvyczMZMeOHTRo0MCgsQshhBC6KlEtcVtbWwICAnIds7a2ply5cprjEyZMYO7cufj6+uLr68vcuXOxsrKiT58+BopaCCGEKJgSlcS1MWnSJO7du8eoUaNITEwkJCSELVu2aD1HXAghhCgqVIqiKIYOorhJTk7G3t6epKQkmScuhBBFSE5ODqmpqaSmZ2BpbU96tpp7mWoiT58h9sYNnD28sC7jSGa2QkLCLXZv/QOMTGjQthtZ6hyy1Dns3fIrV86dolpIc8pXCyJLrZBwK55/1i5BZWxK2JB3yHxQ9vgfX3P9xF58GnfCI7gVOYpCWnIC/y6fACoVDd5cRU6OglpRuLh5NXGHtuDWoAtuDV9GnaOQeS+FEx8MQFEUqr62DpWxGYqikH7nJpc+7P/MPFPqWuJCCCGKjpycHLJyFFLSs0nNULN7zx6uxFzFx78WFvaO3E3P5uKF82z9fi0m1nbUeenV+2Uzs/l39Rzizx+lUsdR2FSuR3qWmtuXTnBlzRuYlHGj/PCVmuvE/zCLexcPUK7tOGwC768VknnzMrGr3sLIugx/ZFTVlL35y0+kndnF0QRj7G7aA5CVGMv1nb+hMrMkpd4QTdlbZ8+SevYQGS7+XC93f1C1OuUOydcvAipOx/5vXZGEhATSE2JJuJ1Azp179+ufkUl2ahIAd+9lYWR6f6hadrZaq7+fJHEhhBCF4m5KKifOnOdOagbOXr4kpmVxJy2Tbz9fRnTUeWq174+ZszeJqVlcOPIvR1ZPx9TRE5dXFmvOEff1RDKun8HppbexqnJ/wHF6zElu/LoOk7IeXK7QTlP2xvXrpMfHcC02HhvH+0kxQzEGQMnOBMDYSIWlqTGpZV1QkjxwK2ePd3l7zEyMyHLI5khQYyxt7Gnu74qpiRGmxirOpbbmVpWKVK/fgCo1fTA1NiI73Y19qklYWljSupM/psZGmBiruFhtDLdiO1Oxqj8VK1fF2AjU2VmcqvUdxsYq6jSoh7FKhZER3GjrRlLCGFzc3XF398DYSAWKwuUu+zAxNqKybxVMjI0xUqlITr5DjU+f/TeXJC6EEOKJ7t27R+T5i8QlpFLWszI3UzK4eTeDVYtmEH0uksCXx6KU8+FmSgYxR3ZwY9NszNyq4tb/kcT8x+9kXD9DjF0AVlXur+GRnpyNOiMN1b0UTTkrM2PsPHzJNDOhkrsjPpXLYWNuQk4FY85kDcPRyYXwNtWwsTDB2syY67Xnos5Kp7JvFcq7uWJpaoypUWOM5vfD3tYKGwszTI0fTMKa1Tr/Cr7VOe+xHkH5l+1cO++xOp75Fm0fVCHvwUqO+ZYN8KiX51iyaXb+MTxGkrgQQpRSarWabAXiktK5fiedzz78gMiTJ6jZoT9qe0+u30nnzH9/E/3de3kT88FDZFyLJP3EWayrPkhOFvYYWdhgZmmFVzkryliZ4WBlSmznPuSk3aFuWGOqVq1GGStTLFQBZI1ohaerE94ertiYm9xvmb7bJv9gR+VzvLaHvv40xYYkcSGEKMFiY2M5eOwkdm4+JGPJldup7IzYzv8texuTMq6U671AUzbuh5/IuBbJZRs/rKvdbzFnmtmjMrfG1MISHydrnGzMcbI1J3HwaMzJol79BlSt5IWTjTnOti0ou/Z1TIwfW4JkUN6W5n1e+qx6qSBJXAghSoCrsXF8u+n/uHr7LhUbdODy7VQu307j7/lDSbt6BsfOk7Gu1giAjNhU0pNvY5xzf3KSuYkR5ctY4taqK6ZZrWjQrAlBNfxxK2OJm11j3L8Yj4354+kin65l8cJJEhdCiGIkMzuHFV9vZMvf26hQtwWZZStzIT6FsycOc/2rNzC2KYvH6P+NtFY5eGKSmoydhTG1vBzwKmeNu00FaP0Hwf5VCKzsiYOV6YP9I5oatG5Cd5LEhRCiiLoUc525i5Zy4cpV6vR9i8jryZyPv8v1nz4j7fROylzLwr5+dwCMHcpj5VUDZ4+KdKvlRmVXe7zLWVFh3Pd4lbPGOk9LuoZB6iQKlyRxIYQoAnbs3sO6H37B0r0q6vJBHL92h8sxsVz9aCEAl3y6YmRmCUBZvwa4u7lSr2kTWjb3w9fZFl8XG5w/6PHUHRlFySNJXAghXqCcnBwOHz7Mr1si8GvxMsev3+Vo9B32fvcxd/ZsxLpGKxzb3d9V0di6DG4NulLBy4vOTXyo7euOn5sdHg7tJFkLkCQuhBD6dffuXa5djyXbxoV9UQn8dzGeVcObk5ORhtsFc8ycfQAwqxBIubQEaoY2pVubqtT0KIO/ux1lrNobugqiCJMkLoQQhUydo3D86h0+Wf0NX747AUtPP5x6zdO8buFdG1V2Ov6uNjRv5EOQZxmCKjTH1W6KtLCFTiSJCyFEIXh/2ces/fobKjbvTYx1VZLuZZF12xQlR01WajI2ZsbUrViWehXLETLqVwLc7y/9KcTzkCQuhBA6SkhIYPuOXThUr8/Oc7fYef4mB9b9RsrRvcSonCnbwgc7CxPqN65N5da7aBdag+pudvdXJBOiEEkSF0IILd1KyeD3g5cY3KomOdlZlB+5ChM7ZwDsarSgUlV/OrRtQ9dmwQSWt8+7cpkQhUySuBBCPMHFixeZv2Q5MXfSsW08gINXElEUMHXxJScjlbKk0iGkAk18nQitFI69pamhQxaljCRxIYR4ICcnh4yMDGJT1PzfsVjW/byZg58sR2VujadbW1QmpgSUt2P0Z+tpX9ub6m62MhBNGJQkcSGEAOYtXsb8uXNwDe1MRkAXABRrb+yC2lAzNIwBnfxpE+hB+TKWhg5VCA1J4kKIUikqKgpzu3L8cy6B/zsWyz9/XyI54SYZx/ZSPvAlGlV2pFNNd1rO/FW6yUWRJUlcCFGqqHMUWnXsyvY/fsal69tY+DYAwLpqKH6VvRjSoyOdantR1trM0KEK8UySxIUQJZqiKBw6dAgXHz++PxjD94euEnnbGFCRfiOKuk3b0jnInfaBbrjZS1e5KF4kiQshSqy7afeoERTMlfOncRv8EWZO3gB4NH6Z1sNH8mrbulRztTN0mEIUWImaxDhv3jzq1q2Lra0tzs7OdOnShbNnz+YqoygKM2fOxN3dHUtLS5o2bcqpU6cMFrMQonDFxMRwIzmdJVvO0nTxbm6qHFCZWpB16wqNfR35qE8tDs/rzpJBLSSBi2KvRLXEd+zYwejRo6lbty7Z2dlMnTqV8PBwIiMjsba2BuD9999nyZIlrFmzhipVqjB79mxatWrF2bNnsbW1NXQVhBAFdPv2bZo0b8W5M6fxGLUGxdwGgKpdRtM9tBr9wqrh4WBl6DCFKFQqRVEUQwehLzdv3sTZ2ZkdO3bQpEkTFEXB3d2dCRMm8NZbbwGQkZGBi4sLCxYsYPjw4VqdNzk5GXt7e5KSkrCzk1/yQhiKoihkqRX+PBnLqt1R/DnrFbISr+HcdRpNmrdkUMOKhPu5yMppotjRNs+UqJb445KSkgAoW7YsPJhSEhcXR3h4uKaMubk5YWFh7Nmz54lJPCMjg4yMDM3z5ORkvccuhHiyxMREps98l183/43zK4u4mZoNgGunibQP9Wd029oElLc3dJhC6F2JTeKKovD666/TqFEjAgICAIiLiwPAxcUlV1kXFxeuXLnyxHPNmzePWbNm6TliIYQ2bqVk8NmOS3y64gvU6SmkH/+PCkENeSXEiz4hLXGyNTd0iEK8MCU2iY8ZM4bjx4+ze/fuPK89vkyioihPXTpxypQpvP7665rnycnJeHp6FnLEQogn2b9/Pz///hemtV9iw4EYMrJzKNN8KB5urrw9vDddannItp6iVCqRSXzs2LH8+uuv7Ny5Ew8PD81xV1dXeNAid3Nz0xyPj4/P0zp/lLm5Oebm8uteCEM4cOIsIfXrg6LgNsgBM+eK1PSwZ+QrbxHu54KRbO8pSrESlcQVRWHs2LH89NNPREREULFixVyvV6xYEVdXV7Zu3UqtWrUAyMzMZMeOHSxYsMBAUQshHhcfH49iYccn2y+wfn8MVtWboDIypY5veSZ3DyG0UjnZeESIkpbER48ezbfffssvv/yCra2t5h64vb09lpaWqFQqJkyYwNy5c/H19cXX15e5c+diZWVFnz59DB2+EKVeYmIi/foPZFtEBG6vrkRten9qaLsxc3g9vBqhlcoZOkQhipQSlcQ//fRTAJo2bZrr+OrVqxk4cCAAkyZN4t69e4waNYrExERCQkLYsmWLzBEXwsDSMrNZdyiev/cfJyM1heSLR2japiOvtawiLW8hnuC55olnZGSUynvFMk9ciMKRmJjIF1+uwq1hN5Zvu0D83Qwyrp/Ft7wT7w1qQxNfR0neolTSyzzxv/76i/Xr17Nr1y6io6PJycnBysqK2rVrEx4ezqBBg3B3dy+M+IUQJVxWVhbVA2py43oMjh1vYO0XhoeDJW/26knHQHcZsCaEFrRK4j///DNvvfUWSUlJtGvXjjfffJPy5ctjaWlJQkICJ0+e5O+//+a9995j4MCBvPfeezg5Oek/eiFEsXTxZgpzfj9NRqWmmGbuwL6cE1M7+tEnpALmJsaGDk+IYkOr7vR69eoxbdo02rdvj5HRk+diXrt2jWXLluHi4sIbb7xR2LEWGdKdLoTuzp07x9hxE6jaeRR/xBiRnaNgrGTTv0FFJoRXx97S1NAhClFkaJtnSvTa6foiSVwI3SiKQkiz1hzYsRVL3/o4d32H5tWcmdq+OpWcbAwdnhBFjrZ5RusljiZMmMDJkycLKz4hRAmnKApqtZoL8XfpvfI/rlXtjqVvfWp2G8OaQXVZNbCuJHAhnpPWLfFq1apx/vx5goODGTp0KL169Sq1rVBpiQvxdFeuXGH4iJHgXIWLHuFkqRUsTI0Y29yXYY19ZIlUIZ6h0FviZ86cYefOndSoUYOJEyfi7u5O//792blzZ2HFLIQoIVZu/I2/Nv/Jlo1fkHEvjRbVnNn6Whijm1WWBC5EISrQPfG0tDQ2btzI6tWr2b17N5UqVWLIkCH079+/VEwxk5a4EHllZ2eTmqUw5/dINh6I4c6OtfiEtmP+0LaE+7nIfG8hdPDCBrZdvHiRVatW8emnn5KSkkJmZubznK5YkCQuxP9kZmYye/ZsNvz4K3Y95nMrTQ3AgFAv3mxTDRvzErUwpBAvhF4We3lcamoqO3bsYMeOHdy5c4eqVas+z+mEEMXQxatxvP/BcjJSknA8tJ0aTdqyoFsgdb3LGjo0IUq8AiXxnTt3snr1an744QcAunfvzoIFC2jYsGFhxyeEKIIURUGlUrH9TDyTNp3DrtUYVIqa117tz4SWVbAwlQVbhHgRtE7iV69eZe3ataxZs4aLFy8SEhLCBx98QK9evbCxkWkiQpQWZ8+eZdCgwVTpMoaIhPvdfIGNWvFBjyBqeNgbOjwhShWtk7i3tzflypWjX79+DBkyhOrVq+s3MiFEkTThrXfYu3cPh67cxvWVRQxp5MOkNlWl9S2EAWidxL/77js6deqEiYkMUhGiNFLnKHy47TyR3t2w9r9N1fbD+HBYfRpUdjR0aEKUWgUenR4fH098fDw5OTm5jgcGBhZWbEWWjE4XpUlERAT/7NrDWedm7I9KAOClWuWZ2clf1jsXQk/0Njr90KFDDBgwgNOnT/Mw/6tUKs1AF7Va/XyRCyGKjDNnztCiRQtyFAWXXnMpVzmI2S8F8FItD0OHJoQoSBIfNGgQVapU4csvv8TFRRZwEKKkyshWs/G8GqvAcMjJIah2bT4d2JCKjtaGDk0I8YDOSTwqKooff/yRypUr6yciIYRB7d69G1ef6rzx0xmOxdyhbKuRDG1SmUltqspe30IUMTovYtyiRQuOHTumn2iEEAb10UcfERYWRkiHPhyLuYO9pSmrBoUwrYOfJHAhiiCdW+JffPEFAwYM4OTJkwQEBGBqmntgS6dOnQozPiHEC5KTo3A2w54cBTKyFeq7WvNZ/3p4lrUydGhCiCfQOYnv2bOH3bt38+eff+Z5TQa2CVH8qNVqUjJymLDxCNtvlsVt0HIGtG/CjI5+MvdbiCJO5+70cePG0a9fP2JjY8nJycn1kAQuRPHyww8/UN2/Bm0W/Mb2szcxNzFi2chOzOtaQxK4EMWAzvPEbW1tOXr0KJUqVdJfVEWczBMXJUFGRgaVqvpx7col7Oq/TI0uI/m8XzD+7rJ0qhCGpm2e0bkl3rVrV7Zv3/688RncJ598QsWKFbGwsCA4OJhdu3YZOiQhXhhFUfhybwxKq4nY1X+Z8H7j+HVMI0ngQhQzOt8Tr1KlClOmTGH37t3UqFEjz8C2cePGFWZ8erFx40YmTJjAJ598QsOGDfn8889p27YtkZGRVKhQwdDhCaE3UVFRnL8YxW83Hfj12HVMy1Vg7FvTmdHRH1NjnX/TCyEMTOfu9IoVKz75ZCoVly5dKoy49CokJITatWvz6aefao5Vr16dLl26MG/evDzlMzIyyMjI0DxPTk7G09NTutNFsXL69GmaNAnjTkoaTn3ex8rFmxmd/OlX38vQoQkhHqO3ZVejoqKeNzaDyszM5NChQ0yePDnX8fDwcPbs2ZPve+bNm8esWbNeUIRC6EeOtROZNq4YGafh4FCGL4aEEFqpnKHDEkI8h1LXf3br1i3UajUuLi65jru4uBAXF5fve6ZMmUJSUpLmERMT84KiFeL5POxo23PhFr1WHcKu09vUG7uc3yZ3lgQuRAmgVUt8/vz5jBs3DiurZy/6sG/fPm7dukX79u0LIz69eXzN94cbuOTH3Nwcc3PzFxSZEIUjKyuLYcOGYepahe1GQWTnKIRUq8CK/nUoa21m6PCEEIVAqyT+cMBX9+7d6dSpE3Xq1MHJyQmA7OxsIiMj2b17N+vWrSM2NpavvvpK33EXmKOjI8bGxnla3fHx8Xla50IUZ9988w1r164FY1PKD/+ClxrVYOHLgTL/W4gSRKvu9K+++opt27aRk5ND3759cXV1xczMDFtbW8zNzalVqxarVq1i4MCBnDlzhsaNG+s/8gIyMzMjODiYrVu35jq+detWGjRoYLC4hChM2eocztjWwja4I84vvc34TvVY1jNIErgQJYzOo9MVReH48eNcvnyZe/fu4ejoSFBQEI6OjvqLspBt3LiRfv368dlnnxEaGsqKFStYuXIlp06dwsvr2SN1ZbEXUVRdu3YNB0dnJmw8xpbIGxip4L0uAfQNkRHoQhQnehudrlKpqFmzJjVr1nzeGA2mZ8+e3L59m3fffZfY2FgCAgL4448/tErgQhRVhw4dom27dtj7h5FZtz/mpsYs7xVEmwA3Q4cmhNATnZN4STFq1ChGjRpl6DCEKDT7j57gZnw8SarDVArpw5eDQmUEuhAlXKlN4kKUJNG30/jmVgWcXpqKh39d1o1shp+73OoRoqSTJC5EMbZ582bcqwYxbP1JbiRnUD20BV8PDqFCOdkDXIjSoNQt9iJESbFmzRratWtHo1btiUtIwdfZhu+Hh0oCF6IUKXASv3DhAn/99Rf37t2DR1aGEkK8GKoy7qhMzFFsXQjwdGDj8FCc7SwMHZYQ4gXSuTv99u3b9OzZk23btqFSqTh//jw+Pj4MHTqUMmXKsHjxYv1EKoTQ2HvxNu8fzsF14DJCgvxZM7gedhamWrxTCFGS6NwSf+211zAxMSE6OjrXMqw9e/Zk8+bNhR2fEOIRH330ET/uOsagNftJzVTTtF4g64aGSAIXopTSuSW+ZcsW/vrrLzw8PHId9/X15cqVK4UZmxDiEcuXL2f8+PGYOrjjOnAZLQO9+KRvbVmFTYhSTOcknpqamu9GKLdu3ZJNQoTQo/JBYZiWccW6ZmtaBXrxySu1MTeRBC5EaaZzd3qTJk1ybXCiUqnIyclh4cKFNGvWrLDjE0IAu8/f4u0t13EduJyuA0ZKAhdCQEFa4gsXLqRp06YcPHiQzMxMJk2axKlTp0hISODff//VT5RClFLz5s2jnG8wi4+qycjOoVVNb0ngQggNnVvifn5+HD9+nHr16tGqVStSU1Pp2rUrR44coVKlSvqJUohSaO3atbz99tuM7NuFtDu3aVHNWRK4ECKXAq3Y5urqyqxZswo/GiGEhk/d5lh5BWJWMZhWdapKAhdC5KFzEj9+/Hi+x1UqFRYWFlSoUEEGuAnxnE5eS2LUd5E49niPJlVd+KSvJHAhRF46J/GgoCBUKhU8skrbw+cApqam9OzZk88//xwLC1k9SghdfPnllySk5/DtbS/upmdTz8eRz/sFyzQyIUS+dL4n/tNPP+Hr68uKFSs4duwYR48eZcWKFVStWpVvv/2WL7/8km3btvHOO+/oJ2IhSqh///2XYcOGMWnscOIunKSmhz2rBtbFykz2KRJC5E/nb4c5c+awbNkyWrdurTkWGBiIh4cH06ZNY//+/VhbW/PGG2+waNGiwo5XiBKrQvUgnEM6kZqRRWCtYNYOroetrMQmhHgKnZP4iRMn8PLyynPcy8uLEydOwIMu99jY2MKJUIhS4HZKBgNWH8C8yVD8HK34Zlh9yliZGTosIUQRp3N3erVq1Zg/fz6ZmZmaY1lZWcyfP59q1aoBcO3aNVxcXAo3UiFKoGPHjjFtxiwGrt7PpZupeDhY8c2roTjayOBQIcSz6dwS//jjj+nUqRMeHh4EBgaiUqk4fvw4arWa3377DYBLly4xatQofcQrRImRlJRE69atuXHjBg7Nr+HdtDtfDamHm72loUMTQhQTKqUAG4GnpKSwbt06zp07h6IoVKtWjT59+mBra6ufKIuY5ORk7O3tSUpKws7OztDhiGJKnaPQfOhU/vvjOyr2n89341oS6FHG0GEJIYoAbfNMgZJ4aSdJXDwvRVF4+6eTrN8fjSk5rBkaSsPKjoYOSwhRRGibZwo8dyUyMpLo6Ohc98YBOnXqVNBTClHiZWZmsmTJEoxqtGf9/mhUKljWp44kcCFEgeicxC9dusRLL73EiRMnUKlUeRZ8UavVhR+lFi5fvsx7773Htm3biIuLw93dnVdeeYWpU6diZva/Ub7R0dGMHj2abdu2YWlpSZ8+fVi0aFGuMkLoy+jRo/niiy+w8PkB55dnMrtLDdrVcDN0WEKIYkrn0enjx4+nYsWK3LhxAysrK06dOsXOnTupU6cOERER+olSC2fOnCEnJ4fPP/+cU6dO8cEHH/DZZ5/x9ttva8qo1Wrat29Pamoqu3fvZsOGDWzatIk33njDYHGL0sW/cVuMLGyxq92B0c0q80r9vNM1hRBCWzrfE3d0dGTbtm0EBgZib2/P/v37qVq1Ktu2beONN97gyJEj+otWRwsXLuTTTz/l0qVLAPz555906NCBmJgY3N3dAdiwYQMDBw4kPj5e6/vbck9cFMTp2GS6f7aX5ORkXgqpzAc9gjAyUmnxTiFEaaNtntG5Ja5Wq7GxsYEHCf369evwYLGXs2fPPk/MhS4pKYmyZctqnu/du5eAgABNAgdo3bo1GRkZHDp06InnycjIIDk5OddDCG3t2LGD4+cvM2j1AVIysgmt5sH7LwdKAhdCPDedk3hAQIBmJ7OQkBDef/99/v33X9599118fHz0EWOBXLx4kQ8//JARI0ZojsXFxeVZhMbBwQEzMzPi4uKeeK558+Zhb2+veXh6euo1dlFyHDx4kHbt2lG/fgOuXr1KZWcbVvSrIzuSCSEKhc5J/J133iEnJweA2bNnc+XKFRo3bswff/zBsmXLCj3AmTNnolKpnvo4ePBgrvdcv36dNm3a0L17d4YOHZrrtUd3XHtIUZR8jz80ZcoUkpKSNI+YmJhCrKEoySytbTCydkCxc8XFxYXVA+tibyXroQshCofOo9Mf3fjEx8eHyMhIEhIScHBweGoiLKgxY8bQq1evp5bx9vbW/P/r16/TrFkzQkNDWbFiRa5yrq6u7Nu3L9exxMREsrKynrpMrLm5ueyRLnSmKAprT2VQpucCLC0tWT2kPp5lrQwdlhCiBNE5iQ8ePJhly5blWp2tbNmypKamMnbsWFatWlWoATo6OuLoqN0c2mvXrtGsWTOCg4NZvXo1Rka5OxpCQ0OZM2cOsbGxuLndn9azZcsWzM3NCQ4OLtS4RemVlZVFdHQ0v1/O4buDVzG1KcOn/evIamxCiEKn8+h0Y2NjYmNjcXZ2znX81q1buLq6kp2dXdgxauX69euEhYVRoUIFvvrqK4yN/3fP0dXVFR4MygsKCsLFxYWFCxeSkJDAwIED6dKlCx9++KHW15LR6eJJFEVh5MiRfP3Nt9i0exPLirWZ3SVAppIJIXRS6Cu2JScnoygKiqJw9+5dLCwsNK+p1Wr++OOPPIn9RdqyZQsXLlzgwoULeHh45Hrt4e8UY2Njfv/9d0aNGkXDhg1zLfYiRGFIT09n/+FjpKWkYK3OYljjipLAhRB6o3VL3MjI6Kn3vFUqFbNmzWLq1KmFGV+RJC1x8SQ3ktPpsHQb0ScP0q5tG74YUBdjmUomhNBRobfEt2/fjqIoNG/enE2bNuWaf21mZoaXl1eu+ddClCaZmZnkqIx59auD3ExTCKwfxvLetSSBCyH0SuskHhYWBkBUVBQVKlTQy0h0IYqj27dv06hRI1wbvESUU0PKWJnyxYA62FrIVDIhhH5plcQfLu7y0IkTJ55YNjAw8PmjEqIYWbVqFWfOnOF87CoqDA3mk74heJWzNnRYQohSQKskHhQUlGvHsidRqVQG28VMCEMJbPsKZf44jaVPMO91r0ODSrKtqBDixdAqiUdFRek/EiGKodOxybz23THsQ7rRP9SLviEyEl0I8eJolcS9vOSLSYhHbd++nR9/+Y1DTm1Iy1TTsHI5pnXwM3RYQohSRucV23iwucjSpUs5ffo0KpWK6tWrM378eCpVqlT4EQpRxCQnJ9OzZ09u3rxJmaYJ1GzXn4/71MbUWOetCIQQ4rno/K3z119/4efnx/79+wkMDCQgIIB9+/bh7+/P1q1b9ROlEEWInZ0dYYPewtzDH/fQl/hiQF3KWJkZOiwhRCmk87KrtWrVonXr1syfPz/X8cmTJ7NlyxYOHz5c2DEWObLYS+n205GrvLbxGIqisLJ/HcL9XQ0dkhCihNE2z+jcEj99+jRDhgzJc3zw4MFERkbqHqkQxcRff/3FgfPXmPLj/SmW41r4SgIXQhiUzkncycmJo0eP5jl+9OhRg66dLoQ+7d69mw4dOtC0cSNSk5MIq+LEhJZVDB2WEKKU03lg27Bhw3j11Ve5dOkSDRo0QKVSsXv3bhYsWMAbb7yhnyiFMDCVkTGm1mWgbAW83J1Y1itIllQVQhiczkl82rRp2NrasnjxYqZMmQKAu7s7M2fOZNy4cfqIUQiD+y+lLGVfWYKVtQ0r+slANiFE0aDzwLZH3b17FwBbW9vCjKnIk4FtpUdSUhL7rt7j1a8PAbC0ZxBdapU3dFhCiBJObwPbZs2axcWLF+FB8i5tCVyUHqtWrcK3SlVGLPoWgEENvSWBCyGKFJ2T+KZNm6hSpQr169fno48+4ubNm/qJTAgDUqvVfPTxx9yMv0HixaPU8y7L2+2qGzosIYTIReckfvz4cY4fP07z5s1ZsmQJ5cuXp127dnz77bekpaXpJ0ohXjAjIyPqjlmOQ7Mh+Ia/wkd9a8mKbEKIIue57okD/Pvvv3z77bd8//33pKenk5ycXHjRFVFyT7zk+/q/K0z7+SQmRio2Dq9PsFdZQ4ckhChFtM0zBVo7/VHW1tZYWlpiZmamGegmRHH12WefkYoln8fcX/NgcttqksCFEEVWgfoHo6KimDNnDn5+ftSpU4fDhw8zc+ZM4uLiCj9CIV6Qo0ePMnbsWCaOHEjy5eO08nNhSKOKhg5LCCGeSOeWeGhoKPv376dGjRoMGjSIPn36UL68jNgVxZ+/vz/+rftw/tJlKgfWYdHLNVGpZEEXIUTRpXMSb9asGV988QX+/v76iUgIA1n7Xwx3AnrgHgif9A3G3srU0CEJIcRT6dydPnfu3CKfwDMyMggKCkKlUuVZ5z06OpqOHTtibW2No6Mj48aNIzMz02CxCsPbv38/By8nMP/PMwBM7+hPoEcZQ4clhBDPVCLnzEyaNAl3d/c8x9VqNe3btyc1NZXdu3ezYcMGNm3aJGu+l2L//PMPoaGhtO7QhaysLDoEuvFKfS9DhyWEEFopcUn8zz//ZMuWLSxatCjPa1u2bCEyMpJ169ZRq1YtWrZsyeLFi1m5cmWpmBon8oqKugwqIzKMLKjkYs/8boFyH1wIUWw89xSzouTGjRsMGzaMn3/+GSsrqzyv7927l4CAgFyt9NatW5ORkcGhQ4do1qxZvufNyMggIyND81wSfsmRUSkM51cWYePixcd9a2NjXqI+EkKIEq7EtMQVRWHgwIGMGDGCOnXq5FsmLi4OFxeXXMccHBwwMzN76vS4efPmYW9vr3l4enoWevzixVIUhf1RCSzechZz18rMeTmY6m6ycI8QonjRqtlx/PhxrU8YGBj4PPHkMXPmTGbNmvXUMgcOHGDPnj0kJydrtkd9kvy6ShVFeWoX6pQpU3j99dc1z5OTkyWRF2O7du1i6jvTSQkdTg62dK1Vnu51PAwdlhBC6EyrJP5wpPezkh0PBo8VpjFjxtCrV6+nlvH29mb27Nn8999/mJub53qtTp069O3bl7Vr1+Lq6sq+fftyvZ6YmEhWVlaeFvqjzM3N85xXFE85OTmMGDGCyMhIbO5aU6fPRN7rEiD3wYUQxZJWSTwqKkrz/48cOcLEiRN58803CQ0NhQf3mhcvXsz7779f6AE6Ojri6Oj4zHLLly9n9uzZmufXr1+ndevWbNy4kZCQEHiwUM2cOXOIjY3Fzc0NHgx2Mzc3Jzg4uNBjF0WPkZER/ad/xLszZ+DSYjAf9q6FtdwHF0IUU1p9e3l5/W/KTffu3Vm+fDnt2rXTHAsMDMTT05Np06bRpUsX/UT6DBUqVMj13MbGBoBKlSrh4XG/qzQ8PBw/Pz/69evHwoULSUhIYOLEiQwbNkw2MiklTl5L4svj6Th1fot3OvoRUN7e0CEJIUSB6dwEOXHiBBUr5l1PumLFikRGRhZWXHphbGzM77//zqhRo2jYsCGWlpb06dMn3+loomQ5duwYWYqKSX8nkKnOoWV1FwY08DZ0WEII8Vx03oq0du3aVK9enS+//BILCwt4MAVr8ODBnD59msOHD+sr1iJDtiItXpKTk6lduzZXYq5R9qV38KlZnz/GNcbB2szQoQkhRL70thXpZ599RseOHfH09KRmzZrwoJWjUqn47bffni9qIfQgMzMTG0d3lJvJWLhVZlmvWpLAhRAlgs5JvF69ekRFRbFu3TrOnDmDoij07NmTPn36YG1trZ8ohXgOd7Eko9VkXGvf4PX2talXUfYHF0KUDAUalmtlZcWrr75a+NEIUYjUajXZCoxdf5h7WQoNa1ZjTPPKhg5LCCEKTYGS+Llz54iIiCA+Pp6cnJxcr02fPr2wYhOiwDIzM2natClWlUM479qMsjYWLOtVC2MjmQ8uhCg5dE7iK1euZOTIkTg6OuLq6pprkQyVSiVJXBQJP/zwA3v37sXoyEnchwSzaFAIrvYWhg5LCCEKlc5JfPbs2cyZM4e33npLPxEJUQhadeyKd9dJpGLGkPBatKj+5BX5hBCiuNI5iScmJtK9e3f9RCNEIVAUhcmbTqD4NqGWiy2T21YzdEhCCKEXOu9i1r17d7Zs2aKfaIR4Doqi8NVXX7F613n+OROPmYkRy3oHYWFqbOjQhBBCL3RuiVeuXJlp06bx33//UaNGDUxNTXO9Pm7cuMKMTwitrV27lkGDBmHhXgXnvguZ3MaPaq6yGI8QouTSOYmvWLECGxsbduzYwY4dO3K9plKpJIkLgylTtiym1vZY+IYSVs2VgbKsqhCihNM5iT+6o5kQRckp48q4DPoYR8dyLOoeiJFMJxNClHCyB6Mo9rKzs9kblcjKXVEYW5dhYfdaONvKdDIhRMlXoCR+9epVfv31V6Kjo8nMzMz12pIlSworNiGeadeuXQweMhTTFmPBviKv1K9ASz+ZTiaEKB10TuL//PMPnTp1omLFipw9e5aAgAAuX76MoijUrl1bP1EK8QRTp07lwvlz2Fj+HyED3mZqOz9DhySEEC+MzlPMpkyZwhtvvMHJkyexsLBg06ZNxMTEEBYWJvPHxQvXf8bH2NbpjHOrYSzrFYSlmUwnE0KUHjon8dOnTzNgwAAATExMuHfvHjY2Nrz77rssWLBAHzEKka+LN1NYHHGNsi2GMblTbfzd7Q0dkhBCvFA6d6dbW1uTkZEBgLu7OxcvXsTf3x+AW7duFX6EQjzm0qVLnDl3nk/OW3EvS02jyo4MaVTR0GEJIcQLp3MSr1+/Pv/++y9+fn60b9+eN954gxMnTvDjjz9Sv359/UQpxAPZ2dm88sor7N27l7Lho/Bs2JnFPWrKdDIhRKmkcxJfsmQJKSkpAMycOZOUlBQ2btxI5cqV+eCDD/QRoxAa2dnZuPlUQ3X4OJY+dZjfNRAXO5lOJoQonXRO4j4+Ppr/b2VlxSeffFLYMQnxROk5Rlzz60v5oc15pXkgbQJcDR2SEEIYzHMt9pKSkkJOTk6uY3Z2sla1KHzZ2dkYGxsz5ccTxCWn4+vtwbQOMp1MCFG6FWjZ1TFjxhAREUF6errmuKIoqFQq1Gp1YccoBIMGDSImMZ1Lvj0ws7RmWa9aWJnJgoNCiNJN5ylmffv2JTExkVWrVvHPP/+wbds2tm3bxvbt29m2bZt+otTB77//TkhICJaWljg6OtK1a9dcr0dHR9OxY0esra1xdHRk3LhxeVadE0XLiRMn+Pbbb9nxx49k3Y7hjfCq1PCQ6WRCCKFzU+b48eMcOnSIqlWr6iei57Bp0yaGDRvG3Llzad68OYqicOLECc3rarWa9u3b4+TkxO7du7l9+zYDBgxAURQ+/PBDg8Yunqyanz+hY5cTeeoEYY1CebWJjxbvEkKIkk/nJF63bl1iYmKKXBLPzs5m/PjxLFy4kCFDhmiOPxrnli1biIyMJCYmBnd3dwAWL17MwIEDmTNnjtzPL6KW/X2eqxbeeDbyZUmPIIxlOpkQQkBBkvgXX3zBiBEjuHbtGgEBAZiamuZ6PTAwsDDj09rhw4e5du0aRkZG1KpVi7i4OIKCgli0aJFmMZq9e/cSEBCgSeAArVu3JiMjg0OHDtGsWbN8z52RkaFZ4AYgOTn5BdRI/PDDD9h41eDjiAsAzH2pBu5lLA0dlhBCFBk6J/GbN29y8eJFBg0apDmmUqkMPrDt0qVL8GDu+pIlS/D29mbx4sWEhYVx7tw5ypYtS1xcHC4uuXe4cnBwwMzMjLi4uCeee968ecyaNUvvdRD/s2/fPnr16oWRlT0u/ZfRK6wG7QPdDB2WEEIUKToPbBs8eDC1atVi7969XLp0iaioqFz/W9hmzpyJSqV66uPgwYOaqW5Tp06lW7duBAcHs3r1alQqFd9//73mfCpV3q7Yhz9AnmTKlCkkJSVpHjExMYVeT5GbpaUldq5emHrUoGIFd2Z08jd0SEIIUeTo3BK/cuUKv/76K5UrV9ZPRI8ZM2YMvXr1emoZb29v7t69C4Cf3//mDpubm+Pj40N0dDQArq6u7Nu3L9d7ExMTycrKytNCf5S5uTnm5ubPWROhi/NZZbHpuRB7cljeuzY25jKdTAghHqfzN2Pz5s05duzYC0vijo6OODo6PrNccHAw5ubmnD17lkaNGgGQlZXF5cuX8fLyAiA0NJQ5c+YQGxuLm9v9rtktW7Zgbm5OcHCwnmsitJGVlcX15Eym/3ISI1Nz3givQpBnGUOHJYQQRZLOSbxjx4689tprnDhxgho1auQZ2NapU6fCjE9rdnZ2jBgxghkzZuDp6YmXlxcLFy4E0OxzHh4ejp+fH/369WPhwoUkJCQwceJEhg0bJiPTi4Do6GjCwsJwbDaIVOdg6nmXZWTTF/NjUQghiiOVoiiKLm8wMnrybXRDr9iWlZXFlClT+Prrr7l37x4hISEsXbpUMzqdB4li1KhRbNu2DUtLS/r06cOiRYt06i5PTk7G3t6epKQkSf6FaNy4cXz44YeYuVXBd+gH/PV6M8rLaHQhRCmkbZ7ROYkLSeL6sufcDdoPeR0rv2Z8NqodHWu6a/EuIYQoebTNMzJaSBQJSfeyePPHU9g37EO32h6SwIUQQgsFSuL79+8nIiKC+Pj4PLuYLVmypLBiE6VAcnIymzZt4qBZINfu3MOrnBWzOst0MiGE0IbOSXzu3Lm88847VK1aFRcXl1zzq58211qI/IwdO5avvvoKm1rtcW4ziqU9g2Q6mRBCaEnnb8tly5axatUqBg4cqJ+IRKmhKApevv6oTM2x9gvjtZa+1KrgYOiwhBCi2NA5iRsZGdGwYUP9RCNKlewchWMODSk/YhWh/hVlOpkQQuhI52VXX3vtNT7++GP9RCNKhezsbNRqNcv+Ps+xmDs4lC3HBz1ldzIhhNCVzi3xiRMn0r59eypVqoSfn1+exV5+/PHHwoxPlEBz5szh5983c6vOqxjbOTO3aw2ZDy6EEAWgcxIfO3Ys27dvp1mzZpQrV04Gswmd3Llzh6XLlnEnMRFH18YM6FebDoEynUwIIQpC5yT+1VdfsWnTJtq3b6+fiESJZm9vT/vpa/j9p034NW7LTNmdTAghCkzne+Jly5alUqVK+olGlHg/HLrK7jhjyjbsybJetWQ6mRBCPAedk/jMmTOZMWMGaWlp+olIlEi//fYbm3cdYOavpwB4raWv7E4mhBDPSedm0PLly7l48SIuLi54e3vnGdh2+PDhwoxPlACXLl2iT58+pKZn4Nx7AU0ahMh0MiGEKAQ6J/EuXbroJxJRYtna2uLsG8jV+Du4+lRjWa9aMp1MCCEKgc5JfMaMGfqJRJRYh26oyWr5Fk4ZaSzpWRtXewtDhySEECVCgUcVHTp0iNOnT6NSqfDz86NWrVqFG5ko9pKTk0lWmzDph2OoVEaMaFWDZtWcDR2WEEKUGDon8fj4eHr16kVERARlypRBURSSkpJo1qwZGzZswMnJST+RimIlOjqaOnXq4BjSmdTqHQnyKsebrasZOiwhhChRdB6dPnbsWJKTkzl16hQJCQkkJiZy8uRJkpOTGTdunH6iFMXO+vXruXnzJhcP7sDGzIgPe9XCzETn/9yEEEI8hc4t8c2bN/P3339TvXp1zTE/Pz8+/vhjwsPDCzs+UUzVf2kw5XbdwNzDn/d7BFOhnJWhQxJCiBJH5ySek5OTZ1oZgKmpKTk5OYUVlyjG4pPTeX3jUWwCWtA3pALtA90MHZIoQtRqNVlZWYYOQwiDMjU1xdjY+LnPo3MSb968OePHj2f9+vW4u99f8/ratWu89tprtGjR4rkDEsXXtWvXWLpsGdHe7bmdmkk1V1umdfAzdFiiiFAUhbi4OO7cuWPoUIQoEsqUKYOrq+tz7UGicxL/6KOP6Ny5M97e3nh6eqJSqYiOjqZGjRqsW7euwIGI4k1RFF555RUiIiKwDjiEZ5c3+ahPbSxMn/+XpigZHiZwZ2dnrKysZPMkUWopikJaWhrx8fEAuLkVvLdS5yTu6enJ4cOH2bp1K2fOnEFRFPz8/GjZsmWBgxDFn0qlon3f4ew+chr70J6829mfys42hg5LFBFqtVqTwMuVK2focIQwOEvL+9svx8fH4+zsXOCu9QLPE2/VqhWtWrUq6NtFCRObdI9vY8vh/uoKetbzonsdT0OHJIqQh/fAraxkgKMQDz38PGRlZRU4iWs952fbtm34+fmRnJyc57WkpCT8/f3ZtWtXgYIoLOfOnaNz5844OjpiZ2dHw4YN2b59e64y0dHRdOzYEWtraxwdHRk3bhyZmZkGi7m4u3btGnHxtxj9zWESUjPxL+/Au50DDB2WKKKkC12I/ymMz4PWSXzp0qUMGzYMOzu7PK/Z29szfPhwlixZ8twBPY/27duTnZ3Ntm3bOHToEEFBQXTo0IG4uDh40KXXvn17UlNT2b17Nxs2bGDTpk288cYbBo27uEpPT6dLly5UrxHEf4eOYmthwqevyH1wIYR4UbRO4seOHaNNmzZPfD08PJxDhw4VVlw6u3XrFhcuXGDy5MkEBgbi6+vL/PnzSUtL49Sp+9tfbtmyhcjISNatW0etWrVo2bIlixcvZuXKlfn2MDyUkZFxfwnRRx4CYmNjuRp3k+TkZIzMLFnSIwivctaGDkuIF06lUvHzzz/r/Tre3t4sXbq0yJxHV3FxcbRq1Qpra2vKlCkaWxGvWbOmyMRSEFon8Rs3buQ7P/whExMTbt68WVhx6axcuXJUr16dr776itTUVLKzs/n8889xcXEhODgYgL179xIQEKCZGgfQunVrMjIynvoDZN68edjb22senp5yvxdAbe2IXa+FOHefydjODWjl52LokIQodPHx8QwfPpwKFSpgbm6Oq6srrVu3Zu/evZoysbGxtG3b1qBx5udJCerAgQO8+uqrLzyeDz74gNjYWI4ePcq5c+cK/fxr1qxBpVLleaSnpxf4nJcvX0alUnH06NE8rzVt2pQJEyY8Z9TPR+uBbeXLl+fEiRNUrpz/PtDHjx9/rmHyz0ulUrF161Y6d+6Mra0tRkZGuLi4sHnzZs1/xHFxcbi45E40Dg4OmJmZabrc8zNlyhRef/11zfPk5ORSncgVRSElI5vhXx8iw9iKpo0a8EarKoYOSwi96NatG1lZWaxduxYfHx9u3LjBP//8Q0JCgqaMq6urQWPUlaH2uLh48SLBwcH4+vrq7Rp2dnacPXs21zELi5K7c6LWLfF27doxffr0fH/R3Lt3jxkzZtChQ4fCjo+ZM2fm+8vq0cfBgwdRFIVRo0bh7OzMrl272L9/P507d6ZDhw7ExsZqzpffQAJFUZ46wMDc3Bw7O7tcj9Lq9u3b1KlTh25vf8LFm6m42lmwvHctTIxlXXShG0VRSMvMNshDURStYrxz5w67d+9mwYIFNGvWDC8vL+rVq8eUKVNo3769ptyj3ekPW27fffcdjRs3xtLSkrp163Lu3DkOHDhAnTp1sLGxoU2bNrl6L/Nr1XXp0oWBAwc+Mb4lS5ZQo0YNrK2t8fT0ZNSoUaSkpAAQERHBoEGDSEpK0nxXzpw5E/LpTo+OjqZz587Y2NhgZ2dHjx49uHHjhub1mTNnEhQUxNdff423tzf29vb06tWLu3fvavV3fHjNTZs28dVXX6FSqTT1unPnDq+++iouLi5YWFgQEBDAb7/9pvV5H6dSqXB1dc310MXt27epV68enTp10qkFHxERkW9+etq/X2HQuiX+zjvv8OOPP1KlShXGjBlD1apVUalUnD59mo8//hi1Ws3UqVMLPcAxY8bQq1evp5bx9vZm27Zt/PbbbyQmJmqS7CeffMLWrVtZu3YtkydPxtXVlX379uV6b2JiIllZWXla6CJ/CxYs4PDhw5hExeE9/FM+7xeMk625ocMSxdC9LDV+0/8yyLUj322Nldmzv/5sbGywsbHh559/pn79+piba//f+owZM1i6dCkVKlRg8ODB9O7dGzs7O5YtW4aVlRU9evRg+vTpfPrppwWuh5GREcuXL8fb25uoqChGjRrFpEmT+OSTT2jQoAFLly5l+vTpmpapjU3etRsURaFLly5YW1uzY8cOsrOzGTVqFD179iQiIkJT7uLFi/z888+a79kePXowf/585syZo1WsBw4coH///pq/gaWlJTk5ObRt25a7d++ybt06KlWqRGRkpGa6VXR0NH5+T1/18ZVXXuGzzz7TPE9JScHLywu1Wk1QUBDvvfee1ltlX716lfDwcOrUqcOqVaswMdF+FnaDBg1yNRhPnz5Nu3btaNKkidbnKAitI3RxcWHPnj2MHDmSKVOmaH7JqlQqWrduzSeffKKXROjo6Iijo+Mzy6WlpcGD/6gfZWRkpFnTPTQ0lDlz5hAbG6vp+t+yZQvm5uaa++bi6Rr0HI3tP5HY1GzDgu61qelZfAeECPEsJiYmrFmzhmHDhvHZZ59Ru3ZtwsLC6NWrF4GBgU9978SJE2ndujUA48ePp3fv3vzzzz80bNgQgCFDhrBmzZrniu/RlnvFihV57733GDlyJJ988glmZmbY29trWqZP8vfff3P8+HGioqI0twm//vpr/P39OXDgAHXr1oUH+2asWbMGW1tbAPr168c///yjdRJ3cnLC3NwcS0tLTTxbtmxh//79nD59mipV7t+S8/Hx0bzH3d0933vRj3q0Z7RatWqsWbOGGjVqkJyczLJly2jYsCHHjh17Zhf+uXPnaNWqFZ07d2bZsmV5emcbNGiQJ7/cu3ePoKAgAMzMzDT1un37NsOGDWPw4MEMHjxYq79PQem02IuXlxd//PEHiYmJXLhwAUVR8PX1xcHBQX8Raik0NBQHBwcGDBjA9OnTsbS0ZOXKlURFRWm6vcLDw/Hz86Nfv34sXLiQhIQEJk6c+MSpcyK3yOvJTPn5NGVbjWRY44p0re1h6JBEMWZpakzku60Ndm1tdevWjfbt27Nr1y727t3L5s2bef/99/niiy+e2lX6aJJ/2MCpUaNGrmMPl90sqO3btzN37lwiIyNJTk4mOzub9PR0UlNTsbbWbqbI6dOn8fT0zDXOx8/PjzJlynD69GlNEvf29tYkcB4sFfq88R89ehQPDw9NAn+ciYnJE8dh5ad+/frUr19f87xhw4bUrl2bDz/8kOXLlz/xfffu3aNRo0b07t2bZcuW5Vtm48aNuXbvBOjbt2+ecllZWXTr1o0KFSo88VyFqUArtjk4OGj+YYsKR0dHNm/ezNSpU2nevDlZWVn4+/vzyy+/ULNmTQCMjY35/fffGTVqFA0bNsTS0pI+ffqwaNEiQ4dfpEVERHDw6Al+SvfnXpaaxr6OvNWmmqHDEsWcSqXSqku7KLCwsNCsUjl9+nSGDh3KjBkznprEH53N87BV9/ixR3d+NDIyynOv/mm7vV25coV27doxYsQI3nvvPcqWLcvu3bsZMmSITrvEPWlM0OPHH5+d9Hj8BfFw6dEnKUh3+qOMjIyoW7cu58+ff+o5zM3NadmyJb///jtvvvkmHh55Gyienp55flDkF//IkSOJjo7mwIEDOnXHF1Tx+ARpqU6dOvz119PvsVWoUOG5Bk2UNnFxcbz88svcvn2bcu0mENC0Ex/1ri0D2USp5ufnV+jzwp2cnHLdU1Wr1Zw8eZJmzZrlW/7gwYNkZ2ezePFiTTfvd999l6uMmZkZarX6qdf18/MjOjqamJgYTWs8MjKSpKSkPC3PwhYYGMjVq1c5d+5cvq1xXbvTH6coCkePHs3VA5IfIyMjvv76a/r06UPz5s2JiIjINRVZW0uWLGHjxo3s3bv3he0RUKKSuCh8zs7OVAvvw4GILTgFNmVF/zrYWz15vQAhSpLbt2/TvXt3Bg8eTGBgILa2thw8eJD333+fzp07F+q1mjdvzuuvv87vv/9OpUqV+OCDD566bWulSpXIzs7mww8/pGPHjvz77795WqTe3t6kpKTwzz//ULNmTaysrPKsX9+yZUsCAwPp27cvS5cu1QxsCwsLo06dOoVax8eFhYXRpEkTunXrxpIlS6hcuTJnzpxBpVLRpk0bnbvTZ82aRf369fH19SU5OZnly5dz9OhRPv7442e+19jYmG+++YbevXtrErkuI9v//vtvJk2axMcff4yjo6Nm2rKlpSX29vZan0dX0pwST7X0nwtcrdCa8v3e57NBDajiYqvFu4QoGWxsbAgJCeGDDz6gSZMmBAQEMG3aNIYNG8ZHH31UqNcaPHgwAwYMoH///oSFhVGxYsUntsIBgoKCWLJkCQsWLCAgIIBvvvmGefPm5SrToEEDRowYQc+ePXFycuL999/Pc56H0+McHBxo0qQJLVu2xMfHh40bN+oU/8OFVnS1adMm6tatS+/evfHz82PSpEnP7D14kofT1apXr054eDjXrl1j586d1KtXT6v3m5iYsH79evz9/WnevLlO9/x3796NWq1mxIgRuLm5aR7jx48vUF20pVK0nTApNJKTk7G3tycpKalEDohTFIWvvvoKs6pNmPJzJADzutagd70Khg5NFFPp6elERUVRsWLFEr3wRmk2c+ZMIiIick1LE0/3tM+FtnlGWuIij+nTpzNw4ECGDeh7fxGdppUkgQshnuqvv/7Kt6Uv9EvuiYs8XHyqozIxw9ynLp2DyjMxvKqhQxJCFHGPriUvXhxJ4iKXq4lpfBPngvuwFTSoWYWF3QMxMpI9oIUQoiiSJC4AOHLkCDbl3Bi28TSxSelUreTFin7BmJvI3uBCCFFUyT1xwf79+wlr2pRaIQ24cOUqnmUtWTc0hDJWZoYOTQghxFNIS1ygMrMkExMwtcbN0YFvh9bHzf7pKykJIYQwPEnipVxKRjZz9yRTrtcCnJ2d2TC6KZ5lrbR4pxBCCEOTJF5KHTt2jKwcWHQgjSPRd3B0r8C3r9anklPerQqFEEIUTZLES6HIyEiat2hBSoaacj3nUra8D18Nrkd1t5K3cI0QQpRkMrCtFLK0K4vayhFsnSjj6MLawXVlX3Ah9GTNmjWUKSOfr4fS0tLo1q0bdnZ2qFSqp64P/6JEREQUmVh0JUm8lLl25x7Dvz+LbddZVBkwj+/GtiDYq6yhwxKiyIqPj2f48OFUqFABc3NzXF1dad26tdaLm/Ts2ZNz585pnj9cY/zhw83NjR49ehAVFaXHWjy/H3/8kVatWuHk5ISdnR2hoaHP3DUyP2vXrmXXrl3s2bOH2NjYQt8c5GFCfvxx5syZ5zrvwzXmHzdw4EC6dOnyXOd+HtKdXkqsXbuWhHTYcLs8N5IzcHcux7ohIfjKhiZCPFW3bt3Iyspi7dq1+Pj4cOPGDf755x8SEhK0er+lpWWefaft7Ow4e/YsiqJw5swZhg8fTqdOnTh69CjGxkVzbYadO3fSqlUr5s6dS5kyZVi9ejUdO3Zk37591KpVS+vzXLx4kerVqxMQEKDXeM+ePZtrzXEnJye9Xs9gFKGzpKQkBVCSkpIMHYpW/vzzTwVQVEYmitug5UqrJRHKtcQ0Q4clSpF79+4pkZGRyr179/K8lpKSoqSkpCg5OTmaYxkZGUpKSoqSnp6eb1m1Wq05lpmZqaSkpOQ595PK6iIxMVEBlIiIiGeWGzZsmOLs7KyYm5sr/v7+yv/93/8piqIoq1evVuzt7TVlH3+uKIqybt06BVDOnDmjDBo0SGnfvn2u17OyshQXFxflyy+/1Dp2QFm5cqXSpUsXxdLSUqlcubLyyy+/aP1+bfj5+SmzZs3SunxYWJgCaB5hYWGKoihKenq68uabbyoeHh6KmZmZUrlyZeWLL74oUEzbt29XACUxMbHA70lLS1PatWunhISEKLdv31aUB3/Pn376Kc97BwwYoHTu3FlRFEWJiorKVb/H6/m4p30utM0z0p1ewimKwnlTH6yqhGIX0o3G9Wrz/YgGuJeReeCiaLCxscHGxoZbt25pji1cuBAbGxvGjBmTq6yzszM2NjZER0drjn388cfY2NgwZMiQXGW9vb2xsbHh9OnTmmNr1qwpUGw///wzGRkZ+ZbJycmhbdu27Nmzh3Xr1hEZGcn8+fN1alE/bKlnZWUxdOhQNm/eTGxsrOb1P/74g5SUFHr06KFT/LNmzaJHjx4cP36cdu3a0bdv31w9CA/r96RH27Ztn3junJwc7t69S9my2t+O+/HHHxk2bBihoaHExsby448/AtC/f382bNjA8uXLOX36NJ999hk2Nv+bKVOQOGvVqoWbmxstWrRg+/btWseYlJREeHg4mZmZ/PPPPzrVz9PTk9jYWM3jyJEjlCtXjiZNmmh9Dl1Jd3oJde7cORzdK/DOL6f440Qcjp0n0ze0IjM7+mNmIr/dhNCGiYkJa9asYdiwYXz22WfUrl2bsLAwevXqRWBgIAB///03+/fv5/Tp01SpUgUAHx8fra9x9epVFi5ciIeHB1WqVMHMzIyqVavy9ddfM2nSJABWr15N9+7dcyU2bQwcOJDevXsDMHfuXD788EP2799PmzZtADh69OhT3//4bYBHLV68mNTUVJ1+WJQtWxYrKyvMzMxwdXWFB99V3333HVu3bqVly5aQz99Plzjd3NxYsWIFwcHBZGRk8PXXX9OiRQsiIiKemUxv3LhBz549qVSpEuvXr8fMLPeqlb17987z4ywjI4P27dsDYGxsrKlXeno6Xbp0ITQ0lJkzZ2rx1ykgrfsbhEZR705fvXq1Ym5uoXi06K94vfWbUvnt35V1/102dFiiFCuu3emPxr9lyxZl1qxZSmhoqGJsbKysXr1aURRFWbBggVKhQoUnvje/7nRAsba2VqysrBRAqV27trJ//35NmSVLlijVqlVTFEVRbty4oZiamio7d+7UKWZA+e6773Ids7OzU9auXavTefLz7bffKlZWVsrWrVt1fu/48eNzdS9v3LhRMTY2LvC/jTY6dOigdOzY8YmvP+xO9/DwUF566SUlOzs7TxlA+fTTT5Xz58/nenTt2lXTnf6oPn36KH5+fkpycvITr1sY3enSEi9h0rPUbDmbQEZGOrcvnya4pTkf9q1DkEwhE0WUtbV1nmNmZmZ5WkFPKmtqaoqpqanWZQvCwsKCVq1a0apVK6ZPn87QoUOZMWMGAwcOfGpr9UlsbW05fPgwRkZGuLi45Im1f//+TJ48mb1797J37168vb1p3Lixztd5vL4qlYqcnBzN82e17Bs3bsyff/6Z69jGjRsZMmQI33//vabl/Dy0+fsVJM5H1a9fn3Xr1j3zOu3bt2fTpk1ERkZSo0aNPK+7urpSuXLlXMdsbW3zTE2bPXs2mzdvZv/+/dja6nfwsCTxEiAzM5OYmBhic+yZ+vMJrihVcXp5Br1f6sjsroHYWhTsi0sIkT8/Pz/NdKPAwECuXr3KuXPnNN3pz2JkZJQnGTyqXLlydOnShdWrV7N3714GDRpUaLE/Stfu9PXr1zN48GDWr1+v6UJ+XjVq1CAnJ4cdO3Y88UfB83T782CXRjc3t2fGMn/+fGxsbDTd735+fs98z+M2bdrEu+++y59//kmlSpV0fr+uJIkXc+fPnye8TTsSUjMp028ZKmNTXO0smDVzBK39XQ0dnhDF2u3bt+nevTuDBw8mMDAQW1tbDh48yPvvv0/nzp0BCAsLo0mTJnTr1o0lS5ZQuXJlzpw5g0ql0tx7LoihQ4fSoUMH1Go1AwYMKMRa/c/Tfkg8bv369fTv359ly5ZRv3594uLi4EECfZ653t7e3gwYMIDBgwezfPlyatasyZUrV4iPj9fcb9clzqVLl+Lt7Y2/vz+ZmZmsW7eOTZs2sWnTJq3ev2jRItRqNc2bNyciIoJq1appfe2TJ0/Sv39/3nrrLfz9/TV/IzMzM50GyOmi2IxwmjNnDg0aNMDKyuqJqx9FR0fTsWNHrK2tcXR0ZNy4cWRmZuYqc+LECcLCwrC0tKR8+fK8++673L/dUbwoisJ/l24zb0cc0XE3SUlJJifxOgMbeLP19SaSwIUoBDY2NoSEhPDBBx/QpEkTAgICmDZtGsOGDeOjjz7SlNu0aRN169ald+/e+Pn5MWnSJNRq9XNdu2XLlri5udG6dWvc3d1zvfZwwZgX6fPPPyc7O5vRo0fj5uameYwfP15T5uFCK5cvX9bp3J9++ikvv/wyo0aNolq1agwbNozU1NQCxZmZmcnEiRMJDAykcePG7N69m99//52uXbtqfY4PPviAHj160Lx581wL9TzLwYMHSUtLY/bs2bn+RrpcW1cqpZhksBkzZlCmTBmuXr3Kl19+mecehFqtJigoCCcnJxYvXszt27cZMGAAXbt25cMPPwQgOTmZKlWq0KxZM6ZOncq5c+cYOHAgM2bM4I033tA6luTkZOzt7UlKSsq1mIC+3bhxg9femsrxs5dw7zGDczdSAEiPOUnHZvWZ2qUO3o557wMKYWjp6elERUVRsWJFLCwsDB1OsZCWloa7uzurVq3KkwRmzpxJREQEERERBosvP2vWrGHOnDlERkYWePxBafK0z4W2eabYdKfPmjULnjLPc8uWLURGRhITE6P51bp48WIGDhzInDlzsLOz45tvviE9PZ01a9Zgbm5OQEAA586dY8mSJbz++us6/7L9v2PXiI35lyvnT+NR0ZcqNf63atHfP28AoHGbzphb3L9fE3X2FOdPHqW8tw/+waGaslt/Wo86O4smbV/Cyub+IIioc5Hs3/E3Du4+VAgO40zsXY6ej+H42lWAQmKNXti6eNK1tgeDXmsiK68JUULk5OQQFxfH4sWLsbe3p1OnTnnK/PXXXyxbtswg8T3N5s2bmTt3riTwF6jYJPFn2bt3LwEBAbm6nVq3bk1GRgaHDh2iWbNm7N27l7CwMMzNzXOVmTJlCpcvX6ZixYr5njsjIyPXQg/JyckATPnxJEn7NpG8dyO2wR0p23K4psyVBW8C8GuCK8bWDgAk7f2OOzu/wiYwnHJt/7dnd/SS6ShZ6fyW4IJpmfvd4MkHfyHxn5VYVQ/DKcXzQUkLyjbqTb3QBgx4uTVtA9yxt5IPixAlSXR0NBUrVsTDw4M1a9ZgYpL3a1rbddtftA0bNhg6hFKnxCTxuLg4XFxcch1zcHDAzMxMM7ggLi4Ob2/vXGUevicuLu6JSXzevHmanoBHhVYqx9Xkqly+G4qHnx9VfB01r2UHNrxfxtcFc+v7XSFXkqoRldwEN78aVH2k7O5aTVBnZ1KvihuW9uUAuHavOjHp7akYUIcGDbyp5GRNQHl7qr/XBgvTorm2shDi+Xl7exfLcTrCMAyaxGfOnJlvcnzUgQMHqFOnjlbny687XFGUXMcfL/Pww/K0rvQpU6bw+uuva54nJyfj6enJyv51sBvTHMinDkN253MsBJiSz/H8dgIKAV57YkxCCCGEQZP4mDFj6NWr11PLPN5yfhJXV1f27duX61hiYiJZWVma1rarq6umVf5QfHw8PNIiz4+5uXmuLnghRMFIC1OI/ymMz4NBk7ijoyOOjo5alHy20NBQ5syZQ2xsrGZS/5YtWzA3Nyc4OFhT5u233yYzM1OzGtSWLVtwd3fX+seCEEJ3Dwc6paWlFWiFMyFKorS0NHiOlQQxdBLXRXR0NAkJCURHR6NWqzUr+FSuXBkbGxvCw8Px8/OjX79+LFy4kISEBCZOnMiwYcM0w/P79OnDrFmzGDhwIG+//Tbnz59n7ty5TJ8+/YXPuRSiNDE2NqZMmTKani8rKyv5zIlSS1EU0tLSiI+Pp0yZMs+1h3yxmSc+cOBA1q5dm+f49u3badq0KTxI9KNGjWLbtm1YWlrSp08fFi1alKsr/MSJE4wePZr9+/fj4ODAiBEjdE7ihponLkRxpigKcXFxedZ4EKK0KlOmDK6urvnmH23zTLFJ4kWJJHEhCk6tVpOVlWXoMIQwKFNT06e2wEvcYi9CiJLB2Nj4uboPhRD/U2zWThdCCCFEbpLEhRBCiGJKkrgQQghRTMk98QJ4OBbw4RrqQgghRGF6mF+eNfZckngB3L17FwBPT89nlhVCCCEK6u7du9jb2z/xdZliVgA5OTlcv34dW1tbvS1Y8XB99piYmGI/ja0k1YUSVh+pS9FUkupCCavPi6qLoijcvXsXd3d3jIyefOdbWuIFYGRkhIeHxwu5lp2dXbH/j/6hklQXSlh9pC5FU0mqCyWsPi+iLk9rgT8kA9uEEEKIYkqSuBBCCFFMSRIvoszNzZkxY0aJ2AK1JNWFElYfqUvRVJLqQgmrT1GriwxsE0IIIYopaYkLIYQQxZQkcSGEEKKYkiQuhBBCFFOSxIUQQohiSpL4C7Bz5046duyIu7s7KpWKn3/++Znv2bFjB8HBwVhYWODj48Nnn32Wp8ymTZvw8/PD3NwcPz8/fvrpJz3V4H/0UZeVK1fSuHFjHBwccHBwoGXLluzfv1+Ptfgfff3bPLRhwwZUKhVdunQp5Mjz0ldd7ty5w+jRo3Fzc8PCwoLq1avzxx9/6KkW9+mrLkuXLqVq1apYWlri6enJa6+9Rnp6up5qcZ+udYmNjaVPnz5UrVoVIyMjJkyYkG85Q3z+0VN9DPUdoK9/m4dexOdfkvgLkJqaSs2aNfnoo4+0Kh8VFUW7du1o3LgxR44c4e2332bcuHFs2rRJU2bv3r307NmTfv36cezYMfr160ePHj3Yt2+fHmuin7pERETQu3dvtm/fzt69e6lQoQLh4eFcu3ZNjzW5Tx/1eejKlStMnDiRxo0b6yHyvPRRl8zMTFq1asXly5f54YcfOHv2LCtXrqR8+fJ6rIl+6vLNN98wefJkZsyYwenTp/nyyy/ZuHEjU6ZM0WNNdK9LRkYGTk5OTJ06lZo1a+ZbxlCff/RUH0N9B+ijLg+9sM+/Il4oQPnpp5+eWmbSpElKtWrVch0bPny4Ur9+fc3zHj16KG3atMlVpnXr1kqvXr0KOeInK6y6PC47O1uxtbVV1q5dW2ixaqMw65Odna00bNhQ+eKLL5QBAwYonTt31kvMT1JYdfn0008VHx8fJTMzU2+xPkth1WX06NFK8+bNc5V5/fXXlUaNGhVyxE+mTV0eFRYWpowfPz7P8aLw+VcKsT6PM8R3QGHW5UV+/qUlXgTt3buX8PDwXMdat27NwYMHycrKemqZPXv2vNBYn0WbujwuLS2NrKwsypYt+4Ki1J629Xn33XdxcnJiyJAhBohSO9rU5ddffyU0NJTRo0fj4uJCQEAAc+fORa1WGyjq/GlTl0aNGnHo0CFNN+2lS5f4448/aN++vUFifh7F5fNfUEX5O0AbL/LzLxugFEFxcXG4uLjkOubi4kJ2dja3bt3Czc3tiWXi4uJecLRPp01dHjd58mTKly9Py5YtX2Ck2tGmPv/++y9ffvklR48eNVic2tCmLpcuXWLbtm307duXP/74g/PnzzN69Giys7OZPn26wWJ/nDZ16dWrFzdv3qRRo0YoikJ2djYjR45k8uTJBou7oIrL57+givJ3wLO86M+/JPEi6vEtTh8urPfo8fzK6Gtr1OehTV0eev/991m/fj0RERFYWFi8sBh18bT63L17l1deeYWVK1fi6OhooAi196x/m5ycHJydnVmxYgXGxsYEBwdz/fp1Fi5cWKSSOFrUJSIigjlz5vDJJ58QEhLChQsXGD9+PG5ubkybNs0gMT+P4vL511Vx+A54EkN8/iWJF0Gurq55flHHx8djYmJCuXLlnlrm8V/nhqZNXR5atGgRc+fO5e+//yYwMPAFR6qdZ9Xn1KlTXL58mY4dO2pez8nJAcDExISzZ89SqVKlFx53frT5t3Fzc8PU1BRjY2NNmerVqxMXF0dmZiZmZmYvPO78aFOXadOm0a9fP4YOHQpAjRo1SE1N5dVXX2Xq1KlP3bO5qCkun39dFYfvgKe5ePHiC//8F5//akuR0NBQtm7dmuvYli1bqFOnDqampk8t06BBgxca67NoUxeAhQsX8t5777F582bq1KljgEi186z6VKtWjRMnTnD06FHNo1OnTjRr1oyjR4/i6elpsNgfp82/TcOGDblw4YLmiwjg3LlzuLm5FZkEjpZ1SUtLy5OojY2NURSF4raFRHH5/OuiuHwHPI1BPv96GzInNO7evascOXJEOXLkiAIoS5YsUY4cOaJcuXJFURRFmTx5stKvXz9N+UuXLilWVlbKa6+9pkRGRipffvmlYmpqqvzwww+aMv/++69ibGyszJ8/Xzl9+rQyf/58xcTERPnvv/+KXV0WLFigmJmZKT/88IMSGxuredy9e1evddFXfR73okan66Mu0dHRio2NjTJmzBjl7Nmzym+//aY4Ozsrs2fPLnZ1mTFjhmJra6usX79euXTpkrJlyxalUqVKSo8ePYpUXRRF0ZQPDg5W+vTpoxw5ckQ5deqU5nVDff71VR9DfQfooy6P0/fnX5L4C7B9+3YFyPMYMGCAojz4Rw4LC8v1noiICKVWrVqKmZmZ4u3trXz66ad5zvv9998rVatWVUxNTZVq1aopmzZtKpZ18fLyyvecM2bMKJb1edyLSuL6qsuePXuUkJAQxdzcXPHx8VHmzJmjZGdnF7u6ZGVlKTNnzlQqVaqkWFhYKJ6ensqoUaOUxMTEIleX/Mp7eXnlKmOIz7++6mOo7wB9/ds8St+ff9mKVAghhCim5J64EEIIUUxJEhdCCCGKKUniQgghRDElSVwIIYQopiSJCyGEEMWUJHEhhBCimJIkLoQQQhRTksSFEEKIYkqSuBCl3MyZMwkKCjLY9adNm8arr76qt/PHx8fj5OTEtWvX9HYNIQxFVmwTogR71taUAwYM4KOPPiIjIyPPrnIvwo0bN/D19eX48eN4e3vr7Tqvv/46ycnJfPHFF3q7hhCGIElciBLs0e0qN27cyPTp0zl79qzmmKWlJfb29gaKDubOncuOHTv466+/9HqdEydOUK9ePa5fv46Dg4NeryXEiyTd6UKUYK6urpqHvb09KpUqz7HHu9MHDhxIly5dmDt3Li4uLpQpU4ZZs2aRnZ3Nm2++SdmyZfHw8GDVqlW5rnXt2jV69uyJg4MD5cqVo3Pnzly+fPmp8W3YsIFOnTrlOta0aVPGjh3LhAkTcHBwwMXFhRUrVpCamsqgQYOwtbWlUqVK/Pnnn5r3JCYm0rdvX5ycnLC0tMTX15fVq1drXq9Rowaurq789NNPhfBXFaLokCQuhMhj27ZtXL9+nZ07d7JkyRJmzpxJhw4dcHBwYN++fYwYMYIRI0YQExMDD/bqbtasGTY2NuzcuZPdu3djY2NDmzZtyMzMzPcaiYmJnDx5Mt+9o9euXYujoyP79+9n7NixjBw5ku7du9OgQQMOHz5M69at6devH2lpafDgvnpkZCR//vknp0+f5tNPP8XR0THXOevVq8euXbv08vcSwmD0tj+aEKJIWb16tWJvb5/n+IwZM5SaNWtqng8YMEDx8vJS1Gq15ljVqlWVxo0ba55nZ2cr1tbWyvr16xVFUZQvv/xSqVq1qpKTk6Mpk5GRoVhaWip//fVXvvE83MM5Ojo61/GwsDClUaNGea716L7OsbGxCqDs3btXURRF6dixozJo0KCn1v+1115TmjZt+tQyQhQ3Job+ESGEKHr8/f0xMvpfR52LiwsBAQGa58bGxpQrV474+HgADh06xIULF7C1tc11nvT0dC5evJjvNe7duweAhYVFntcCAwPzXKtGjRq54uHByHOAkSNH0q1bNw4fPkx4eDhdunShQYMGuc5paWmpabkLUVJIEhdC5GFqaprruUqlyvdYTk4OADk5OQQHB/PNN9/kOZeTk1O+13jY3Z2YmJinzLOu/3DU/cPrt23blitXrvD777/z999/06JFC0aPHs2iRYs070lISHhiLEIUV3JPXAjx3GrXrs358+dxdnamcuXKuR5PGv1eqVIl7OzsiIyMLJQYnJycGDhwIOvWrWPp0qWsWLEi1+snT56kVq1ahXItIYoKSeJCiOfWt29fHB0d6dy5M7t27SIqKoodO3Ywfvx4rl69mu97jIyMaNmyJbt3737u60+fPp1ffvmFCxcucOrUKX777TeqV6+ueT0tLY1Dhw4RHh7+3NcSoiiRJC6EeG5WVlbs3LmTChUq0LVrV6pXr87gwYO5d+8ednZ2T3zfq6++yoYNGzTd4gVlZmbGlClTCAwMpEmTJhgbG7NhwwbN67/88gsVKlSgcePGz3UdIYoaWexFCGEwiqJQv359JkyYQO/evfV2nXr16jFhwgT69Omjt2sIYQjSEhdCGIxKpWLFihVkZ2fr7Rrx8fG8/PLLev2RIIShSEtcCCGEKKakJS6EEEIUU5LEhRBCiGJKkrgQQghRTEkSF0IIIYopSeJCCCFEMSVJXAghhCimJIkLIYQQxZQkcSGEEKKYkiQuhBBCFFP/D3kBSxnQFPfyAAAAAElFTkSuQmCC", "text/plain": [ "
" ] @@ -535,7 +535,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -625,9 +625,9 @@ "text": [ "Zeroes: []\n", "Poles:\n", - " (-1.838907322686957+1.7543809597837212j)\n", + " (-1.838907322686957+1.754380959783721j)\n", " (-2.3221853546260856-0j)\n", - " (-1.838907322686957-1.7543809597837212j)\n", + " (-1.838907322686957-1.754380959783721j)\n", "Gain: 15.0\n" ] } @@ -726,7 +726,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -809,7 +809,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -850,7 +850,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -937,7 +937,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -992,7 +992,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1087,7 +1087,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1206,7 +1206,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1278,7 +1278,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1347,7 +1347,7 @@ "outputs": [ { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABMUAAAFzCAYAAAA+Bb6uAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAB8uklEQVR4nOzdd3wUdf7H8dfspndCQgokhN5LAEFAmiKoqFjOLorY9VQs54meig3P7qk/26mAvZy9gwUUQaT33iEJCYEkpO1md+f3x4aFkAQSSDIp7+fjsY+d8p2Zz4bZJfvOd75jmKZpIiIiIiIiIiIi0oTYrC5ARERERERERESkrikUExERERERERGRJkehmIiIiIiIiIiINDkKxUREREREREREpMlRKCYiIiIiIiIiIk2OQjEREREREREREWlyFIqJiIiIiIiIiEiTo1BMRERERERERESaHD+rCzheHo+HtLQ0wsPDMQzD6nJERERERERERMRCpmmyf/9+EhMTsdkq7w/W4EOxtLQ0kpKSrC5DRERERERERETqkR07dtCqVatK1zf4UCw8PBzwvtCIiAiLqxERERERERERESvl5eWRlJTky4wq0+BDsQOXTEZERCgUExERERERERERgKMOs6WB9kVEREREREREpMlRKCYiIiIiIiIiIk2OQjEREREREREREWlyFIqJiIiIiIiIiEiTo1BMRERERERERESaHIViIiIiIiIiIiLS5CgUExERERERERGRJkehmIiIiIiIiIiINDkKxUREREREREREpMnxs7oAERERERFp+ErcHtweE5fHxH3Yw+Xx4PHgfTbLtnF5TDyHPR+6DkzAwGaAYRx4BgPD+3xgWWkbDpku094wMACbYRy2/cFlttI2FbW3GQZ+dgO7zcDPZsPPbuBn887722zYbIaVP34RETkGjSYUc7vduN3ucssNw8Bms5VpV5njaevxeDBNs07bAtjtdsvb2mw2DMNo9G1N08Tj8TSatoeea425LdTe+16fEVVrW9/ey/qM0GeEPiMO0mdE3bWtD+/74hIX+/Id5BSVkO9wUVTiodjpptjlpqjEjaPEpNjlprjEQ5HTRaHTTXGJ91FU4ilt421bXOLxbuf0lK534/ZU/rNoCgwD/EoDM29wVhqYlQvSvOvtNvCz2w4J1gzsNu+8n904ZJ0NPxul2xzYX2k7W2k7u803bTfAbnj3fXgdfnYb/na7L9yzgbeO0v3Z7WXrCAzw8+3DwPTty89m+M6rA/QZcXxt68NnhH6P0O8Rjen3iCP9/A7VaEKx5cuXExYWVm55REQEHTp0KNOushM8LCyMTp06+eZXrlyJy+WqsG1ISAhdunTxza9atQqn01lh26CgILp16+abX7NmDcXFxRW2DQgIoEePHr75devWUVhYWGFbPz8/evXq5ZvfsGED+fn5Fba12Wykpqb65jdt2kReXl6FbQH69u3rm96yZQs5OTmVtu3du7fvpN2+fTvZ2dmVtu3Zsyf+/v4A7Ny5k6ysrErbdu/encDAQADS0tLYvXt3pW27du1KcHAwABkZGaSnp1fatnPnzoSGhgKQmZnJrl27Km3bsWNHwsPDAcjKymLHjh2Vtm3fvj2RkZEAZGdns23btkrbtm3blmbNmgGQk5PD5s2bK23bunVrYmJiAMjLy2Pjxo2Vtk1KSqJFixYA5Ofns379+krbtmzZkvj4eAAKCwtZu3ZtpW0TEhJITEwEoLi4mNWrV1faNi4ujlatWgHgdDpZuXJlpW1jY2NJTk4GwOVysXz58krbNm/enJSUFMD7Ybd06dJK20ZFRdGuXTvf/JHa6jPCS58RB+kzwkufEV76jPDSZ8RB9eEzIjGpNUZgGDlFTnbs3suGbbvY7/CQ7/Sw32mS7/SUPkyc+LHf6SGnsISikqp9QahpB0IXu83AbhiYpht7aQ8ue2kPLJvNOx3g709QYAB2m3ecF0dxkW8/puntM+Z9NrH7+eHvH4DHBNPjobC4+JA25iFtwWazY/ezY5rgMU2cDmeZ9b5nAAxsdhsej3cfbpcbD97tPCa4PQfalWWaUOI2KaniF7GG7sC/n7303y8owN8XmJkeN4bp8f772gz8DG+oZzPAzwZRkRG+4M1RVIjHXYLNMErPh9JzorQXX4uYGPzs3p54Bfv3U1xc5FtvKz2+UTqdmJBAgL8dm2GQm5NDYWF+mbY2Du67dVIrAgP8sRmQsy+bvNwcbJjenoKYpb0PTQxMkpOTCQ4MAEz27c0mZ+/e0h6F5iHbAJi0Tk4iOCgQA8jZt5fs7KxybQwDDNMkOakVocHB3hpy9pKZmek9rnlw35Ru07JlImEhoYBJbm4uuzMyMA6sN71nr4H3nE2IiyMsPAxMk/z8/WRkZPjaUFoLpcFBbEwM4RHhYJoUFhaSkbHb145DgggDiG7enMiICACKiotIT0/zrit9v5WWCkB0dDMiIyIBE4fDUdr2kHeOr3STyKhIIiOjMDBxOp2kpR38DDZNj28D0/T+vxwZGYmJicvlIt3X1vSVa3jnCA0N9X2uetzuMp/tZunPwCz99w4ODiEyKgpMDx6Pye7d6d4fQZlivVNBgYFERkX6XnvG7t2YJr6f/yEbEhAQ4NsveP8vMM0DtR768zDx8/cnqrRegOzsPXh8f2wwy9TiZ/cr/bfw7isnJwe3x+2r82At3vdgZGSk798zNzfP19Y87N/PZrMRER7h23r//v243e5D/n0PqdkwiIiI8C3LLyjAXfp7z6E/X++/iVH6/6F3SUFhIS7XoZ+Vpa+udF/h4eG+7YuLinC5Dqnh0CmT0v+TvcscDgeuEhcmUFhc8e9Vh2s0oZiIiIiISEPl9pik5xaRllPEuh3ZrN1ewJ5CN9lF7rJhl8OD05NRjT2X/VJgA8ICDIL9bQT6GQTaDQLs3ueo8BCiwkIICrBjM90U5uWUaxPoZxBgh5ZxLUiMiyHI347pcrBr2xZvT6TSEOTQcOPQ4LyoqKjKwbnD4Th6cJ6UBKZJibOYFcuXgenxBgWmG8M0S+c9REdFktSqJXjcuN0uVq9aUWY9pgfD9D5HhIXSqmUieNxgeli3dg1wcL1hejBLH0GBgcTGxuLxuPG43Wzbtg2P2+Vd7/Fgmm5Mb2qH3c9OVGQEpseDx+Mme09WaVuz9Fimt73pDZBCQ4LxmCamx01+fn7p/jyl7UqDgtLnwAB/ML09JJwOh6+dd/2BcMPEME3sdsO3zu0u/Tnh8a0/8Gzg3bdhekovI/X4QiLbYc8GJraSCpaVmS7dDx6M3AOhzyHLAKP0GQ4GUsauQ6a9L7p8mwPTGw5tcyDiLNvOZpR+oa787yzlLapG2wXVaDv/4GSramwWC7SvRts21dh3SjXatq5G2+Ra2m+7ozfx6XD0Jj5djt7Ep2s12lomrRptq/NfTOV/mypvbzXa5lSjbSV/o8tzVK33smEeqR9aA5CXl0dkZCR79+71ppSHUXfF2m9b37oeq0uzujSrS/NB+oyou7YN4X2vz4jybUGfEVa3rW/v5dpoa5om2fkOdu0rJC23mHTfo4i0HO905n5HtS4/9LMZNAu20zzYRnSQQfMgiAo2aBZo0CzIICrAICIQIgMhMgDC/SEswCQIF4bpBo8LPC4Mj8sbnHi8y73r3JgeF6a7pLSdx9ee0vWGx1UaErkx3S5MT4k32PEcsm/z4LxRegzzwH587dxl9nvodmXalgZS3lrd3vYHgiyReuxglHhonMfBuNA8ZBrgsGfzsO0PRn0ctvzQ7Xx9yMptf/h+vW0OKFtf2XVl48iDyw5fV3a6/P4qaGeUb1dRLQfLNEp7eB2lrjKX+B7cpuz+DDC8y8rvr/zxyrQzyh+Xw35uhmH4tinzCW+U/Xn49l2ulrJ1HjzGwbaH821/6OuvsNby9ZTbrtwrK7sv4+BGh7zoslsZhywzOPTndnhz45B2xmH7NcvVZRyyU6O0rbfHnndZflEJJ971Cbm5uRVmRb79NJZQ7GgvVERERETkiDwecDvBVVz67Djk2QEu58FnV7Fv2uNysDdvPzn7C9hfUERhUREFRcUUFRdTXFyMw+nA8Ljww42/4cYfF3648MeNX+nDv3RdiN1NsN1DkM1DgM1DgOFdbzdd2MwSbAeCLE+JAqHqMGylD7v32WY/ZNmh8wfWV9T20HWV7Ktc2yMcx2b3fpM7tC3GwWfDVrq+dNq3zFbJMqrY7sA3yKq0sx328ztaLUYV21X02g6b9h74kFqNsuuO2qYq+zm0DTW0nwOvpZLwQUTqRFWzIl0+KSIiIiLWMk1vyFRS5H24iqGkEEqKwVV0SBjlqCCgchwWYBUfYZ3jsP0cts5Tckzl24CY0kelDWyVrTz8ZwFUPBRdFYvxB7t/6bPfwXnfMn+w+Xkfdv+D4YzNr+yzYT/YzubnDYIOTFe4TUXbHdLOqOAYvv3ZDtvG77DtSvdrGBWESraK15ULpBRQiIhIeQrFRERERKQ80/SGRb6gqujgdGXLqtXmQPBVuqwe8tj8KTECcOJPselHoduOw/TDiT9OvM8O0x8n/rgMfwIDgwgIDCQwMIDAwGBCggIJCQ4mLDiI0OBg7P4HgqmAg+FUuQAroGyYVeV1pftT+CMiIlJlCsVEREREGjq3C0oKwHngke99duQfnC6zLr9suwMPx37v84GgyorL82z+4B/sffgFeZ/tAeAXCPZA8AsofS59VLju8DYVr/PYAthdaLI1x8XGvSWsz3ayLsvJ2j0O8kpKL4k6TKCfjXaxYXSMC6NDXDgd48LpGBdGq2Yh2G0KpERERBoShWIiIiIiVvB4vKGUIw+K8w57zi07fyDAcuSXD76c+d5LBmuTYQP/kNKgqjSw8g/yLvMLOriu3LKgKmx3WBt77fx66vGYbMrKZ8HWfSzZvo/1u/ezIXMvhc6KbohgI6A0/OrQomwAlhyt8EtERKSxUCgmIiIiUl0ejzescuR5e1eVCbVyKwi5Knmmhu93ZNghMAwCDjxCSx+HTQceYV1AaGl4dUhwZfdvcJflFZe4WbErlwVb97Jo6z4WbttHblH5McMC7DbaxoZ6Q68WB8KvMJKjQ/CzV3UgMBEREWmIFIqJiIhI0+UugaIcKNoLRfvKPgorWHbg4ciruRrsARAYAUERhz1Hep8Dw72PwwMs37JDltsDGlx4VVP2FjhZtG0fC7fuZeG2fazYmYvTXfbyzyB/G6lJzeiX0oyuCRF0iAsnpbnCLxERkaZKoZiIiIg0fKbpvYywIAsK9ngfFQVdvrArxzvt3H98x7UHVhBmRUBgZCXLK1jvH1QjP4KmxDRNtmYXegOwrftYuG0vm7IKyrWLCQvkhJRm9G3djBNSoumaGIG/AjAREREppVBMRERE6qeSYijcc0jQlVU29Dp0vnDPcYyrZXh7ZQU3O/gIiS47H9wMgg8si4KgKG+o5RdYgy9YKuN0eViVlsuibfu8l0Nu28eefGe5du1bhJWGYNGckNKM5OgQjCbac05ERESOTqGYiIiI1A3T9PbO2p9+WNBVSfB1LL24/EMgNAZCYkqDrQrCrcMDr6BIsNlr/vXKMTNNk9Xpefy0OpO5m/awbGcOxSVlL4UMsNvo2SqSfinR9Gvt7Q3WLDTAoopFRESkIVIoJiIiIsfH44HCbMjPgP27vaFXmendsD/D++wu37vniGz+3pArNAZCYw95lAZfh86HxnjH1ZIGqcTtYf7mvcxcncFPazLZlVNUZn2zEH/6to6mX0oz+rVuRveWkQT5K8wUERGRY6dQTERERCrnckBeGuTt8j7n7iydTzsYeOXvBo+r6vsMjoawuArCrgqCrqDIJjtwfFOQW1TC7PVZzFy9m1nrMtlffPA8CvK3MaRDLCM6taB/m2jaxYbqUkgRERGpUQrFREREmipf4HUg9NoFuaXhV15p+FWQVfX9hcRAeAKEx0FYPIQf8giLL10ep3G4mrid+wr5afVuflqTyZ+bs3F5TN+6mLBARnZpwcgucQxuH0NwgHqCiYiISO1RKCYiItJYlRRD7g7I2QY528s/8ndXbT9+QRCRCBEtvY/Ilt7wKyLxYNgV2gL8NJ6TlGeaJit35TFzzW5mrt7NmvS8Muvbtwjj1K5xjOwSR2pSFDabeoOJiIhI3VAoJiIi0lDVROhVUeAVkQgRrQ4uD4nWJYxSLQ6Xmz837y3tEbab9NyDdwa1GdAvJZpTu8QxsmscbWI0DpyIiIhYQ6GYiIhIfebIh31bYO/mQx6l83m7jr59QBhEtYao5PKPyCQFXlJjcgtL+HVdJjNX72b2+izyHQfHBwsJsDO0Qywju8ZxcucWROsukSIiIlIPKBQTERGxWnFuxaHX3s1H7+3lHwrNKgm9olpDcDOFXlJrnC4Pv6zN5H+LdjJrXWaZ8cFiwwMZ2SWOUV3jGNiuue4UKSIiIvWOQjEREZG64HF7L3PMWg971sOedbBnA2RvhMLsI28bHA3RbSt4tIGQ5gq9pE6ZpsmqtDz+t2gnXy7dxb7CEt+6TnHhjOzaglO7xtOzZaTGBxMREZF6TaGYiIhITXIWQvYGb+CVta40ACsNv9yOyrcLbVE+8DrwHNys7uoXqcSefAdfLNnF/xbtZG3Gft/yFuGBnNunJX/r04oOceEWVigiIiJSPQrFREREjoVjP2SugczVB8OvrPWQu73ybeyBENOh9NHp4HR0WwhUmCD1T2WXRwbYbZzaLY6/9W3FkPYx+NltFlcqIiIiUn0KxURERI7E7fKO7bV7pTcA273aO52zrfJtgpt5Q6/YjhDT8WAAFpUMNo2rJPXbkS6P7JUUxd/6tuKsnglEhWiwfBEREWnYFIqJiIgckJ/pDbx2r4bdqyBzFWSurfyyx7B4iOsKsV3KBmChzeu2bpEaUOR08/mSXbzz5zbWpOf5luvySBEREWms6iwUe/zxx7n33nu57bbbeP755wHvXyIfeughXn/9dfbt28eAAQP4v//7P7p161ZXZYmISFPk8Xh7f6UvhbQlkLHCG4IV7qm4vX8ItOgCcd2gRTdvENaim8IvaRR27ivknXnb+HDBDnKLvL3CdHmkiIiINAV1EootWLCA119/nZ49e5ZZ/uSTT/Lss88ybdo0OnbsyKOPPsqpp57KunXrCA/XXyJFRKQGHB6ApS2FjOXgyKugsQHN20GLrhDXvTT86grN2oBNoYA0HqZp8teWvUz9YyszVmdQOlQYydEhXDkohfP7tNTlkSIiItLo1Xoolp+fz2WXXcZ///tfHn30Ud9y0zR5/vnnue+++zjvvPMAmD59OnFxcbz//vtcf/31tV2aiIg0Nh4P7N3kDb7Slx45APML8gZfib0hoZd3OrYzBITUbc0idai4xM1Xy9KY9sdWVh9yieTg9s25alAbRnRugd1mWFihiIiISN2p9VDs5ptvZsyYMYwcObJMKLZlyxYyMjIYNWqUb1lgYCDDhg1j7ty5lYZiDocDh+Pg2C55eRX9pV9ERJqE/RmwYz7s+MvbCyx9OTj3l2/nFwTxPSChd2kI1htiO4Hdv44LFrHG7rxi3pm3jff/2s7eAicAQf42zk1txfhBKXSKVw99ERERaXpqNRT78MMPWbx4MQsWLCi3LiMjA4C4uLgyy+Pi4ti2rfI7ej3++OM89NBDNVuoiIjUf+4S79hfOxd4Q7Adf0Hu9vLtKgzAOoNd95aRpmfx9n1M/WMr369Ix1V6jWRiZBBXDErhon5JNAvVJZIiIiLSdNXaN4QdO3Zw2223MWPGDIKCgiptZxhlu+ibpllu2aEmTZrEHXfc4ZvPy8sjKSnp+AsWEZH6pWBPafg13xuE7VoMrqKybQybd8yvpP7Qsp83BIvppABMmjSPx2Tmmt28PGsTy3bk+Jb3T4lm/OAURnWN08D5IiIiItRiKLZo0SIyMzPp27evb5nb7ea3337jpZdeYt26dYC3x1hCQoKvTWZmZrneY4cKDAwkMDCwtsoWEREreDyQuar0UsgFsPMv7+D4hwuKhFb9vSFYUn9o2RcCddmXCHjDsB9WZfDCzxtYm+G9jDjAbuPs3omMH5RC95aRFlcoIiIiUr/UWih2yimnsGLFijLLrrrqKjp37sw///lP2rZtS3x8PDNnziQ1NRUAp9PJ7NmzeeKJJ2qrLBERqQ8OhGBb58CW32HbH1CcU75dbGdodQIkDfCGYM076C6QIodxe0y+WZ7GS79sZENmPgBhgX5cOag1Vw1uQ0yY/pgoIiIiUpFaC8XCw8Pp3r17mWWhoaE0b97ct3zixIlMmTKFDh060KFDB6ZMmUJISAiXXnppbZUlIiJW8Hgga01pCPabNwQr2le2TUAYtOrnDcBa9YdWfSG4mTX1ijQALreHr5al8dKvG9mcVQBAeJAfEwa34arBKUSFaLwwERERkSOxdNCVu+++m6KiIm666Sb27dvHgAEDmDFjBuHhuhRGRKRBM03IWuvtBba1tCdYYXbZNv6h0HogpJwEKUMhoZfGAhOpghK3h8+X7OL/ft3ItuxCACKD/bnmpDZcOTiFiCDdVVVERESkKgzTNE2rizgeeXl5REZGkpubS0REhNXliIg0TaYJ2Ztg86/e3mBb50DhnrJt/EMg+cSDIVhib7Dry7tIVTldHv63aCcvz9rIzn3em05EhwZw7ZC2jBvYmrBAhcoiIiIiUPWsSL89iYjIsXHke3uBbZgJG3+CnG1l1/sFQ/IASBnifSSmgp8u5xKpruISN58s3MErszaRllsMQExYINcPbctlJyYTEqBf50RERESOhX6LEhGRqjlwSeSBEGz7PHA7D663B5T2BBsKbYZAYh+FYCLHweX28MminTz/03p25zkAiIsI5Pqh7bikfzLBAXaLKxQRERFp2BSKiYhI5YrzYPMsbwi28WfI21l2fVRr6HAqtD/Ve1lkYJglZYo0JqZpMmtdFo9/v4b1u713k0yMDOLG4e24oF8SQf4Kw0RERERqgkIxERE5yDQhY0VpCPYT7JgPHtfB9X5B3ksh24/0Ppq3A8Owrl6RRmblrlymfLeGuZu8N6aICvHnlpM7cPmJyQT6KQwTERERqUkKxUREmjp3iXdssDVfw9rvID+j7PrmHbwBWIeR0How+AdbU6dII7Yrp4inf1zH50t2ARBgt3HV4BRuGt6eyBDdkEJERESkNigUExFpipyFsOlnbxC2/gcozj24zj8U2gz1hmDtR0KzFMvKFGns8opLePnXTbz1xxacLg8AY3sncteoTiRFh1hcnYiIiEjjplBMRKSpKNoH63/0BmEbfwZX0cF1oS2g8xnQ5Szv5ZF+gdbVKdIEOF0e3pu/jRd+3sC+whIATmwbzb1ndKFnqyhrixMRERFpIhSKiYg0ZvszYO033iBs65yy44NFtfaGYF3OglYngE3jFYnUNtM0+WFlBk/8sJat2YUAtG8RxqTTO3Ny5xYYGqNPREREpM4oFBMRaWyyN5UGYd/Azr/KrmvRDbqc6Q3C4rprkHyROrRo2z6mfLeGRdv2ARATFsjtp3bgon5J+NltFlcnIiIi0vQoFBMRaQzy0mHlp7DiY0hfVnZdqxO8IVjnM713ixSROrUn38GU79bw2WLvIPrB/nauHdqW64a2JSxQv4qJiIiIWEW/iYmINFTFed4eYcs/gi2/gekdpBvDDm2GeEOwzmMgItHaOkWaKI/H5P2/tvPkD2vJK3ZhGHBB31bcOaoTcRFBVpcnIiIi0uQpFBMRaUhcTu9dI5d/DOu+A1fxwXVJA6DnhdD1XAhtbl2NIsLKXbnc98VKlu3IAaBbYgSPntOd1ORm1hYmIiIiIj4KxURE6jvThB3zvUHYqs+haO/BdTEdvUFYjwugWYplJYqIV15xCc/OWM/b87biMSE80I87R3Xk8hNba9wwERERkXpGoZiISH2Vtd57aeSKTyBn28HlYXHQ/W/eMCyhlwbLF6kHTNPk6+XpPPLNarL2OwA4u1ci/xrThRa6VFJERESkXlIoJiJSnxTu9QZhyz6E9KUHlweEQZezoecF0GYY2OyWlSgiZW3OyueBL1cxZ+MeANrGhPLw2O6c1CHG4spERERE5EgUiomIWM00Yfs8WDQNVn0Bbm8vE2x+0H6kt0dYx9MhIMTKKkXkMMUlbl7+dSOvzt6M0+0hwM/G30e05/phbQn0U3AtIiIiUt8pFBMRsUrRPm+PsEXTIGvtweXxPaHPFdDtPA2YL1JP/boukwe/XMX2vYUADOsYy8Nju9G6eajFlYmIiIhIVSkUExGpSwcGzV84FVZ/cfDukf6h0ON86HsVJKZqnDCReipzfzEPfrmK71dmABAfEcSDZ3XltO7xGHrfioiIiDQoCsVEROpCUY53rLBF0yBz9cHlcT2g33jocSEERVhUnIgcjWmafLUsjQe/WkVOYQl2m8GEwSncNrIjYYH6dUpERESkIdJvcSIitcU0YecCb6+wVZ+Dq8i73D8Eup8HfSdAyz7qFSZSz2Xtd/CvL1bw46rdAHRLjOCpv/Wia6KCbBEREZGGTKGYiEhNc+z3jhW2cCpkrjq4vEU36HeVd+D8oEjr6hORKvtmeRr3f7GSfYUl+NkMbj2lAzcOb4e/3WZ1aSIiIiJynBSKiYjUlNxdMP9VWDQdHLneZX7Bpb3CroJW/dQrTKSByM538MCXq/h2RToAXRIiePqCnnRLVKAtIiIi0lgoFBMROV7py2HeS7DyU/C4vMuad4D+10LPiyA4ytLyRKR6vl+Rzr++WEl2gRO7zeDmEe35+4j2BPipd5iIiIhIY6JQTETkWJgmbPwZ5r4AW2YfXJ4yBAb+HTqMApu+QIs0JPsKnDz41Sq+WpYGQOf4cJ6+oBfdW6p3mIiIiEhjpFBMRKQ6XA5Y8QnMfQmy1niXGXbodi4M+jskplpbn4gckxmrMrj385XsyXdgtxncOKwdt5zSnkA/u9WliYiIiEgtUSgmIlIVhXth4Vvw1+uQ770DHQHh0PdKGHA9RCVbW5+IHJOcQicPfb2az5fsAqBDizCevqAXvZKirC1MRERERGqdQjERkSPZuxn+fAWWvAslhd5l4Ylw4o3eQEx3kRRpsH5es5tJn60gc78DmwHXD2vHbad0IMhfvcNEREREmgKFYiIiFdm5CP54HtZ8DZjeZfE9YNCt3ksl7f5WVicix6HI6eaRb1fz/vztALSLDeXpC3qRmtzM4spEREREpC4pFBMROdSuxTDrcdgw4+Cy9qfCoFugzVAwDOtqE5Hjtjotj1s/XMLGzHwArh3ShjtHdVLvMBEREZEmSKGYiAhA+jL49XFY/7133rBDr4u9YViLLtbWJiLHzTRNpv6xlX9/vxan20OL8ECevbA3J3WIsbo0EREREbGIQjERadoyVnp7hq39xjtv2KDnxTD0LmjeztraRKRGZO138I//LWPWuiwARnaJ48m/9SQ6NMDiykRERETESgrFRKRpylwDs/4Nq78oXWBAjwtg2D8hpr2VlYlIDZq1LpO7PlnGnnwngX42/nVmVy4fkIyhS6FFREREmjyFYiLStGSth9n/hpWf4R1A34Du53nDsNhOVlcnIjXE4XLzxPfreOuPLQB0jg/nhUtS6RgXbnFlIiIiIlJfKBQTkaYhexPMfgJWfAKmx7us61gYdg/EdbW2NhGpUZuy8vn7+0tYk54HwPhBKdxzemcNpi8iIiIiZSgUE5HGbe9mmP0ULP/wYBjW+UwYfg/E97C2NhGpcV8u3cWkz1ZQ6HQTHRrA0xf05OTOcVaXJSIiIiL1kEIxEWmc8tLg1ymw9H0w3d5lHU/zhmGJqdbWJiI1rrjEzUNfr+aDv7YDMLBtc/5zcW9aRARZXJmIiIiI1FcKxUSkcXEWwtwX4Y/noaTQu6z9qTB8ErTqa2lpIlI7tu4p4Kb3FrM6PQ/DgFtO7sBtp3TAbtNg+iIiIiJSOYViItI4mCas+B/89CDk7fIuSzoRRj0CSf2trU1Eas23y9P556fLyXe4aB4awPMX92ZIh1iryxIRERGRBkChmIg0fDsWwI+TYOcC73xkMpz6EHQ7Fwz1FBFpjBwuN1O+XcP0edsA6J8SzQuXpBIfqcslRURERKRqFIqJSMOVuxN+muy9oySAfygMuQMG3gz+wZaWJiK1Z3t2IX//YDHLd+YCcOPwdtx5akf87DaLKxMRERGRhkShmIg0PM4C+OM/8McL4CoCDEi9DE6+H8Ljra5ORGrRjFUZ3PnJMvYXu4gK8ee5C3szonMLq8sSERERkQZIoZiINBweDyz/CH5+CPane5e1Hgyjp0Bib0tLE5Ha5fGYPP/Tel74ZSMAfZKjePHSPrSMUq9QERERETk2CsVEpGHY/if8cA+kLfHOR7X2DqLf5WyNGybSyOUWlXD7R0v5ZW0mAOMHpXDfmC7463JJERERETkOCsVEpH7bt817R8lVn3vnA8Jh6F0w4Abw14DaIo3dht37ue6dRWzZU0CAn43Hz+3B+X1bWV2WiIiIiDQCCsVEpH5yOeD3Z2HOc+B2AAb0uQJO/heEafwgkabgx1UZ3PHRUgqcbhIjg3htXD96tIq0uiwRERERaSRq9bqDxx9/nBNOOIHw8HBatGjBOeecw7p168q0MU2TyZMnk5iYSHBwMMOHD2fVqlW1WZaI1Hfb/4RXT4LZ//YGYilD4Prf4OwXFIiJNAEej8mzM9Zx/TuLKHC6GdAmmq9uOUmBmIiIiIjUqFoNxWbPns3NN9/Mn3/+ycyZM3G5XIwaNYqCggJfmyeffJJnn32Wl156iQULFhAfH8+pp57K/v37a7M0EamPivPgmzvgrdGwZz2EtoC/TYUrv4aEnlZXJyJ1ILeohGveXugbUH/8oBTevWYAMWGBFlcmIiIiIo2NYZqmWVcHy8rKokWLFsyePZuhQ4dimiaJiYlMnDiRf/7znwA4HA7i4uJ44oknuP7664+6z7y8PCIjI8nNzSUiIqK2X4KI1Ja138G3d8L+NO986jjvQPrBzaytS0TqjMYPExEREZGaUNWsqE7HFMvNzQUgOjoagC1btpCRkcGoUaN8bQIDAxk2bBhz586tMBRzOBw4HA7ffF5eXi1XLSK1av9u+P5uWP2Fd75ZGzjrP9B2mKVliUjd0vhhIiIiIlLX6iwUM02TO+64g5NOOonu3bsDkJGRAUBcXFyZtnFxcWzbtq3C/Tz++OM89NBDtVusiNQ+04Ql78KM+6A4Fww7DLoFht8D/sFWVycidcTjMXn+p/W+yyUHtInm/y7ro8slRURERKTW1Vko9ve//53ly5czZ86ccusMwygzb5pmuWUHTJo0iTvuuMM3n5eXR1JSUs0WKyK1K3sTfDMRtvzmnU/oBWe/6H0WkSYjt6iE2z9ayi9rMwHv+GH3jemCv71WhzwVEREREQHqKBS75ZZb+Oqrr/jtt99o1erg2CDx8fGAt8dYQkKCb3lmZma53mMHBAYGEhiovx6LNEhuF8x7CWY9Dq5i8AuGEffCiTeBvU6v5hYRi2n8MBERERGxWq1+CzVNk1tuuYXPP/+cWbNm0aZNmzLr27RpQ3x8PDNnziQ1NRUAp9PJ7NmzeeKJJ2qzNBGpa2lL4atbIGO5d77NMDjreYhua2VVImIBjR8mIiIiIvVBrYZiN998M++//z5ffvkl4eHhvjHEIiMjCQ4OxjAMJk6cyJQpU+jQoQMdOnRgypQphISEcOmll9ZmaSJSV5yFMGsKzPs/MD0QFAWjp0DvS6GSy6RFpHEyTZOXZ23iqR/XARo/TERERESsVauh2CuvvALA8OHDyyyfOnUq48ePB+Duu++mqKiIm266iX379jFgwABmzJhBeHh4bZYmInVhxwL47FrYt8U73/18OO3fENbC2rpEpM45XG7u/Wwlny7eCWj8MBERERGxnmGapml1EccjLy+PyMhIcnNziYiIsLocEQHwuOH3Z71jh5luiGgJY56FTqdZXZmIWGBfgZPr313EX1v2YrcZTD6rK+MGplhdloiIiIg0UlXNijSytYjUrNyd8Nl1sO0P73z38+HM5yBI4wWJNEWbs/KZMG0BW7MLCQ/046XL+jCsY6zVZYmIiIiIKBQTkRq06gv4+lYozoWAMDjjaeh1scYOE2mi5m3K5oZ3F5FbVELLqGCmXnUCHeM0PIKIiIiI1A8KxUTk+DkL4Id7YPHb3vnEPnD+G9C8nbV1iYhlPl64g3s/W4HLY5KaHMXr4/oRG64B9UVERESk/lAoJiLHJ20pfHo1ZG8EDDjpdhhxL9j9ra5MRCzg8Zg8NWMdr8zaBMCZPRN4+oJeBPnbLa5MRERERKQshWIicmw8Hvjz/+Cnh8BTAuGJcN5r0Gao1ZWJiEWKnG7u+Hgp36/MAODWk9szcWRHbDZdQi0iIiIi9Y9CMRGpvv274YsbYNMv3vnOZ8LZL0JItLV1iYhlMvOKuebthSzfmUuA3ca/z+/BeX1aWV2WiIiIiEilFIqJSPWs/xG+uAkK94BfMJz2OPQdr8H0RZqw1Wl5XDN9AWm5xTQL8ef1K/pxQopCchERERGp3xSKiUjVlBTDzAfgr9e883E94G9vQmwna+sSEUv9snY3t7y/hAKnm7axoUwdfwKtm4daXZaIiIiIyFEpFBORo8tcA/+7GjJXeedPvAlOeRD8g6ytS0QsY5om0+Zu5ZFvVuMxYVC75rxyWV8iQ3STDRERERFpGBSKiciRLZoO398NrmIIjYVzXoEOp1pdlYhYyOX28NDXq3nnz20AXHxCEo+c0x1/u83iykREREREqk6hmIhUrKQYvv8HLH7bO99+pDcQC2thbV0iYqlCp4ub31vMr+uyMAyYdHpnrh3SFkPjCoqIiIhIA6NQTETKy90JH42DtMWAAafcD4NvB5t6gYg0ZXvyHVw9bQHLduYS5G/j+YtSOa17vNVliYiIiIgcE4ViIlLW5tnwv6ugMBuCm8H5b0L7U6yuSkQstnVPAVdO/Ytt2YU0C/HnzfEn0Ce5mdVliYiIiIgcM4ViIuJlmjD3RfjpQTA9EN8TLnoXmrW2ujIRsdiyHTlMmLaA7AInSdHBTL+qP21jw6wuS0RERETkuCgUExFw7Icv/w6rv/DO97oUznwW/IMtLUtErPfr2kxuem8xRSVuureM4K3xJ9AiXHeeFREREZGGT6GYSFO3ZwN8eBnsWQc2fzj939DvatCg2SJN3scLdjDp8xW4PSZDO8by8mV9CAvUrw4iIiIi0jjoN1uRpmzDTPjfBHDkQVg8XPg2JA+wuioRsZhpmrzw80ae+2k9AOf1ackT5/fE366bbYiIiIhI46FQTKQpMk2Y/xr8OMk7fljyQLhgOoTHWV2ZiFjM5fZw/5cr+eCvHQDcPKIdd43qhKHeoyIiIiLSyCgUE2lq3CXw/d2w8C3vfOrlMOY58Auwti4RsVyR080tHyzmpzWZ2Ax4aGx3xp2om22IiIiISOOkUEykKSnaBx9fCVtmAwac+hAMulXjh4kI2fkOrp6+kKU7cgj0s/HCJamM7hZvdVkiIiIiIrVGoZhIU5G9Cd6/CLI3gH8onP8GdD7D6qpEpB7Ynl3IlVP/YsueAqJC/Hnzyn70bR1tdVkiIiIiIrVKoZhIU7Dld/jocijOgYhWcMkHkNDT6qpEpB5YsTOXq6b9xZ58Jy2jgpk+oT/tW4RZXZaIiIiISK1TKCbS2C2aDt/eAR4XtOwLF3+gAfVFBIDZ67O48d1FFDrddE2IYNpVJ9AiIsjqskRERERE6oRCMZHGyuOBnyfDH//xznc7D855GfyDLS1LROqH/y3ayT2fLsflMTmpfQyvXN6H8CB/q8sSEREREakzCsVEGiOXE768GVZ87J0fdg8Mv0cD6osIAK//tokp360F4JzeiTz5t14E+NksrkpERKThME0Tl8uF2+22uhSRJslut+Pn54dxnN9xFYqJNDaO/d7xwzbPApsfnP0S9L7E6qpEpB4wTZN//7CW12ZvBuC6oW2557TO2GwKzEVERKrK6XSSnp5OYWGh1aWINGkhISEkJCQQEBBwzPtQKCbSmOzfDe9fAOnLvHeYvPBt6DDS6qpEpB5wuT3c9/lKPlq4A4BJp3fm+mHtLK5KRESkYfF4PGzZsgW73U5iYiIBAQHH3VNFRKrHNE2cTidZWVls2bKFDh06YLMd21UPCsVEGovsTfDOuZCzDUJi4LJPoGUfq6sSkXqguMTNbR8u4cdVu7EZ8O/zenLhCUlWlyUiItLgOJ1OPB4PSUlJhISEWF2OSJMVHByMv78/27Ztw+l0EhR0bDeLUigm0hjsXOTtIVaYDc3awOWfQnP1ABER2F9cwnVvL2Le5mwC/Gy8eEkqo7vFW12WiIhIg3asvVJEpObUxPtQoZhIQ7dhJnx8BZQUQkJvbw+xsBZWVyUi9cCefAfjp/7Fyl15hAX68foVfRnULsbqskRERERE6gWFYiIN2ZL34KtbwHRDu1O8Y4gFhlldlYjUAzv3FXLFm3+xeU8BzUMDmD6hP91bRlpdloiIiIhIvaE+nyIN1dyX4MubvIFYz4vh0o8UiIkIABt27+dvr8xj854CWkYF88kNAxWIiYiISKWGDx/OxIkTffMpKSk8//zzltVTEcMw+OKLL6wuo0b88ccf9OjRA39/f8455xzL6jj8370pUigm0tCYJsx+Embc550fdCuc+yrY/a2tS0TqhSXb93HBa/PIyCumQ4swPr1xEG1jFZiLiIg0dePHj8cwjHKPjRs38tlnn/HII49Uum1jCqSO15o1azj77LOJjIwkPDycE088ke3bt1drH3fccQe9e/dmy5YtTJs2rdo1pKenc+mll9KpUydsNlulwdann35K165dCQwMpGvXrnz++efVOs7kyZPp3bt3ueVbt27FMAyWLl0KeMO1is6tA4/Zs2dX8xXWHYViIg2JacJPk+HXx7zzJ/8LRj0Cug20iAC/rc/isjfmk1NYQmpyFJ/cMJD4yGO7E4+IiIg0Pqeddhrp6ellHm3atCE6Oprw8PBaP35JSUmtH6M2bdq0iZNOOonOnTsza9Ysli1bxv3331/tOx9u2rSJk08+mVatWhEVFVXtOhwOB7Gxsdx333306tWrwjbz5s3joosuYty4cSxbtoxx48Zx4YUXMn/+/Gof72g+++yzcufVtm3b6N69O/369WPAgAE1fsyaolBMpKHweOD7u+GP573zox+Hof+wtCQRqT++XpbG1dMXUOh0M7RjLO9dM4CokACryxIREWn0TNOk0Omq84dpmtWuNTAwkPj4+DIPu91+xMvoUlJSADj33HMxDMM3D/D111/Tt29fgoKCaNu2LQ899BAul8u33jAMXn31VcaOHUtoaCiPPvpolbbbsGEDQ4cOJSgoiK5duzJz5sxqv9Zp06YRFRXFjz/+SJcuXQgLC/OFgsfqvvvu44wzzuDJJ58kNTWVtm3bMmbMGFq0qNqNzg70sMrOzmbChAkYhnFMPcVSUlL4z3/+wxVXXEFkZMVDZDz//POceuqpTJo0ic6dOzNp0iROOeWUI14W+8MPPxAZGcnbb79drXqio6PLnVePPPIIWVlZfP7559UODeuSBtoXaQg8bvj6VljyLmDAmc9Bv6usrkpE6ol3/tzGA1+uxDThzJ4JPHthbwL89HcvERGRulBU4qbrAz/W+XFXPzyakIDa/0q/YMECWrRowdSpUznttNOw2+0A/Pjjj1x++eW88MILDBkyhE2bNnHdddcB8OCDD/q2f/DBB3n88cd57rnnsNvtR93O4/Fw3nnnERMTw59//kleXt4xj3tVWFjI008/zTvvvIPNZuPyyy/nrrvu4r333gPgvffe4/rrrz/iPl577TUuu+wyPB4P3377LXfffTejR49myZIltGnThkmTJlV5XLCkpCTS09Pp1KkTDz/8MBdddJEv1AoLO/JwF0OGDOH777+v0nHA21Ps9ttvL7Ns9OjRlYZiH374Iddddx3vvPMOY8eOrfJxKvLyyy/z9ttv8+uvv9KqVavj2ldtUygmUt+5S+Dz62Hlp2DY4JxXoddFVlclIvWAaZq8+MtGnp25HoBxJ7Zm8tndsNt0SbWIiIiU980335QJX04//XQ++eSTI24TGxsLQFRUFPHx8b7ljz32GPfccw9XXnklAG3btuWRRx7h7rvvLhOKXXrppUyYMME3P27cuCNu99NPP7FmzRq2bt3qC1SmTJnC6aefXu3XW1JSwquvvkq7du0A+Pvf/87DDz/sW3/22Wcf9dK+uLg4ADIzM8nPz+ff//43jz76KE888QQ//PAD5513Hr/++ivDhg07aj12u534+HgMwyAyMrLMz/PA+FyVCQ4OPur+D5WRkeGr/dDXkpGRUa7tyy+/zL333suXX37JiBEjyqxbsWJFucDuSL0Uf/vtNyZOnMjLL7/MoEGDqlWzFRSKidRnLgd8chWs+xZs/vC3N6Hr8aX2ItI4eDwmD3+zmmlztwJw6ykduH1kBwyNMSgiIlKngv3trH54tCXHra4RI0bwyiuv+OZDQ0OP+fiLFi1iwYIFPPbYY75lbreb4uJiCgsLCQkJAaBfv37V2m7NmjUkJyeX6WE0cODAY6oxJCTEF4gBJCQkkJmZ6ZsPDw+v8lhqHo8HgLFjx/p6YPXu3Zu5c+fy6quvVikUO5L27dsf1/YVOfz3QtM0yy379NNP2b17N3PmzKF///7l9tGpUye++uqrMst27drF8OHDy7Xdvn07f/vb37juuuu45pprjv8F1AGFYiL1lbMQProMNv0C9kC46F3oOMrqqkSkHnC5Pdz9v+V8tmQXAJPP6sr4wW0srkpERKRpMgyjTi5jrAmhoaE1Fr54PB4eeughzjvvvHLrDh1D6vDg7WjbVdQL6Vj/6Ofv719uP4fuvzqXT8bExODn50fXrl3LrO/SpQtz5sw5pvoOVdOXT8bHx5frFZaZmVmu91jv3r1ZvHgxU6dO5YQTTij3sw4ICCh3zvj5lT/fi4qKOPfcc+nWrdsRxy2rbxrGO1ekqXEWwHsXwrY54B8Kl3wAbY/vLw8i0jgUl7i55YMlzFy9G7vN4JkLenFOakuryxIREZFGzN/fH7fbXWZZnz59WLduXbVDtqNt17VrV7Zv305aWhqJiYmAd3ys2lCdyycDAgI44YQTWLduXZn169evp3Xr1sddS01fPjlw4EBmzpxZZlyxGTNmlLuksV27djzzzDMMHz4cu93OSy+9VK3jHHDNNdewd+9efvzxxwpDs/qq4VQq0lQ4C+GDi72BWGAEXPY/SK6/t7AVkbpT4HBx7dsLmbspmwA/Gy9f2oeRXeOOvqGIiIjIcUhJSeHnn39m8ODBBAYG0qxZMx544AHOPPNMkpKSuOCCC7DZbCxfvpwVK1b47jJZkaNtN3LkSDp16sQVV1zBM888Q15eHvfdd1+tvK7qXD4J8I9//IOLLrqIoUOHMmLECH744Qe+/vprZs2addy1VDdcPBCi5efnk5WVxdKlSwkICPD1ZLvtttsYOnQoTzzxBGPHjuXLL7/kp59+qrBXW8eOHfn1118ZPnw4fn5+1e7p9dRTT/HJJ5/w9ddf43K5yvVQi4yMrHaoV1d0ayqR+qSk2HvJ5JbfICAMLv9MgZiIAJBT6OSyN+Yzd1M2oQF2pl/VX4GYiIiI1IlnnnmGmTNnkpSURGpqKuC9k+E333zDzJkzOeGEEzjxxBN59tlnj9pr6mjb2Ww2Pv/8cxwOB/379+eaa64pM/7YAcOHD2f8+PE1/lqP5Nxzz+XVV1/lySefpEePHrzxxht8+umnnHTSSb4248ePr3C8rZqWmppKamoqixYt4v333yc1NZUzzjjDt37QoEF8+OGHTJ06lZ49ezJt2jQ++uijSnvGderUiV9++YUPPviAO++8s1q1vPzyy5SUlHDaaaeRkJBQ7vHRRx8d12utTYZ5pNsGNAB5eXlERkaSm5tLRESE1eWIHDuXAz66HDbM8F4yefmn0PrYBpQUkcYlc38xV7z5F2sz9hMV4s/0q/rTKynK6rJERESanOLiYrZs2UKbNm3KjJsldS8lJYXJkyfXeTB2NMOHD2f48OFMnjzZ6lIavSO9H6uaFenySZH6wOWET8Z7AzG/YLjsYwViIgLAjr2FXP7mfLZlF9IiPJB3rh5Ap/iqd/MXERERaWzWrl1LeHg4V1xxhdWllLF//342bdrEN998Y3UpUkX14vLJl19+2Zfs9e3bl99//93qkkTqjrsEPp0A674DvyC49ENIOeno24lIo7cxM58LX5vHtuxCkqKD+eSGgQrEREREpMnr3LkzK1aswGarF5GGT3h4ODt27DjqnSSl/rD8DProo4+YOHEi9913H0uWLGHIkCGcfvrpbN++3erSRGqf2wWfXQdrvgZ7AFz8HrQdbnVVIlIPrNyVy4WvzSM9t5gOLcL45PpBtG4eevQNRURERESkSiwPxZ599lmuvvpqrrnmGrp06cLzzz9PUlISr7zyitWlidQujxu+uBFWfQY2f7jwHWg/0uqqRKQe+GvLXi55/U/2Fjjp2SqSj64fSHykxi0REREREalJloZiTqeTRYsWMWrUqDLLR40axdy5cy2qSqQOeDzw1S2w4mOw+cEF06DTaVZXJSL1wKx1mVzx1nz2O1wMaBPNe9cMIDo0wOqyREREREQaHUsH2t+zZw9ut5u4uLK3lI+LiyMjI6PCbRwOBw6Hwzefl5dXqzWK1DjThO//AUvfA8MO578JXc60uioRqQe+XZ7OxI+WUOI2OblzC16+rA9B/naryxIRERERaZQsv3wSwDCMMvOmaZZbdsDjjz9OZGSk75GUlFQXJYrUnFmPw4I3AAPOex26nWN1RSJSD3y0YDu3fLCYErfJmT0TePXyvgrERERERERqkaWhWExMDHa7vVyvsMzMzHK9xw6YNGkSubm5vseOHTvqolSRmjH/NZj9hHd6zNPQ42/W1iMi9cIbv2/mn5+uwGPCJf2T+c/FqQT41Yu/W4mIiIiINFqW/sYdEBBA3759mTlzZpnlM2fOZNCgQRVuExgYSERERJmHSIOw4n/w/d3e6eH3wgnXWFuPiFjONE2enbGOR79dA8D1Q9sy5dzu2G0V95YWERERqU2GYfDFF1/U+nFSUlJ4/vnna/04FZk2bRpRUVGWHLs2TJ48mbi4uDr7t6vI1q1bMQyDpUuXWnL842H5n6HvuOMO3njjDd566y3WrFnD7bffzvbt27nhhhusLk2k5mz4CT6/3jvd/zoYdre19YiI5Twek4e+Xs0Lv2wE4B+jO3HP6Z0rHT5ARERE5HhkZmZy/fXXk5ycTGBgIPHx8YwePZp58+b52qSnp3P66adbWGXFGluQBXDbbbfRt29fAgMD6d279zHtY82aNTz00EO89tprx/xv99lnnzF69GhiYmIqDbYcDge33HILMTExhIaGcvbZZ7Nz585qHaeyIHTy5MnH/PprgqUD7QNcdNFFZGdn8/DDD5Oenk737t357rvvaN26tdWlidSMHX/Bx+PA44Luf4PTngB96RVp0lxuD//8dAWfLvb+MvHw2G5cMTDF2qJERESkUTv//PMpKSlh+vTptG3blt27d/Pzzz+zd+9eX5v4+HgLK2xaTNNkwoQJzJ8/n+XLlx/TPjZt2gTA2LFjj/kPqwUFBQwePJgLLriAa6+9tsI2EydO5Ouvv+bDDz+kefPm3HnnnZx55pksWrQIu71hj4FreU8xgJtuuomtW7ficDhYtGgRQ4cOtbokkZqRuQbeuwBKCqHdKXDOK2CrF287EbGIw+Xm5vcX8+nindhtBs9e2EuBmIiIiNSqnJwc5syZwxNPPMGIESNo3bo1/fv3Z9KkSYwZM8bX7tBL8A5cEvfxxx8zZMgQgoODOeGEE1i/fj0LFiygX79+hIWFcdppp5GVleXbx/Dhw5k4cWKZ459zzjmMHz++0vqeffZZevToQWhoKElJSdx0003k5+cDMGvWLK666ipyc3MxDAPDMJg8eTIATqeTu+++m5YtWxIaGsqAAQOYNWtWmX1PmzaN5ORkQkJCOPfcc8nOzq72z2/48OHceuut3H333URHRxMfH++r4Vi98MIL3HzzzbRt2/aYtp88eTJnnXUWADab7ZhDsXHjxvHAAw8wcuTICtfn5uby5ptv8swzzzBy5EhSU1N59913WbFiBT/99FOF23g8Hq699lo6duzItm3bqlXPgX/jQx8pKSnVfVlVpm/nIrUlZzu8cx4U50CrE+Cid8AvwOqqRMRChU4X10xfyI+rdhNgt/HyZX04r08rq8sSERGR42Ga4Cyo+4dpVrnEsLAwwsLC+OKLL3A4HNV6eQ8++CD/+te/WLx4MX5+flxyySXcfffd/Oc//+H3339n06ZNPPDAA9X9qZVhs9l44YUXWLlyJdOnT+eXX37h7ru9Q84MGjSI559/noiICNLT00lPT+euu+4C4KqrruKPP/7gww8/ZPny5VxwwQWcdtppbNiwAYD58+czYcIEbrrpJpYuXcqIESN49NFHj6nG6dOnExoayvz583nyySd5+OGHy4yPfvrpp/t+zpU9atJdd93F1KlTAXw/F4D33nvvqHW89957VT7OokWLKCkpYdSoUb5liYmJdO/enblz55Zr73Q6ufDCC1m4cCFz5syp9lWAB15Leno6GzdupH379rXaccryyydFGqX8LHjnXNifBrGd4dKPISDU6qpExEK5RSVcNfUvFm/PISTAzn+v6Mfg9jFWlyUiIiLHq6QQpiTW/XHvTavydww/Pz+mTZvGtddey6uvvkqfPn0YNmwYF198MT179jzitnfddRejR48GvONgXXLJJfz8888MHjwYgKuvvppp06Yd10s5tGdZmzZteOSRR7jxxht5+eWXCQgIIDIyEsMwylzeuWnTJj744AN27txJYmKir9YffviBqVOnMmXKFP7zn/8wevRo7rnnHgA6duzI3Llz+eGHH6pdY8+ePXnwwQcB6NChAy+99BI///wzp556KgBvvPEGRUVFx/ojqLawsDDfOGuH/lzOPvtsBgwYcMRt4+LiqnycjIwMAgICaNasWbl9ZGRklFmWn5/PmDFjKCoqYtasWURGRpZZ/89//pN//etfZZY5nU66du3qmz/wWkzT5PzzzycyMpLXXnutyvVWl0IxkZrmyIf3/gbZGyEyCS7/DEKira5KRCyUtd/BFW/9xZr0PCKC/Jg2oT99kpsdfUMRERGRGnL++eczZswYfv/9d+bNm8cPP/zAk08+yRtvvHHESxsPDc0OhCk9evQosywzM/O4avv111+ZMmUKq1evJi8vD5fLRXFxMQUFBYSGVhz8LV68GNM06dixY5nlDoeD5s2bA96B6M8999wy6wcOHHjModihEhISyrzuli1bVnuftSE8PJzw8PBaP45pmuUu2bzkkkto1aoVP//8MyEhIeW2+cc//lHuXHvhhRf47bffyrW99957mTdvHgsWLCA4OLhGaz+UQjGRmuRxw/8mQPpSCGkO4z6HyPrx4Sgi1tiVU8Tlb8xny54CYsICeefq/nRJiLC6LBEREakp/iHeXltWHLeagoKCOPXUUzn11FN54IEHuOaaa3jwwQePGIr5+/v7pg+EIIcv83g8vnmbzYZ52KWdJSUlle5/27ZtnHHGGdxwww088sgjREdHM2fOHK6++uojbufxeLDb7RUO9n7gUsXD6zgeh75mKP+6Tz/9dH7//fcj7uPAOGm16b333uP6668/YpvXXnuNyy67rEr7i4+Px+l0sm/fvjK9xTIzMxk0aFCZtmeccQbvvvsuf/75JyeffHK5fcXExNC+ffsyy6Kjy3cgeffdd3nuueeYNWsWrVrV7lAjCsVEatIPk2DDj+AXBJd8BDEdrK5IRCy0KSufcW/MJy23mJZRwbx3zQBSYnQptYiISKNiGA12qJSuXbv6BtavKbGxsb7xrQDcbjcrV65kxIgRFbZfuHAhLpeLZ555BlvpTck+/vjjMm0CAgJwu91llqWmpuJ2u8nMzGTIkCEV7rtr1678+eefZZYdPl9T6vryycrU9OWTffv2xd/fn5kzZ3LhhRcC3nG/Vq5cyZNPPlmm7Y033kj37t05++yz+fbbbxk2bFi16583bx7XXHMNr732GieeeGK1t68uhWIiNeXPV+Gv0mudz30Nkk6wth4RsdSqtFyuePMvsguctI0N5d2rB5AYVXtdv0VEREQqk52dzQUXXMCECRPo2bMn4eHhLFy4kCeffJKxY8fW6LFOPvlk7rjjDr799lvatWvHc889R05OTqXt27Vrh8vl4sUXX+Sss87ijz/+4NVXXy3TJiUlhfz8fH7++Wd69epFSEgIHTt25LLLLuOKK67gmWeeITU1lT179vDLL7/Qo0cPzjjjDG699VYGDRrEk08+yTnnnMOMGTOO6dLJqqju5ZMbN24kPz+fjIwMioqKWLp0KeAN8gICjv0GbdW9fHLv3r1s376dtDRvb8d169YB3h5i8fHxREZGcvXVV3PnnXfSvHlzoqOjueuuu+jRo0eFd6y85ZZbcLvdnHnmmXz//fecdNJJVa4lIyODc889l4svvpjRo0f7xiyz2+3ExsZWeT/VobtPitSEtd/BD97BGxn5EHQ7x9JyRMRaC7fu5eLX/yS7wEm3xAg+uX6gAjERERGxTFhYGAMGDOC5555j6NChdO/enfvvv59rr72Wl156qUaPNWHCBK688kquuOIKhg0bRps2bSrtJQbQu3dvnn32WZ544gm6d+/Oe++9x+OPP16mzaBBg7jhhhu46KKLiI2N9fVQmjp1KldccQV33nknnTp14uyzz2b+/PkkJSUBcOKJJ/LGG2/w4osv0rt3b2bMmFFuoPetW7diGAazZs2q0Z/D0VxzzTWkpqby2muvsX79elJTU0lNTfWFU+C9RPN4b2JwNF999RWpqamMGTMGgIsvvpjU1NQyweRzzz3HOeecw4UXXsjgwYMJCQnh66+/LnfZ6gETJ07koYce4owzzqjwDpWVWbt2Lbt372b69OkkJCT4HiecUHsdTgyzJi+ytUBeXh6RkZHk5uYSEaExWsQCaUtg6hneu870uRLO+o+3C7WINEm/rc/i+ncWUVTi5oSUZrw5/gQigvyPvqGIiIjUe8XFxWzZsoU2bdoQFBRkdTlSA2bNmsW5557L5s2by91h0Upbt26lQ4cOrF69mg4dNCxPRY70fqxqVqTLJ0WOR+5OeP9ibyDWdgSMeUaBmEgT9sPKdG79YClOt4dhHWN59fK+BAdU/Bc0EREREbHeDz/8wL333luvAjHw1nXdddcpEKtlCsVEjlVxHrx3IeRnQIuucOF0sKs3iEhT9cnCHfzz0+V4TBjTI4HnLupNgJ9GKRARERGpz/79739bXUKFbrjhBqtLaBIUiokcC7cLPhkPmasgLA4u/RiCIq2uSkQs8tacLTz8zWoALuzXisfP64ndpl6jIiIiIiL1mUIxkeoyTfjuLtj0M/gFwyUfQlSS1VWJiAVM0+SFnzfy3E/rAbj6pDb8a0wXDF1GLSIiIiJS7ykUE6muef8Hi6YCBpz/BrTsY3VFImIB0zR59Ns1vDlnCwB3nNqRW05ur0BMRERERKSBUCgmUh0bf4aZ93unRz0KXc60th4RsYTbY3LvZyv4aOEOAB48qytXDW5jcVUiIiJSV0zTtLoEkSavJt6HCsVEqmrvZvjfBDA90PtyGHiz1RWJiAWcLg+3f7SUb1ekYzPgifN7ckE/XUItIiLSFPj7e2+sVVhYSHBwsMXViDRthYWFwMH35bFQKCZSFY58+PAyKM6Blv1gzDOgS6REmpwip5sb3l3E7PVZ+NsNXrwkldO6J1hdloiIiNQRu91OVFQUmZmZAISEhGjoBJE6ZpomhYWFZGZmEhUVhd1uP+Z9KRQTORrThC9ugMzV3jtNXvQu+AdZXZWI1LG84hKunraABVv3Eexv57VxfRnaMdbqskRERKSOxcfHA/iCMRGxRlRUlO/9eKwUiokcze9Pw5qvwebvDcQi1CtEpKnJzndwxVt/sSotj/AgP6ZddQJ9W0dbXZaIiIhYwDAMEhISaNGiBSUlJVaXI9Ik+fv7H1cPsQMUiokcybof4JfHvNNjnoGk/tbWIyJ1Lj23iMvfmM+mrAJiwgKYPqE/3RIjrS5LRERELGa322vkS7mIWEehmEhlstbDZ9cCJvS7GvpeaXVFIlLHtuwp4PI35rMrp4jEyCDevWYAbWPDrC5LRERERERqgEIxkYoU58KHl4IjD5IHwWn/troiEalja9LzGPfmX+zJd9AmJpR3rxlAyyjdZUpEREREpLFQKCZyOI8HPrsOsjdAREu4cDr4BVhdlYjUocXb9zH+rb/IK3bRJSGCtyf0JzY80OqyRERERESkBikUEzncrCmw/gfwC/IOrB/WwuqKRKQO/bFxD9e+vZBCp5s+yVFMHd+fyBB/q8sSEREREZEaplBM5FBrv4XfnvJOn/UfaNnH2npEpE7NWJXB399fgtPtYUiHGF4b15eQAP1XKSIiIiLSGOk3fZED9m6Gz2/0Tg+4EXpdbG09IlKnPlu8k3/8bzluj8nobnG8cEkqgX66o5SIiIiISGOlUEwEoKQIPr4CHLmQNABGPWJ1RSJSh96et5UHvlwFwPl9WvHE+T3ws9ssrkpERERERGqTQjERgO/vhowVEBIDF0wDu8YPEmkKTNPkpV828szM9QCMH5TCA2d2xWYzLK5MRERERERqm0IxkSXvweK3AQPOfwMiEq2uSETqgMdj8tDXq5g+bxsAt57cnttP7YhhKBATEREREWkKFIpJ05axEr69wzs94l5oN8LaekSkTjhcbu78eBnfLE8H4MGzunLV4DYWVyUiIiIiInVJoZg0XY793nHEXMXQfiQMucvqikSkDuQ7XNzwziLmbNyDv93g6Qt6MbZ3S6vLEhERERGROqZQTJom04Rvboe9myCiJZz3X7BpUG2Rxi4738FV0xawfGcuIQF2Xr28L0M7xlpdloiIiIiIWEChmDRNS96FFZ+AYYe/vQUh0VZXJCK1bMfeQq546y+27CkgOjSAt8afQO+kKKvLEhERERERiygUk6Yncy189w/v9Mn3QfKJ1tYjIrVuTXoeV771F5n7HbSMCubtq/vTLjbM6rJERERERMRCCsWkaXEWwifjwVUEbUfA4NutrkhEatlfW/Zy9fQF7C920SkunOkT+hMfGWR1WSIiIiIiYjGFYtK0/HAPZK2B0BZw3usaR0ykkZuxKoNbPliCw+XhhJRmvHHFCUSG+FtdloiIiIiI1AMKxaTpWPkpLJ4OGN5ALKyF1RWJSC36aMF2Jn22Ao8JI7u04KVL+xDkb7e6LBERERERqScUiknTkLMdvi69VHLIndBuhLX1iEitMU2Tl2dt4qkf1wFwYb9WTDm3B3529QwVEREREZGDFIpJ4+d2wWfXgSMXWp0AwydZXZGI1BKPx+SRb1cz9Y+tANw4vB13j+6EYRjWFiYiIiIiIvWOQjFp/OY8C9vnQUA4nPdfsOu0F2mMnC4Pd32yjK+WpQFw/5ldufqkNhZXJSIiIiIi9ZXSAWncdvwFs/7tnR7zNETrC7JIY1TgcHHDu4v4fcMe/GwGT1/Qi3NSW1pdloiIiIiI1GMKxaTxKs6DT68B0w3d/wY9L7K6IhGpBXvyHVw9bQHLduYS7G/nlcv7MLyTbqQhIiIiIiJHplBMGq/v/gE52yAyGc58FjSmkEijsykrn/FT/2LH3iKahfjz1vgTSE1uZnVZIiIiIiLSACgUk8Zp5Wew/EMwbHD+fyEo0uqKRKSGLdi6l2vfXkhOYQnJ0SFMu+oE2saGWV2WiIiIiIg0EArFpPHJS4dvbvdOD7kTkk+0th4RqXFfL0vjzk+W4XR56J0UxRtX9iMmLNDqskREREREpAFRKCaNi2nCV3+H4hxI6AXD/ml1RSJSg0zT5LXfNvPv79cCMLpbHM9flEpwgN3iykREREREpKGx1daOt27dytVXX02bNm0IDg6mXbt2PPjggzidzjLttm/fzllnnUVoaCgxMTHceuut5dqIVNnCt2DjT2APhHNfB7u/1RWJSA1xuT3864uVvkDsqsEpvHxZXwViIiIiIiJyTGqtp9jatWvxeDy89tprtG/fnpUrV3LttddSUFDA008/DYDb7WbMmDHExsYyZ84csrOzufLKKzFNkxdffLG2SpPGKnsTzPiXd3rkZGjR2dJyRKTmFDhc3PLBEn5Zm4lhwP1jujLhpDZWlyUiIiIiIg2YYZqmWVcHe+qpp3jllVfYvHkzAN9//z1nnnkmO3bsIDExEYAPP/yQ8ePHk5mZSURExFH3mZeXR2RkJLm5uVVqL42U2wVTT4edf0HKELjiK7DVWkdIEalDmfuLmTBtASt35RHoZ+M/F6dyWvd4q8sSEREREZF6qqpZUZ2mBrm5uURHR/vm582bR/fu3X2BGMDo0aNxOBwsWrSown04HA7y8vLKPESY+x9vIBYYAee8okBMpJHYsHs/5/7fXFbuyiM6NIAPrjtRgZiIiIiIiNSIOksONm3axIsvvsgNN9zgW5aRkUFcXFyZds2aNSMgIICMjIwK9/P4448TGRnpeyQlJdVq3dIA7F4Fvz7unT79SYjSOSHSGMzblM15r8xlV04RbWJC+fymQfRJbmZ1WSIiIiIi0khUOxSbPHkyhmEc8bFw4cIy26SlpXHaaadxwQUXcM0115RZZxhGuWOYplnhcoBJkyaRm5vre+zYsaO6L0EaE3cJfHEjeEqg0xnQ62KrKxKRGvD5kp1c8dZ89he76Ne6GZ/eOIjWzUOtLktERERERBqRag+0//e//52LLz5y8JCSkuKbTktLY8SIEQwcOJDXX3+9TLv4+Hjmz59fZtm+ffsoKSkp14PsgMDAQAIDA6tbtjRWfzwP6csgKArOfA4qCVNFpGEwTZP/+3UjT89YD8CYHgk8c2Evgvx1h0kREREREalZ1Q7FYmJiiImJqVLbXbt2MWLECPr27cvUqVOxHTbO08CBA3nsscdIT08nISEBgBkzZhAYGEjfvn2rW5o0NbtXwawnvNNnPAXhGmdIpCErcXu4/4uVfLjA2wP4+qFt+edpnbHZFHaLiIiIiEjNq3YoVlVpaWkMHz6c5ORknn76abKysnzr4uO94cWoUaPo2rUr48aN46mnnmLv3r3cddddXHvttbqTpBzZ4ZdN9rjA6opE5DjsLy7h5veX8Nv6LGwGPHR2N8YNTLG6LBERERERacRqLRSbMWMGGzduZOPGjbRq1arMOtM0AbDb7Xz77bfcdNNNDB48mODgYC699FKefvrp2ipLGgtdNinSaGzPLuSatxewfnc+wf52XrwklZFdK76EXkREREREpKYY5oGEqoHKy8sjMjKS3Nxc9S5rKnavhteGenuJnfs69LrI6opE5BjN3bSHm95bTE5hCXERgfz3in70bBVldVkiIiIiItKAVTUrqrWeYiK1wuOGL28+eNlkzwutrkhEjtE787Yy+evVuD0mvZKieH1cX+IigqwuS0REREREmgiFYtKwzH8V0hZDYCSMeVaXTYo0QE6Xh4e+XsV787cDcE7vRP59fk/dYVJEREREROqUQjFpOPZthV8e9U6PehgiEiwtR0SqLzvfwU3vLWb+lr0YBvzztM5cP7QthgJuERERERGpYwrFpGEwTfj6NigphNYnQeoVVlckItW0Jj2Pa99eyM59RYQF+vHCJb05ubMG1BcREREREWsoFJOGYdkHsHkW+AXB2S+AzWZ1RSJSDT+uyuD2j5ZS6HTTunkIb1zRjw5x4VaXJSIiIiIiTZhCMan/8jPhh0ne6eH3QPN21tYjIlVmmiYv/bKRZ2auB2Bw++b836V9iAoJsLgyERERERFp6hSKSf33/d1QnAPxPWHgLVZXIyJVVOR0c9f/lvHt8nQAxg9K4b4xXfC3q6eniIiIiIhYT6GY1G/rZ8Cqz8Gww9kvgl2nrEhDkJZTxLVvL2RVWh7+doOHx3bnkv7JVpclIiIiIiLio4RB6i9nIXx3p3f6xBshsbel5YhI1Szatpfr31nMnnwH0aEBvHp5X/q3iba6LBERERERkTIUikn9NfsJyNkOkUkw4l6rqxGRKvhk4Q7u+3wlTreHzvHh/PeKfiRFh1hdloiIiIiISDkKxaR+2r0a5r3knT7jKQgItbYeETmiEreHKd+tYeofWwEY3S2OZy/sTWig/psREREREZH6Sd9WpP7xeOCbieBxQeczodPpVlckIkeQllPE399fzOLtOQDcekoHJp7SAZvNsLYwERERERGRI1AoJvXPkrdhx3wICIPTn7S6GhE5glnrMrn9o6XsKywhPMiPpy/oxehu8VaXJSIiIiIiclQKxaR+yc+CmQ96p0fcB5Etra1HRCrkcnt4/qcN/N+sjZgmdG8ZwcuX9iW5ucYPExERERGRhkGhmNQvM/4FxTkQ3xP6X2d1NSJSgcz9xdz6wRL+3LwXgMtPTOZfY7oS5G+3uDIREREREZGqUygm9ce2ubD8Q8CAs54Hu05Pkfpm3qZsbvlgCXvyHYQE2Hn8vB6M7a0enSIiIiIi0vAodZD6we2Cb+/yTve9Elr2tbYeESnD4zF5ZfYmnpmxDo8JHePCePmyvrRvEWZ1aSIiIiIiIsdEoZjUDwvegMxVENwMTnnQ6mpE5BD7Cpzc/vFSZq3LAuC8Pi159JzuhATovxAREREREWm49I1GrJefCb8+5p0+5QEIiba2HhHxWbRtH7e8v5i03GIC/Ww8MrY7F/RrhWEYVpcmIiIiIiJyXBSKifVmPgiOPEjoDX2utLoaEQFM0+StP7by+HdrcHlM2sSE8n+X9qFrYoTVpYmIiIiIiNQIhWJire3zYdn73ukxz4BNd68TsVpecQl3f7KcH1ZlADCmRwL/Pr8H4UH+FlcmIiIiIiJScxSKiXU8bviudHD91HHQqp+19YgIK3flctN7i9m+txB/u8G/xnTlioGtdbmkiIiIiIg0OgrFxDoL34KM5RAUCSMnW12NSJPm8Zi89ccWnvxxHU6Xh5ZRwfzfZX3onRRldWkiIiIiIiK1QqGYWKNgD/zyiHf65PshNMbaekSasB17C7nrk2XM37IXgFM6t+CZC3sRFRJgcWUiIiIiIiK1R6GYWOOXR6A4F+J7Qr8JVlcj0iSZpsknC3fy8DeryXe4CAmwc9+YLlzaP1mXS4qIiIiISKOnUEzqXsYKWPy2d/r0JzW4vogFsvY7mPTZCn5asxuAfq2b8cyFvWjdPNTiykREREREROqGQjGpW6YJP0wC0wPdzoXWA62uSKTJ+WFlBvd+voK9BU787QZ3nNqJ64a2xW5T7zAREREREWk6FIpJ3Vr7LWz9HeyBcOrDVlcj0qTkFpXw0Ner+GzxLgA6x4fz3EW96ZIQYXFlIiIiIiIidU+hmNQdlwNm/Ms7PegWiEq2th6RJuSPjXv4xyfLSMstxmbADcPacdvIDgT66fJlERERERFpmhSKSd2Z/yrs2wJh8XDS7VZXI9IkFDndPPHDWqbN3QpA6+YhPHthL/q2jra2MBEREREREYspFJO6kZ8Js5/yTo98EALDrK1HpAlYtiOH2z9eyuasAgAuPzGZSad3ITRQH/0iIiIiIiL6ZiR145dHwbkfElOh58VWVyPSqJW4Pbz4y0b+79eNuD0mcRGBPHF+T4Z3amF1aSIiIiIiIvWGQjGpfenLYfHb3unT/g02m7X1iDRi63fv586Pl7FiVy4AZ/dK5OGx3YgKCbC4MhERERERkfpFoZjULtOEH+8FTOh+PiSfaHVFIo1SgcPFCz9v4M05W3B5TCKD/Xn0nO6c1SvR6tJERERERETqJYViUrvWfQ9bfwe/IBg52epqRBod0zT5YWUGD3+zmvTcYgBGdY3jkXO6ExcRZHF1IiIiIiIi9ZdCMak9bhf89KB3+sSbICrZ2npEGpmtewp48KtVzF6fBUBSdDAPnd2NkzvHWVyZiIiIiIhI/adQTGrPkndgz3oIjoaTJlpdjUijUVzi5pVZm3hl9iacLg8Bdhs3DGvLTSPaE+Rvt7o8ERERERGRBkGhmNQORz7Metw7PeyfEBRpbT0ijcSv6zKZ/NUqtmUXAjCkQwwPnd2NtrFhFlcmIiIiIiLSsCgUk9ox7/8gfzc0S4F+E6yuRqTBS8sp4uGvV/PDqgwA4iICeeDMbpzRIx7DMCyuTkREREREpOFRKCY1Lz8T/viPd/qUB8EvwNp6RBqwEreHt+Zs4T8/b6DQ6cZuM7hqUAoTT+1IWKA+wkVERERERI6VvlFJzZv1bygpgMQ+0O1cq6sRabDmb87m/i9Xsn53PgD9Wjfj0XO70zk+wuLKREREREREGj6FYlKz9myARdO806MeAV3WJVJtWfsdPP7dGj5bsguA6NAAJp3emfP7tMJm03tKRERERESkJigUk5r102Qw3dDxdEg5yepqRBqUfIeLt+Zs4b+/bWa/w4VhwCX9k7l7dCeiQnQZsoiIiIiISE1SKCY1Z/ufsPYbMGwwcrLV1Yg0GMUlbt6bv52Xf91IdoETgO4tI3j0nB70ToqytjgREREREZFGSqGY1AzThBn3e6dTx0GLztbWI9IAuNwePl28k//8tIG03GIAUpqHcMeoTpzZI0GXSoqIiIiIiNQiW10cxOFw0Lt3bwzDYOnSpWXWbd++nbPOOovQ0FBiYmK49dZbcTqddVGW1KS138DOv8A/BIZPsroakXrN4zH5Znkao577jX9+uoK03GISIoP493k9mHnHMM7ulahATEREREREpJbVSU+xu+++m8TERJYtW1ZmudvtZsyYMcTGxjJnzhyys7O58sorMU2TF198sS5Kk5rgccPPj3inB94MEQnW1iNST5mmyaz1WTz94zpWpeUB3kH0bxrejstPbE2Qv93iCkVERERERJqOWg/Fvv/+e2bMmMGnn37K999/X2bdjBkzWL16NTt27CAxMRGAZ555hvHjx/PYY48RERFR2+VJTVj+MexZB0FRMOgWq6sRqZf+2rKXp35cy4Kt+wAIC/Tj2iFtmXBSCuFB/hZXJyIiIiIi0vTUaii2e/durr32Wr744gtCQkLKrZ83bx7du3f3BWIAo0ePxuFwsGjRIkaMGFFuG4fDgcPh8M3n5eXVTvFSNS4nzJrinT7pdgiKtLYekXpm5a5cnp6xjlnrsgAI9LNx5aAUbhjWjuhQ3VFSRERERETEKrUWipmmyfjx47nhhhvo168fW7duLdcmIyODuLi4MsuaNWtGQEAAGRkZFe738ccf56GHHqqNkuVYLJ4OOdshLA76X2d1NSL1xuasfJ6ZuZ5vl6cD4GczuPCEJG49uQPxkUEWVyciIiIiIiLVDsUmT5581FBqwYIFzJ07l7y8PCZNOvKg64ZRfjBp0zQrXA4wadIk7rjjDt98Xl4eSUlJVahcapyzEH57yjs99B8QUL43oEhTs2T7Pt76YyvfrUjH7TExDBjbK5GJIzuSEhNqdXkiIiIiIiJSqtqh2N///ncuvvjiI7ZJSUnh0Ucf5c8//yQwMLDMun79+nHZZZcxffp04uPjmT9/fpn1+/bto6SkpFwPsgMCAwPL7VMs8tfrkL8bopKhz5VWVyNiGZfbw4+rdvPmnM0s3p7jWz6ySwvuHNWJLgkaH1FERERERKS+qXYoFhMTQ0xMzFHbvfDCCzz66KO++bS0NEaPHs1HH33EgAEDABg4cCCPPfYY6enpJCR471g4Y8YMAgMD6du3b3VLk7pUnAt/PO+dHn4v+GlsJGl6cotK+GjBdqbP3caunCIAAuw2zu6dyFWDU+iWqDH2RERERERE6qtaG1MsOTm5zHxYWBgA7dq1o1WrVgCMGjWKrl27Mm7cOJ566in27t3LXXfdxbXXXqs7T9Z38/4PivZBTCfoeaHV1YjUqa17Cpg2dysfL9xBodMNQPPQAC47sTWXn5hMi3CNGSYiIiIiIlLf1erdJ4/Gbrfz7bffctNNNzF48GCCg4O59NJLefrpp60sS46mYI83FAM4+T6w2a2tR6QOmKbJn5v38uacLfy8djem6V3eKS6cCSelMLZ3S4L89V4QERERERFpKOosFEtJScE88C3yEMnJyXzzzTd1VYbUhDnPgTMfEnpDl7OtrkakVjlcbr5els5bc7awOj3Pt3xEp1iuPqktg9s3r/TGICIiIiIiIlJ/WdpTTBqg/Rmw4A3v9Mn3g8IAaaT25Dt4f/523vlzG1n7HQAE+ds4v08rrhrchvYtwiyuUERERERERI6HQjGpnjnPg6sYWvWH9qdYXY1IjSpyupmxOoMvl6Yxe30Wbo+3d2t8RBBXDGrNpf2TiQrRTSVEREREREQaA4ViUnV5abDwLe/0iHvVS0waBZfbw9xN2XyxdBc/rsygoHTgfIBeSVFMGJzCGT0S8LfbLKxSREREREREappCMam6Oc+B2wHJA6HtcKurETlmpmmyclceXyzdxVfL0nyXRwIkRQdzTu+WjO3dUpdIioiIiIiINGIKxaRqcnfBomne6eGT1EtMGqQdewv5cukuPl+yi01ZBb7lzUL8GdMzgXNTW9InuZkGzhcREREREWkCFIpJ1cx5FtxOaD0Y2gy1uhqRKttX4OSbFel8uWQXC7ft8y0P9LNxatc4zundkqEdYwnw0+WRIiIiIiIiTYlCMTm63J2w+G3vtHqJSQOwc18hv63fwy9rdzN7fRYlbu+A+YYBg9vFMLZ3Iqd1jyc8yN/iSkVERERERMQqCsXk6H5/xttLLGUItBlidTUi5RSXuJm/ZS+z12Xx24YsNmbml1nfLTGCc1NbclavROIigiyqUkREREREROoThWJyZDk7YPE73unhk6ytRaSUaZps3lPA7HVZzF6fxZ+bs3G4PL71dptBalIUQzvGcnr3eDrEhVtYrYiIiIiIiNRHCsXkyOY8B54S7zhiKYOtrkaasP3FJczdlM3s9VnMXpfFrpyiMusTIoMY1jGWYR1jGdQ+hshgXRopIiIiIiIilVMoJpXLS4clpb3Ehv3T2lqkySkucbMqLdd3WeSibftweUzf+gC7jf5tor1BWKdYOrQI010jRUREREREpMoUiknl5r7oHUsseaD3rpMitcTtMdmYmc+yHTks3ZnDsh05rMvYXyYEA2gTE+rrDTagbTQhAfoIExERERERkWOjb5RSsYI9sPAt7/TQf+iOk1JjTNNkV04Ry3bksnxnDkt35LBiVy6FTne5tjFhgaQmRzG0QwxDO8bSunmoBRWLiIiIiIhIY6RQTCo27//AVQSJfaDdyVZXIw1Ydr6DlWl5LNvh7QG2bGcOe/Kd5dqFBtjp0SqSXklR9G4VRa+kKBIig3RJpIiIiIiIiNQKhWJSXtE++Ou/3mn1EpMqKC5xszW7gM1ZBWzZU8CmrHy27PFO5xSWlGvvZzPokhBBzwMhWFIU7WLDsNt0romIiIiIiEjdUCgm5c1/DZz7Ia47dDzN6mqknnB7TNJyiti8p4AtWfne5z3eICwttwjTrHzblOYh9E7y9v7qlRRF14QIgvztdVe8iIiIiIiIyGEUiklZxXnw5yve6SF3gs1mbT1SZwocLtJzi8nILSY9t4j03OLS+SJ25RSxNbsQp8tT6fYRQX60jQ2jbUwobWNDaRMTRtvYUFKahxIcoABMRERERERE6heFYlLWwjehOAead4CuY62uRo6D22Oyv7iEnMISsgsc7Ml3kp3vJDvfQXaBkz35DrLzvc+784rJK3YddZ8Bdhutm4fQJib0sAAslOjQAI3/JSIiIiIiIg2GQjE5yFkIc1/yTg+5E2zq3VOXTNOkxG3icLlxujw4XB6KS9wUONwUOF0UOFwUON3eZ4fLtzyvqITcCh75DtcRL2msSHigH/GRQcRHBpEYGUx8ZBAJkUEkRAXTpnkoLZsFa9wvERERERERaRQaTSi26Pu3CAsJLrf88K/vZoUpwYFlBod+3/eUtjVKnw/f8tBeMabpKd+gTNuyNZTb14G6Du9pY4K3tVluOYBxaMGm54ghyNF+FtE5K2lfuIf84JZ87xyAuXAHmOA5ymvDMHxle0yzfKmHHMew2Xx1mKbpW1fR7g3D8P2MTdPE46m8CMNmYGBgYmJ6TMr/hA8c9MDP7OB+D/+hmaWvw+UxMU3wmOA2TVxuE7fbg9s0cXtKH6V1HWjrNimd91Di9gZbBwIu7/PBwOtAAHZom9oQEmCneWgAzcMCvM+hgd7psABiw4NoHhpIi/AAWoQHEh5U8UeCYRjYSi+l9f5bVF5rfWsL4Ha7LW/r8Xgq+fypvbYAdrvd8rY2m833Xm7MbY92Xja0tvXtvazPCH1GNPS2DeF9r8+I8m1BnxFWt61v72V9RugzQp8RB9Xnz4gj/fwO1WhCsb5LHyAiUD1YasJjeafxwWdrrC6jyfOzQYDdIDzIn7Agf8IC/QiwAyXFBPkZBPsZBPkZhPrbCA0wCAuwkZLYgqT4WCKD/bG7HezesQV/++HvCxfgomXLZsTHxwNQUFDA2rUrK60lISGBxMREAIqLi1m9enWlbePi4mjVqhUATqeTlSsr329sbCzJycneqlwuli9fXmnb5s2bk5KSAng/7JYuXVpp26ioKNq1a+ebP1LbiIgIOnTo4Jtfvnx5pf8JhoWF0alTJ9/8ypUrcbkqvuw0JCSELl26+OZXrVqF0+mssG1QUBDdunXzza9Zs4bi4uIK2wYEBNCjRw/f/Lp16ygsLKywrZ+fH7169fLNb9iwgfz8/Arb2mw2UlNTffObNm0iLy+vwrYAffv29U1v2bKFnJycStv27t3b9x/b9u3byc7OrrRtz5498ff3B2Dnzp1kZWVV2rZ79+4EBgYCkJaWxu7duytt27VrV4KDvX84ycjIID09vdK2nTt3JjQ0FIDMzEx27dpVaduOHTsSHh4OQFZWFjt27Ki0bfv27YmMjAQgOzubbdu2Vdq2bdu2NGvWDICcnBw2b95cadvWrVsTExMDQF5eHhs3bqy0bVJSEi1atAAgPz+f9evXV9q2ZcuWvs+IwsJC1q5dW2lbfUZ46TPiIH1GeOkzwkufEV76jDhInxFe+ozw0meElz4jDqqJz4jKain3OqrUqgFYbutKmL38yzEMA7vdhlnaM8jtdlfam8rb9mAi6XK7fb2IDmx/sCOXgd3vYFu3y11pZyoDsPv5+dZ7a6iwbxRg4Hfofg9rax7S38sA/PwPvma3y+3r3XawxcFJPz8/3zJvW0+5jmm7bXFsjjqVk6NifMsKCwsoKal8vKnIiAhspT3WCguLKnwzHjhOREQEhuFNnouKCit94x5oeyClLi4qotjhKNfb7YDwiHDspZd7OhzFlb7JAcLDw/ErPVeKHcUUFRWVa2MzvL0GoyIjCAzwx24YlDgdFBbk+9bZDLDbDk7HREcTEhyMn92gqLCQ/Lwc/G0G/nZKnw38beBvN2jdqiUxzSIJ8LPhKMwnfddOAg5p52fz1gBl/6PKzc09yn9UUbRo4f0PcP9+k73lAjERERERERERATDMI/VDawDy8vKIjIxk7969RERElFuv7oq137a+dT1Wl2Z1aVaX5oP0GVF3bRvC+16fEeXbgj4jrG5b397L+ozQZ4Q+Iw7SZ0TdtW0I73t9RpRvC/qMsLptZe+5vLw8oqOjyc3NrTArOqDRhGJHe6EiIiIiIiIiItL4VTUrslW6RkREREREREREpJFSKCYiIiIiIiIiIk2OQjEREREREREREWlyFIqJiIiIiIiIiEiTo1BMRERERERERESaHIViIiIiIiIiIiLS5CgUExERERERERGRJkehmIiIiIiIiIiINDkKxUREREREREREpMlRKCYiIiIiIiIiIk2On9UFHC/TNAHIy8uzuBIREREREREREbHagYzoQGZUmQYfiu3fvx+ApKQkiysREREREREREZH6Yv/+/URGRla63jCPFpvVcx6Ph7S0NMLDwzEMw+pyGrS8vDySkpLYsWMHERERVpcjAui8lPpJ56XURzovpT7SeSn1kc5Lqa90btYc0zTZv38/iYmJ2GyVjxzW4HuK2Ww2WrVqZXUZjUpERITegFLv6LyU+kjnpdRHOi+lPtJ5KfWRzkupr3Ru1owj9RA7QAPti4iIiIiIiIhIk6NQTEREREREREREmhyFYuITGBjIgw8+SGBgoNWliPjovJT6SOel1Ec6L6U+0nkp9ZHOS6mvdG7WvQY/0L6IiIiIiIiIiEh1qaeYiIiIiIiIiIg0OQrFRERERERERESkyVEoJiIiIiIiIiIiTY5CMRERERERERERaXIUijVSv/32G2eddRaJiYkYhsEXX3xx1G1mz55N3759CQoKom3btrz66qvl2nz66ad07dqVwMBAunbtyueff14L1UtjVRvn5X//+1+GDBlCs2bNaNasGSNHjuSvv/6qpVcgjVFtfV4e8OGHH2IYBuecc07NFS2NXm2dlzk5Odx8880kJCQQFBREly5d+O6772rhFUhjVFvn5fPPP0+nTp0IDg4mKSmJ22+/neLi4lp4BdJYVffcTE9P59JLL6VTp07YbDYmTpxYYTt995HjURvnpb771DyFYo1UQUEBvXr14qWXXqpS+y1btnDGGWcwZMgQlixZwr333sutt97Kp59+6mszb948LrroIsaNG8eyZcsYN24cF154IfPnz6+tlyGNTG2cl7NmzeKSSy7h119/Zd68eSQnJzNq1Ch27dpVWy9DGpnaOC8P2LZtG3fddRdDhgyp6bKlkauN89LpdHLqqaeydetW/ve//7Fu3Tr++9//0rJly9p6GdLI1MZ5+d5773HPPffw4IMPsmbNGt58800++ugjJk2aVFsvQxqh6p6bDoeD2NhY7rvvPnr16lVhG333keNVG+elvvvUAlMaPcD8/PPPj9jm7rvvNjt37lxm2fXXX2+eeOKJvvkLL7zQPO2008q0GT16tHnxxRfXWK3SdNTUeXk4l8tlhoeHm9OnT6+JMqWJqcnz0uVymYMHDzbfeOMN88orrzTHjh1bw9VKU1FT5+Urr7xitm3b1nQ6nbVRpjQxNXVe3nzzzebJJ59cps0dd9xhnnTSSTVWqzQtVTk3DzVs2DDztttuK7dc332kJtXUeXk4ffc5fuopJoD3LyGjRo0qs2z06NEsXLiQkpKSI7aZO3dundUpTUtVzsvDFRYWUlJSQnR0dF2UKE1QVc/Lhx9+mNjYWK6++uq6LlGaoKqcl1999RUDBw7k5ptvJi4uju7duzNlyhTcbrcVJUsTUJXz8qSTTmLRokW+y382b97Md999x5gxY+q8XpFD6buPNAT67nP8/KwuQOqHjIwM4uLiyiyLi4vD5XKxZ88eEhISKm2TkZFRl6VKE1KV8/Jw99xzDy1btmTkyJF1VaY0MVU5L//44w/efPNNli5dak2R0uRU5bzcvHkzv/zyC5dddhnfffcdGzZs4Oabb8blcvHAAw9YVLk0ZlU5Ly+++GKysrI46aSTME0Tl8vFjTfeyD333GNR1SJe+u4jDYG++xw/hWLiYxhGmXnTNMstr6jN4ctEalJVzssDnnzyST744ANmzZpFUFBQndQnTdORzsv9+/dz+eWX89///peYmBgrypMm6miflx6PhxYtWvD6669jt9vp27cvaWlpPPXUUwrFpNYc7bycNWsWjz32GC+//DIDBgxg48aN3HbbbSQkJHD//ffXeb0ih9J3H6nP9N2nZigUEwDi4+PL/dUjMzMTPz8/mjdvfsQ2h/8FRaSmVOW8PODpp59mypQp/PTTT/Ts2bMuy5Qm5mjn5apVq9i6dStnnXWWb73H4wHAz8+PdevW0a5duzqtWRq/qnxeJiQk4O/vj91u97Xp0qULGRkZOJ1OAgIC6rRmafyqcl7ef//9jBs3jmuuuQaAHj16UFBQwHXXXcd9992HzabRXsQa+u4j9Zm++9Qc/S8jAAwcOJCZM2eWWTZjxgz69euHv7//EdsMGjSozuqUpqUq5yXAU089xSOPPMIPP/xAv3796rpMaWKOdl527tyZFStWsHTpUt/j7LPPZsSIESxdupSkpCSLKpfGrCqfl4MHD2bjxo2+kBZg/fr1JCQkKBCTWlGV87KwsLBc8GW32zFN09erTMQK+u4j9ZW++9Qs9RRrpPLz89m4caNvfsuWLSxdupTo6GiSk5OZNGkSu3bt4u233wbghhtu4KWXXuKOO+7g2muvZd68ebz55pt88MEHvn3cdtttDB06lCeeeIKxY8fy5Zdf8tNPPzFnzpw6f33SMNXGefnkk09y//338/7775OSkuL7i15YWBhhYWF1+wKlQarp8zIoKIju3buXOUZUVBRAueUilamNz8sbb7yRF198kdtuu41bbrmFDRs2MGXKFG699dY6f33SMNXGeXnWWWfx7LPPkpqa6rt88v777+fss88u06tR5Eiqe24CvnE/8/PzycrKYunSpQQEBNC1a1dA333k+NXGeanvPrXAqtteSu369ddfTaDc48orrzRN0zSvvPJKc9iwYWW2mTVrlpmammoGBASYKSkp5iuvvFJuv5988onZqVMn09/f3+zcubP56aef1sGrkcaiNs7L1q1bV7jPBx98sG5elDR4tfV5eagrr7zSHDt2bO28AGmUauu8nDt3rjlgwAAzMDDQbNu2rfnYY4+ZLperDl6RNAa1cV6WlJSYkydPNtu1a2cGBQWZSUlJ5k033WTu27evbl6UNArHcm5W1L5169Zl2ui7jxyP2jgv9d2n5hmmqX7JIiIiIiIiIiLStGhMMRERERERERERaXIUiomIiIiIiIiISJOjUExERERERERERJochWIiIiIiIiIiItLkKBQTEREREREREZEmR6GYiIiIiIiIiIg0OQrFRERERERERESkyVEoJiIiIiIiIiIiTY5CMREREZFaNnnyZHr37m3Z8e+//36uu+66Wtt/ZmYmsbGx7Nq1q9aOISIiIlLTDNM0TauLEBEREWmoDMM44vorr7ySl156CYfDQfPmzeuoqoN2795Nhw4dWL58OSkpKbV2nDvuuIO8vDzeeOONWjuGiIiISE1SKCYiIiJyHDIyMnzTH330EQ888ADr1q3zLQsODiYyMtKK0gCYMmUKs2fP5scff6zV46xYsYL+/fuTlpZGs2bNavVYIiIiIjVBl0+KiIiIHIf4+HjfIzIyEsMwyi07/PLJ8ePHc8455zBlyhTi4uKIiorioYcewuVy8Y9//IPo6GhatWrFW2+9VeZYu3bt4qKLLqJZs2Y0b96csWPHsnXr1iPW9+GHH3L22WeXWTZ8+HBuueUWJk6cSLNmzYiLi+P111+noKCAq666ivDwcNq1a8f333/v22bfvn1cdtllxMbGEhwcTIcOHZg6dapvfY8ePYiPj+fzzz8/9h+miIiISB1SKCYiIiJigV9++YW0tDR+++03nn32WSZPnsyZZ55Js2bNmD9/PjfccAM33HADO3bsAKCwsJARI0YQFhbGb7/9xpw5cwgLC+O0007D6XRWeIx9+/axcuVK+vXrV27d9OnTiYmJ4a+//uKWW27hxhtv5IILLmDQoEEsXryY0aNHM27cOAoLCwHvuGSrV6/m+++/Z82aNbzyyivExMSU2Wf//v35/fffa/gnJSIiIlI7FIqJiIiIWCA6OpoXXniBTp06MWHCBDp16kRhYSH33nsvHTp0YNKkSQQEBPDHH38A3h5fNpuNN954gx49etClSxemTp3K9u3bmTVrVoXH2LZtG6ZpkpiYWG5dr169+Ne//uU7VnBwMDExMVx77bV06NCBBx54gOzsbJYvXw7A9u3bSU1NpV+/fqSkpDBy5EjOOuusMvts2bLlUXuuiYiIiNQXflYXICIiItIUdevWDZvt4N8n4+Li6N69u2/ebrfTvHlzMjMzAVi0aBEbN24kPDy8zH6Ki4vZtGlThccoKioCICgoqNy6nj17ljtWjx49ytQD+I5/4403cv7557N48WJGjRrFOeecw6BBg8rsMzg42NezTERERKS+UygmIiIiYgF/f/8y84ZhVLjM4/EA4PF46Nu3L++99165fcXGxlZ4jAOXN+7bt69cm6Md/8BdNQ8c//TTT2fbtm18++23/PTTT5xyyincfPPNPP30075t9u7dW2ktIiIiIvWNLp8UERERaQD69OnDhg0baNGiBe3bty/zqOzulu3atSMiIoLVq1fXSA2xsbGMHz+ed999l+eff57XX3+9zPqVK1eSmppaI8cSERERqW0KxUREREQagMsuu4yYmBjGjh3L77//zpYtW5g9eza33XYbO3furHAbm83GyJEjmTNnznEf/4EHHuDLL79k48aNrFq1im+++YYuXbr41hcWFrJo0SJGjRp13McSERERqQsKxUREREQagJCQEH777TeSk5M577zz6NKlCxMmTKCoqIiIiIhKt7vuuuv48MMPfZdBHquAgAAmTZpEz549GTp0KHa7nQ8//NC3/ssvvyQ5OZkhQ4Yc13FERERE6ophmqZpdREiIiIiUjtM0+TEE09k4sSJXHLJJbV2nP79+zNx4kQuvfTSWjuGiIiISE1STzERERGRRswwDF5//XVcLletHSMzM/P/27WDIgBAAIZhiJx/LZiAVxMZvZ5tX6MbAMBrTjEAAAAAcpxiAAAAAOSIYgAAAADkiGIAAAAA5IhiAAAAAOSIYgAAAADkiGIAAAAA5IhiAAAAAOSIYgAAAADkiGIAAAAA5Fz/SHyX9WLn5gAAAABJRU5ErkJggg==", + "image/png": "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", "text/plain": [ "
" ] @@ -1409,7 +1409,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/appendix-B1-uncompensated-models.ipynb b/artefacts/appendix-B1-uncompensated-models.ipynb index 02efde5..a5c88cf 100644 --- a/artefacts/appendix-B1-uncompensated-models.ipynb +++ b/artefacts/appendix-B1-uncompensated-models.ipynb @@ -1026,7 +1026,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.3" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/appendix-D2-inspecting-noise.ipynb b/artefacts/appendix-D2-inspecting-noise.ipynb index 07cfdd8..86d3c9b 100644 --- a/artefacts/appendix-D2-inspecting-noise.ipynb +++ b/artefacts/appendix-D2-inspecting-noise.ipynb @@ -926,9 +926,9 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.11.6" + "version": "3.13.2" } }, "nbformat": 4, - "nbformat_minor": 2 + "nbformat_minor": 4 } diff --git a/artefacts/appendix-D3-liquid-junction-potential.ipynb b/artefacts/appendix-D3-liquid-junction-potential.ipynb index 97089a2..75fc9ef 100644 --- a/artefacts/appendix-D3-liquid-junction-potential.ipynb +++ b/artefacts/appendix-D3-liquid-junction-potential.ipynb @@ -64,8 +64,14 @@ "source": [ "## How do we calculate the LJP?\n", "\n", - "In short, almost everyone uses [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0). \n", - "An [open source alternative](https://swharden.com/LJPcalc/) based on a newer model is also available, as described in [Marino et al. 2014](https://arxiv.org/abs/1403.3640)." + "The LJP can be estimated based on the concentrations of free charged molecules in the bath and pipette solution.\n", + "Most commonly, [a piece of software developed by Peter Barry](https://doi.org/10.1016/0165-0270(94)90031-0) is used, but an [open source alternative](https://swharden.com/LJPcalc/) based on a more accurate model is also available, as described in [Marino et al. 2014](https://arxiv.org/abs/1403.3640).\n", + "\n", + "An added difficulty here is that the solutions given in methods sections are always _total concentrations_, not _free concentrations_.\n", + "In particular:\n", + "- Ca, Mg (and others) are buffered by EGTA, ATP (and others). Free concentrations are typically orders of magnitude lower than total concentrations (added mM of Ca2+ become uM after buffering). They can be estimated using problems like [MaxChelator](https://somapp.ucdmc.ucdavis.edu/pharmacology/bers/maxchelator/index.html).\n", + "- pH adjustment of solution is typically done with XOH, where X is Na, K, Cs or some other ion. The exact amount is not usually mentioned in methods sections, but can affect the final solutions.\n", + "- pH regulators like HEPES bind or release H+ (H-Hepes <--> H+ + HEPES-), which may need to be taken into account." ] }, { @@ -243,7 +249,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.11.9" } }, "nbformat": 4, diff --git a/artefacts/artefacts-1-modelling-patch-clamp.ipynb b/artefacts/artefacts-1-modelling-patch-clamp.ipynb index 35923b9..cd4961a 100644 --- a/artefacts/artefacts-1-modelling-patch-clamp.ipynb +++ b/artefacts/artefacts-1-modelling-patch-clamp.ipynb @@ -856,7 +856,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.3" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/artefacts-2-compensation.ipynb b/artefacts/artefacts-2-compensation.ipynb index cac1a19..6cbfa72 100644 --- a/artefacts/artefacts-2-compensation.ipynb +++ b/artefacts/artefacts-2-compensation.ipynb @@ -343,6 +343,46 @@ "where typical values for $\\tau_\\text{sum}$ are $2\\mu s$ (fast), $10\\mu s$ (average), or $100\\mu s$ (slow, see [Appendix C3](./appendix-C3-parameter-values.ipynb))." ] }, + { + "cell_type": "code", + "execution_count": null, + "id": "3f4a712d-9dd5-48d9-96c7-f85ad55bf365", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "f67c7146-8f3f-42bc-b329-138f53fe4342", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "V_\\text{ref} = V_c + \\alpha R_s^* I_\\text{obs} + \\beta R_s^* C_m^* \\dot{V}_\\text{est}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "9e06a285-6e73-4b2f-820e-e6e07088e9df", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "V_\\text{ref} = V_c + V_s + \\beta R_s^* C_m^* \\dot{V}_\\text{est}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "\\tau_s \\dot{V_s} = \\alpha R_s^* I_\\text{obs} - V_s\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "31c5c489-1d08-41bd-af28-cf8843a1df6d", + "metadata": {}, + "outputs": [], + "source": [] + }, { "cell_type": "markdown", "id": "934470f3", @@ -642,7 +682,7 @@ "4. Series resistance correction\n", "5. Series resistance prediction\n", "\n", - "In the next notebook we will run simulations to investigate the model in detail." + "In the next notebook we will discuss _filtering_ of the input and output signals." ] } ], @@ -662,7 +702,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/artefacts-3-filtering.ipynb b/artefacts/artefacts-3-filtering.ipynb index c7c2725..5778295 100644 --- a/artefacts/artefacts-3-filtering.ipynb +++ b/artefacts/artefacts-3-filtering.ipynb @@ -8,35 +8,75 @@ "# Modelling patch-clamp experiments: filters\n", "\n", "In the [last notebook](./artefacts-2-compensation.ipynb) we updated our model of the patch-clamp amplifier with a variety of _compensation_ circuites.\n", - "In this notebook ....\n", + "In this notebook we look at the effects of filtering hardware included in patch-clamp amplifiers.\n", "\n", - "\n" + "Filtering is used in two ways:\n", + "- to remove high-frequency noise from the measured signals\n", + "- to \"round-off\" the voltage step commands and reduce capacitative spikes.\n", + "\n", + "Both types of filter are typically implemented in hardware (with further optional software filters applied offline).\n", + "Here we will follow [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4) and discuss a three-filter setup:\n", + "\n", + "- A filter on the input voltage steps, called the _stimulus filter_\n", + "- A filter on the output voltage, called _filter1_. The voltage used in series resistance compensation is passed through this filter.\n", + "- A second filter on the output, called _filter2_. This filter is only used to reduce noise in the final output.\n", + "\n", + "All three filters are [low-pass filters](https://en.wikipedia.org/wiki/Low-pass_filter), meaning they are designed to block high-frequency signals whil letting through low-frequency ones.\n", + "There are many different types of filter, but since both HEKA and Axon use [Bessel filters](https://en.wikipedia.org/wiki/Bessel_filter) we will focus on those.\n", + "Background on Bessel filters is provided in [Appendix A4](./appendix-A4-bessel-filters.ipynb), with background on filters in general and the mathematical \"Laplace transformation\" used in their design in [Appendix A2](./appendix-A2-laplace-and-filters.ipynb)." ] }, { "cell_type": "markdown", - "id": "5f19814d-9e7d-44aa-8385-d6722bb2fa98", + "id": "93f72de7-4b28-4b4a-9877-52ce9ac1b4a6", "metadata": {}, "source": [ - "Here, we will introduce the ODEs for filtering without explanation.\n", - "The ODEs are derived and discussed in [Appendix A5](./appendix-A5-bessel-filter-odes.ipynb), \n", - "Bessel filters in general are treated in [Appendix A4](./appendix-A4-bessel-filters.ipynb), and the necessary Laplace transformations and filters in general are discussed in [Appendix A2](./appendix-A2-laplace-and-filters.ipynb)." + "## Low-pass filter terminology\n", + "\n", + "Each filter is described by a _cut-off frequency_, an _order_, and a _type_.\n", + "For example, a typical choice for filter1 is a 10kHz (frequency) sixth-order (order) low-pass Bessel filter (type).\n", + "\n", + "Low-pass filters block very little at low frequencies but progressively more at higher frequencies.\n", + "The cut-off frequency is the frequency at which the amount of signal attenuation reaches a particular level: typically -3 dB.\n", + "\n", + "The order of a filter determines how sharply the level of attenuation increases as the frequency increases.\n", + "So a 6th-order filter reduces high frequencies much more aggressively than a first-order one.\n", + "In the mathematical description of filters, the number of [poles](https://en.wikipedia.org/wiki/Zeros_and_poles) in the equation describing a filter typically corresponds to its order, so e.g. a fourth-order filter is often referred to as a 4-pole filter.\n", + "\n", + "Finally, the exact relationship between frequency and level of attenuation is determined by the filter type.\n", + "Lots of options are available, but here we will focus only on Bessel filters." ] }, { - "cell_type": "code", - "execution_count": null, - "id": "7c121f6b-6895-4d17-af00-2ba713be94d1", + "cell_type": "markdown", + "id": "6c55066d-4e53-4fe6-920a-9007defd7d19", "metadata": {}, - "outputs": [], - "source": [] + "source": [ + "## Updated diagram\n", + "\n", + "Starting from the model with compensation presented in the notebook, we will add the three filters as in the EPC-9 diagram published in [Sigworth 1995b](https://doi.org/10.1016/0165-0270(94)00128-4)." + ] }, { "cell_type": "markdown", "id": "7ae20d53", "metadata": {}, "source": [ - "As in the EPC-9" + "\n", + "\n", + "_**Figure 1**: The model with compensation but no filtering._" + ] + }, + { + "cell_type": "markdown", + "id": "ad022d44-301e-443e-b1fc-dd08ed844411", + "metadata": {}, + "source": [ + "We now make three additions:\n", + "\n", + "1. We add the _stimulus filter_ directly at the point where $V_c$ is fed in.\n", + "2. Filter1 is added after the differential amplifier, before the branch off the series resistance compensation.\n", + "3. Filter2 is added between filter1 and the final output." ] }, { @@ -44,9 +84,17 @@ "id": "f43319ae", "metadata": {}, "source": [ - "\n", + "\n", "\n", - "_**Figure 1**: Model with filters as in the EPC-9._" + "_**Figure 2**: Model with stimulus filter and two output filters._" + ] + }, + { + "cell_type": "markdown", + "id": "ce3f3093-760b-43d9-9118-4700508fe40b", + "metadata": {}, + "source": [ + "Two low-pass filters in series, like filter1 and filter2 in the diagram above, act as a single low-pass filter with a higher order." ] }, { @@ -54,7 +102,27 @@ "id": "26ad6d1b", "metadata": {}, "source": [ - "Approximating all filters as first-order filters:\n", + "## Updated equations with filtering\n", + "\n", + "Adding equations for the filters is not trivial, and the exact equations depend strongly on the specific filters used.\n", + "As an illustrative example we can assume all filters are first-order ones, which have the simple ODE equation:\n", + "\\begin{align}\n", + "\\frac{dy}{dt} = \\frac{u(t) - y(t)}{\\tau}\n", + "\\end{align}\n", + "where $u$ is the input signal, $y$ is the filtered signal, and $\\tau$ is a time constant that is related to the cut-off frequency $f_c$ by\n", + "\\begin{align}\n", + "\\tau = \\frac{1}{2 \\pi f_c}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "8e8185e0-ecc7-4158-8c67-507916abb9a8", + "metadata": {}, + "source": [ + "### First-order approximations\n", + "\n", + "Approximating all three filters as first-order filters:\n", "\n", "\\begin{align}\n", "6.1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", @@ -69,32 +137,264 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "6.4. && \\dot{V}_\\text{est} &= \\frac{V_c - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "6.4. && \\dot{V}_\\text{est} &= \\frac{V_s - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_s + \\alpha \\frac{R_s^*}{R_f}V_f + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.6. && \\tau_\\text{fs} \\dot{V}_s = V_c - V_s\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.7. && \\tau_\\text{f1} \\dot{V}_f = V_o - V_\\text{ref} - V_f\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.8. && \\tau_\\text{f2} R_f \\dot{I}_\\text{obs} = V_f - R_f I_\\text{obs}\n", + "\\end{align}\n" + ] + }, + { + "cell_type": "markdown", + "id": "8bb6fc52-d907-4f1a-a96c-93e2d09462d2", + "metadata": {}, + "source": [ + "### Bessel-filter ODEs\n", + "\n", + "To find ODEs describing Bessel filters, we use the following approach:\n", + "\n", + "1. Work out the ODEs describing a first-order and second-order Bessel filters, and then treat all higher order filters as series of 1st and/or 2nd order ones. For example, a 3d-order filter becomes a 2nd and 1st in series, while a 6th-order filter is written as a cascade of three 2nd order ones.\n", + "2. A second-order filter is described by a second-order ODE. To get a this into software like Myokit, we introduce an extra variable and write it as a system of two first order ODEs.\n", + "\n", + "The HEKA EPC-10 uses a 6-pole Bessel as filter1, an additional 4-pole Bessel as filter2 (for a combined 10-pole output filter), and a 2-pole Bessel filter over the command voltage.\n", + "We will provide ODEs for these filters without further explanation: readers are referred to [Appendix A5](./appendix-A5-bessel-filter-odes.ipynb) for their derivation and discussion. \n" + ] + }, + { + "cell_type": "markdown", + "id": "75d2a072-0c69-4b1b-94f0-47a7cf7911e5", + "metadata": {}, + "source": [ + "Second-order low-pass Bessel filter:\n", + "\n", + "\\begin{align}\n", + "\\dot{y_1} &= 3 \\left[ \\frac{u(t) - y_2(t)}{a^2} - \\frac{y_1(t)}{a} \\right] \\quad&\n", + "\\dot{y_2} &= y_1(t) \\\\\n", + "a &= \\frac{1.3616}{2 \\pi f_c}\n", + "\\end{align}\n", + "where $u(t)$ is the input signal and $y_2$ is the output.\n", + "\n", + "Fourth-order low-pass Bessel filter:\n", + "\\begin{align}\n", + "\\dot{y_1} &= \\frac{11.488}{a^2} \\left[u(t) - y_2(t)\\right] - \\frac{4.2076}{a} y_1(t) \\quad&\n", + "\\dot{y_2} &= y_1(t) \\\\\n", + "\\dot{y_3} &= \\frac{9.1401}{a^2} \\left[y_2(t) - y_4(t)\\right] - \\frac{5.7924}{a} y_3(t) \\quad&\n", + "\\dot{y_4} &= y_3(t) \\\\\n", + "a &= \\frac{2.114}{2 \\pi f_c}\n", + "\\end{align}\n", + "where $y_4$ is the output signal.\n", + "\n", + "Sixth-order low-pass Bessel filter:\n", + "\\begin{align}\n", + "\\dot{y1} &= \\frac{26.514}{a^2} \\left[u(t) - y_2(t)\\right] - \\frac{5.0319}{a} y_1(t) \\quad&\n", + "\\dot{y2} &= y_1(t) \\\\\n", + "\\dot{y3} &= \\frac{20.853}{a^2} \\left[y_2(t) - y_4(t)\\right] - \\frac{7.4714}{a} y_3(t) \\quad&\n", + "\\dot{y4} &= y_3(t) \\\\\n", + "\\dot{y5} &= \\frac{18.801}{a^2} \\left[y_4(t) - y_6(t)\\right] - \\frac{8.4967}{a} y_5(t) \\quad&\n", + "\\dot{y6} &= y_5(t) \\\\\n", + "a &= \\frac{2.7034}{2 \\pi f_c}\n", + "\\end{align}\n", + "where $y_6$ is the output signal." + ] + }, + { + "cell_type": "markdown", + "id": "b250295c-a4a8-4410-ab95-b1d04fc300b3", + "metadata": {}, + "source": [ + "Instead of writing these out in full, we can use a function notation:\n", + "\n", + "\\begin{align}\n", + "6.6. && \\dot{V}_s = f_s(V_c)\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.7. && \\dot{V}_1 = f_1(V_o - V_\\text{ref})\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6.8. && R_f \\dot{I}_\\text{obs} = f_2(V_1)\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "43d55b20", + "metadata": {}, + "source": [ + "## Omitting the summing speed\n", + "\n", + "\n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "bc48dcc3-882c-46ca-b258-f639295bf102", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "6ea1a55d-db67-4fc9-80cb-99b5ece0f436", + "metadata": {}, + "source": [ + "With instantaneous summing, equation 6.5 becomes analytical:\n", + "\\begin{align}\n", + "V_\\text{ref} &= V_s + \\alpha \\frac{R_s^*}{R_f}V_1 + \\beta R_s^* C_m^* \\dot{V}_\\text{est} \\\\\n", + " &= V_s + V_{rc} + V_{rp}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "bf85bcfc-b605-4273-ac79-2eec548d98f0", + "metadata": {}, + "source": [ + "But we do still need its derivative for the C-fast compensation in 6.2, which has a term $C_p^*\\dot{V}_\\text{ref}$.\n", + "This is now given by:\n", + "\\begin{align}\n", + "\\dot{V}_\\text{ref} &= \\dot{V}_s + \\alpha \\frac{R_s^*}{R_f} \\dot{V}_1 + \\beta R_s^* C_m^* \\frac{d}{dt} \\dot{V}_\\text{est} \\\\\n", + " &= \\dot{V}_s + \\alpha \\frac{R_s^*}{R_f} \\dot{V}_1 + \\beta R_s^* C_m^* \\frac{\\dot{V}_s - \\dot{V}_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \\\\\n", + " &= \\dot{V}_s + \\alpha \\frac{R_s^*}{R_f} \\dot{V}_1 + \\frac{\\beta}{1 - \\beta}\\left(\\dot{V}_s - \\dot{V}_\\text{est}\\right) \\\\\n", + " &= \\alpha \\frac{R_s^*}{R_f} \\dot{V}_1 + \\frac{\\dot{V}_s - \\beta \\dot{V}_\\text{est}}{1 - \\beta} \\\\\n", + " &= \\dot{V}_{rc} + \\frac{\\dot{V}_s - \\beta \\dot{V}_\\text{est}}{1 - \\beta}\n", + "\\end{align}" + ] + }, + { + "cell_type": "markdown", + "id": "ada79cd6-3b51-4f11-b709-191107f7e9d8", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\left( \\dot{V}_{rc} + \\frac{\\dot{V}_s - \\beta \\dot{V}_\\text{est}}{1 - \\beta} \\right)\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "6.5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_c + \\alpha \\frac{R_s^*}{R_f}V_f + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "3. && \\tau_a \\dot{V}_o = V_s + V_{rc} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_p\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "6.6. && \\tau_\\text{f1} \\dot{V}_f = V_o - V_\\text{ref} - V_f\n", + "4. && \\dot{V}_\\text{est} &= \\frac{V_s - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", "\\end{align}\n", "\n", "\\begin{align}\n", - "6.7. && \\tau_\\text{f2} R_f \\dot{I}_\\text{obs} = V_f - R_f I_\\text{obs}\n", + "5. && \\tau_{rc} \\dot{V}_{rc} = \\alpha \\frac{R_s^*}{R_f}V_1 - V_{rc}\n", "\\end{align}\n", "\n", "\\begin{align}\n", - "6.8. && \\tau_\\text{fs} \\dot{V}_c = V_s - V_c\n", + "6. && \\dot{V}_s = f_s(V_c)\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "7. && \\dot{V}_1 = f_1(V_o - V_s - V_{rc} - \\beta R_s^* C_m^* \\dot{V}_\\text{est})\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "8. && R_f \\dot{I}_\\text{obs} = f_2(V_1)\n", "\\end{align}" ] }, + { + "cell_type": "markdown", + "id": "94688ea6-f8fa-4b86-9096-3dbaf76f90a3", + "metadata": {}, + "source": [ + "## Adding in 1-pole lag\n", + "\n", + "" + ] + }, + { + "cell_type": "markdown", + "id": "92c7471a-4d0f-4cc1-bd77-23c934fd8b49", + "metadata": {}, + "source": [ + "\\begin{align}\n", + "1. && C_m\\dot{V}_m = \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} - \\frac{V_m - E_\\text{leak}}{R_\\text{leak}} - I\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "2. && (C_p+C_f)\\dot{V}_p = \\frac{V_o - V_p}{R_f} - \\frac{V_p + E_\\text{off}^\\dagger - V_m}{R_s} + C_f\\dot{V}_o + C_m^* \\dot{V}_\\text{est} + C_p^* \\left( \\dot{V}_{rc} + \\frac{\\dot{V}_s - \\beta \\dot{V}_\\text{est}}{1 - \\beta} \\right)\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "3. && \\tau_a \\dot{V}_o = V_s + V_{rc} + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_p\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "4. && \\dot{V}_\\text{est} &= \\frac{V_s - V_\\text{est}}{(1 - \\beta)R_s^*C_m^*} \n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "5. && \\tau_{rc} \\dot{V}_{rc} = \\alpha \\frac{R_s^*}{R_f}V_1 - V_{rc}\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "6. && \\dot{V}_s = f_s(V_c - V_s)\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "7. && \\dot{V}_1 = f_1(V_o - V_1 - V_s - V_{rc} - \\beta R_s^* C_m^* \\dot{V}_\\text{est})\n", + "\\end{align}\n", + "\n", + "\\begin{align}\n", + "8. && R_f \\dot{I}_\\text{obs} = f_2(V_1 - R_f I_\\text{obs})\n", + "\\end{align}" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "dadcea67-3cc1-4a5f-92b0-5d9b39602704", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "b390ba2a-1461-4d0f-a440-c762edd3295b", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "markdown", + "id": "2d396df4-dd4e-4384-bf82-dba77fb867fb", + "metadata": {}, + "source": [ + "## Simulations with Bessel filters and first-order approximations" + ] + }, { "cell_type": "markdown", "id": "b98ce079-c14f-458e-9d86-16ba3b39844b", "metadata": {}, "source": [ - "### Myokit model with first-order filters" + "### Myokit model with first-order filters\n", + "\n", + "This version uses a first-order approximation for each of the three filters." ] }, { @@ -198,10 +498,22 @@ }, { "cell_type": "code", - "execution_count": 20, + "execution_count": 4, "id": "a858bde4-57ab-4d74-ad7d-871213689445", "metadata": {}, - "outputs": [], + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'myokit' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[4], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m m2 \u001b[38;5;241m=\u001b[39m \u001b[43mmyokit\u001b[49m\u001b[38;5;241m.\u001b[39mparse_model(\u001b[38;5;124m'''\u001b[39m\n\u001b[1;32m 2\u001b[0m \u001b[38;5;124m[[model]]\u001b[39m\n\u001b[1;32m 3\u001b[0m \u001b[38;5;124mamp.Vm = -80\u001b[39m\n\u001b[1;32m 4\u001b[0m \u001b[38;5;124mamp.Vp = -80\u001b[39m\n\u001b[1;32m 5\u001b[0m \u001b[38;5;124mamp.Vo = -80\u001b[39m\n\u001b[1;32m 6\u001b[0m \u001b[38;5;124mamp.Ve = -80\u001b[39m\n\u001b[1;32m 7\u001b[0m \u001b[38;5;124mamp.Vr = -80\u001b[39m\n\u001b[1;32m 8\u001b[0m \u001b[38;5;124mamp.Vf11 = -80\u001b[39m\n\u001b[1;32m 9\u001b[0m \u001b[38;5;124mamp.Vf12 = -80\u001b[39m\n\u001b[1;32m 10\u001b[0m \u001b[38;5;124mamp.Vf13 = -80\u001b[39m\n\u001b[1;32m 11\u001b[0m \u001b[38;5;124mamp.Vf14 = -80\u001b[39m\n\u001b[1;32m 12\u001b[0m \u001b[38;5;124mamp.Vf15 = -80\u001b[39m\n\u001b[1;32m 13\u001b[0m \u001b[38;5;124mamp.Vf16 = -80\u001b[39m\n\u001b[1;32m 14\u001b[0m \u001b[38;5;124mamp.V21 = -80\u001b[39m\n\u001b[1;32m 15\u001b[0m \u001b[38;5;124mamp.V22 = -80\u001b[39m\n\u001b[1;32m 16\u001b[0m \u001b[38;5;124mamp.V23 = -80\u001b[39m\n\u001b[1;32m 17\u001b[0m \u001b[38;5;124mamp.V24 = -80\u001b[39m\n\u001b[1;32m 18\u001b[0m \u001b[38;5;124mamp.Vc1 = -80\u001b[39m\n\u001b[1;32m 19\u001b[0m \u001b[38;5;124mamp.Vc2 = -80\u001b[39m\n\u001b[1;32m 20\u001b[0m \n\u001b[1;32m 21\u001b[0m \u001b[38;5;124m[engine]\u001b[39m\n\u001b[1;32m 22\u001b[0m \u001b[38;5;124mtime = 0 [ms] in [ms] bind time\u001b[39m\n\u001b[1;32m 23\u001b[0m \u001b[38;5;124mpace = 0 bind pace\u001b[39m\n\u001b[1;32m 24\u001b[0m \n\u001b[1;32m 25\u001b[0m \u001b[38;5;124m[amp]\u001b[39m\n\u001b[1;32m 26\u001b[0m \u001b[38;5;124mI = 10 [nS] * Vm\u001b[39m\n\u001b[1;32m 27\u001b[0m \u001b[38;5;124m in [pA]\u001b[39m\n\u001b[1;32m 28\u001b[0m \u001b[38;5;124malpha = 0.7\u001b[39m\n\u001b[1;32m 29\u001b[0m \u001b[38;5;124mbeta = alpha\u001b[39m\n\u001b[1;32m 30\u001b[0m \u001b[38;5;124mRs = 6e-3 [GOhm] in [GOhm]\u001b[39m\n\u001b[1;32m 31\u001b[0m \u001b[38;5;124mRs_est = 6e-3 [GOhm] in [GOhm]\u001b[39m\n\u001b[1;32m 32\u001b[0m \u001b[38;5;124mCm = 25 [pF] in [pF]\u001b[39m\n\u001b[1;32m 33\u001b[0m \u001b[38;5;124mCm_est = 23 [pF] in [pF]\u001b[39m\n\u001b[1;32m 34\u001b[0m \u001b[38;5;124mCp = 6 [pF] in [pF]\u001b[39m\n\u001b[1;32m 35\u001b[0m \u001b[38;5;124mCp_est = 5.5 [pF] in [pF]\u001b[39m\n\u001b[1;32m 36\u001b[0m \u001b[38;5;124mRf = 0.495 [GOhm] in [GOhm]\u001b[39m\n\u001b[1;32m 37\u001b[0m \u001b[38;5;124mCf = 0.16 [pF] in [pF]\u001b[39m\n\u001b[1;32m 38\u001b[0m \u001b[38;5;124mtau_amp = 20e-6 [ms] in [ms]\u001b[39m\n\u001b[1;32m 39\u001b[0m \u001b[38;5;124mtau_sum = 10e-3 [ms] in [ms]\u001b[39m\n\u001b[1;32m 40\u001b[0m \n\u001b[1;32m 41\u001b[0m \u001b[38;5;124m# Voltage clamp and compensations\u001b[39m\n\u001b[1;32m 42\u001b[0m \u001b[38;5;124mdot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\u001b[39m\n\u001b[1;32m 43\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 44\u001b[0m \u001b[38;5;124mdot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\u001b[39m\n\u001b[1;32m 45\u001b[0m \u001b[38;5;124m Cf * dot(Vo) + Cm_est * dot(Ve) + Cp_est * dot(Vr)\u001b[39m\n\u001b[1;32m 46\u001b[0m \u001b[38;5;124m ) / (Cp + Cf)\u001b[39m\n\u001b[1;32m 47\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 48\u001b[0m \u001b[38;5;124mdot(Vo) = (Vr - Vp) / tau_amp\u001b[39m\n\u001b[1;32m 49\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 50\u001b[0m \u001b[38;5;124mdot(Ve) = (Vc2 - Ve) / ((1 - beta) * Rs_est * Cm_est)\u001b[39m\n\u001b[1;32m 51\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 52\u001b[0m \u001b[38;5;124mdot(Vr) = (Vc2 + alpha * Rs_est / Rf * Vf16 + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\u001b[39m\n\u001b[1;32m 53\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 54\u001b[0m \n\u001b[1;32m 55\u001b[0m \u001b[38;5;124m# Filter 1\u001b[39m\n\u001b[1;32m 56\u001b[0m \u001b[38;5;124mf1 = 10 [kHz] in [kHz]\u001b[39m\n\u001b[1;32m 57\u001b[0m \u001b[38;5;124ma1 = 2.7034 / (2 * 3.14159 * f1)\u001b[39m\n\u001b[1;32m 58\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 59\u001b[0m \u001b[38;5;124mdot(Vf11) = 26.514 / a1^2 * (Vo - Vr - Vf12) - 5.0319 / a1 * Vf11\u001b[39m\n\u001b[1;32m 60\u001b[0m \u001b[38;5;124m in [mV/ms]\u001b[39m\n\u001b[1;32m 61\u001b[0m \u001b[38;5;124mdot(Vf12) = Vf11\u001b[39m\n\u001b[1;32m 62\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 63\u001b[0m \u001b[38;5;124mdot(Vf13) = 20.853 / a1^2 * (Vf12 - Vf14) - 7.4714 / a1 * Vf13\u001b[39m\n\u001b[1;32m 64\u001b[0m \u001b[38;5;124m in [mV/ms]\u001b[39m\n\u001b[1;32m 65\u001b[0m \u001b[38;5;124mdot(Vf14) = Vf13\u001b[39m\n\u001b[1;32m 66\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 67\u001b[0m \u001b[38;5;124mdot(Vf15) = 18.801 / a1^2 * (Vf14 - Vf16) - 8.4967 / a1 * Vf15\u001b[39m\n\u001b[1;32m 68\u001b[0m \u001b[38;5;124m in [mV/ms]\u001b[39m\n\u001b[1;32m 69\u001b[0m \u001b[38;5;124mdot(Vf16) = Vf15\u001b[39m\n\u001b[1;32m 70\u001b[0m \u001b[38;5;124m desc: The 6-pole filtered output\u001b[39m\n\u001b[1;32m 71\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 72\u001b[0m \n\u001b[1;32m 73\u001b[0m \u001b[38;5;124m# Filter 2\u001b[39m\n\u001b[1;32m 74\u001b[0m \u001b[38;5;124mf2 = 10 [kHz] in [kHz]\u001b[39m\n\u001b[1;32m 75\u001b[0m \u001b[38;5;124ma2 = 2.114 / (2 * 3.14159 * f2)\u001b[39m\n\u001b[1;32m 76\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 77\u001b[0m \u001b[38;5;124mdot(V21) = 11.488 / a2^2 * (Vf16 - V22) - 4.2076 / a2 * V21\u001b[39m\n\u001b[1;32m 78\u001b[0m \u001b[38;5;124m in [mV/ms]\u001b[39m\n\u001b[1;32m 79\u001b[0m \u001b[38;5;124mdot(V22) = V_obs1\u001b[39m\n\u001b[1;32m 80\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 81\u001b[0m \u001b[38;5;124mdot(V23) = 9.1401 / a2^2 * (V22 - V24) - 5.7924 / a2 * V23\u001b[39m\n\u001b[1;32m 82\u001b[0m \u001b[38;5;124m in [mV/ms]\u001b[39m\n\u001b[1;32m 83\u001b[0m \u001b[38;5;124mdot(V24) = V23\u001b[39m\n\u001b[1;32m 84\u001b[0m \u001b[38;5;124m desc: The 4-pole filtered output\u001b[39m\n\u001b[1;32m 85\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 86\u001b[0m \u001b[38;5;124mI_obs = V24 / Rf\u001b[39m\n\u001b[1;32m 87\u001b[0m \u001b[38;5;124m in [pA]\u001b[39m\n\u001b[1;32m 88\u001b[0m \n\u001b[1;32m 89\u001b[0m \u001b[38;5;124m# Input filter\u001b[39m\n\u001b[1;32m 90\u001b[0m \u001b[38;5;124mtr = 0.04 [ms] in [ms]\u001b[39m\n\u001b[1;32m 91\u001b[0m \u001b[38;5;124ma3 = 1.3616 * tr / log(9)\u001b[39m\n\u001b[1;32m 92\u001b[0m \u001b[38;5;124m in [ms]\u001b[39m\n\u001b[1;32m 93\u001b[0m \u001b[38;5;124mVs = engine.pace * 1 [mV]\u001b[39m\n\u001b[1;32m 94\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 95\u001b[0m \u001b[38;5;124mdot(Vc1) = 3 * ((Vs - Vc2) / a3^2 - Vc1 / a3)\u001b[39m\n\u001b[1;32m 96\u001b[0m \u001b[38;5;124m in [mV/ms]\u001b[39m\n\u001b[1;32m 97\u001b[0m \u001b[38;5;124mdot(Vc2) = Vc1\u001b[39m\n\u001b[1;32m 98\u001b[0m \u001b[38;5;124m desc: The 2-pole filtered stimulus\u001b[39m\n\u001b[1;32m 99\u001b[0m \u001b[38;5;124m in [mV]\u001b[39m\n\u001b[1;32m 100\u001b[0m \u001b[38;5;124m'''\u001b[39m)\n\u001b[1;32m 101\u001b[0m m2\u001b[38;5;241m.\u001b[39mcheck_units(myokit\u001b[38;5;241m.\u001b[39mUNIT_STRICT)\n", + "\u001b[0;31mNameError\u001b[0m: name 'myokit' is not defined" + ] + } + ], "source": [ "m2 = myokit.parse_model('''\n", "[[model]]\n", @@ -216,10 +528,10 @@ "amp.Vf14 = -80\n", "amp.Vf15 = -80\n", "amp.Vf16 = -80\n", - "amp.V_obs1 = -80\n", - "amp.V_obs2 = -80\n", - "amp.V_obs3 = -80\n", - "amp.V_obs4 = -80\n", + "amp.V21 = -80\n", + "amp.V22 = -80\n", + "amp.V23 = -80\n", + "amp.V24 = -80\n", "amp.Vc1 = -80\n", "amp.Vc2 = -80\n", "\n", @@ -279,16 +591,16 @@ "f2 = 10 [kHz] in [kHz]\n", "a2 = 2.114 / (2 * 3.14159 * f2)\n", " in [ms]\n", - "dot(V_obs1) = 11.488 / a2^2 * (Vf16 - V_obs2) - 4.2076 / a2 * V_obs1\n", + "dot(V21) = 11.488 / a2^2 * (Vf16 - V22) - 4.2076 / a2 * V21\n", " in [mV/ms]\n", - "dot(V_obs2) = V_obs1\n", + "dot(V22) = V21\n", " in [mV]\n", - "dot(V_obs3) = 9.1401 / a2^2 * (V_obs2 - V_obs4) - 5.7924 / a2 * V_obs3\n", + "dot(V23) = 9.1401 / a2^2 * (V22 - V24) - 5.7924 / a2 * V23\n", " in [mV/ms]\n", - "dot(V_obs4) = V_obs3\n", + "dot(V24) = V23\n", " desc: The 4-pole filtered output\n", " in [mV]\n", - "I_obs = V_obs4 / Rf\n", + "I_obs = V24 / Rf\n", " in [pA]\n", "\n", "# Input filter\n", @@ -308,7 +620,7 @@ }, { "cell_type": "code", - "execution_count": 21, + "execution_count": 4, "id": "90afe52d-14b5-4dca-9061-77a85e2beb05", "metadata": {}, "outputs": [], @@ -320,7 +632,7 @@ }, { "cell_type": "code", - "execution_count": 22, + "execution_count": 5, "id": "a4899a6d-dcd7-40c0-b645-3f846def1867", "metadata": {}, "outputs": [], @@ -333,7 +645,7 @@ }, { "cell_type": "code", - "execution_count": 23, + "execution_count": 6, "id": "a0dade5f-ffed-4edd-811a-ae67424dd141", "metadata": {}, "outputs": [], @@ -349,18 +661,18 @@ "id": "d3321fb0-e322-4371-95dd-5b260f970d14", "metadata": {}, "source": [ - "## Simulations with both models:" + "### Simulations with both models:" ] }, { "cell_type": "code", - "execution_count": 24, + "execution_count": 7, "id": "98954441-5cef-4e14-97c0-8673a229405b", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -411,7 +723,7 @@ }, { "cell_type": "code", - "execution_count": 25, + "execution_count": 8, "id": "328e063e-e842-46ea-a562-279cb274791e", "metadata": {}, "outputs": [], @@ -425,13 +737,13 @@ }, { "cell_type": "code", - "execution_count": 33, + "execution_count": 9, "id": "9dbca592-9a45-4853-84f5-5e792273e8da", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -482,10 +794,273 @@ "outputs": [], "source": [] }, + { + "cell_type": "markdown", + "id": "b5a722ad-ec70-429a-8f74-f8e05137c8f4", + "metadata": {}, + "source": [ + "# OPEN QUESTIONS\n", + "\n", + "- Is the \"Rs comp resistance\" _lag_ (or `r_series_tau`) the same as \"the speed of the summing amp\"? Do we need a speed of a summing amp? Are Rs comp and Rs pred both affected?\n", + " - Note: In Chon's version, tau_z ends up representing the effects of RfCf, F1, and F2 _on the output_. This is why he describes it as depending on the filter settings. It is a 1-pole representation of the filter cascade.\n", + " - If there is a \"speed of the summing amp\" then this would affect $V_c$ and hence $I_obs$ _even when Rs comp is switched off_\n", + "- Is r_series_tau a parameter related to a filter?\n", + " - Filter1 is set automatically based on \"r_series_tau\". So _is_ filter1 the source of this tau? ? No, because 10us and 100us both use Bessel 10kHz.\n", + " - In Axon devices, there is a \"lag\" parameter in us which is described as being 1/2pif with f the frequency of a 1-pole filter used in the Rs comp feedback loop.\n", + " - Is there an additional 1-pole filter after filter1 used only in the Rs feedback loop? And if so, is tau simply 1/2pif for this filter? Or have they done some sum to make filter1+filter3 (let's call it that)\n", + " \n", + "Things to test:\n", + "- With prediction switched off, and compensation at e.g. 1%. Change the r_series_tau and see if a voltage step is affected. If so the summing amp version is corrrect\n", + "- \n", + "\n" + ] + }, + { + "cell_type": "markdown", + "id": "78812d76-397d-4f45-be9b-3b09a7bcedc9", + "metadata": {}, + "source": [ + "To find out:\n", + "\n", + "- disable filter2\n", + "- set the speed of acquisition to the highest it can be (5us)\n", + "- try to minimise noise\n", + "\n", + "\n", + "zzzz\n", + "- Set Rs comp to 0, 20%, 40%, 60%, 80%\n", + "- Set prediction to 0, then 0-20, then 0-20-40 etc\n", + "- Repeat for each setting of the speed\n", + "- If possible, leave filter1 at the highest setting instead of using the auto value" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "2628708c-5b89-448b-a8b6-735ed4cc3711", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "2us 5.305164769729844e-06\n", + "5us 5.305164769729844 us\n", + "10us 1.5915494309189534e-05\n", + "100us 15.915494309189533 us\n" + ] + } + ], + "source": [ + "import numpy as np\n", + "print('2us ', 1 / (2 * np.pi * 30e3))\n", + "print('5us ', 1 / (2 * np.pi * 30e3) * 1e6, 'us')\n", + "print('10us ', 1 / (2 * np.pi * 10e3))\n", + "print('100us', 1 / (2 * np.pi * 10e3) * 1e6, 'us')\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "9a943592-babc-474f-b983-2cef51974ebd", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": 68, + "id": "abb227a1-f16e-4939-85d6-47dfeac02ea7", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Tau amp 0.0792\n" + ] + } + ], + "source": [ + "m1 = myokit.parse_model('''\n", + "[[model]]\n", + "amp.Vm = -80\n", + "amp.Vp = -80\n", + "amp.Vo = -80\n", + "amp.Ve = -80\n", + "amp.Vr = -80\n", + "amp.Vf11 = -80\n", + "amp.Vf12 = -80\n", + "amp.Vf13 = -80\n", + "amp.Vf14 = -80\n", + "amp.Vf15 = -80\n", + "amp.Vf16 = -80\n", + "amp.Vc1 = -80\n", + "amp.Vc2 = -80\n", + "\n", + "[engine]\n", + "time = 0 [ms] in [ms] bind time\n", + "pace = 0 bind pace\n", + "\n", + "[amp]\n", + "I = 1000 [nS] * Vm\n", + " in [pA]\n", + "alpha = 0.7\n", + "beta = 0.7\n", + "Rs = 5.457e-3 [GOhm] in [GOhm]\n", + "Rs_est = 5.457e-3 [GOhm] in [GOhm]\n", + "Cm = 21.675 [pF] in [pF]\n", + "Cm_est = 21.675 [pF] in [pF]\n", + "Cp = 5.78 [pF] in [pF]\n", + "Cp_est = 5.78 [pF] in [pF]\n", + "Rf = 0.495 [GOhm] in [GOhm]\n", + "Cf = 0.16 [pF] in [pF]\n", + "tau_amp = 20e-6 [ms] in [ms]\n", + "tau_sum = 10e-3 [ms] in [ms]\n", + "\n", + "# Voltage clamp and compensations\n", + "dot(Vm) = (Vp - Vm) / (Rs * Cm) - I / Cm\n", + " in [mV]\n", + "dot(Vp) = ((Vo - Vp) / Rf - (Vp - Vm) / Rs +\n", + " Cf * dot(Vo) + Cm_est * dot(Ve) + Cp_est * dot(Vr)\n", + " ) / (Cp + Cf)\n", + " in [mV]\n", + "dot(Vo) = (Vr - Vp) / tau_amp\n", + " in [mV]\n", + "dot(Ve) = (Vc2 - Ve) / ((1 - beta) * Rs_est * Cm_est)\n", + " in [mV]\n", + "dot(Vr) = (Vc2 + alpha * Rs_est / Rf * Vf16 + beta * Rs_est * Cm_est * dot(Ve) - Vr) / tau_sum\n", + " in [mV]\n", + "\n", + "# Filter 1\n", + "f1 = 10 [kHz] in [kHz]\n", + "a1 = 2.7034 / (2 * 3.14159 * f1)\n", + " in [ms]\n", + "dot(Vf11) = 26.514 / a1^2 * (Vo - Vr - Vf12) - 5.0319 / a1 * Vf11\n", + " in [mV/ms]\n", + "dot(Vf12) = Vf11\n", + " in [mV]\n", + "dot(Vf13) = 20.853 / a1^2 * (Vf12 - Vf14) - 7.4714 / a1 * Vf13\n", + " in [mV/ms]\n", + "dot(Vf14) = Vf13\n", + " in [mV]\n", + "dot(Vf15) = 18.801 / a1^2 * (Vf14 - Vf16) - 8.4967 / a1 * Vf15\n", + " in [mV/ms]\n", + "dot(Vf16) = Vf15\n", + " desc: The 6-pole filtered output\n", + " in [mV]\n", + "\n", + "# Input filter\n", + "tr = 0.04 [ms] in [ms]\n", + "a3 = 1.3616 * tr / log(9)\n", + " in [ms]\n", + "Vs = engine.pace * 1 [mV]\n", + " in [mV]\n", + "dot(Vc1) = 3 * ((Vs - Vc2) / a3^2 - Vc1 / a3)\n", + " in [mV/ms]\n", + "dot(Vc2) = Vc1\n", + " desc: The 2-pole filtered stimulus\n", + " in [mV]\n", + "\n", + "# Output current (without filter2)\n", + "I_obs = Vf16 / Rf\n", + " in [pA]\n", + "''')\n", + "m1.check_units(myokit.UNIT_STRICT)\n", + "\n", + "s1 = myokit.Simulation(m1, p)\n", + "s1.set_tolerance(1e-8)\n", + "s1.pre(50)\n", + "\n", + "print('Tau amp', m1.get('amp.Rf').eval() * m1.get('amp.Cf').eval())" + ] + }, + { + "cell_type": "code", + "execution_count": 85, + "id": "b8679799-c4b2-43fd-a1d3-80a1ff8ffeb0", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=(12, 3))\n", + "\n", + "ax1 = fig.add_subplot(1, 3, 1)\n", + "ax1.set_xlim(99.95, 100.2)\n", + "ax1.axhline(-80, lw=0.5, color='k')\n", + "ax1.axhline(40, lw=0.5, color='k')\n", + "\n", + "ax2 = fig.add_subplot(1, 3, 2)\n", + "ax2.set_xlim(99.95, 100.5)\n", + "\n", + "ax3 = fig.add_subplot(1, 3, 3)\n", + "ax3.set_xlim(99.95, 101)\n", + "\n", + "#for tau in [2e-3, 5e-3, 0.01, 0.1]:\n", + "for tau in [1e-3, 1e-6, 1e-9]:\n", + " for cf in [1e-1, 1e-2, 1e-3, 1e-6]:\n", + " s1.reset()\n", + " #s1.set_constant('amp.tau_sum', tau)\n", + " s1.set_constant('amp.alpha', 0.0)\n", + " s1.set_constant('amp.beta', 0.0)\n", + " s1.set_constant('amp.Cf', cf) #0.16)\n", + " s1.set_constant('amp.tau_amp', tau)\n", + " s1.set_constant('amp.tau_sum', tau)\n", + "\n", + " #Cf = 0.16 [pF] in [pF]\n", + " #tau_amp = 20e-6 [ms] in [ms]\n", + " #tau_sum = 10e-3 [ms] in [ms]\n", + " \n", + " d1 = s1.run(200, log_interval=0.005)\n", + " ax1.plot(d1.time(), d1['amp.Vc2'], 's-', label=f'Cf {cf} Tau {tau}')\n", + " ax2.plot(d1.time(), d1['amp.Vr'])\n", + " ax3.plot(d1.time(), d1['amp.I_obs'])\n", + "\n", + "ax1.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "id": "808dd55f-7c1a-4fe2-a8ca-7f9bba2a37a5", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "c7a2cdee-c23b-450f-bad2-28bbc0d24879", + "metadata": {}, + "source": [ + "- Does tau affect the stimulus?\n", + " - Can't see on Vout --> Is before then. But if it isn't then we'll see changes with different tau\n", + " - " + ] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "620a57fc-6a65-484e-a914-068b101ab507", + "metadata": {}, + "outputs": [], + "source": [] + }, { "cell_type": "code", "execution_count": null, - "id": "e06c12ce-9f41-4b3d-9843-9fd7214f686e", + "id": "7a518fa2-61ea-4918-af84-448aeff63603", "metadata": {}, "outputs": [], "source": [] @@ -493,7 +1068,7 @@ { "cell_type": "code", "execution_count": null, - "id": "abb227a1-f16e-4939-85d6-47dfeac02ea7", + "id": "12dfbc75-690c-42b9-aac3-25b87da5c20b", "metadata": {}, "outputs": [], "source": [] @@ -501,7 +1076,55 @@ { "cell_type": "code", "execution_count": null, - "id": "b8679799-c4b2-43fd-a1d3-80a1ff8ffeb0", + "id": "40fdc26d-2694-4769-ae0e-47d5cd51cb59", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "bdc3df6e-af3b-42e6-a92a-30ca15868247", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "b1ed5858-61e1-487e-a2cd-c3b9c6c54899", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "678777b2-851e-4da3-917b-d07da6482804", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "d93ab3f2-0608-46bc-bd0e-9dd0ffc7e2f6", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "48fcff8b-dc66-4192-88c8-32e9ba837f7a", + "metadata": {}, + "outputs": [], + "source": [] + }, + { + "cell_type": "code", + "execution_count": null, + "id": "0f14611f-b6be-43ac-ab45-c7c0ba530e8d", "metadata": {}, "outputs": [], "source": [] @@ -549,7 +1172,7 @@ }, { "cell_type": "code", - "execution_count": 1, + "execution_count": 10, "id": "3425887e-136e-45a7-a7cb-36fad431d23c", "metadata": {}, "outputs": [], @@ -561,7 +1184,7 @@ }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 11, "id": "0b89dd81-ed67-43d8-bd85-94b3afc3258c", "metadata": {}, "outputs": [], @@ -614,7 +1237,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 12, "id": "723c9f87-06ce-401c-9001-a13673a5a9a4", "metadata": {}, "outputs": [], @@ -626,7 +1249,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 13, "id": "9446ee70-24a1-46cb-af96-a15cd828220a", "metadata": {}, "outputs": [], @@ -639,13 +1262,13 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 14, "id": "fc8ba242-3907-42d8-9391-97f38bc20e09", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -663,13 +1286,13 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": 15, "id": "c2c0ca21-7feb-4a1a-ad3f-769c8675e164", "metadata": {}, "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -745,7 +1368,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/artefacts-4-simulations.ipynb b/artefacts/artefacts-4-simulations.ipynb index abcb858..7e6e554 100644 --- a/artefacts/artefacts-4-simulations.ipynb +++ b/artefacts/artefacts-4-simulations.ipynb @@ -1076,7 +1076,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/artefacts-5-simplified.ipynb b/artefacts/artefacts-5-simplified.ipynb index 545b77a..8c29a8b 100644 --- a/artefacts/artefacts-5-simplified.ipynb +++ b/artefacts/artefacts-5-simplified.ipynb @@ -1084,7 +1084,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.13.1" } }, "nbformat": 4, diff --git a/artefacts/resources/patch-comp-8-filters-NEW.png b/artefacts/resources/patch-comp-8-filters-NEW.png new file mode 100644 index 0000000000000000000000000000000000000000..60c004abfd3c33026e453ed098aea1030d4cdc0a GIT binary patch literal 23022 zcmZs@bzIYL_%}L4K}my>GzjS!ohlL|M7l=9knWaJ0VQ?hNRg1P(YY@O2#kA7n6&pT&*BpUS2#lj&^PqX3kbTPOjD| z+mf^p$Rh~s)e9}})Xf>MRIP)9tG{DsWW=0#L4vn_@^Ey_-crB$!e0HJAO1x9TR~g< zllHg6>;Yjuy5~g5~0M= zY@HjzYeHT+Le zsNo={QaEMPRFwY%FL+-!L6@- zMch=u)EaumQKdd8hB-i{^P@-phOVX-vilGduA~z>Gz3I$Gkc| zTKPxzrSjIByX#eb#SH_gZWB1z_2n0pzug>{9(oPCS9Uk)b|Xn-$^Z4a|M?{bn*fOo z?s@sLDk0k_I41ZV&d)R+#T~(GumV^0zMhA_V&vm^Nz7}vVGXcTRmJ9QessGFAsD?F z?>n!)x}>CuPNXM3&&^AS{q1*<)VGM(#pr%9U5V?tgGas$EQ(l&ZWBxNpJnS2TUqCa z83mDIH$T)`xb$hquz{h22^PbJ?ELR1|1+rPgQv*qxZ&^ELq!cQ*w#V>SPRns`98^L zJn35j+6ccXzP160Mu{=Z|7Wd&{+}Evj4e_|MznKpSKXdl2}RRf)PSy%zaNU zI3`+Rvo+VBB~%0mqOHw+9am^c)hbfmR3}a_5K|YD{w8CVvopN2QFX301H248FeF{& z>v1L52PRL5d0b>8U*j|8;l3F5ZuWc7+>h(+Tuzsx@M)ro`c&_fG76Bg8kTOn$a^kLKd zV!C6@40qm zj7s}1C3C>0AV-jf8&qDBeRaoR5en>dajv)==RnG`Ck|KlnI3zne$JM}@!%A{S&YL; z&J72{l^D}WOOqz*Ja)lnZ2vL$jQnIn9LkHG=|DI)L>X7iEf99h7Z1jvk^da;t{1T4 z5pO*D*uQ}jOLY^v9y=>av1%9IFwMDbME%DI0?i!Vve5U4fJ8(4fhBUArfwG zC+zd$PWh6TQteu=KlGQ-)c4qzw2W&Q@nY@M2!_vq5-%osA{JS4OPIvJGC9PbTuHq5 z0rwc#{Tdj%>{PdO%R1VVNvw2FrR-n;Mim2jbVrhRjSkl_aOYCQ?2lb8%Brzw6|VVc zjTwg(NNK@GK(czV3azPjIB>xUPxo5)W0o8z<`_JD>?S3Qik8c2RkdN-~_euw& zHpRcQn1}ow%c(s#)GFYraGo`lZw{!f#E(_PGO(#?idBuyzb|aw*GTUw;CP^hU(BwA zFoICO$I-{B_yGdwl_3#DjvE~?@gD3s{%1GRBsTq$`q$ihewy_6*@I3V|EIL$KEvRR zj<)zXIGJRH^u=e%Tn*rcNrVQNWG#N`ts|-=6^d>)fA2<`3O;Ip-TWMWs7y<$66=2J z3sZ8U@f)vuC5w(Yd6+J0BDr!)Huy6_n73m*b>q=m?XCyZlnz&e$>_yCyO8k^a=zi5 zifUqTs_m;=-(~KxibwhL+GGsi+mSj~p>hz6heS_JlwX>?GorztzCm&`J$qlZ&?o6a zuX=U7u6`6xlt_9Ez)5OEAD0d(6aXIYqWBM^@k9!~7D3dG@Ka%v#6swnS5dQE~s+SxVoMp~eXv`E} zDd>si8l#vd-A^3mo|Yk|F+;E*>y;)o2Lq6Ov6X(<@_JSdiaP2WiMavfy_>!`I-EV! zPiC7Y&241y`iQ|qlsFuo6QuTgj*-cmLz=17$V(Uc0ryw;BR4?Hu|)$F0>8YgO&=!k(^kQ$pm3? zN;flx8TFty{BZv?#)z8gY@6gAd%k8u#&TH4?9*D8JL2szBR0rD-!Z-$zwC#>u@g!8k07@BB!Fzu!B3T(j}!Eq6Ly7uhR zz3paGM1%!dKAbYrwa)dUT#FYzK_`Q(oJKYfi)K!U^IlSfKKR?YgXFfaxj&!?suYV`1J{M{R_kg+=4 zxydC6Ewyv+0~0zNvZQQW)SB66<&ME4bY9Cz>|p3Hu;_^F(nsE^ytxdy2r_}|kaJ5R z!V(y-6GN|}k>*pIp@H|`)RDumL20A`7@-fd$Ja`)X&FM8&vUzP4tb@v|FjH_N66ly z@*mpLWS**_tO_(jA)3ARuV-Fuz%Nz;_299OY`kDhAD5#eSY|l$<)&S%B9tzKu={=w zkL3q%xpc#Y;Rwt``pB}_Hu9n4>V z-q$PXctCioytfrQ?YAy$yRt3W3kuCSi~D zVPa3vezvW@saD=%KGf{y2ES=aWpBfctAZZU^-PJNW}71sf(|9y#DF(R{%3cbl_r;; zLPD;r`|2=u6||3F9|YXFOUWm-%68s=+5DMl@is|+)CXB_JwAK)09&StxeY^Gd}51j zeptPPN3NV~80J#R{-f}!8OaLaEc8x4=b(S^1LTJ{?H0G_&Bz~<)nP0mJaL5=Y*sx%}@grWfHU zOV4kWlKmjKLbF>b=q1#TCATc#apS~g6?QouV}m$UXXy9}smAX%&P}yPSZggxrhF97 zK3%7R7P@8>y*W3j{^tLfuW7R!QLQ!DmN|a0x7(CL?MWS4#YPzN@{}Fm%{7CTCo8lx zrCqL3>8N57p{LNcTy4w&hMPYuK?repd-rNkK7tBgc3t^BQXj1u;h3Qt>Ro@)EdKW=O;uFpD0yUZnOk#wR+8xf6}yX zYitt#hlfyv*8H#B|FAmoL-%IuSUW-NfR3Oh{;bOPd8$?cU~x@~zFfp=^fT)-`)||C%7a#zGlX#Fp?ZDj%Ryf= z_RQ)`6Kx-uum7&XH~cq`Y+m`Nu&<@MY0txSq*!5LOaY?0#y(c@(HWvHPjunxIZMZ6 zgvo%v2yu&iTnf35xreOgN5?FZ6>z~Af}w2{LPo(78*2g@ePX(g!S(A7x2Di%+YP#F z<8^Kut|ua6Ulb<=1*27r0%mDw?$A@Xy+uvOR*zcV+)t=l920jv53b`5}^4LsX4a0J4W)44GF z1x67%^>jN);LZc#!%V^X)4R33*zITu9zcrjT+<#v4tnrFVEXXHh8lL(&JYFwz3#&n z_$qBOG`Kf7Yk}-1cuV1@7!YdVq>8pr*KpV1dBo&8_QHFM2vri?YqCif$U4Myul|Py z2(g)ZQ^@TouhV()_0^rW#}?6lac|5nM_xX)smO*Q8NayaGM9dEE4lYj)cH}GxX1bp z6{LGijIG#;hGbWF6eDIdQ*0#)!;MkFsbLsg0DtRAPnYr&@YF-r?&t|4x=&5|9>B7&??(4%gv3vzWIvP{8 z769dU&TVe!*Z~U0vPkw*J0n|_#fW{)1s0=N|59U&LvmQ?-o@FGbziF3=lAb#Ff&lg z{f5I7eljGw@h}=Q#Yv=rmztx499k7eh4?#1-onJmR{IT_Q@~WFlh)+{Yidu*eT}#699e}FRv~yP!e8_3kwmvh~Yf-PMG-fK|W~8 zT`zkNRY0{HoxMoQZhI4ZW3f~}7*462Z}Mpln&MuV7G!4yj|Gtip@UW|eA)Z7BfuE; zqEV!|`{XKnyPXy?xb`w`ymQKN=s7*32%2t@to|_+O&m<@=DaQ|J6P5C%+mYyiom3E z8)*;S2(2SRrh~zRVUlDOKb7=P2>GiIK^rU#DW|(Oh&3Vm&X4Wb{#bCR83snN3GGJ$ zsfCQCT?P1vYFZjHID5Xr_`64C#i%W6WN8A@pq;*;$KDX92{mN&dQ@Vj6lI$;V%z)G z-E!Jxq?{6Qn1qtDM~tB+AUGYI3#^#^V%H}=yO$;HzWkL}f;;}M#J8Kr7L#)WRm@e1 z?w_;Ok&a)6r5xrSA`ia`a&2A}E2Z7r&d9JWeri*e9e|9E6?{3E!R(;bImb5k$s4Jy zfVC&seL1XO>hzce$MJI2(u_-o^Dfhs@iUlH=;?FA{1ye#RC}Cy_+aQa*KwD()-q^Ue zst(yl^+J`Yz#H-!r^}7dFMMc)AO&VsVpSEBg1sQRJ23y355~jo@0?ROQa*G-n1q$G zg{bw9WmE3tO24>bScT9tTqZf)fSVzh6i__TZD-enJ|V*6|y8&E%i?eX=OGz_!1YAdK#R`D7ksl;$<9%!@1 za#=;w9p%C1YJszWzFooDsezGCZ2J8C=sKk59S=O2l!+sk&f(i|8Xh{WKNHSkJO3#~ z8zu#GVsk$#6IerxWjDw@#`~`yqG9#m<@311v07*NQHyVoMAvN*3Nf3JPdsgdQYqBr-IBTSE zDZy(ZsVXw0R_ynKK4b~$mM2k=K0>&L>Tew@pb;w-8&hwXWgZXvU+`=P!E;_Krx@v2 zF!}G_li04c$mx_ins%di{q^|a5s0J&q_jW3JeH*$${RhyNIfqg9~HsKVY1(xr~mW9 z_3R!54oZIvxHFxw_7ibfk*(Q=#q0#Ty^I=RH_Kh99nQJJ)d@V!9tz$+6jKX5EnEzm zz%WdlP}Sz;XwB2f zL`4;v6_pF1Cmx&SZ`KwLHV5!+ZJcBty!46jqxY6{7)3mR_ zOiJWm6~N#HU;66)8l-+5vwMf9tHQYL+7HfA3QZ+l$V#)M`#VF{XbiRkR)2GxTJKJz zFnVVpy0DxNN<7r*_Hxh$Ll#e;uz20-ZwLQe&{Xaxv1Ut3ID!E!>7#A5)Tz zQCKay&dUVT4LM%%VwV+dD0$RI?!X9@4IXz5I?BM3S#5Ue!=D;%gMiDdz2>=||3k;O zdEnWeJ`N;$O*Y=vk>u=RWS8ZbT}d4QwD7>;*O$kNle!w(5555p_ePft74#;~ePFT7GmMDF$7_}_G_z{FVEN|zeB=|@ z71CXYa-oY@*Dq~}5D4K?M-N5rLdufeSSHhY*}Q6thC_ebSSUT2h(LxqsZM1i-> zsxufu$mngV^Ln(w%L!W~R;H%&T90FcpEb7Y(0C<5f5M>nS@^P&czy0l?6A^c(g3le zA5Iq5Fv8ja_Ge_B+X^cY5z$SGSL*x5dY9dGxtX~c0&TbB@fvhpr`s^)W~z1M!K7`6 z_b_$y8^522?H5Q6BXoB8$J-I<*@j$>Hi=6b>ZbX#=&SV)Ha z-F>P6BU-9>f5NxMy?c#>=fc}#njgllOalS}y1L^zx#_65^i1ck+O+i}T{Kqu(v&Oi ze?v8$t{3Lh^hckane=VU+$`HDY2Y#Bt?NKwlgtbqsGEF6HD!>CZ zGDvWint1ZnIx4@~<9szjDFzM)YJ#yz4(#LD5=AN!hyytom*jgs!x zRYMu5UqQm(+V#47-ps`)GhJN8`sF!o!Lx9($-t|Nk?(R5MX63hsv=f+T6)x&Jvbk(-c={?~M!+k-@7U-qX8(OjRtzYWTU z_S!3H_iw>vHB)3h70SjG)tRlI#$=j|63)BoOvnkRgvE~r5@kep;E5eNgGjzwJEJEoeIob z76@${_hgdK#x68X^@KF`2sLYQJ&l|8_jC{$PCDYAJnZ*$KB+;RQYOST7g!v~ig-%N zdaXttX0~|-)DBN;8TeUNjk4!}yNP6!64y=?s!I4F@rkzM_+ZRrZ#64Mz!U?ip4v`a z(rxlPS_UJ3Jz{mTf9fiEIBr2fLBUMTYiy6&@l2TBvacl#gqzDBKSfi}Fx+q3@7$)mUyCS!@fk0Gyt&ORa`w;Fv)N1Js(nOGZbnOErv+*w}DLsr&|^m=H-1t_e-a+WxPW^ z3zz0Y5A|*y(57#nzUgrB%&SYg&)W6JlFAhz_q?p=g(7s{x`CFKpjhSkNfU~@x7|%g z;n=Uj>+NF!(xli^2qWP&(3CdSn_woUAyNSfK!ICdS6nZ>C>wH?+=JbE-RZ( z@fnO3mk_Tdo6q}A+L_1D+^|P=0=G=j-y;;u;T&@$3L2A{#nT!2c zN(u@Z)6cKW@tmEdj!%perSCin|GpF48RQnsfc_hx{UND7gi}x=+rf@%)K;>ZK&CLn zrj-Ao-0##kY*M#ZX^#58@lU%-BdriUJ=0eYk2%_0WS00s&0!%JF4$tPJz{b@Er=0$ zNODv|;0rudDQ3!#rxgsXByukoj=7v`P~5+?ryY%e>O+!ZJ?Zt>*=$B3UIJN7P@kZ? zVTq7D#(lUx3-2xt#wzW`qF;O<;I|*=>xg0MKmNNo;n=VRkgNH@y2r}x{k0*s`km&p z!>_{?R7Q22#hnI?-uyLl0TPLs3v{r&67c%p?wb4#(@~3Ld*aCcbD_1Qe0E=W%CQv_ZII*Y|he}1>Y{}S| z>*q_pvVY5QaaMNn>kCcHkA0_()A@q_C(tuls}*`aQ%K9jmJ>?azdrYMTK!ClQ*)gW z4AvzUw6wJ3uLp;pznoOmwb}}X>$_O{Of)wu=j{C7wE!UoK}J0jHS7bk0b)oYT2Z#P z@{svN;d|R^#4T8c)}=Q5)=vsCG{zRO3|$2sM|pv7FVxef2wXbT<~>qxjbl+zXf!0% zeGpdN$>=xk)-Pqfx7>@SHhK3u-FKZG3Z;W0A{`pGsz*yrWa#+KKNcG|`KEQsfHlfj zEBCj(x5$Kdwm+l>?Eq6KV{o)Rvju*t(KYcOk-j>2^IQ1LwwdNN%MV3=AQR7<9f%kd?zVKtUjE!`eSf;kNDcK_>YY;3fgv^$rqoD(G-7u=q! z3U!V+>QW9fG9mnD;k~Ve^mw=W-|&-Z`Hzn$B4jQ4Z;R3%KcO)8f;5w?tP(d8R~9Ue zOLY}Y9_88hXLLKJEksMSZFoPEk!i6UDbU_%d?MpP~Rj^|ddx?>5X`^nrV;b+sts>N?#Cyh;iGE8=n`+1Mv-c*Q)^y1S|Vyy4BW7?c##{qo7fT~~bsB9N>_SGyUdFDDgZeoyXqFrXFT z$s#6RRt0S7-tVD(q91gM4T{lDOWpA#jFL%C%_pHoUNiBsWMUt4l*gLZ2dnIKtH;eF zva`EcNi3Q3eJJG4j<%*m)Mxn@JE9gvT253|Uz20NS?>s?b4((E#-yZz{TaDcGbb%( z*81^2uESEG&QO?_d{j4#(f93yLF5zq6pM6(|VFY=U&vR3+`Rej)wdrWq;^(J( z^nRP=#gkx804-`}l>2=j7RzG9VROV+*fiN%w62a>!?0uA5W+H>hOt}9zQqPr-<{@~ z;T|K}l2_+@ryx%Y`uwvjY5b=|2Ea@2zkmNi@yH>>_dow!$zH9Z@4SH)-KL${B37yLF$!xaY+yB>v)#w*pI9&QnTv+7CU zcUx8gF|ltrUsJ>n#PhKDxHt;P9}v~F;Bd)XN#mA@u7%5dO9^Iwet0E>N|lngMRPAQ z4%#V-w7~VYcE(^!a7H-2mwo(Ce=1WnqPJT#GH}x7$AqfLj8;#C0D@d<|4r0JMGz-P zL8kz8W4aFAbTDeTw9O>un%$csQrb!b_TF;puoYfRSdTi3P~!yqk5qF60?230a_ajL zhPB!MBBruou^bnuNCt^fgBnMpQoGXb2CEHMGyMoEZUe(YN;&mDyQ%`$y0UsDC8f>c zn%Rme$A;c$@%6m@Xf=Vaip*Kh zPDQupdTJd<7)tDk=?U%AM^yUjrjzd)IL0+?(R!K2i}K0H$kc&7ZaG~C;sc+R(v~hW z`%pwdy|35WAWPj+f?Zbqnal@J$K z)RZG%I_tYpY+}DNR%%ig%muIg@-$ksm4KWPJD8)qk~CqA7`04@DNqD76bSA1jek4R zf?@N6!yqf@Xsc6{_wY10U!JT$9j8Sv|HcFk*J^cOc?8h1>xP=k0K{foUYxms#oPnZ zU7<-!fNeLYuwZykNalv`bebb|(!?2mCr;pYBIWu_PDuAFb$1*dA^n$fMq*s$(Cftx zI;TG%zTu|b`dJRVxKJwh<+QJ+X08Lmv}@0wm5e~+;`$;jDIKc~%Jjg?lT@E&)F#NU z`j00-9p#rS~(_gVo^?D4mOPK`ja79XD}9oho1zse!YNH z<}m~E`7I<_yr3Xg(?~XK{o#5RB%Gy*EK(S1at39MXVphmlNS?TY7en}jiWAM`Z1ln zw6UfTCLiZQ`*2cPRM!q!jaRRwwNcr{nz9QPR^!bZ3}9XuDntAK-rpjo5wN_iYoy&I zlySDd_8^K;%6G?h)M_hDg>{e9P-*4U(`a07ilg~1?mzpo{|M|Wf6KoE!36BJ>h7Lh z=KFWZL~%i@DC=|^=Zgvsz;@n+k}=rwHtxRv{w(>ysj*Q0WZ}9}=?Ja3``eWeV(Qn( zeVR8(J?Rk#Myq&r+S4wzSNYwFqkWmIrt;jh!%HFJ-{aKlX!L7A=vy1k&lM^X^7`{t z!NA3(&hGmtF>*5W_3>p_ty$inZ;0Pk6*bzrKNo}rz_f;%{rx6uoJ_XZHf9<`j%NLw z7|VFyj2tW$H0CYR+homd zwo%MF%|oZi#p+2u!$3WD*-3V9y_<20-+iaQYxAGHOC8@er>aVB*U~(gcSUDkywsg_ zMCfQC=3blWXBG#Y{FPfP$n?tVEEqhfR28212p-uTYNJ2YGOGFw)0hjD6M4G0tH-}9 z@yn~flB+U|m!9C=s95($j+7Wlfa(T9gBqT*8kxn_5#T-Ra0LrHU+dJ-Vxf;^Ln}>c zo#&71X-1Nz{Dc9z5xQ0hCLQcX|5&0r^|%bHBLQ?u1cG)+Q<2FGxxB|J`Mi>b@At~` zY_DLzRN9Cb{h(#yMR!mh4`aDt5+8G{l9%Ipd{s2|25Jh6vxO1Wp9a<1c|%O{hJxsN zB#R*4tRu?pBZ2A^2l;u7oKxan+i-9$-o#Xy%r*OO6m=RI80k3S`s#gWlaZCL3kjH- zy3@eH96QO=N#rr25fez~;mahk?l0@^3hdqNgiH1V=+W4>@XzCgM$Gy7c?(Nymp_n! z4~Kw)as{?)sW3WzNz$?c#gKM*d_J≦xWr?fe7x34BBtqC-lG7?sz&o7~VuMVoa9 zk^buLJo)%(@cVrfJ#v(on`HYlo2{-9vKq!3o1&!^6Hn}ay8k(-iKVKjb^Z+KPUrQJ z{Mv~ilxBpA8roc*pX_gp^%D^jpPZ~@%pIXVOz0vA%>7KS4Mz?qmC+!@$(!CRT$xb* zMrx8z5+(LuD`lU2^+tnmLkPh4)#UY|S|0@y9`SE)FC%~b>f%de6kb4li1!m%!|Th?yr7L6|hn&ushoh@Zs}1J=)1wr;5p51ZVQ;+Aj{tN`nNDZXc*gmLDF@ zhvn$z%m$n}^huxDyNb#zI$<1bj;3y0b<0UaSZDZqZfz(FO&L|by$4VyOD9vHWZ>yg zhF;}cb)5{TjLg-jEV+~*txUi>G@yRbz{d>(icG$b0BZ9VNl`2Nmtn5PtER8j4p7(+ zrGoA7tzKEN*Ht5xtQ!Qd_>%3k+(xY1&hCyqtm?#}=&T%Ta^JZz8{V>LpJrzGQ!5vYO5khQQL`Ca-~n{Nw*!buu>Mihxx(bCWf~+S^rIM0nb{tqOWKM(S`pnp3C9TVoJeR_m&*C4PDUv?iB1|D)oj zK!EiH{7>Dlc>~~Azl^-J>!yA!A`779T$&bjo)|)8cSCA*^s6Vo%PTA{FLnY;izR0L zk7g#ES}tfLz4-{~ogrh!e%v6Ov#q3gF#@Vc0M0gP|BADCg^` z>U#r3#|8Ft70Imnv8`{cw1o&Ad&({%c6ZV;cZ+gQKSm1iP*H^kh<5h7sUF8@>6{v9 zUqNQ$h9AQV=h(QI!?Wi?Gun;Km~oSQw&e=P`l-W7xg8=};Eb*fWXkT>v|JPuDOl?k z>J;0980a4)6Ymw7O{Gq;HCOMkvD!h`lW?`91B2aBr}(PEN?z3Op^vgH#cmQo?Ih!W zlVTE00=fXg?F*;mq>%fq3nZY+%A>UIb6U~L@A$%V@7!2bj;*@JA|FC&gzaenT3nLm z`4AS=U+8k45gY}zXTp1D6ty=UXQngDi|@CZX)WV#49qFT%2x{O2o|=YiYf@1^Y*cv6|mt2yVgeOrFqYz#t( zF9Wm1ONDUZb8)Q=lZ|T+-i4;nRy#1vhBYXQw_-;xqele0lFbq1TJyD91Kd5Z3aZdR zg%Q)<%Kg2S&Qwc0Y?nt{&6|DW!!yxY>~hX_(gZ!MG(@~88dF=DpU)txA@qpihV%mi z_jmULUew?(FaHy&4G+20OL+$<65MmbO56L@p)BOEDBEOow#%}j{cNX&NjDPGv|-m$ zM3SZZBV}T3`1N z2%ZbU`#g&AzqYfof@GAE@43cA_1bIaO`W#KH~d2uWdvum-i|7iaU|6Fm~KHAqV`W0 zA-c_ogMX9NyW(jN%17vM*)YDbL@;Td_8iD*hjtqE`D^ze-skK8*KkAG)+Ie$9zI)O z6-ju*%i1iSVB|-OI!M4W6qJKieSf|t*rCmDZ3g3qG=?y8p9OPR0JTUY zZ1cfvSM%1itxV8JeFwxqO380D5{+ED@y7UT-S#CyY!{OL2whXL}p+h@}Jc$RAkI%7WiNh-(H%?O@ zKdyXi@FvRb9q*be&J(7I-RvolEW&=oyb@y)P05*+>D?qpIzq_sw&UEZP*E_M0U-fI zwZUNDbC`c)>H^$Cz^wIVN!@btp91Z|@V2+D#cOiqJV)W-;m^3ZEL%U`@euZ{n(>?} z2alzH?B?+q8U0LG$DNU9JT2$0)ia)k*B?&pFhyt%vbt#hiFy2(MT_>yB+WT8miEEsYt1VD|R^zq6d0i8XjX71AIrJu1e<3?#qKoP%oTUKUu z0sOkS$}ZH%&w5-wFQQM2j#L{~(aQFIWVk|_Q}^KU{4_a4JAG?h%ZhW#tMA1zt}X?2 z5;-lNAndEXlfY^_lw0r5Lq`QG=R(JlB6Ms@Kik`BB@(B(m6Rk+2srs@)Bt%#@p^Q? zJjxHLQY$6T(iP$dnBEvb?b^0qsD%ad*>`)Y%|N`ZmHn*k5exj4@Wn8uo*HLHbc4m4wHgFB1}$|2@H6(<~LG6L?z|O z7akGevlu0kB<=6Jo&axt=d&)fZ25OR^qT=pC&}2j^ejqoQX0!T&MtUoj~Vou(B_@& ztpNSzd8N<5|X#$n!i z_)JyhPwYa90ch5UQpsH|Iq=yp_{^p~mlzkPqROHTzS+t5?3;!Qn?5~d1I*Na^|qB{^={TpDy)Ng!m;(eRc&951HeLxi}S<3ztt8% zy^|6w-p}s7csc!4U*8H5%W^?f`^-Ve5j5W%OgH-MiEb33HL`Sf!X^vH6-q-%={i9A zMVbVhEKO!}#D%Hc$^|(3Ds&r1Iw-Nz*mg}k`7PwHDNug)dI}PWq;9RS9|9{R6E4vg zTAA}vSV?A~!cL^>?8!y6g~qjSlc$^&ihC z(IN}R6-Fx_qf}UBPX0UqMF8m1*e*+s{N!zz_Mu=sGiN(CAY6iA1%AQ2_6+y6Op*X| zhK%Mzqv9opt$u^Mpb>Y^Q$-2LA!muRbPue|w(ek{QSA8~oLpQN0FZQbx^xce2~A#a z4uP+6w;hZJ$0Tg*q^KqoHSfT?c(&X}rt4l4vx62DlIa}y2 z$>c*b1+lwyJX+($#9IlQ*doe1MreS#Z=Z(p1j4li@ZNn`;0;*n`!khC9D- z1=?jh<^XX>&D7+odR@ymLZ*j*&aJ$1Mm!+hcGwWaWb55G6dYyuFwspPVAho?y(-%g zdg$53udh!t6z4>|cX6+P%e8_R$jER0vq?y-1trC`riZgrE34Q6){XV*K<4#efgLM? z?E15Y5Ej|4O)Ug{Q%keD2w?#_HstV)+9vEWXmdCjd9)>^$sFY9t%B^-zt>&?+JGgVHL}9m-`gd7^Tq6F{y8Bo zpXO!Z@TYrEr6IW~6A$wpfO-X7o-nripE+XgQd3baQ&LeiYw78UKDGW&{FxEHlbEwU z*pdMOJ_AA?pj>rKaGI2!H(wP6dS}jh?d4QlwA*Kpp_eE9fsXPvOu10zCfB1GuNK{r z2a1#QtAge~KN*Wf4dd+#q3QhhyTw59cL|ISosX2pe@*m&<;(Cb8VKBAW-p{<4bTGt zHoPBe({+0fh^cuRi}UhU-k@?l30L!j z8|h6RthxPC{l9L`h~7;2Cu!3}%={_*fb8&=Z& z2q0{bE1)tdh7ElGqp4Pw>gLge!l)Hnu?g45iX57fxB>ciO1MC~pWPFz{nu=THj)w2;Dcb7I99!ej}rxi z_=Q0$EWPBN2SiVGH}FLuF=08HI%9J0Z#e@N7oZMSR)6p>rrOGrSM{tu1a)!qoMPJ~ zMTK=m(N>?@1@y>>Uw^m3_Dy5RD878k#CqAq7$ybtdj4|ri=Na?d-7C5Nh^f4dUD)| z4-ORZNK1WHtZW7X)k-3uR}+Y8OCayZ-~r7)^@}2NvocU1Mr_JJi8Sb-QH?+~v8=@o zm~i{QdfQf(jM<*QWW(4)&-65Sao)JuvUfv4qUJ3?ETayQOYN~jj47R}nVHScnze`Q z$VbzQEdwXHpy7F%8xN? z6G5?5KfsLQPEW@7lP1EQ^__pbDfMtz4Hn|%>O{*vbYNv~=kp5@?_Z5)d#N%z;v9sH{XO5lGr-jxZTMJYm@)oVG+r+4=D# z(jlr@D?Ohz_`}{#z41Kj^q>N5p+e79A9smU9{c%%W)8ZaVVHm_(Vb>Y5(lG1HS$6v z%#v?|xr)#i1mBh!k1->TXL?>I;;>Zb);sPSque_|_++9v`e+2(wSQ390=$%;0E=b4 zdg5XzfNC7ZBCBra2$k6t8%F}gW-Lf>otbmk+~HPZ+J_-E!c%Qmm7ZNGV~sACrPr`h zcGw1(-wUbm@0m!^T?~FKxTC^d{gldtI&2I&%+}YyajXgLlDZ0E_{<+2DLM$rrm$XUYph%i`_c|CW)> zfGw)sHj#^>H`dT_-365F!Ayf!=|L9(8NDL#Yra1VPfy&pGl5iZEBfBhlL`MmhP5}K zd6BjTODyDNb|Bd&@KsPBCR`FFKA zU%Yq`UFkfmLd5r4q3HNUrW0y~iPJEBHdx17_*B|Vg zMnE92$wHj`HnZX1aNutTSX}>IfWd4jM1OiyBFc!B9h7U>LsRYy7piweiOe2<0M^0b z&1hm`tsfG1R-51c{!YH|Np;W>bNSqbCOy*j$9N|xmRqPH%}o_b{d(dRRf%2(&Fc&8!^pc=`tGp0Tt0STAEy3Mu*#_9gsd`I>A7)%N<0 zz*UIWm&h-yh-Q#*{drHp`TEgDDw54+^cy&Io+xl-M?`{wu5*314MxSZ0;OF`uUZ24 zS&DB5XyphsQC0y;fHM)E{o~~p8;!h%ie;?$y=@NR+0u1Nl`q#?o1UA6GTS}lTyJ#gY`j~9xT93??jGf@7y$amk5`hK$?yp}@$c5{~U0fGd$N#)|^1lmggz1JP- z-g`4$1f8+Y(Pt?jCJ_F;hnR@SH0f3S`w93gvnsl*(xK(oa8(lO6rXLai_|hHmBhL52Et$u(Lb+u_7pw{EE^(-OfI zZDvgIb~DtoW?b(V#l6N5gL%eoo(e3U;QPT9!Z&jwL9-Q9%i?ocu?~^lJW} z`5?9JbpfTLjQq3Lv{*TpxcKlnPaf{?)T^Mqpkv zF@r{1Hf0WiZF+w5S5>-Jzg}sq@I5Hu9e5i4ogsL^Qs9Jf*YWtdP#b7r>)5mMzD&ow z`Uc&FmO(^&u<2jj-yN5E-Eh7&zyUYjBsm970Av5^#$xxYQ4aV6Mf%Vw5F|uF@#8S4 zvIY1{#-Pepdt+=I>_8---U~L+y@?Pp)-?^&FQ~1DUR*rT|5d`7KtuU|f84%&Wtm1u zmJCTq$-Zxc%1|*Qgve6(+PADx_7GW%q7WX1Y-y||OUd%JO_oq9%w#S5_Pg`{J7~d2?E~- zBqYBlq|nDpU*u=}SO4Deiwx)-me-7W`HOOQelXqV)6GOCkRMyQZIMKZnZU!{+mxoh zZb`eRan?&=#-yu>NWd{wKZ!JPV=BpEC|%){$f^;-J(cZ@z%sA~vfq!)y}u|1teAHs z&MrO9n$r=V92e{>evk@(-@-!Y61vg=%dvsh=uRy5M;5RtWs5USR;W}yR1n|oYB)^k z1Fd~!&_}C+{y0OrJ1KJVWbEl{b;@c1zo%g#ipk2peiPRyg|W9J|I3xQ z8e6mB*~XLkGkE`Iq?}P^h3AcDrDoXnSpeOn?T(_ud8!g+lbo6n4u_TjO;LeH^MFi#?KeISfzwLLXVO^$_aO zSj3J{Pql3~AU#`zb;7=e82yQiV}#m%^J{0tw=KHPb;u`t{`~nX#-|#Yl!pmA1iesJ zhjZcdTBs#;(7QutG&a~cg*TP8m3^l3!7McFDu#0l3Jb-kO)n}s(&~tMi)e0W@_WXY zd$%$WP9wzeov@SrDsy3nj-a%1>i8YV?|wNxVnU^6wx-#Ve#_@qlZ+39k>CeYYYI-( zb}FHwvU5Pddh=rro>X*X z*gK=^@m(L2XK+iyWm(ku4bxG%UVod5Mt3wNxOsUE%gm4T{Bdw_0EFP?+7G(tS6&lMi=v3gGewO;(}-xy;l_X37LefgpnZzTEEe}P1TKl6 zIu#Gme-PU8Z7q8x%M~gzipv=*K@goW^%(k zhwlZFw5s4gVtMnm`?zBB{W=CILS=rmx_HVdN|)pE z)P>0mow5Q7v8C~Qf)uhRv;j9H;Ns=&1Qf}&F+1*T=;kutGzO(2VofV$x-juJ?UL4B zdQFXdi;=9jsdl}3nyXQ zZ<%XjJ!mvH8Zue_2)Bw=Gz5kXZQk>qsQ0$^Za;#{QB3;r!_n$Z`JA5@NFrty7Uyr= zz(5_Eo|ToBmS#3+=ujN=it#yN7*)1-`wx=*0%VQCqN3LzE%WP{-?(w(r*PT6c8-nH zm96tv%Z9(J`IE8E&f;o;i((oYPuOJYrAq=~2IFKSIvXPY&LeOSu1Fa?2i~9cTcR@NM`jZ18^d-S3~Y7UFLLVCDZ&{P&1996 zf8y(uVZLNe`#U+KI<#d2jwnlxp}@$gkx6Swbi0Y_yci^WAE1eZ^YB>wmdTM}YGyVH zJdLqPSt-ly?m=mu)PwO#d%aM9W}vUnI(_2y@%4>QdbKq^4U)2#z|FwpT(@!9PmRe7 zwfsjC0yd`ZXqA?hHbRQW&BNmZ+gebFy$Q&tU75b@xSJwUyE4n$6H)hPUb&BO^+lKP z48}o}?gh5ZXLAhzwNFsyfUwlom#hH=lCOoq37W)}%px7EIkj@&?RzA5QoKI84fZUk z6AaXbJM~(U%JUxg6c-fHRn^Y1DAsXcOh#V5lcxk*jG3{8T)1tKD!k^re-`pU-kI zM7@X_9~d0GaOu)4B#KVJbW<$~t#CP*!w?Gldj;T*rE5(cSXDMl`$9yEMk|UOjPX%h zPF9*1T*}!!C?RKF{@Nxl2xOWjaP>}|$$~hbAg}P;UB8cb&>$S&nx>U|3qPiv%<~;} z%2LU=#2-K&n)l*+^%15BgCKg^pe%n8J!~^}G*DxKTw7zcZk@YZf8dr1hhPTB4sNf& zQ<JpcL8y*{=O9{fN?TSHcFfq2oS?A{SbgVd8JWph;$j71t;|5`3*TVj zm-CtN&Ud&{uL*R1ygF=soY$fZQIHXz5CCKBS@am@uoJ>;uuSRc>5b|j!J36^EWU!V zqI7B$eWu5Z&j9k&{H4V^V3lV)CL2e+1#aG_5JmB|txMZUvj27KX2!cB{LnG+d6#+m z+BV%rLYGLV_kKAd6{&7#?0d*YLF2+4QHDBH3L@r9>$eZrC?ut~tP_M%*XFoFn!uOf z9&|x4D;*Y`RqhR#K2uK7EJB!%wG1qWz~%n>L@iMD9uxcb=l5m41EaptAm;E`n$1!i ziD!Y5#>CrO7A6T~KwnI@ft)-q>6nsj39^w8M^WxIAlPucT;ac`_~XLo60@U-WZsMJ z?rvWQYLv?;$@Tj39JWSs;FE7J5G^{nh@%)Ep%kVG+TZk55o?j!cGXkL zJF7oBIvU0+ZP9->fthyV-92Wtd(515{Z6Yca9U$qP zEtS-H=B7}|Z!yBHM*=us$Hc_s=b6C1ry3d0T=OWzrJ(y~XY0!wbO<&rl(l!_7573o zm)52T3OjtWSOtOx1Fq=1*2&klT>|D77GDm)%?hSJdGa+Oq2ff~bZcZUxQaPag)lsg z*naAe*W#(drQsF)s8R#Kx&(HcKs=h5Sw^;Pp;WMu{I|enOYmpmn23~VO3@lQoyE&l(NsX3q;P2ASbm4);Pjb{H$?6VsmQ$KNpCQ49 ztQHyK!Cxm1@)6_kr&ed#Y&xQ1qooI>;k1xg!}(LQvSwdeynb$|qw_APRSCIlCkQoVM%fe^aL)_*)79$X~(Yq@yPK1+@XmJ)Cb zsyMT5dsW6GT%iA$#jHb8bD6kbd&r$L_n<$=-1K+AD2(^C)zIO5*yf8sJmykIPj2-e zZ!uC5e;ya6m8^+5#|W7g{cd^tCCqAe+{7aBnQ+M|85waUr7Qq#9s}}Bn_Gb74Ha~_ zXkRA?n&zoOP`zkFGJYAlpllMabVY;Hg4LqLl?D}bRKj$4+||2&g&|gE8q?{$-B3P` znM)zz;jR#ww{Y0XRA~n!H8&R*9IQ&+OouF+y=>m_SuC#6bl0XzqFM2^6UX+hvE$14 zi4l9@q+2+#$`mIYgV`{5i`&^>7D$Xt3yg1?eyLLJ;B!cnwYP($^&H9V=$I+e>W6W&BsHkO#$+EM}TAmF<=Jl(z$ zYbz9vIwZPiLVofz+~4B6*eu_U@J1%POPxUHHyG_&X*dL@>q&`z*;UQKhkEW7hI&rP z4(3Yz)=JP;L%n6sc1zMpl6|pNnR3J)U&~^l+s-+!oUbQ%X5|U8FQq=LFHCTnYz&IM zUJTC9PJ46wetg&M<3!K=xt*1%vgwR6sSZ>Az=yWwslsF<;mo`3ORD^%EOy4HAB1u7T_qhmB%qwKGSeyN zIwV0IBzTyX=H@#_GhMAZ;cTWLyhfZfjEVLy`w}(!Ae`4O(d98%n02WDsVev_RE~5X zdt2yriZJ}OR^>95yw>Io1As36e-Et2oDNid*6tl^qDiWMOn5t0Fnl;9}D+use)JFH>qA3 zzKZ=pXUFZOzWf4d78=NbH57jf4idF7*P;-L(06(Rw6}~J|2{JV!cHJ$(D{(X{ySC^ z52%!c^v2a;&Ir!;KHk)e)dyQY0ETq60e*uxKa*}|BBH4|x%4nmwnJX0PP@h>6I$hS zFpk>6$1jjsXuTUNb`bB+?BT4W#$dCcN3coF&_l zZQv}-%WtM{X?YZoIBH-3n3*(hP0$d-T%uQ^W0QOn64n~dIU$_ zikfWv`1R{yPEI!<$i)zfxg^E=mT1iB+WqStdAuIP<-S5P1b5ERnlRWN>T5XL!H0H{ zc6{VK3%wlb&xF9A^!}7W%h^tB^>6&;6eWf`;DP*TAqBzpYwtB$t zxE#(OuGdO@v^07x8HF^v7hd*fAo%Nxq4`+-7@V0F_L(-7D$EY73GDI)#^4|Lv*4}6 z_zalhvW3_tr8k^aPAO;biJZ_rK0SNm^Pk8A#&!A%CU;>@Yf2A^d#9t(X>K|KZf4NyBJi`Yig2GBP@xC_<2ronCYSb`J&#(GCZiVZvgqSm-&@(r^zQ7{b7i@}J2t5X zFWIu4UEL?tJz%UN_+K|ZdH*~Phx;5RD=T}jYTJ^UlCpd^u7=fZ7;K2kh9%1W{WS9* zjF-btMbw4$$L`L8l+aG^MUT;{pFcpG`w#{r-4M%kf#+5S#p79>s2%l_*s5YS<9qSv zJw5jchJ>%8n6!v)!Wt%=%syO2{@#Vbczb&vJceX;1!9v%V^e;9#Ly5PUmJ^ftbRKU z?pT)H8Ql9jyn^tTL)J^~{Pd2OQH9~mp)`%BFrEqLmvhV3nK^~jo2fOF_0ng#zCwS> z$WLuohh|Rruy{IH`0_^@53ba3?Ze9nak&gQW+sGeXD7N`mhYPY8cMg~zhAsQL<6!t z3eu&z-ChB8HJ^qA3ct^kyZCB&qXrS8>1C=bBXi;tZ|_|pknm6Q5n7VJaL!qK-7SDx zSs3U-K|-g_R57CuA0D9<-ri1zqhvExs#uCUVt>fm(WN{`t~FhG^#~cOi19rP!BdEx zfo{g(#tnHc7G>y?TM*366-^0&ii>KnAsuu(bu(Fyp;S;3G`(iSHkgO(jV}=+L`Cta zGVkU<<|A2HCET#$>`!1sBedwqJs*h#0_TAQZw41B+YuERElvHO neHJ1q^(E@k{{Od3AJE<(tTvQg`Oy#eYNolMZ-On?wY&R2{Ot3R literal 0 HcmV?d00001 From c426132ef998e2e39f72bba7bd315069b91bf957 Mon Sep 17 00:00:00 2001 From: Michael Clerx Date: Thu, 20 Feb 2025 21:30:43 +0000 Subject: [PATCH 77/77] Small filter updates --- .../appendix-A2-laplace-and-filters.ipynb | 213 ++++-------------- artefacts/appendix-C3-parameter-values.ipynb | 5 +- artefacts/artefacts-3-filtering.ipynb | 4 +- 3 files changed, 55 insertions(+), 167 deletions(-) diff --git a/artefacts/appendix-A2-laplace-and-filters.ipynb b/artefacts/appendix-A2-laplace-and-filters.ipynb index 7543e7f..0b3ed31 100644 --- a/artefacts/appendix-A2-laplace-and-filters.ipynb +++ b/artefacts/appendix-A2-laplace-and-filters.ipynb @@ -769,31 +769,9 @@ "id": "f59c1829", "metadata": {}, "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "<>:13: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:15: SyntaxWarning: invalid escape sequence '\\o'\n", - "<>:23: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:25: SyntaxWarning: invalid escape sequence '\\o'\n", - "<>:13: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:15: SyntaxWarning: invalid escape sequence '\\o'\n", - "<>:23: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:25: SyntaxWarning: invalid escape sequence '\\o'\n", - "/tmp/ipykernel_62986/1753818786.py:13: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.set_xlabel('$\\lambda$ = input frequency / filter frequency')\n", - "/tmp/ipykernel_62986/1753818786.py:15: SyntaxWarning: invalid escape sequence '\\o'\n", - " ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n", - "/tmp/ipykernel_62986/1753818786.py:23: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.set_xlabel('$\\lambda$ = input frequency / filter frequency')\n", - "/tmp/ipykernel_62986/1753818786.py:25: SyntaxWarning: invalid escape sequence '\\o'\n", - " ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n" - ] - }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -815,9 +793,9 @@ "fig.subplots_adjust(wspace=0.3)\n", "\n", "ax = plt.subplot(1, 2, 1)\n", - "ax.set_xlabel('$\\lambda$ = input frequency / filter frequency')\n", + "ax.set_xlabel(r'$\\lambda$ = input frequency / filter frequency')\n", "ax.set_ylabel('scaling factor')\n", - "ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n", + "ax.axvline(1, color='#999', lw=0.5, label=r'$\\omega = \\phi$')\n", "ax.axhline(f(1), color='#999', lw=0.5)\n", "ax.plot(x, y, label='response')\n", "ax.legend()\n", @@ -825,9 +803,9 @@ "ax = plt.subplot(1, 2, 2)\n", "ax.set_xscale('log')\n", "ax.set_yscale('log')\n", - "ax.set_xlabel('$\\lambda$ = input frequency / filter frequency')\n", + "ax.set_xlabel(r'$\\lambda$ = input frequency / filter frequency')\n", "ax.set_ylabel('scaling factor')\n", - "ax.axvline(1, color='#999', lw=0.5, label='$\\omega = \\phi$')\n", + "ax.axvline(1, color='#999', lw=0.5, label=r'$\\omega = \\phi$')\n", "ax.axhline(f(1), color='#999', lw=0.5)\n", "ax.plot(x, y, label='response')\n", "ax.legend()\n", @@ -944,7 +922,16 @@ "execution_count": 3, "id": "6982ea37", "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/home/michael/dev/myokit/myokit/__init__.py:65: UserWarning: Using development version of Myokit (1.37.4.dev).\n", + " warnings.warn(f'Using development version of Myokit ({__version__}).')\n" + ] + } + ], "source": [ "import myokit\n", "\n", @@ -981,27 +968,9 @@ "id": "2ec8fc99", "metadata": {}, "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "<>:15: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:16: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:17: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:15: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:16: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:17: SyntaxWarning: invalid escape sequence '\\l'\n", - "/tmp/ipykernel_62986/955406108.py:15: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", - "/tmp/ipykernel_62986/955406108.py:16: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", - "/tmp/ipykernel_62986/955406108.py:17: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n" - ] - }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1025,9 +994,9 @@ "ax = fig.add_subplot(1, 1, 1)\n", "ax.set_title('Cosine simulations')\n", "ax.set_ylim(-1.1, 1.1)\n", - "ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", - "ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", - "ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "ax.plot(t, log['rc.v0'], label=r'$\\lambda = 1/2$')\n", + "ax.plot(t, log['rc.v1'], label=r'$\\lambda = 1$')\n", + "ax.plot(t, log['rc.v2'], label=r'$\\lambda = 2$')\n", "kw = dict(color='#999', lw=0.5, ls='--')\n", "ax.axhline(amplitude(1/2), **kw)\n", "ax.axhline(amplitude(1), **kw)\n", @@ -1078,27 +1047,9 @@ "id": "7e6d22cb", "metadata": {}, "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "<>:6: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:7: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:8: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:6: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:7: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:8: SyntaxWarning: invalid escape sequence '\\l'\n", - "/tmp/ipykernel_62986/44850350.py:6: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", - "/tmp/ipykernel_62986/44850350.py:7: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", - "/tmp/ipykernel_62986/44850350.py:8: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n" - ] - }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABNIAAAL1CAYAAAACdslnAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOzdd3hT1RvA8W+SjnSX0gmUUvYsGwRkLxnKEAFREBS3ouLEAW4UFzjQn7JEUUERcACyd9l7ltWW0Ql0zzT398dtAoWOdCQp8H6ep0/S9N57TkaTk3Pf874aRVEUhBBCCCGEEEIIIYQQxdLauwNCCCGEEEIIIYQQQtwMZCJNCCGEEEIIIYQQQggLyESaEEIIIYQQQgghhBAWkIk0IYQQQgghhBBCCCEsIBNpQgghhBBCCCGEEEJYQCbShBBCCCGEEEIIIYSwgEykCSGEEEIIIYQQQghhAZlIE0IIIYQQQgghhBDCAjKRJoQQQgghhBBCCCGEBWQiTVRKO3bsYMiQIdSsWRNnZ2cCAgLo0KEDL774YoHtunXrRrdu3ezSxw0bNqDRaNiwYUOp9z169Chvv/02kZGRN/xt7Nix1KpVq9z9uxWV5zEvb5t//PFHidsuX76ct99+2/qdKsYvv/zC9OnTrXLsWrVqMXbs2GK3ycjI4O2337bpc1QeGo3G6s9ZZXhdCCGEEADz5s1Do9GYf/R6PYGBgXTv3p2pU6cSHx9v1XYLG/taW2UdW9vze4wQonxkIk1UOv/++y8dO3YkJSWFadOmsWrVKmbMmEGnTp1YuHBhgW1nzpzJzJkz7dTTsjt69CjvvPNOoYOJt956iyVLlti+U6Lcli9fzjvvvGPXPlhzIs0SGRkZvPPOOzfNRFp4eDjjx4+3ahuV4XUhhBBCXGvu3LmEh4ezevVqvvnmG1q0aMHHH39Mo0aNWLNmTYW3N2DAAMLDwwkKCqrwY9+sbtbvMUIIcLB3B4S43rRp0wgNDeW///7DweHqS3TkyJFMmzatwLaNGze2dfesrk6dOvbugrABRVHIysrCxcXF3l2xq4yMDFxdXe3W/h133GG3toUQQgh7adq0KW3atDH/fu+99/LCCy9w5513MnToUE6ePElAQEC528nMzESv1+Pn54efn1+5j3cruRW/xwhxu5CINFHpXLp0CV9f3wKTaCZabcGX7PUh0ZGRkWg0Gj755BM+/vhjatWqhYuLC926dSMiIoLc3Fxee+01qlWrhpeXF0OGDLkhhL2opV6WLGvbvXs3I0eONLdbq1Yt7r//fqKioszbzJs3j/vuuw+A7t27m0Pr582bBxQefp6VlcWkSZMIDQ3FycmJ6tWr8/TTT5OUlHRDHwcOHMjKlStp1aoVLi4uNGzYkDlz5hTYLiMjg5deeonQ0FD0ej0+Pj60adOGX3/9tdj7V5RvvvmGLl264O/vj5ubG82aNWPatGnk5uYW2K5bt240bdqUXbt20blzZ1xdXalduzYfffQRRqOxwLbHjx/nrrvuwtXVFV9fX5544glSU1Mt6k9CQgKPPfYYwcHBODs74+fnR6dOnQqcYS3q+SwqzD4rK4uJEycSGBiIi4sLXbt2Zd++fea/jx07lm+++QagwJIJU9ShRqPhmWee4bvvvqNRo0Y4Ozvz448/AvDOO+/Qvn17fHx88PT0pFWrVsyePRtFUW7oxy+//EKHDh1wd3fH3d2dFi1aMHv2bHPf//33X6Kiogr0wSQnJ4f333+fhg0bmh+XcePGkZCQUKCN3NxcXnnlFQIDA3F1deXOO+9k586dJT7ukZGR5kHyO++8Y27f9Di//fbbaDQa9u7dy7Bhw6hSpYp54tiS/x24ujRk/fr1PPnkk/j6+lK1alWGDh3KxYsXC2y7bt06unXrRtWqVXFxcaFmzZrce++9ZGRkmLcp7P89NjaWxx9/nBo1auDk5ERoaCjvvPMOBoOhwH3VaDR8+umnfP7554SGhuLu7k6HDh3Yvn27ebuSXhe///477du3x8vLy/z/8PDDD5f4WAshhBAVrWbNmnz22Wekpqbyv//9r8Dfdu/ezT333IOPjw96vZ6WLVuyaNGiAtuYPqNXrVrFww8/jJ+fH66urmRnZ9+wtPP555/Hzc2NlJSUG/oxYsQIAgICCowjFy5cSIcOHXBzc8Pd3Z2+ffsWGIdd24cGDRrg7OxMo0aNmD9/fqkeg+LGWSZz5syhefPm5jH0kCFDOHbsWIFtzpw5w8iRI6lWrZo5TU3Pnj3Zv3+/eZuivseUNLYwseQ5qegxvxBCJRFpotLp0KEDs2bNYsKECTzwwAO0atUKR0fHUh3jm2++ISwsjG+++YakpCRefPFF7r77btq3b4+joyNz5swhKiqKl156ifHjx/PXX39VSN8jIyNp0KABI0eOxMfHh5iYGL799lvatm3L0aNH8fX1ZcCAAXz44Ye8/vrrfPPNN7Rq1QooOhJNURQGDx7M2rVrmTRpEp07d+bgwYNMmTKF8PBwwsPDcXZ2Nm9/4MABXnzxRV577TUCAgKYNWsWjzzyCHXr1qVLly4ATJw4kZ9++on333+fli1bkp6ezuHDh7l06VKZ7vfp06cZNWqUeaLvwIEDfPDBBxw/fvyGSbzY2FgeeOABXnzxRaZMmcKSJUuYNGkS1apVY8yYMQDExcXRtWtXHB0dmTlzJgEBASxYsIBnnnnGov6MHj2avXv38sEHH1C/fn2SkpLYu3dvme8fwOuvv06rVq2YNWsWycnJvP3223Tr1o19+/ZRu3Zt3nrrLdLT0/njjz8IDw8373ftEoalS5eyefNmJk+eTGBgIP7+/oD6unn88cepWbMmANu3b+fZZ5/lwoULTJ482bz/5MmTee+99xg6dCgvvvgiXl5eHD582DzZNHPmTB577DFOnz59w/Jgo9HIoEGD2Lx5M6+88godO3YkKiqKKVOm0K1bN3bv3m2Ojnv00UeZP38+L730Er179+bw4cMMHTq0xInMoKAgVq5cyV133cUjjzxiXjJ5/RnooUOHMnLkSJ544gnS09PNj0FJ/zvXGj9+PAMGDOCXX37h3LlzvPzyyzz44IOsW7fOfLwBAwbQuXNn5syZg7e3NxcuXGDlypXk5OQUGQUXGxtLu3bt0Gq1TJ48mTp16hAeHs77779PZGQkc+fOLbD9N998Q8OGDc3Lad966y369+/P2bNn8fLyKvZ1ER4ezogRIxgxYgRvv/02er2eqKgo830QQgghbK1///7odDo2bdpkvm39+vXcddddtG/fnu+++w4vLy9+++03RowYQUZGxg0nJh9++GEGDBjATz/9RHp6eqHj+IcffpgZM2awaNGiAikWkpKSWLZsGU8//bR5vw8//JA333yTcePG8eabb5KTk8Mnn3xC586d2blzpzmya968eYwbN45Bgwbx2Wefmcdr2dnZN5yML0xJ4yyAqVOn8vrrr3P//fczdepULl26xNtvv02HDh3YtWsX9erVMz+OeXl5TJs2jZo1a5KYmMi2bdtuOAlemJLGFqV5Tip6zC+EyKcIUckkJiYqd955pwIogOLo6Kh07NhRmTp1qpKamlpg265duypdu3Y1/3727FkFUJo3b67k5eWZb58+fboCKPfcc0+B/Z9//nkFUJKTk823AcqUKVNu6FdISIjy0EMPmX9fv369Aijr168v8r4YDAYlLS1NcXNzU2bMmGG+/ffffy9y34ceekgJCQkx/75y5UoFUKZNm1Zgu4ULFyqA8v333xfoo16vV6Kiosy3ZWZmKj4+Psrjjz9uvq1p06bK4MGDi+x3eeTl5Sm5ubnK/PnzFZ1Op1y+fNn8t65duyqAsmPHjgL7NG7cWOnbt6/591dffVXRaDTK/v37C2zXu3fvEh9zRVEUd3d35fnnny92m+ufz2v7eO1ryvQ8t2rVSjEajebbIyMjFUdHR2X8+PHm255++mmlqLdVQPHy8irweBTG9Pi9++67StWqVc1tnjlzRtHpdMoDDzxQ7P4DBgwo8Pox+fXXXxVAWbx4cYHbd+3apQDKzJkzFUVRlGPHjimA8sILLxTYbsGCBQpQ6GN2rYSEhCL/h6ZMmaIAyuTJk4s9hqIU/b8zd+5cBVCeeuqpAttPmzZNAZSYmBhFURTljz/+UIAbXkPXu76vjz/+uOLu7l7gf0hRFOXTTz9VAOXIkSOKolx9r2nWrJliMBjM2+3cuVMBlF9//dV8W1GvC9Mxk5KSSng0hBBCiIph+hzdtWtXkdsEBAQojRo1Mv/esGFDpWXLlkpubm6B7QYOHKgEBQWZx9ymY48ZM6bIds+ePWu+rVWrVkrHjh0LbDdz5kwFUA4dOqQoiqJER0crDg4OyrPPPltgu9TUVCUwMFAZPny4oijq+KlatWpFjtcKGxtdy5Jx1pUrVxQXFxelf//+BW6Pjo5WnJ2dlVGjRimKon6XAZTp06cX22ZR32MsGVtY+pxYc8wvxO1MlnaKSqdq1aps3ryZXbt28dFHHzFo0CAiIiKYNGkSzZo1IzExscRj9O/fv8CZp0aNGgFqotNrmW6Pjo6ukL6npaXx6quvUrduXRwcHHBwcMDd3Z309PQbQr4tZYpOuf5s33333Yebmxtr164tcHuLFi3MkU0Aer2e+vXrFzib1q5dO1asWMFrr73Ghg0byMzMLFPfTPbt28c999xD1apV0el0ODo6MmbMGPLy8oiIiCiwbWBgIO3atStwW1hYWIH+rV+/niZNmtC8efMC240aNcqi/rRr14558+bx/vvvs3379huWmJbFqFGjCiyTDAkJoWPHjqxfv97iY/To0YMqVarccPu6devo1asXXl5e5sdv8uTJXLp0ybz0ePXq1eTl5fH000+Xqf///PMP3t7e3H333RgMBvNPixYtCAwMNBcHMN2fBx54oMD+w4cPL3S5dVnce++9N9xW2v+de+65p8DvYWFhAObXUYsWLXBycuKxxx7jxx9/5MyZMxb17Z9//qF79+5Uq1atwOPUr18/ADZu3Fhg+wEDBqDT6YrsR3Hatm0LqI/tokWLuHDhgkV9FEIIIaxJuSa1xKlTpzh+/Lh5XHDtZ2P//v2JiYnhxIkTBfYv7HO+MOPGjWPbtm0F9p87dy5t27aladOmAPz3338YDAbGjBlToG29Xk/Xrl3N45cTJ05w8eLFIsdrJbFknBUeHk5mZuYNY/Lg4GB69OhhHpP7+PhQp04dPvnkEz7//HP27dt3QwqT4pQ0tijNc1LRY34hhEom0kSl1aZNG1599VV+//13Ll68yAsvvEBkZOQNBQcK4+PjU+B3JyenYm/PysqqkD6PGjWKr7/+mvHjx/Pff/+xc+dOdu3ahZ+fX5k/uC5duoSDg8MNy+M0Gg2BgYE3hGZXrVr1hmM4OzsXaP/LL7/k1VdfZenSpXTv3h0fHx8GDx7MyZMnS92/6OhoOnfuzIULF5gxY4Z5EtSUF+r6+21J/y5dukRgYOAN2xV2W2EWLlzIQw89xKxZs+jQoQM+Pj6MGTOG2NjY0ty1Etsu7PEvTmGVqnbu3EmfPn0A+OGHH9i6dSu7du3ijTfeAK4+fqY8ZjVq1Ch130FdLpuUlISTkxOOjo4FfmJjY80T1Kb7c/39dXBwKPS5K4vCHofS/u9c3xfT8mbTtnXq1GHNmjX4+/vz9NNPU6dOHerUqcOMGTOK7VtcXBx///33DY9RkyZNAG6YyC+pH8Xp0qULS5cuNX9BqFGjBk2bNpW8JUIIIewmPT2dS5cuUa1aNUD9XAR46aWXbvhsfOqpp4AbPxstrcz5wAMP4OzsbM4TfPToUXbt2sW4cePM25jab9u27Q3tL1y4sMTxS1G3Xc+ScZapjcLuX7Vq1cx/12g0rF27lr59+zJt2jRatWqFn58fEyZMsCjfb0lji9I8JxU55hdCXCU50sRNwdHRkSlTpvDFF19w+PBhq7bl7OxMdnb2DbeXNGGSnJzMP//8w5QpU3jttdfMt2dnZ3P58uUy96dq1aoYDAYSEhIKTKYpikJsbKw5qqU03NzceOedd3jnnXeIi4szn6m6++67OX78eKmOtXTpUtLT0/nzzz8JCQkx335tMtXSqlq1aqGTXpZOhPn6+jJ9+nSmT59OdHQ0f/31F6+99hrx8fGsXLkSUCP1CnueExMTb8jHVVTbsbGxpZpcuvYMqclvv/2Go6Mj//zzD3q93nz70qVLC2xneu7Pnz9PcHCwxW2amJLym+7/9Tw8PICrg7fY2FiqV69u/rvBYKiwfBrXPw7W+t/p3LkznTt3Ji8vj927d/PVV1/x/PPPExAQwMiRIwvdx9fXl7CwMD744INC/276YlFRBg0axKBBg8jOzmb79u1MnTqVUaNGUatWLTp06FChbQkhhBAl+ffff8nLyzMnwTeNiSZNmsTQoUML3adBgwYFfi9svFOYKlWqMGjQIObPn8/777/P3Llz0ev13H///eZtTO3/8ccfBcaZ17t2/HI9S8aPloyzTG3ExMTc8LeLFy8WGD+GhISYixRERESwaNEi3n77bXJycvjuu+9K7E9xSvOcVOSYXwhxlUSkiUqnsA8nwLy8q6K/yF6vVq1aHDx4sMBt69atIy0trdj9NBoNiqIUSPwPMGvWLPLy8grcVpqolZ49ewLw888/F7h98eLFpKenm/9eVgEBAYwdO5b777+fEydOFKhoaAnTYOna+60oCj/88EOZ+9S9e3eOHDnCgQMHCtz+yy+/lPpYNWvW5JlnnqF3797s3bvXfHthz3NERMQNyxNMfv311wJLHaKioti2bVuBakuleV5NNBoNDg4OBUL4MzMz+emnnwps16dPH3Q6Hd9++22xx7s+us9k4MCBXLp0iby8PNq0aXPDj2nAZbo/CxYsKLD/okWLClStLK59032wVGn+d8pCp9PRvn17c5Tkta+D6w0cOJDDhw9Tp06dQh+nsrz/WPKYODs707VrVz7++GOAQiuRCSGEENYUHR3NSy+9hJeXF48//jigTsjUq1ePAwcOFPq52KZNG/PJuLIYN24cFy9eZPny5fz8888MGTIEb29v89/79u2Lg4MDp0+fLrJ9Uz+DgoKKHK+VxJJxVocOHXBxcblhTH7+/HnWrVtX5Ji8fv36vPnmmzRr1qzYMYilyvqclHfML4S4SiLSRKXTt29fatSowd13303Dhg0xGo3s37+fzz77DHd3d5577jmrtj969GjeeustJk+eTNeuXTl69Chff/21uUpOUTw9PenSpQuffPIJvr6+1KpVi40bNzJ79uwCAwLAnPfh+++/x8PDA71eT2hoaKHRTb1796Zv3768+uqrpKSk0KlTJ3PVzpYtWzJ69OhS38f27dszcOBAwsLCqFKlCseOHeOnn36iQ4cO5mqGkZGRhIaG8tBDD5lD7gvTu3dvnJycuP/++3nllVfIysri22+/5cqVK6Xul8nzzz/PnDlzGDBgAO+//765aqclZ86Sk5Pp3r07o0aNomHDhnh4eLBr1y5WrlxZ4Kzd6NGjefDBB3nqqae49957iYqKYtq0aTcsoTWJj49nyJAhPProoyQnJzNlyhT0ej2TJk0yb9OsWTMAPv74Y/r164dOpyMsLMy8hLgwAwYM4PPPP2fUqFE89thjXLp0iU8//fSGSaVatWrx+uuv895775GZmcn999+Pl5cXR48eJTExkXfeecfchz///JNvv/2W1q1bo9VqadOmDSNHjmTBggX079+f5557jnbt2uHo6Mj58+dZv349gwYNYsiQITRq1IgHH3yQ6dOn4+joSK9evTh8+DCffvopnp6eJT7+Hh4ehISEsGzZMnr27ImPj4/5/6EopfnfsdR3333HunXrGDBgADVr1iQrK8tcQbZXr15F7vfuu++yevVqOnbsyIQJE2jQoAFZWVlERkayfPlyvvvuu1Ivry3qdfH+++9z/vx5evbsSY0aNUhKSmLGjBk4OjrStWvXMt1vIYQQwhKHDx8259WKj49n8+bNzJ07F51Ox5IlSwqMh/73v//Rr18/+vbty9ixY6levTqXL1/m2LFj7N27l99//73M/ejTpw81atTgqaeeIjY2tsCyTlDHP++++y5vvPEGZ86c4a677qJKlSrExcWxc+dOc8SVVqvlvffeY/z48ebxWlJSEm+//bZFSzstGWd5e3vz1ltv8frrrzNmzBjuv/9+Ll26xDvvvINer2fKlCkAHDx4kGeeeYb77ruPevXq4eTkxLp16zh48GCByPvysPQ5sWTML4QoAzsWOhCiUAsXLlRGjRql1KtXT3F3d1ccHR2VmjVrKqNHj1aOHj1aYNuiqt188sknBbYzVV78/fffC9xeWOWi7Oxs5ZVXXlGCg4MVFxcXpWvXrsr+/fstqtp5/vx55d5771WqVKmieHh4KHfddZdy+PDhQitETp8+XQkNDVV0Op0CKHPnzlUU5caqnYqiVt589dVXlZCQEMXR0VEJCgpSnnzySeXKlSsFtgsJCVEGDBhww2N6/eP02muvKW3atFGqVKmiODs7K7Vr11ZeeOEFJTEx0bzNoUOHFEB57bXXbjje9f7++2+lefPmil6vV6pXr668/PLLyooVK254fLp27ao0adLkhv0Lu89Hjx5Vevfurej1esXHx0d55JFHlGXLlpVYtTMrK0t54oknlLCwMMXT01NxcXFRGjRooEyZMkVJT083b2c0GpVp06YptWvXVvR6vdKmTRtl3bp1RVbt/Omnn5QJEyYofn5+irOzs9K5c2dl9+7dBdrOzs5Wxo8fr/j5+SkajaZAdSpAefrppwvt85w5c5QGDRqYn4upU6cqs2fPvqG6laIoyvz585W2bdsqer1ecXd3V1q2bGl+7SiKoly+fFkZNmyY4u3tbe6DSW5urvLpp5+anyt3d3elYcOGyuOPP66cPHmywP148cUXFX9/f0Wv1yt33HGHEh4eXmSl0+utWbNGadmypeLs7Fyg0qepamdCQsIN+1j6v1NUtbHr/x/Dw8OVIUOGKCEhIYqzs7NStWpVpWvXrspff/1VYD8KqTCakJCgTJgwQQkNDVUcHR0VHx8fpXXr1sobb7yhpKWlKYpS9HtNYccs6nXxzz//KP369VOqV6+uODk5Kf7+/kr//v2VzZs3l/gYCyGEEGVh+hw1/Zg+f7p27ap8+OGHSnx8fKH7HThwQBk+fLji7++vODo6KoGBgUqPHj2U77777oZjF1YRtLCqnSavv/66AijBwcHmapPXW7p0qdK9e3fF09NTcXZ2VkJCQpRhw4Ypa9asKbDdrFmzlHr16ilOTk5K/fr1lTlz5hQ6zixKSeMsUxthYWGKk5OT4uXlpQwaNMhc1VtRFCUuLk4ZO3as0rBhQ8XNzU1xd3dXwsLClC+++KJANU5Lv8coSuHjFUueE0vG/EKI0tMoyjWxr0IIkW/mzJm88sornD59moCAAHt3RwghhBBCCCGEsDvJkSaEKNT69euZMGGCTKIJIYQQQgghhBD5JCJNCCGEEEIIIYQQQggLSESaEEIIIYQQQgghhBAWkIk0IYQQQgghhBBCCCEsIBNpQgghhBBCCCGEEEJYQCbShBBCCCGEEEIIIYSwgIO9O2APRqORixcv4uHhgUajsXd3hBBCCHGTUBSF1NRUqlWrhlYr5yMrIxnnCSGEEKIsLB3n3ZYTaRcvXiQ4ONje3RBCCCHETercuXPUqFHD3t0QhZBxnhBCCCHKo6Rx3m05kebh4QGoD46np6edeyOEEEKIm0VKSgrBwcHmsYSofGScJ4QQQoiysHScd1tOpJnC/D09PWWAJYQQQohSkyWDlZeM84QQQghRHiWN8yS5hxBCCCGEEEIIIYQQFpCJNCGEEEIIIYQQQgghLCATaUIIIYQQQgghhBBCWEAm0oQQQgghhBBCCCGEsIBMpAkhhBBCCCGEEEIIYQGZSBNCCCGEEEIIIYQQwgIykSaEEEIIIYQQQgghhAWsOpG2adMm7r77bqpVq4ZGo2Hp0qUl7rNx40Zat26NXq+ndu3afPfddzdss3jxYho3boyzszONGzdmyZIlVui9EEIIIYQoiozzhBBCCHE7supEWnp6Os2bN+frr7+2aPuzZ8/Sv39/OnfuzL59+3j99deZMGECixcvNm8THh7OiBEjGD16NAcOHGD06NEMHz6cHTt2WOtuCCGEEEKI68g4TwghhBC3I42iKIpNGtJoWLJkCYMHDy5ym1dffZW//vqLY8eOmW974oknOHDgAOHh4QCMGDGClJQUVqxYYd7mrrvuokqVKvz6668W9SUlJQUvLy+Sk5Px9PQs2x0SQgghxG1HxhCFk3GeEEIIIW52lo4hKlWOtPDwcPr06VPgtr59+7J7925yc3OL3Wbbtm0266cQQgghhCgdGecJIYQQ4lbgYO8OXCs2NpaAgIACtwUEBGAwGEhMTCQoKKjIbWJjY4s8bnZ2NtnZ2ebfU1JSKrbjQgghhBCiWDLOE0IIIcStoFJFpIG6NOBappWn195e2DbX33atqVOn4uXlZf4JDg6uwB4LIYQQQghLyDhPCCGEEDe7SjWRFhgYeMMZx/j4eBwcHKhatWqx21x/9vJakyZNIjk52fxz7ty5iu+8EEIIIYQokozzhBBCCHErqFQTaR06dGD16tUFblu1ahVt2rTB0dGx2G06duxY5HGdnZ3x9PQs8COEEEIIIWxHxnlCCCGEuBVYNUdaWloap06dMv9+9uxZ9u/fj4+PDzVr1mTSpElcuHCB+fPnA2rlpq+//pqJEyfy6KOPEh4ezuzZswtUaXruuefo0qULH3/8MYMGDWLZsmWsWbOGLVu2WPOuCCGEEEKIa8g4TwghhBC3I6tGpO3evZuWLVvSsmVLACZOnEjLli2ZPHkyADExMURHR5u3Dw0NZfny5WzYsIEWLVrw3nvv8eWXX3Lvvfeat+nYsSO//fYbc+fOJSwsjHnz5rFw4ULat29vzbsihBBCCCGuIeM8IYQQQtyONIopy+ttJCUlBS8vL5KTkyX8XwghhBAWkzFE5SfPkRBCCCHKwtIxRKXKkSaEEEIIIYQQQgghRGUlE2lCCCGEEEIIIYQQQlhAJtKEEEIIIYQQQgghhLCATKQJIYQQQgghhBBCCGEBmUgTQgghhBBCCCGEEMICMpEmhBBCCCGEEEIIIYQFZCJNCCGEEEIIIYQQQggLONi7A8IymTl5nLuSQXxKNuk5BjSAv6eeFsHe9u6aEEJYVW6ekfNXMjkek8LhiykYjQouTjqCq7jSKsSbkKpu9u6iEEKUS7Yhj3OXM4lLySI924ACVHF1ol2oj727JoQQNnElPYfdUVcwKgpOOi0+bk7UqOJCVXdne3dNiBvIRFollpyZywf/HmVP1BVOJ6Tf8Pd2oT4seryD+fcv156kfoA7Xer74eokT60Q4uaUYzDy47az/HMwhoycPKIuZ5BjMBa5vVYD/h7O9G8WxBPd6uDvobdhb4UQomxyDEbe+fsIe6KucCIuFUUp+Pfafm6se7Gb+fdZm8/g5+FM94b+eOodbdtZIYSoIEajwr5zV1h/PIHO9XxpX7sqAFGXM3h0/u4btvd1d6JFcBWGt6lBnyaBtu6uEIWS2ZZKJjEtG9/8WXcPZwfWn0ggITVb/V3vQJCXHjdn9WlrEOBh3i8rN48v157EYFTQO2q5O6waozuEEFbD2+b3QQghyuLoxWTeWHqYA+eSMF73hdLFUYePmxNXMnLQAAajQm6eEaMCRgViU7KZszWSedsi6VTXl1Hta9K7YQAODpLBQAhReVw7znNy0LIn6grHY1MBcHPSUc3bBXe9AxqgmreLeT9FUZi54TSX03Nw1Gno2ySQB+8IoX2oDxqNxh53RQghSiUpI4f54VH8vucc5y5nqrdl5pgn0oK89DQP9kangWyDkUtpOcSlZpGYlsOaY3G0CvGmT/6x8owKRkXBUSfjPGEfGkW5/vzXrS8lJQUvLy+Sk5Px9PS0d3cA2H8uiS9WRxARl8rmV7rjkP+msPxQDM4OWloEexcb1pqckcuX606y+mgc0ZczzLd3b+DHi30a0LS6l9XvgxBClMXuyMtM+vMQJ+PTzLdpgGAfVwY0C2Jku2CCq7ii1d74ZTEmKZPVx+JYeTiWpIxcjsakmP/mqNMwtmMtJvVriFYrAy1RMSrjGEIUVBmfo4i4VL5YHcGWk4lsf72n+aTo+uPxZBuMtKzpjb+Hc5GTYlm5ecxYe5I1R+MKvFe2C/XhpT4NZAmoEKLSSkjN5vtNp1mwI5qMnDxAPXHQo1EAA8OC6FtMlFlGjoETsalsP3OZ3o39qeuvBpKsPBzLu38f4YXe9Rnaqga6QsaIQpSFpWMImUiz8wArNjmL9/49yr8HYwBw0GpY+nSnMk98KYrC3ugr/Lw9mr8OXCQvP6zjgyFNeaB9SIX1WwghyisrN4+v1p7imw2nzLe5Oet4sH1Nnu1RH3d96YOmoy6l8+vOc/yw6Qx5+R9vbk46Jt/dmBFta1ZY38XtqzKNIUThKtNzdCU9h49XHmfh7nMoCmg0MG9cO7rW9yvzMQ9fSGbBjmgW7z1vXvb+Qq/6PNerXkV1WwghKoQhz0i3Tzdw/ooagdY4yJPHutSmb5NAXJx0ZT7uY/N3s+poHAANAz14a2BjOtX1rZA+i9ubTKQVozIMsBRFYeGuc7z/7zHSsg1oNTC0VQ0m9KhHzaquFdJGZGI6M9aeZPPJRFa90AUfN6cKOa4QQpTXrsjLvPT7AaIuqRG0HnoHJvauz0MdQiokeiwuJYtnf93HzrOXzbe1rOnN/Ifb4SG5hUQ5VIYxhCheZXmOlh+K4c2lh7mcngNA/2aBPNezPg0CPUrY0zIxyZl8ve4Ufx+4yL8TOhPsUzHjRyGEqEgLdkSxcNc5Xuhdn271/SpkOXpWbh7zwyP5et0pUrIMADzQviav929kjvgVoixkIq0Y9h5gZeQYeHHRAVYcjgWgRbA3HwxpSpNq1ll+mZ5tKPCGsmBHFPc0ryZfJoUQNpeVY+ChubvYefYyChDg6cykfo24p3mQVZZfHr6QzJM/7+Fc/plQZwctXwxvQf+woApvS9we7D2GECWz93NkyDPyxpLDLNx9DlBz2r4/pClta1ln+eX147w/9pynWwM/cy42IYSwFUVRmLctkvoBHuYIMWP+CqnCUnSU15X0HL5YE8H88CgAavq48sWI5rQOkeXuomwsHUNI0hg70DvoSMs24KDVMKlfQ/58sqPVJtGAAoOrRbvP8caSw9zz9VaOXZNLSAghrO1sQhrtPlzLjvxJtEHNq7FmYlcGt6xutRxmTat7sfnVHjzZtQ4a1OS1zy/cz/JDMVZpTwghdFoNWYY8NBp4unsd/plwp9Um0aDgOG/tsThe+v0AA77czO7Iy8XsJYQQFSs928DjP+3hnb+P8sLC/SRn5gLqBJo1JtEAqrg58e6gpvwyvj3VvV2IvpzBmYR0q7QlxLUkIs2GZyoVRTGHssanZBGbkmXzqpr7oq/wzC/7uJCUibODlhkjW3JXUykjLISwrjVHY3ni570Y8s9Kjr6jJu8NbmbTPkTEpTLh133mCnkTetTl+V71rTa4E7cme0c7iZJVhnFealYuRy+mmKvR2crJuFSe+HkPpxPS0Wk1fDC4KSPbSX5IIYR1XUjKZPyPuzkWk4KTg5Y3BzRi9B0hNq0qnJKVy5qjcQxtVcNmbYpbjyztLIY9Blizt5zl3OUM3r6niU3aK86V9ByeX7ifjREJaDTw9t1NeKhjLXt3Swhxi5q79Szv/H0UUCM1vn2gFX2KqdBkTYY8I1NXHGf2lrMA1PFz4+9n78TVSfJpCMvIRFrlZ4/naMm+82w8kcDnw1vYfXI+PdvAa38e4u8DFwGY0LMeL/SqZ9MvtEKI28fRiymMmbOTxLRsfN2d+X5Ma1rVrGLvbnE5PYcZayJ4rV+jchU2ELcXWdpZSSiKwpdrT/LeP0eZty2SHWcu2btLVHFzYvZDbXigfU0UBab8dYQvVkdwG86pCiGs7Mu1J82TaO7ODvz3XGe7TaIBOOi0vDWwMR8NVaPhTiek0+2TDaRm5dqtT0KIm9vP26N4YeEBlu6/yPLD9l827ubswJcjWzChR11AfR9+a9lhc54iIYSoKHujrzDy+3AS07JpGOjBsmc6VYpJNEVReOLnPfwYHsXYuTtJyzbYu0viFiMTaVakKAofrTzO56sjAHixd33ahVaOxIcOOi3vD27KS33qAzBj7UkOnk+2c6+EELeSFYdi+CL//a+qmxNbXu1O3YCKqVZXXiPb1TR/yYxPzabLtPVcTsuxc6+EEDebHzad4c2lhwEY27EW/ZtWjkImGo2GiX0a8OGQZmg08PP2aDZGJNi7W0KIW8ycLWdJyTLQOqQKCx/vQHVvF3t3CVDfA1/u2wAPZwd2nL3Mg7N2kJwhJ01FxZGlnVYM+f98dQRfrj0JwJsDGjG+c22rtVUeszafwdlRx+g7QuzdFSHELWLDiXgenb+b3DyFOv5uLHvqTtz1lW/55P82nmbqiuMAeLs4svnV7lLRWBRLlnZWfrZ6juaHRzJ52REAnupWh5f7NqiUyyf/3Hue81cymdCznr27IoS4xWTl5vHl2pM806NupUyTcfB8EmPm7CQpI5ewGl788ugduDtXvn6KykNypBXDFgOsWZvP8P6/xwB4++7GjO0UapV2rCHPqKCT5NtCiDJadSSWCb/tIyvXyICwIL4c2bJSv6f8HB7Jm/lfhqt56dnwcnecHCRgWxROJtIqP1s8R0v2neeFhQcAeLZHXV7s08Aq7ViDjPOEEOWRkJqNr7tTpTxxUJjjsSmM+mEHl9Nz6FS3KnPGtsXZQXKmicJJjjQ7OhWfygfL1Um0l/rUv6km0RLTshk6cyv/HrR/jg8hxM1n+aEYHvtpD1m5Rro38OOL4S0q/Re2BzvU4pW71C/BF5OzGPbdNskZKYQoUnxqFq8tPgSoyzkn9q5v5x5ZLjUrl9Gzd/BTeKS9uyKEuAmdu5xBvxmbmbri+E0zVmoY6MncsW1xddKx9dQl3s3P3StEechEmhXU9ffg02HNeaJrHZ7uXtfe3SmVBdujOXA+mRcW7mfbqUR7d0cIcRM5GpPMs7/sBcBD78BX97e8aSK7nupWl7H51YsPnk/m63Wn7NshIUSl5e+hZ+YDrbi/XU0mD2x800RlAPx14CLbTl9i8l9H5KSpEKJUUrJyeeTHXSSmZbMpIoGMnDx7d8lizYO9+X50G+r4ufFoJU23JG4usrRTlmUUkGdUePbXvSw/FIun3oG/nrmTWr5u9u6WEKKSu5yWw53T1pGRk4ejTsOaiV0JqXrzvXfM3XrWXGX0uwdbcVclSRwuKg8ZQ1R+8hwVTVEUJi87wk/bo3B20PLHEx1pVsPL3t0SQlRyhjwjD/+4m00RCfh7OLPsmU4EeVWOwgKlYcgz4qC7OU7yCvuQpZ2iTHRaDV+MaEGrmt6kZBl47KfdUi5YCFEsg8HIgK82k5GThwaYO7btTTmJBjCuUyjjOtUCYMJv+1lxWCI2hBC3Do1Gw9v3NKFHQ3+yDUYe/2k3iWnZ9u6WEKKSe/efo2yKSMDFUcfsh9relJNoQIFJtK2nEtl59rIdeyNuZjaZSJs5cyahoaHo9Xpat27N5s2bi9x27NixaDSaG36aNGli3mbevHmFbpOVlWWLu3PLc3bQ8d2DrfH3cCYiLo0XF+3HaLztAheFEBZ6ZP5uYpLV99/Jdzfmznp+du5R+bzRvxH1/N3JMRh55pd9nE1Is3eXhKjUZJx3c9FpNUwf2YLafm5cTM7iqQV7yc0z2rtbQohKatHuc8wPj0KjgS9GtLglolg3nIhnzJydPPnzHi4kZdq7O+ImZPWJtIULF/L888/zxhtvsG/fPjp37ky/fv2Ijo4udPsZM2YQExNj/jl37hw+Pj7cd999Bbbz9PQssF1MTAx6vd7ad+e24e+p57vRrXHSafnvSBzfbjxt7y4JISqh33edY2NEAgCDW1Rn3E1UXKUoDjot349ujYNWQ55RYcjMbeQY5EumEIWRcd7NyVPvyPej2+Du7MDOs5f5IL/SvBBCXCs+NYvJyw4D8EKv+tzVNNDOPaoY7UOr0jDQg0vpOTz+026yDTdPvjdROVh9Iu3zzz/nkUceYfz48TRq1Ijp06cTHBzMt99+W+j2Xl5eBAYGmn92797NlStXGDduXIHtNBpNge0CA2+Nf+rKpFXNKrw/uCmNgjzp20QeXyFEQbHJWXy08jgAtX3d+Hx4mJ17VHFC/dz5ZlQrAJIyc3l43k4790iIyknGeTevuv7uTB/Rgtq+bgxtVd3e3RFCVEL+Hnq+GN6Ce5pX45mbrIhecVycdHw/pg0+bk4cvpDC1OXH7d0lcZOx6kRaTk4Oe/bsoU+fPgVu79OnD9u2bbPoGLNnz6ZXr16EhIQUuD0tLY2QkBBq1KjBwIED2bdvX5HHyM7OJiUlpcCPsMzwtsH8/Uwn6vq727srQohKxGhUmLhoP5fSc2gU5Mny5zqj1d5aaTf7Ng1kRNtgALacusT88Ej7dkiISkbGeTe/Xo0DWPVCF8JqeNu7K0KISqpfsyC+vL8lWu3NU6HYEtW9XfhseHMA5m2L5L8jsXbukbiZWPVbT2JiInl5eQQEBBS4PSAggNjYkl+oMTExrFixgvHjxxe4vWHDhsybN4+//vqLX3/9Fb1eT6dOnTh58mShx5k6dSpeXl7mn+Dg4LLfqdvQtUkZj8emcBsWehVCXOfVxQfZdvoSLo46vh7VEr2jzt5dsoqpQ5oSXEVNqPv2X0eIupRu5x4JUXnIOO/WcO0472RcKgbJlybEbW/LyUTiU279vJTdG/jzWJfaALzyx0HJlyYsZpPwAY2m4Oy1oig33FaYefPm4e3tzeDBgwvcfscdd/Dggw/SvHlzOnfuzKJFi6hfvz5fffVVoceZNGkSycnJ5p9z586V+b7czr5Zf4r+MzYzPzzK3l0RQtjRsv0X+H3PeQBe6FWPOn63bsSqVqvljyc74qDVYFTgiZ/3yMkEIa4j47xbw687oxnw5RZmrC18wlIIcXuIvpTBEz/v4a4ZmzkVf+sXXHqpTwOa1/AiOTOXP3aft3d3xE3CqhNpvr6+6HS6G85KxsfH33D28nqKojBnzhxGjx6Nk5NTsdtqtVratm1b5JlKZ2dnPD09C/yI0nN10mFU4IPlx4iIS7V3d4QQdnA5LYeXfj8AgL+HM+M73/zFBUoS4Knn0/uao9PAsZhUFu+9YO8uCVEpyDjv1uLu7EBOnpGv159i59nL9u6OEMIODHlGnl+4j7RsA3X83Aj1dbN3l6zOyUHLV/e3YurQZkzoeevkgRPWZdWJNCcnJ1q3bs3q1asL3L569Wo6duxY7L4bN27k1KlTPPLIIyW2oygK+/fvJygoqFz9FcUb27EW3Rv4kWMw8vLvByT0X4jb0P0/bCc3T0GrgYWP3XHL5UUryuCW1ZnYpwEA7/x9hJhkCf0XQsZ5t5a7m1djWOsaKAq88scBMnOkip0Qt5uZG06zNzoJD2cHPh/eAt0tlhetKDWrunJ/u5oWRVMLATZY2jlx4kRmzZrFnDlzOHbsGC+88ALR0dE88cQTgBqOP2bMmBv2mz17Nu3bt6dp06Y3/O2dd97hv//+48yZM+zfv59HHnmE/fv3m48prEOj0TB1aBgeegcOnE/mh81n7d0lIYQNzd16lhP50agv9W1A6C28pLMwj3epTfNgb1KzDIz8fjtGo5xMEELGebeWtwY2JtBTT+SlDD5ddcLe3RFC2NCJ2FS+WqdG/r43uCnBPq527pF9JGfk8sXqCAkaEcVysHYDI0aM4NKlS7z77rvExMTQtGlTli9fbq7OFBMTQ3R0dIF9kpOTWbx4MTNmzCj0mElJSTz22GPExsbi5eVFy5Yt2bRpE+3atbP23bEtQw5Eh0PcYchOBTdfqN4GgpqDnWbLA730TB7YmJf/OMgXqyPo1cifegEedumLsLI8AxxdBsZcyLgMLt5QtR5Uawk6q791iEomKSOHD/49BkAdPzee6mbl0HejEY4shhMrIC0enNwhpAO0eQSc7TOB56DTMnVIU/p/uYWoSxm89PtBPh/Rwi59EaKykHFeORjz4NxOiNkPWcngUgWqtYLqrcFO0b5eLo5MHdqMcfN2MWfrWfo1DaRNLR+79EVYmaKor72ApqBzVG/LSQed09XfxW0jz6jwyuKD5OYp9GoUwKAW1azfaPxxOLcdUuPAUQ/+TaBWJ3B0sX7bRTAaFYb/L5wTcak46jQ806Oe3foiKjeNchtmTU5JScHLy4vk5OTKmUdDUWD7t7D5M8hIvPHvvvXhkVXqgMsOFEVh3LxdbDiRQPNgbxY/0aFAxSdxk8szwOq3YNcsyMu58e9aB2h+PwycLhNqt5F7v93GnqgraDWw+dXuVPe24lnKEythyeOQlVTIHzVQuysM/xn09pnEf2TeTtYeTwBg2dMdaR5sn/diYR+Vfgwhbo7naN/PsP5DSCkk56J3TXjoH6gSYvt+5Xvp9wP8sec8ob5uLJ/QGRenW7My823JaITj/8CGqRB/FJ7aAf4N1b9t/079/nHn89DucRnn3UZ+Co/krWVH8HB2YPXErgR66a3b4IaP1Nfg9Zw8oN146PIyONknP9uSfed5YeEBHHUa/p3QmfoSNHJbsXQMIbMflZFGA2c3qpNobn7QeBC0Hgt1e4OjK3gE2m0STe2ehqlDmxHoqee+1jXQylryW8elU/BpXdg+8+okWlAraDIUanUGjRaMBtj3E3xSGy4etG9/hU0cOp/MvugrADzVra71JtEyk+DX++HXEVcn0VyrqicPPKuprz8UOLMBvu0IsYes048SfPtgG1zzv1Q+Nn+PXfoghLjJnduhTqLpvaHhQHWc16A/OHuqEbhewXbt3lsDGlPTx5X72tTAUSfjvFtGVjL8OhIWjVYn0RzdIPOawhIXdkN6PPz3OnzfVY0YEreFIa1qMKZDCG8MaGT9STRQ3/Ncq0Ltbur1pveCZw3ISYUtX8Ch363fhyIMblGdXo38yc1TeGPJIYzG2y7uSFhAItIq65nKxFMQtRVaPFDwbFBWivqBV6WW+nueQf3d3b9Uh1cUhWyDEQetBp1WU6bEitmGPJwd5AzlLePYP/D7Q+pEGUDInTDkf+Bd4+o2afGw9Ck4lZ9YWqOF+36ExvfYvr/CJnLzjNzz9VaOxaRwd/NqfHV/S+s0FL0dfh8LqTGgdYQOT0PHZ9STCebOZMHKSXBgARiy1RML9/+mRqjZ2PKDMTz1y14Anulel5f6NrB5H4R93BRjiNvcTfEcpSXAoUXQdjw4OF+9PTcTUi5C1Trq74oCqbHgaftCCzLOu8UknlIn0S6dBAc9dJygfta6eF/dJi8X9i+ANW9D5hXQe6mfsyHFFw8RokRpCXBsmfqeZ2LIAYdrqjYbjRCxQk0tM/g7uy1xB7iQlEnvzzeSkZPHx/c2Y0Tbmnbri7AtS8cQMpFWWQZYJ9dA5Cbo/a7l+xjzYMkT6tryEpYAKIrCrsgrrDoSy46zlzmTkEZ6fjUmR52Guv4eNA7ypGcjf3o09EfvWLqBU47BiJODBDjetI4vh99GAYq6dHPYHDUSsiin1qiRQ3k5gAZG/AyNBtqqt8KGPl8dwZdrT1LF1ZHVE7vi6+5c8k6ldXE//NADlDzQV4GH/oKgsKK3T0uAP8erkWlaJ+j5FnSaUPH9KsGgr7dw4HwyOo2GHW/0wNfdBmdwhd1VyjGEKKBSPkfnd6vR3AO+sPzLoaKoJw8O/Q4P/Q0Bja3bx2Lk5qknX6Wi3U3q8hmY0w/SYsGzOoxcoOa8LUpaAix8QI2c1DnDg4shtLPt+its5kJSJtW89Nb9385Mgtl9IPEE3D1DjUCzlCFbzRleu5uVOle0WZvP8P6/x/BycWTti1YaA4tKR5Z23kxiDsCiMbB1hhoVZKnMK2oIdlI0/DJcLUhwHaNR4c+95+n1+UaG/y+cWVvOcuhCsnkSDSA3T+FYTAqL957nqQV7af3eaqYsO0xscpZF3dgYkUCvzzey4US85X0XlUd6IiweDyhqhM+T24ufRAOo2wue3qVuj6IuEbh0yha9FTZ09GIyX65VqzdN6FHPOgOItASYc5c6iaZ1VAfrxU2iAbj7wahFUO8uMOaoOf2O/lXxfSvB7LFt0Gk05CmKLPEUQhTt8hl1nLZnHuydZ/l+Oenq6oSMRFgwTI0Kt4PdkZcZ+OUWFu8tJJ+buDnsmadOovk3gUfXFz+JBurn7JhlUL8f5GWrk2qpsTbpqrCd1KxchnyzlZHfbyc+xbLvfaWWl6t+z008AR7VIKRTKfY1wB8Pw8/3QtQ26/SvGGM71qJxkCfJmWoVTyGuJRNp9paVDAsfhNx0daa9Xh/L93XzhbH/gkcQJBxXo9OMV8v0noxLZcT34UxcdIDTCem4Oum4t1UNvry/JWsmduXAlD4cmNyHTS935/vRrXm8S22qe7uQnpPHj+FRdJm2ng+XHyMjx1BsNzZFJBB9OYPJy46QlZtX7LaikskzqMvpctPVPAVPbAE/C6vT+NSCJ7aqZyoVI/w1QT2euGU89pM6OeTqpGP0HVZIep1ngO86gSET0MDoJVCjtWX7OjjDfXPV/EIAf4yDS6crvo/F8HXX82xPdfnV/nNJnIi98WSGEOI2Z8hWv0RmXIKgFtBsuOX7OrurEbpV66n51H4fq34ptbHdUVc4EZfKh8uPkZRRSBEiUfn1egcGfK5+znoEWLaPo4v6ORt8B3R+Cdwt3E/cNGasOUl8ajZxKVl4uVqpUuvyl9Tc345uMGoh+JaiCqZGq1aRNRpg4WhIOmedPhbBQaflw6HNuK91DV7sIyk8REEykWZPigJ/P6dGlHmHwPD5BdeJW8KzmrqsTuekVuDZPhOAP/eeZ+BXW9gVeQVXJx0v923Ajtd78tnw5tzTvBp1/d3xcnHEy9WRmlVd6dMkkEn9G7Hl1e4sGN+edqE+5OQZ+X7TGfpO38S2U4VUD833Qu/6BHrqib6cwcwNtv0iK8pp0ycQuVlNbDx2+dWcLJaqWhse36hW2InaCmvfsU4/hc3N3XKW81cyAXh/cFMcrLF0e9EYSItTrw+cXvplI06u8Og6dTmy0QBz+xU4mWALz/dqQN8mARgVeOfvI9yG2RKEEMVZPVktjOLqq+aacnYv3f4uVWDkL9d8zpYiBUgFeeTOUOoHuHM5PYdp/52wefuiAmg00PYRyyfRTBxd1JP2nSaoxxC3jBOxqczdFgnA2/c0sU4+xEN/qNGQGq06KVvSioPrabUw6BsIbKZG5v7xsJrayIZaBHvzyX3N8XEr5Xd0ccuTiTR72v8LHFmSn5NqrprQsyxqtIF+HwOgrHuP7xb/x8RFB8g2GOlS34/VE7vydPe6eOhLPtOg0WjoVNeXRY93YO7YtlTz0nPuciYPzN7BV2tPFlq1xN3ZgbcGqnk7/rfxNBeSMst2P4Rt7fgBNqqvG+6ecbX0eWn5N4LB36jXt30J+3+tmP4Ju8nIMTB1pVqpq56/O0Nb1ShhjzI4sRJO/Kteb3ovtBlbtuNUrQNDf1Cvp8WpZz5t7M0BjXFy0LLt9CV+2xVt8/aFEJVUxCrY8Z16fch3ZS8Y4Fdf3R8g/Gs135oNOeq0vD+4GQC/7Yzm6MUUm7YvyijmICx5Us1PVR7XFj3LyYALksrgZqcoCpOXHSbPqNC3SQDdGpSuaJ1FUi7CPxPV611egfp9y3YcJ1cYsUA9mXB+pzloxF4sTX0kbn0ykWYv6Zdg1Rvq9e5vWL6cqSitx6HU7o7GkEXLA5MBhQk96zFvbFuqe7uU6ZDdG/qzamJXRrYNRlHgs9URPPbTnkKXevZvFki7UB+yDUY+WiGlsiu93ExY9TqggF8jaDasfMdrPAgaDFCv//Vs+Qdtwq4mLtxPjsGIBpj1UJuKb0BRYMUr6nXXqjB0VvmO13QohOZX7tw9R/3yYEPBPq6MahcMwJtLj5AsS5+EEDkZsPxF9fodT0G93uU7XqOBEDZCTaWw7GmbL/FsF+rDgLAgjAq8989Rib6t7IxG+PdFOPCLWoGzIiRFw/86q/mqMi5XzDGFXfxzMIYdZy+jd9SagyEqXMRKyE6G6q2hy8vlO1aVEOj7gXp93fuQeLL8/SulK+k5jJu7k7tmbJIl7gKQiTT7idqiFgcIaKqWny4vjYbpLs9wzFiTGYZ7mTasORN710erLV8YtruzAx/dG8a0e8NwctCy5lgcD87accMbiEajYfLAxmg08PeBi+yJkg/YSm3x+KsVN0f+UjHH7PexGrptzFXz/omb0rlLGfx3RF1ueU+LaoRUdav4Ro4uhaQotbjAiJ8qprz5/b+Bgwvm4hc29kyPumg1kGdUePqXvTZvXwhRyVzYoxYH8KwBPd6smGPe9ZG6xKnHW6CzUj6jYkzq1xAnBy3hZy6ZPydEJXVwoRq94+gGXV+pmGN6BKmpZDKvqJMZ4qaUlZtnDnp4smtdalRxtU5DbR6Gh1fBPV8VjGosq1ZjoE4PMGTBilfLf7xS8tA7cDEpi6SMXKavsf1Enqh8ZCLNXhoPgsc3w+CZFfLmMnfrWWbsyaZ/7lSGDRvF8DbBFdDJq4a3DebXR+/Ay8WRvdFJDP9fOAmp2QW2aVrdi+Gt1XZXHpbKPpVW3DE1nx5A02FqnrOK4B0M7R5Tr0duhnO7Kua4wqY+W30CBXBy0DLt3lLmsrBETjr8lx+N2+Wl0lVvKo6TKwz8TL1+JdLmS0983fU80F4tyLDl1CUOnk+yaftCiEomtDM8tR3unQVOFXRCwtVHHTs2GlgxxyulGlVceayzOmZYeTjGLn0QFshKVnPzAXR9Wc2nXBF0jtD/E/X6nrkQc6BijitsKi4lCzdnHYGeeh7rUkHfAYpSsz0ENKmYY2k0MOAzCLkTur9eMccsBQedlsl3q9F7P22PIiJOCkzd7mQizZ4CGkNQ83IfZsOJeN775ygAr93V6Go+I0PFhp22DqnC7090IMDTmYi4NEbP3sGV9IJtvNS3AXPHtuWNAVYKExblt/AB9dLBRZ3IrUh9p4Kzp3p98SMVe2xhdZGJ6fx7SP1y9MHgpjg7WiHx7JIn1Opz3jWh03MVe+wWD0Dz+9XrK19Xl5Da0Dv3NMbNWX3Mnvttv03bFkJUQj6hENKhYo95bcL3Ch7nWeLJbnX4ZlQrvhjRwuZtCwttnAbp8eBTR11WXJFq3QlNhqpLjO3wOSvKL6SqG8sndOaXR9vj4mSFcV7EKrgSVfHHBfCpDeP+VfOD20Gnur70bRJAnlHhY0lldNuTiTRbu7gP4ivuHy82OYuJiw5gVGBk22D1zIIxD7bOgOlN1USPFah+gAcLH+uAn4czx2NTeWjuTlKzrubp8PNwpntDKySsFBUj4j+4nF9Zte8Hpa8SWxKtFvrln61MioKjyyr2+MKqPl55nNw8hS71/bivgqNaAYg7Dsf+Uq93ekGtBlbRek4GR1c4tx2O/l3xxy+GVqvl9f6NADibmM6aoxKZK8RtJ+GE9SNiFUXNBzm9KcQdtW5b13FzdmBAWBAaqeBYOSVfgJ3fq9f7fQwOzhXfRp/31CWeUVvg7MaKP76wOgedltp+pawgbImcDFj6JHzTDi7YIM2FjSt4ArxyV0N0Wg1rj8ez48wlm7cvKg+ZSLMlRYG/n4eZd8DBReU+XJ5R4fmF+7icnkOTap68M6iJOrDRaOHECrWC3dYvy9/v69TydWPB+PZUcXXk4Plknv5lH4Y84w3bXUnPYdvpxApvX5TD8vw8Ge4Bahl0a2gxEjyr57dXzuSiwmZ+3h7FisOxaIA38ieDKtyyJ9VLR1doPdY6bXhWg1YPqdeXPqYmXLahB9qH4O+hfnF57c9DNm1bCFEJrHoTfugB4VasLKfRwOn16jhv0yfWa6cEqVm5bIxIsFv7ohDbvlJz4IZ0Kn+Bi6J41VDzX4GaK02i0m4Kp+LT+G7jabJyrTj5tHsOZCSq3zMCm1mvnexUWPUWzOxg88jcOn7ujGyrnmyeuuK4FF65jclEmi0d/wdi9qtfImt3L/fh5m49y/Yzl3F10vHV/S1xdsgPz9VooGt+EsY9cyG14qMi6gd48OPD7XBx1LEpIoHJfx0p8EZyPDaFLtPW8+TPe0nOtG1lKVGEK1GQfE693vs967Y18Av1Mi0OLkoOjcrOaDTy4fJjADSu5kmDQI+KbyThBFzMPzvZcULFFBgoSrtH1cvcTFhn5dd6IaYNU3PLJablsDEi3ubtCyHsJHoHnFwFGh3U72vdtkzjvCNL1PdXG7uYlEnXTzbw6PzdXEjKtHn7ogh3Pg8dnoFur1m5nYlqihC9F2SnWLctUSE+WnGcj1Yc552/j1ingZwMdUUUqDlwrVkQReuoBqUknoD9C6zXThGe61UPVycdl9KziUvJLnkHcUuSiTRbURTY9Kl6/Y4nwN2vXIc7dzmDz1ZFAPDmgMY3hufW7gbB7dXKJtu+KldbRQmr4c2MkS3QaOCXHdHM3Rpp/ls9fw+CvPUkZ+by3cbTVmlflNKWL0DJUydxm4+wblv1+0K9Pur1bTOs25Yot89WnyQjRz1D+cl9VigwALDsafXS0eXqF0BrqVoHarRTr2+fafOotG4N/OndOACA6WtOytlKIW4Xm/MLnrQYpb4PWVNgU2g4ELhmfGlDQV566vm7k2MwMn11hM3bF0XwCFRTd4R2sXI7AfDsbnhwsTqZJiq1vdFXWHMsDq0GHrnTSgUG9sxTc/N51byar9ZaHPXqpDGo77s2jkrz99CzYHx71k7sRqCX3qZti8pDJtJsJXKLGo3moIc7ni7XoRRF4Y2lh8nMzaNdqI85vLQAjeZquetdsyHjcrnaLEqfJoHmZWAfLj/GzrNqOzqthpf7NgTUyLm4lCyrtC8slHQO9v2sXrf2JIZJz/yKUYf/hMRTtmlTlJrBYGTW5jMAtKzpTeMgKwyIL52B8/lVXO94yrrRaCaD8pdVGbLUSWQb+2BwU1wcdeyLTuK/I5IrTYhbXvxxOPkfoIE7X7BNm6Zx3uE/4PJZ27SZT6PR8Go/dZy3eO95TkoFO/uyxwkbrxq2b1OUyWer1KjVe1vVoK6/FXKj5WZdjUbrPNG60WgmrceqS0iTz8GRP63f3nVa1qyCk4NMpdzO5Nm3lW35ucpaPABuVct1qFVH49gUkYCTg5apQ5uh1RaR8LVOT3V9uiFTXeJpJY/cGco9zathMCo8/cte4vMnzXo18qd1SBWyco3MWHvSau0LC/x6PxhzoVqriq8gVpTAZlC/H6DA8pds06YotXf/PUq2QY3Ymm6tKmym599BD93ftE4b1/OrBwFN1evbv7FNm9fw99QzvnMoAG8uOUxGjsHmfRBC2FB4fvR/o4HWj0YzCWqujvUUI+z4n23avEarmlXo0zgAowKf/Gf75aXiGhs/hp+Hwbmdtm87NRb2/2r7doVFtp1KZOupSzjqNDzXq551Gjn8B6TFqjmSWzxgnTau5+gC7R5Tr4d/bbdcfbl5Rn7dGU16tozzbjcykWYL8cfUnBlooEP5otFyDEam5ucyerRzKHWKq7ii0VyNfts5y2qVTTQaDR/d24wGAR4kpGbz3G/7MRoV9WzlXerZyoW7znE2Md0q7YsSJF+AuPyk543utm3bje9RL8+sh0RZ+lHZZOQY+GVHNAB31q1KSFW3im8kz3B1YN9suG2i0Uzu+ki9zLgEx/+1Xbv5Hu0cik6rITE9hzeWHLZ5+0IIG0mNvVpEquME27bd4Sn1ct9PkJ1m27aBV+5qgFajnuTdG33F5u0L1GVtu2bDqdVXc+HaSloCzGiuVmpMlJPmlY2iKHySH432QPsQalRxtU5DmUng5K5ObDk4WaeNwrR5WM3VF3tIXf1lB4/N382kPw8xe4tto4KF/clEmi0kRoCLT4WcpfxpexSRlzLwdXfmyW51S96h6b3qUqrRf4JWV662i+Pq5MC3D7bC1UlH+JlLzNqiLhVrF+pDj4b+5BkVPl0lZyvt4r9J6qXWETo+Z9u2w0aqH3AAK62c+FaU2ptLDmMwKmiAz4e3sE4jJ5ZDTiq4VIE+71unjaKEdlbzxQCsnmLbtgFPFyd6NvQH4K/9F0nLkrOVQtySEk6o47zg9hDczrZt1+kJHZ+Fh/4GZyss2SpBXX8PhrVWl/h9LBXs7OPoUjU3lUcQNLrHtm27++UXUFNg1yzbti1KtPZYPPuik9A7anmquxUjZTs+AxOPQttHrNdGYVx91JyUoObEtYPBLasDMGvzGSmwd5uRiTRbaDwIJh6DAZ+X6zCpWbl8tU492/NSn/q4OzuUvJODE9w1FfwblattS9T2c2fywMaAGuJ/5GIyAC/3bYCTgxYvF0fyjDLAsqk8Axxfrl5vOAB01ptMLZRWC82GqddPb4BcqWxTWWTl5rHpZAIAvRsH4O9ppWSpO79XL9s8DC52SEjcNX8iOSkaMm0fLTFtWBhaDeQpCq8vOWTz9oUQNlC7K7xwGO6bZ/u2NRr1JEX1VrZvO9/zverj4qgj0EtPVq5ti7sIri7rbfOwbXJTXa/dePVy/69q5UZRadTydaVP4wDGdQrF38PKSfH1XuBsharvJbnjKbVSrWkVgo0NDKtGPX93UrIMzJGotNuKTKTZiqMe3P3LdYgft0WSlJFLHT837mtTSIGBSmBE22B6Nw4gN0/h+d/2k5WbR6MgT3ZM6smHQ5qhKyqfm7CObV+qudEA+k61Tx96vwdo1Iqhmz+xTx/EDX7ZEU1iWg5BXnrr5UaLWAWRm0GjgzY2Pktp0voh8G8Cedlw8HebN+/t6kTfJmpU3D8HL5KaJWcrhbgl6RzBs5q9e2EX1bxd2PZaD2aMbImLk41P2N3uLuyBC7tB56QmX7eH2j3AOwSyk+2S9F0Ura6/B9+PacPLfRpYp4HUOIjeYbf8ZAD41lUr1VYJsUvzOq2G53vVB2DOlrMkZ8g473YhE2nWpCgQuRWM5T87l5qVyw+b1VnuCT3rlX5CKu4I/Pm41Zc3aTQaPr43DD8PZ07Gp/HRiuMAVHGz4Xp5cZUpzDmgKXjZaYDvWgWqtVCv75lnnz6IAtKzDczcoFZSndCzHq6WRLeWxao31MuqdcCrunXaKIlGo06mAez90S6DvY+GNkOrAaMCry0+aPP2hRBWFBVutRy0pXL5DPz9HPz1rF2al3Genez8Qb1sMrTcJ+zLTKuFNuPU67vn2KcPolhFFqYrr12zYE4f+Od56xz/JtGvaSANAz1IzTaY0xuJW59MpFlTdDjM6w/fdyn3ZNr88CiSM9VotIFhZZgQSYmBg7+pExm5WeXqS0l83Jz4ZFgYAPO2RbI78rL5b0cvpvDDJnmDsYkL+yFdXbpHL9vnhyqgx1vqZXoCRNuhopQo4Pnf9pGYlkP1Ki7m3DYVLi3haoEJe50lNwkbruYIjDsM+xbYvHkvVyf6NwsCYMXhWJIzcmzeByGEFSSehLl3wZctIDfTvn3JTlXHeAd+g/RLduvG2cR0vlp7UnKl2UJWMhxZol5v96h9+9LiQfVz9sIeuLjfvn0RLNt/gdeXHOLcZSsutTXmwf78MVVoF+u1Y6mzm+C3B9TVEDam1Wp4Pr8i6tytkVxJl3He7UAm0qzJFH1TrWW5KtVl5eaZK4E826MM0WgAdbqDZw3ISoLj/5S5L5bq1sCf4W3UL+iv/XmIbEMeMcmZDPxqMx8sP8axmBSr9+G2d2q1eunmB/X62LcvdXuquRMAdn5n377c5i6n5bDmeDwAnepUxVFnpY+B9R+ol1oHaP+kddqwlEuVq0uutn5hly5MHdoMXX5U2vzwKLv0QQhRwfb+qF76NwZHF/v2Jai5+pOXAwcX2qULqVm5DPhyM5+tjmDbaftN5t02tI5qHuRm90H11vbti7ufmhPawQXij9q3L7e5PKPCjDUn+WVHNH8fvGi9hs5sgJQLoPeGBgOs146lIv5Tv+PaKSqyT+NAGgd50jzYixRJ43FbkIk0a8lOhWN/q9dbjinXof7ce4HL6TlU93ZhYFhQ2Q6i1UHLB9XrpoGflb3evxG+7k6cik/j2w2nCfJyoV9+VIapaIKwEkW5mqei2yT79sXElPQ9ekeFLHcWZTPpz4MoiprT4e17mlivIdPrL6RjuU4kVJg7nlIvL51SI3RtzEPvyOsD1KIv87dHkZVbCZaCCSHKLs8ABxep11uVb5xXYUz92DvfLsvYPfSODM/P4TtjjUSlWZ2Tq1pg4N5ZahoDe+v9Drx47GoVRWEX/x6K4UxiOl4ujozpUMt6DZmi0Zrdp+YCt7dW+Wk8Tv4HKVacQCyCVqvht8fvYMH4Owip6mbz9oXt2eTbzcyZMwkNDUWv19O6dWs2b95c5LYbNmxAo9Hc8HP8+PEC2y1evJjGjRvj7OxM48aNWbJkibXvRukcXQa5GVC1LtRoU+bDGI2Kea31w3eG4lCe6JGWDwAaNfT1svWXV3q7OjHlbvWL+jfrT3EyLpUJPeqh0cDyQ7Ecj5WoNKuJ2a+eEdQ5Q9Oh9u6Nqs04cPaClPMQtdXevbktJWfksPpYHAB3h1XD1clKudEit6hLTgC6v2WdNkqr3WPq2XuANfZZ6jz6jlpU93YhITWbn7dLVJq4ddyW47zT6yAtDlyrQt3e9u6NqukwNSIo4Ric322XLjzRtQ5ODlp2Rl4mXKLSbi9eNdQIcGE3RqPC1/nBCg93CsXdWjlwM6/AsfwVTi0fsE4bpeVXH2p2AMVolzQeAJ56O1TNFXZj9Ym0hQsX8vzzz/PGG2+wb98+OnfuTL9+/YiOji52vxMnThATE2P+qVevnvlv4eHhjBgxgtGjR3PgwAFGjx7N8OHD2bFjh7XvjuX2/6peNr+/XGeJ1p+I50xCOh7ODualkmXmXRPq9FCv7/u5fMey0MCwIHo09Cc3T2HSn4eo5+9O/6b5UWlrT9mkD7el5a+ol/XvqjyDGkc9NBmkXt892759uU29uewwRgW0GnhvsBWj0dZ/qF66VIGa7azXTmloteoSd7DJ8vbCODloeaZHXQA+Xx0hFTzFLeG2Hecd+EW9bHYfOFSSRPsu3tBksHrdRqsPrhfopef+tmpU2nTJlWY9u2arhQYyr9i7J4VLOmfvHtyWVh2NIyIuDQ9nB8Z2qmW9hg4vVquh+zeBoBbWa6e0TFFp+3+2ayXRhNRsPv3vhFTwvMVZfSLt888/55FHHmH8+PE0atSI6dOnExwczLffflvsfv7+/gQGBpp/dLqr5bSnT59O7969mTRpEg0bNmTSpEn07NmT6dOnW/neWOhKJERtATTQfGS5DjVvWyQA97eviYdpljs9ETZOgx96wLTa8FkjWDBcTTCbV8I/bKvR6uWB32yyvE6j0fDe4Ka4OenYHXWFP/ddYEJPdbD876EYTsSmWr0Pt52MS3A+P6F/cCWZxDAxnbU/skTtp7CZ9GwDyw/GAtC3SeDV95OKZjTC+V3q9abDrNNGWZmKXuSkq1FzdjC4RTV0Gg0ZOXlMWXbELn245Vm5oI4o6LYc52VegePL1evN77dvX67XMn+cd2QJ5Fgx0XgxnuxWFyedlp1nLxN+Rj7rK1yeQf0esPwlOFt09Kdd5KTDd51hRnNIi7d3b24riqKYU+c81LEWXi5WjI46uUa9bPlA5VhWbNL4HnB0U7+LX9hjt26Mn7+br9efYn54pN36IKzPqhNpOTk57Nmzhz59CiY679OnD9u2bSt235YtWxIUFETPnj1Zv359gb+Fh4ffcMy+ffsWeczs7GxSUlIK/FiVKdQ1tIsa5lxGUZfS2XwyEY0GRt8Rot64dz582VJN5H1hjzoZkXpRXQ++5HH4tmPx4fz1+0GNttB6nHomwQaqe7vwbP7k2UcrjlPNW0//ZoEAfLtBotIq3IaP1EuNDto/Yd++XK/BALVfoA4Chc1MWXaEPEVBo1ET31tNdLia7NrBBbq/br12yiIoTE2KC7D5U7t0wcXJgZ6N/AH468BFsnIMdunHLSv+GHwcCovHSy5GG7htx3knV+dHYzRWE/xXJjU7QK3O6ud/nn0qxwV66RnZTo1Km7n+tF36cEs7uwHSYsHFR115UJk4uYHOCZQ8NWpJ2Mz6E/EcuZiCq5OOh+8MtW5jIxfAg4shrHwBIxXOyQ0a9levH/rdbt14OD8acM7Ws6RnyzivQhly1JMJlYBVJ9ISExPJy8sjICCgwO0BAQHExsYWuk9QUBDff/89ixcv5s8//6RBgwb07NmTTZs2mbeJjY0t1TGnTp2Kl5eX+Sc4OLic96wEHZ6GcSug+xvlOsyvO9Ww6M71/Aj21qvL9f56FrJTIDAMBn0DT2yFR1arbbn6QmIEzOkLh/4o/KCOehi/Brq+bNMKU+M61SLU143EtGy+WneKp7rVxd/DmabVvWzWh9vG0aXqZY02oLNSboSy0umu5gyUAZbNGPKMhJ9JBKB3owC8Xa24DMn0vDYdCq4+1munrEwDrIQIu3Xhw6FN0QAGo8KHK46XuL0ohf9eB0MGxByoHEUubnG37Tiv2X3w6Hq1YmJlisYA9XU/9h/o+Za61NNOHutSG193J1qHVMFolOWdFepwfjGfJkMqz7Lia4WNUC8P/Gbfftxmmtfw5qludXi8Sx183Kz8utDqoG4vcKtq3XbKotl96gkO/8Z268KAZkGEVHXlSkYuv+4sPs2BKKVDi+CzBrDlC3v3BJt8y9ZcN8hQFOWG20waNGhAgwYNzL936NCBc+fO8emnn9KlS5cyHXPSpElMnDjR/HtKSop1B1kajVqprhxyDEb+2KNOpI1qVxNWvQk7/wdo1MFRp+fVNzGT4HZqMu2/J6iFDv58VD0TWUkq5zg76Jg8sDHj5u1izpazDG8TzNbXeuBYnuIJ4kZXoq+G0nd4yr59KUrnl+CX+yA9AeKPg39De/folvf3wYtcSMrCx82J6SNbWK8hQw4cWapeb1JJilxcr88H6omGlAsQdwQCrJgrrgi+7no61qnK1tOX+G3XOSYPaIyDg7wXVoio/Iilaq3s24/bzG05zqsur7Hi1KjiSviknjLOq2iG7KsrXypLManrNR0K/01SC18lnAC/BiXuIsqvqrszr9xl5TG1oqg/lflEVb0+UL+vXbvgoNPyRNc6TPrzED9sPsPoDiE4O+hK3lGU7PCfkJFYKaLSrPpf4Ovri06nu+EMYnx8/A1nGotzxx13cPLkSfPvgYGBpTqms7Mznp6eBX4qu9VH40hMy8Hfw5leho2w/Rv1D4NnQucXC06imbh4w7B50HqsWrFk6VNwak3hDeRkqJEjF/dZ6R7cqHtDf3o09MdgVHj3n6M4aCvZWdxbgWm5ms4RGg2yb1+KUr8POLqq101J6YXVGI2KeWnNI3eGWq9SJ8D2mZB5SV3WWbur9dopD1efq7n6iorctYGPhoYB6kmT6WtPlrC1sMjJ1WDIz4/W9VX79uU2IeO8SsyQreZxi7RflWyZRLOC0+sgOxk8gtRlvJWRm68arQRwcKF9+yIqVvxR+KIxrLZP9XOLVJIo4aGtqhPg6UxcSjZ/7r1g7+7cGtIvwZkN6vVKcCLBqp9wTk5OtG7dmtWrVxe4ffXq1XTsaHnE1r59+wgKCjL/3qFDhxuOuWrVqlIds7IzRaM90TgHh3+fV2/s8nLJEWZaLQycDi0fBBQ1T8yVqBu3W/sO/PEw7Pi+IrtdorcGNsZRp2FTRALrT8STZ1T492AMv+yQsNcKcfxf9bJG+0rzQVIoU/XYM+vs24/bwIy1EZyMT8PFUcfoDiHWbWzfT+qld7A6mVtZNcsvgrB3nt3yaAVXdaVFsDeg5tAwSj6v8ju6TL10rQpVa9u3L7cJGedVYtu+gt/uh82f2bUbiqKw/ng8P2w6Y9d+3DJMyzobDy78pHplETZcvTyyxK7VE28HB84l8cCs7Ww5mWj9xg7/CakxkHgTnADMSlGXFxtskxP8es4OOh7trI5Fvtt4GkOejPPKLS0OqrdWl+5WrWPv3li/aufEiROZNWsWc+bM4dixY7zwwgtER0fzxBNqEvRJkyYxZswY8/bTp09n6dKlnDx5kiNHjjBp0iQWL17MM888Y97mueeeY9WqVXz88cccP36cjz/+mDVr1vD8889b++7YRHxqFptOJqLByKiYjyE3A2p3g26TLDuARgMDPldfaJlXYNGYG6t5Nh6sXh77G3IzK7L7xQr1dePhTmoCzI9WHGf98Xie/mUvU1ccIzVLSgSXS8aVq5UwOz1r376UpHP+EpzsVLh4wL59ucXN2RoJQP0AdzytVakT1CjXS/lJpU1V4yqrOj3Vy4zLsG++3brxUX7Rh4ycPNsMgG91pmqxPSfbtx+3GRnnVVJNhqiXZzao1d7t5PCFFMbN28W0/44Tk2y78eYty2gArUOliMYoVr2+4KCHy2cg9pC9e3NL+37TGbaeusSf+85btyFFgSP5E7mV/fWnKPB9V7UQ38nVJW9vJaPa1yTIS0+Xen5k5ubZrR+3jIDGMH41PPyfvXsC2GAibcSIEUyfPp13332XFi1asGnTJpYvX05IiBoZERMTQ3T01WiknJwcXnrpJcLCwujcuTNbtmzh33//ZejQq/+wHTt25LfffmPu3LmEhYUxb948Fi5cSPv27a19d2zir/0XyTMqvOS7E338fnD2hCH/K92ZJwdnGD4fXKqoOQrCvy749+D24FkDclJt/gbzVLe6eLk4EhGXRmJaNnX83EjNMrBAotLK5+R/gAJVaqsDmMqsemvwrK5ejy6+spsou193RpOapeYQeG9wU+s2tu0rQAGNtvJVi72eixd450fn7fzBbt1oGOTJg+1rAvDDlrN268ctIe4oJBxXq8WZJhCETcg4r5KqWgeqtVSrJ5qKENlBsxpetA/1ITdPYfZmeZ8rt/vmwksnoUZbe/ekeM7u0HMKjFgAvvXs3ZtbVmRiOisOxwBqgQ+rijmgTow6uFS+arHX02igQX5xKTsWN3N1cmDDy914b3BTPKx5Mvt2Y8OCicXRKMrtF2+bkpKCl5cXycnJlSqPRkxaDBfTL/L6ovPEXshih8cr6HOToO/UsieO3/8LLH1SPSv05LaCYZD/vaFOsIWNgKG2XeI5a/MZ3v/3GAGezjzXsx6vLzmMn4czm1/pjt6xbKHqMWkxRKZEEuwRTA2PGhXc45vAguHqZFrXV6H766XePTI5kj1xewj1CqVVgA2SKG/7Si2iUauzWmHsJnc56zJnk8/i7exNHW/7hxsDtP9gDXGp2dSq6sqGl7tbt7EZLeDKWbVK0lPhpd79bPJZTl45SS2vWtTzrldkUvEKs/ZddcmTRgdvJdotce65yxl0/WQ9RgWWT+hM42pl+0y6kHaBLRe24OnkSd+QvmgrcyJga/hrAuz9UR043/+rVZuqrGMIcVVlfY4SMhI4n3YeXxdfgj2sXFkUYOsMWD0ZaneHMUut314RNpyIZ+zcXbg66dj2Wo8yV46Oz4jnTPIZAlwDqOVZy/qfE7eY5OxkTiedxlvvTahnqDx+ZWT66lwZHr83lx7i5+3RdG/gx9xx7azb2OrJ6ntK40FqsEYppeSkkJGbQaBboBU6V4jzu2FWT3Byh5dPg6PeNu1aSUZuBhFXInDUOtKoaiO0mttsnJdwAtz81FzHVmbpGMImVTtF8eIz4vlo50esjsqPDPOEvhnB6DOT1C+l7R4r+8Gb368m+jyzAf59EUYvuZo7q+FAdSItYqW69NOGOY1Gdwhh7tZILiRlkpiWQzUvPReTs1i89zwPtC9dHqekrCSmbJvCunNX8221D2rPB50+IMDN8mTHN7WkKDi1Vr1eymqJWYYsPtj+AUtPLzXf5unkyTc9v6GFf4uK6+P1Gg9SJ9KitqqVRt39rdeWFWXnZfPJrk9YfHIxBqMa/eXm6MZnXT+jU/VOduvXxhPxxKWqeSHeHNDIuo1lpaiTaACtx5VuV0MWD614iKOXj5pva1ClAe91eo9GVa3Y7w7PqBNpSp76Htnifuu1VYxgH1f6NQ3k30OxvLb4IH89e2ep9s8x5PDo6kfZG7/XfNub2jf5ovsXdKnRpZg9byFGo3rSCCDAypGXQpRBcnYyn+3+jCWnlphve7nNy4xpMqaYvSpAw4Hql9/IzWqqD5cq1m2vCF3r+9EoyJNjMSn8uC2K53qVLkIpIzeDqTun8tfpvzAqap6hVv6teLfTu4R4Wjn3Z2WRm6ku0fUu2wRsbl4uA5cMJCk7CYCmVZvybqd3qVdFosVKa/OFzXy972umdJxCk6q2r/xtkpiWze+71eWcj3e18glcRVHz3UGpv2coisIPh35g1qFZDK8/nJfavmSFDhaiWiu1KEdqDJzdaPdKngfOJbH5ZALP9Cjd/5yiKMw/Op//HfwfqTmpANRwr8E3Pb+htvdtlA/2nxfg3E4YNgca32Pv3gA2WNopipeQkcDYlWNZHbUarUaLm84XsgKYnLVH3aDX26Arx3ynRgMDv1CXu5xZD6fXXv1bcDt1ZjcrGSK3lOt+lJazg46X+6rlsL/fdIb785c3zdp8FqPR8iDJK1lXGP7P8AKTaAA7YnZw39/3cTHtYsV1ujJbPxUUgzpI9re89HV6bjqPrX7MPImmzX9LSMlJYcyKMYRfKH1kkcW8a4JfI7XC7Np3rdeOFV3JukKPRT1YeGIhBqOB6u7qctX03HSeXPMkK86usFvf3v3nGAC+7k70amzls3/bv1UvNVpo87DFu2UYMujzRx/zJJqHowfOOmdOXDnBmBVj+P3E79borcrVRx1gAeyZa712LHBXU/X5OXghmQPnrli8X44hhwFLBpgn0UxnJ3OMObyw/gW2XbhNlk2fXAXG/BybrcfatStCXC81J5VHVz1qnkQLcgsi2COYofVskGOoah31c9ZosGueII1Gw5Pd1C/688MjySpFrqCM3Awe+e8Rlp5ailExUsO9Bg5aB/bG72XMijGcvHITJD2vCCdXw/SmaqGwMnDUOdKzZk8CXANw0Dpw+NJhRq8YzeHEwxXc0etcOg3rPoBds63bjpUYjAYmbpjItotXP09/Of4Lxy4fY9zKceyK3WW3vs3fFkm2wUjzYG/ah1o5SifmACRFg6Mr1Otj8W5GxcgbW97gq31fkWnIxKAYzH9Lzk5mR8wOa/RWpdVCwwHq9WN/W68dC8SnZDH02218uiqCoxdTLN5PURQ+3PEhn+7+lNScVHxdfHF3dKeWVy1qeta0Yo8rmfREiA5Xx3rVWti7N2YykWZHucZcnln3DOdSz1HdvTqLBi7CNW4Kj5yrhqeSBYFhpXqzKpJP7atRbasmgzF/AKPVXV0/fmF3+dsppXuaV6NJNU/Ssg0kpGbjoXfgbGI6647HW3yMqJQo4jLiAKiqr8qohqOo7aXOzl/JvsLys8ut0vdK59Qa9TIwzOJdjEYjb297m33x+/Bw9ODL7l+yf8x+ZveZjZPWCQWFp9c9TUJGgpU6zdUzq8f+sl4bVmI0Gnlg+QOk5KSgRcvMnjNZee9KFvRfgIvOBQWFSZsncS71nM37djQmmdMJaQBM6GmDs83xR9TLwLBSTfyPWzGOK9nqxNFDTR5i26htrBq2ipZ+LcnKy+K97e9x/NJxa/RYZXr/u7jPem1Y4O7m1fHQq4/b5GVHLN7viTVPEJsRC8B99e9j34P7WD5kOXdWv5McYw4vbXqJcym2f/3Z3I7v1EvXquBV3b59EeIaiqLw0saXOHb5GD56H37q9xOrhq3ir8F/4e7kbptONBqoXp63/TjvWv2bBlLd24VL6Tks3XehVPsGuQfh7ezN3L5zWXHvClYMXUEjn0bkKXlcybL85MNNzVSV3SOo+O2uY4rgA3it3WusHraa1cNW08q/Fem56UxYN4HETCsWozi/CzZNgx3/s14bVvTVvq9YHbWa1ze/TqZBLZbx4Z0fckfQHWQaMnlxw4vEpsfavF8ZOQbmb48C4Ikuta2/zFTvCe2fVItJOblavNuPR37k7zN/46BxYEqHKbza9lXg6gmGZ9c9S2RypJU6jRqVC3BixdXvv3bg76mnX/5J01mbLa9g/HvE7/x24jc0aHit3WusvW8ta+9by6ddP8VBexstLDyxQg28CGquBmJUEjKRZkfzDs/j6KWjeDl78UOfHyCnGokJ8TyiWwVA7p0T+XLfV/x45MfyN9b5RdB7qV94r026eOcL8NwB6PJy+dsoJa1Wwyt3qdFTC3edY3CL6rQOqYK73rI3hozcDKZsm4JRMdKkahP+HvI3k9pP4s97/mRAqHoG4vuD33Mm+RYvuZ4SA+n5k12lWAb8Tvg7rIxciRYt3/T6hu41u6PRaGgX1I4FAxag1WjJNeby1Noy5uezRMf86qJZyXD55kpCPGPvDPMk2QutX6Bzjc4AhPmFsWTwEnQaHXlKHo+uetTmfVuW/yWliqujOZm91RiNEJ1/RrHHWxbvNvfwXHMk2sNNHualNmqov4/ehxk9ZuCsc0ZB4fE1j1d4l806Pade5uXA2c3Wa8cCD3eqBcCB88nEJJVc2W7juY3silPPhN9X/z4md5iMVqsl2DOYGd1nEOYXRmpOKk+vexqj8RYvuX4u//VnqsYqRCWx5NQStl3chl6n53+9/2dOl2D6AmRUjPx45Edm7J1hvU60eRie2Q39p1mvDQs46LSM61SLRkGe+Lo7W7yfq6Mrn3X9jEUDF9EmsA0AgW6B/NDnBxYNXES7ICvnhaoM8nIhIj/C3RRhY4FN5zfx4PIHzWMVvYMejUaDr4sv3/T8hjpedUjITOCjnR9Zo9eq+nepVUYTT1yt7H2T2Be/jzmH5wDwWvvXcHFQE5z76H34uufXNPJpxJXsK0zeOhlbpxx31Gl5c0BjejXyp08TG+Qc86kN/T4q1fvIicsnzO9tk9pPYlj9YeYJPxcHFzycPMg0ZPL6ltcLTPhWqFp3gt4bMhIh9qB12rCQqRjEXwcuWlTBODUnlc/3fA6o3zMeaPQAWo0WV0dX3BzdAPUzZGXkSnJNUfm3quP5ubRNE6OVhEyk2VH7oPbU9a7Lq21fJdgjmH8PxTBMtwkPTSb4NWSjuxs/HPqBmftnlj8qyNXn6pfGTZ9cnZX3CYUqtcp37HLoUs+XNiFVyDYYQVFY/GRH7qhdtcT9FEXhu4PfcSb5DP4u/nzd82s8nDwA0Gl1fHDnB7QPak+mIZP3wt+z+QecTW3Lr8iqdbB4gJWQkWBeZtKxWkda+rcs8PeGPg15uvnTgPpBePKylZZOhHZRlx0DhH9jnTasICkriR+PqhPczf2aM7bp2AJ/r+5enSkdpgBqEvjFEbarGJSWbeDXneqg+aN7w6yfdP7iPkiLVZO5hna2aJccQw5f71dft3W86/BCmxcK/L2Kvgqfdf0MUIs4zNw/s2L7bG4o5GoU53n7Lc8AeLZ7PRx16gDzzaXFL7Ux5RsBNZ/c5A6TC/zdSefEx50/RoOGs8lnmbpzqnU6XRmc3wO5Ger1O5+3a1eEuF4z32aE+YbxTMtnaOhzY9qFAwkH+HT3p8w7PM96J/08q1WaqoljO9Zi+YQ76dW45Py1147bNBoNQe4FI7G8nL2o5l6twvtYKUVtVU84uvpCsGWVa3ONuXyy6xMOJR4qdAzi7uTOh50/xMPRg1CvUPKsFa3j4g0h+fliT9gv3UVp5RnzmLpD/ewcUncId9UqWKXSWefMJ10/wVHrSHhMOBvObbBp/xx1Woa1rsGsh9qi09q/6MH1FEXhk12fkKfk0atmL+6rf1+BvztoHZjaeSpujm4cSjzEslPLrNMRnaNa6faFo2oVYzsKq6EuwTUYFeZtjSxxew8nDxYOXMgTzZ9gbJOxhW7z3LrneHnjy/x6zLpFluwqOw1Or1evy0SaMAnzC2PRwEUMrK2+KJYfvMgoXX4Os3aP0iOkF818m5FhyGDWoVnlb7Dto+qsfGJE4aXQ7RC1oNFomNinPgALd5/nggWRGACTt03mx8PqRMbkDpPxdfEt8HedVsc7Hd/BWevM7rjdPL/++Qrtd6ViWhYZGHa1kEQJXt38KgoKOo2OT7t+Wug2jzV/jG41uqGgmCc9rCKouXoZcfMMsN7a+hZ5Sh5ajZYZ3QuPJBhSbwg1PdRosE93F/4YW8Mfu8+RkmWgtq8bvRvZoNjG9vwJ0Do9wMGyKINPdn9CTl4OAF91/6rQbboGd6VpVTVx/JzDc8yFHCqcKafWcftWjnVw0DKohfqlcP2JeDJyir6/a6PXciDhAC4OLszsVfgkYw2PGrQLVCM1fo/4nSxDVsV3ujLYlv/6cXKHAPslfRaiMPWq1GN+v/k82OjBQv/e0r8lXWt0xaAYrHfC4Fp2jk510GktXoI2+/BsXt30aonL5vKMeSw/s5z3t79fEV2snI7lfz416KemZbHAnxF/EpkSiY/eh/HNxhe6TeOqjVk7fC1Pt3ganYXHLZMG/dTLiJXWa6OCrYxcybHLx/Bw9OC5Vs8Vuk2IZwgPNXkIgM/3fG69yUh7i9wKZzaqkZEW2p+wnx2xO3DSOvFS25cK/b/3d/XnyeZPAvDN/m/M48IKV6dHpUn78HhXNSrtlx3RpGaV/HiGeIbwdIuni3zf7BrcFYAfDv1Ahumk4q3m1BrIy4YqoeBv5eJppSQTaXbmqHNEo9EQEZeKT+Ju6movoji6QbPhaDVaJrSaAMDik4vLn8NA7wkd1CgjNn+hVmABuBIFv4yA/3W+epsNdazjyx21fcjJM/LN+lMkZeTwzfpTxCYX/sXvStYVtXITRhr6NCyyMl119+rcXeduANadW2fdNfj2kp0GydHq9ZaFD9Svdynzkjk56ogGI3Bzcity2xdav4BWo2XduXXWS+jb4gH1Mvk85KRbp40KlJ6TzqYLmwDoH9qfqi5FR1BO66KGwKflprE71vr5aQwGIx+vPAGolXG1tjhLaRrgu1lWddWoGAm/qBaxuKf2PQR7Fl2BzPT4Zedl880+K0UsNhwAaODCHkixb3GSKXc3QaMBowIf/nus0G2MRiPfHlCLOzzY6EH8XYt+3D/uokal5Sl5TNtl32VdVnMm/yylKeJBiEpGp9UVO0lhGuetilxlvai09EuwcDTMaA55VjopUQpp2QbmbDlLRFxqoX/PMmTx09GfWH52eYnJ3GMzYnljyxssPLGQgwn2XbplFYpyNT+ahdEYBqPBvCTxsbDHis3HZ1quaFX186O5orap1WMrOUVRmH1YLY7wUJOHih3njW82Hh+9D2F+YWQYbDOR8cLC/fy4LbLYE24VauPHMP8e2PmDxbu09G/Jgv4LeLvj2+ZCXIUZ2XAk/i7+xGXEsey0laLSKpFu9f2p6+9OaraB33YWncPW0u/8Q+qqJ+2TspP4PcKKBbrsyfT+12igxQEjtiITaXbw7YFv+fbAt6TkXK3a8d/hWEY5qNFommbD1EkvoH1ge8J8w8jOy+aPiD/K33i7R9WKK3GHrlbqdKkCp9dB3GE1Ws0OXuyjVvBctOscj87fzSf/nWB+eGSh2846NMu8ln7yHZOLPbs5sc1EdBp1APvJ7k8qttOVwS5TpKIGWo6xaBdTLgydRmfOS1WU2t616RHcA4A3t7xZ5m4Wq8UDQP5zeBNUdZq2expGxYgWLW+0f6PYbZv4NmFQnUEA5qWg1jR97Uky86uh3d28dAmJy+TMRvUsEVydpC/BpvObiEqNwsPRg9fveL3YbYM9gwnzVZde/nbit3J1tUgegeCTXzZ+lZVe45Z2Re/InXXV6NoNEQmFLkn/+djPRFyJQKfR8WDj4ifPq7pU5Y6gOwBYdnrZrZcrLTMJsvO/iJvyLQpRCSw4toDP93zOpcxLJW5bv0p9ugd3R0Gx3vIcvZc65kuOhmj7V/OdvPQw7/5zlB82FT5x+Nfpv7icdZkgtyDuCr2r0G1MqrtXp39ttXDMz0d/rvC+2l3Mfki9CI5uULubRbusi17HxfSLVHGuwr317i1xe0VRCL8Yzlf7Co8QLzefUPBvDEoenFxjnTYq0LaL2zh55SSuDq6MbDiy2G3dHN1Yee9KPrjzA3OKGWvafy6JJfsu8MG/x0jLtsFEWmaSurQYoH7fUu0a5hdmDmgoirPO2Zwe5eejP1svFc+ptfDTUNho3++CWq2GRzuHUs1Lj7erY6HbnLpyil6/9+KVTa+UGOWo0+p4pNkjAMw/Mv/WzJXW+10Y8Dk0v9/ePbmBTKTZWHZeNguOLWDm/pnsj99vvn3n0ZP00+5Uf2kzzny7RqMxv4kvObmk/MkYXapA8/wPBVOlM73n1Q9nO5UHblvLh871fDEYFZx06stywY7oG862GIwG84x7qGcozfyaFXtcDycPeof0BmDLhS23Xthr8nn1snorcCj8DflaecY81kSrg5gewT1w1JW8jyni7+jlo5xPO1/2vhbFwUlNYgpwZkPFH7+CnbisRnz1rdXXoqprpg+4jec2EpMWY9W+/bQ9EoDmwV74uuut2hZwzXuIF1StbdEuPx35CYBhDYaZk6UW57V2rwFqVN/hhOJzh5WZqe+n11nn+KXw6X1h6B20nL+SyY6zl2/4u2lCtpZXLXz0JZe7n9JRzdWXk5fDHycr4GRMZXJ6nfrFzLeBxfn5hLA2o2JkwbEFzD08l03nN1m0z/0N1S8I/57511wZsELpHK5WKT5m32XsAA92CAFg2f6LxKcWXH2gKAq/HlcnFEc3Ho2jtuRxyujGowFYFbWK+AzLK7/fFHxqw5Dvoccb4GjZ5/pPR9XP2eENhqN3KHmf2PRYHl/9ON8f/N56lcYb9FPTy9wEEWntg9ozo/sMXm33Kl7OXiVub5OovnxztqiFue5uXg1/DxuM806uBqMB/BpC1ToW7VLaVBxD6g7B3dGdIPegAkEmFSo9AU6vLTy1kY0NbVWDja905742ha/IWBSxiDwlj5y8HIuWXN9d+2589D7EZ8az6Zxlnzk3Fc8gaPtIpUzfIRNpNrY2ai3J2ckEugXSqZq6FCUhNZu6cctx1hjIDWh+QzLE3iG98XDy4GL6RfOSqHJp/4R6efxfuBKpXjflLzi5qvzHL6OJvdVcaeFnLlHd24XkzFwW7yk4cbPh3AbzIHNCywkWHffF1i8C6uDWNDi7ZUTmVxq8w7LKmotOLDJ/wE1qP8mifQbVGYSTVi0I8OWeL0vfR0t0Vcthc+mUXZYXW+r45eMcuXQEB60Dr7Z71aJ9Qr1CaRvQFgWF6XumW61vyw/GkJypPreTB9row8YU1VrLskmM3bG72RmnnjAYXn+4Rfs082tG1xpqDoh/z/5b+j5aom1+ZdXMK5AaZ502LBTg6cLQ1jUAmLu1YCXbU1dOmb8kPhn2pEXHq+5enWAPdbA29/DcCuxpJWD6vKrfx779EOIau2J3cS71HO6O7vStZVkER/ug9lR3r05qbiqro1Zbp2Pmcd5/dv+cbVWzCq1qepOTZ+Tn8KgCfzuceJhTSadw1jkzuO5gi47X0KchLfxakKeo+dJuKXovaD7C4qjvM0ln2J+wH51Gx4gGIyzaJ8g9yBy9/PdpK51Qv/MFePk0tLe8ury9OGgd6FGzB0PrDS3Vficun2BlpPXywMUkZ7L8kHpCdlx+pW+rO5E/7jJNxJcgJSeFnr/3ZMq2KRbnZnV3cmfF0BV81+s7iyYuy6ReH0Cjrr5KtkJQQCk46rQ46gqfgskyZPHPGfVkh6XjZEedo/m98pZd3llJyUSajS0+qVbOGVJ3iHmWecOJeAZqtwPg2OqBG/bRO+gZXn84g+sOJtCtAkoc+zVQEy+iXF3vXi//i8j5XZBxYxSELbSsWYUeDf0xKuDnoSYtn73lLEbj1QHf7EPq0j9XB1e61+xu0XED3QOp5VkLuMXeYK5EQcJx0Oigbk+LdjmYqOYPaeTTCD9XP4v20Wq1dKjWAYAN5zeUqaslajgAdM6QlH+fKqk/T/4JQM+aPYvNmXG9xlUbA7AyaqXVkuZ/skp93IK89LQOqWKVNgq4dBqy888c3mHZpI4pmbaXkxc1PGpY3NSw+sMANfmvVZL51u2lVr2Fq1F2djSuYy0AVh2JY9c1UWlf7PkCABedC31DLV9i8UhTNSryQtoFUnMKz0l008kzwGH1/5Haln0WCGELpgqJ/UP74+roatE+Wo2WkQ1G0q9WP2p7WRbdW2q1u4HWUT2BmmilnKelML6zej9/2h5FVu7V93VTRXHTSWRLmZaQmb6E3q78Xf156463GNNkjMXjPIBBddU0FH+d/qv8q18K4+yhRkbeog4mHGTY38N4e9vb1okqBX4Kj8JgVGgf6kPT6laacLqWIfvqUlwLJ9JWnl3J5azLHEw4iLPOsgJUAN567zJ0sBRcfaBGW/X6SSudrCil3Dwjy/Zf4Hjs1Si81VGrSc1Jpbp7de6odofFxzKNk+My4m6t4lJ/Pw87vocsK0UqlpNMpNnQ+dTz7IzdiQYNQ+oOMd++79BhWmtPoqCBxoMK3ff51s/zXqf3qONtWVhtidrnf/Hd+5OasN6rBvg3AcWoriO3k+d6qiXaD55PwkPvQOSlDNYeVyMwLmdd5silIwD0C+1XqgpDoxqOAtQvknHp9o04qTCb8pOHBzVXl+yWINOQyfpzamLul9u+XKqmTBWLMg2ZbDy3sXT9tISzO9RWo444uKjij18BsgxZ/H5CnYjtF9qvVPs+1lw9A2tUjCw8vrDC+xYRl8rZRHXZ8rM96lX48Qu1NT86UecMte4scXOj0cje+L0AJea8uV6nap3wcvYiMTPROl+StFp1eSDYvXonQL0AD3zdnVCAd/85Yr59R+wOAItPIpgMqTuE6m7VUVDYcG5DxXXUng78ejU/X80O9u2LEPlSclLM6RPurV9ybqprjW06lmldp9HUt6k1uqZ+ztbKL8phx9UHJn0aB1CjigtXMnL5c+8FQE1/suKsWsH72nGyJfrW6ouj1pETV04QccU++X4r3Mk1sOULSDxl8S7uTu4MbzCcia0nlqqpHjV74O7ozoW0C+yJ21PanlpOUewe+V0URVF4eu3TfLn3S5Kykkq1b1PfplR3r056brrFS7pLIzMnj192qsXFHr4ztMKPX6jILZCTCu4BUL21RbssO6UWDBhcd7DFFXqvlZCRwOmk06XezyKm6PVK8P4H8N4/R3nut/18t+Hq/V1+Vo2oHVRnEFqN5dM0wR7B/DPkH/6850+LlnPfFK5EwZ65sPI1NY1HJSQTaTa0Kkr9x20b2JYgdzUReI7BiFek+k+THthOTXxtC3V7QdW6kJ0Mh/KjtCrBG0zzYG861/PFqEBNH/VM7qzNajLaxMxEFNTotLFNxpbquEPrDzUXHQiPqYDlsZWBKc+Jha+Zzec3k56bTjW3arQOsOwD0aRelXpU1asRWP87+L9S7WuxqvkTQDutdPxy+vnozxgUAxo05gg9S3k6eVLToyYAv5+s+KjIKcvUyRZXJx0j21oe6VUupmqJ1VpYtPnS00vJy/8gfLqFZUtUTBx1joR4qDl1rPb6a5L/pe3SKagESfkfaK++Xg5dSCE+JYvN5zeTnT9x9ETzJ0p1LK1Wa442sNryWFvbv0C99KgGTpZF/Qhhbeuj15NrzKWOVx1zJHKlUi8/krUSfJF00GkZ10mdEJi95QxGo0JOXg6jG4/mjqA7aBPYplTH83L2oltwN9oHtSc37xZJuL1vPqx5Gw5W/Am467k4uJiXIi89tdQ6jcQdhenNYHYvuy8vLkzElQg2nd/Ej0d+xEFbuug5rUbLXbXUk4Qrz1b88s4/950nKSOXYB8XejUKqPDjF8qUPqZeH/WEYwnOp57nYOJBtBotA2oPKHVzy04to9cfvfhkl5UKApje/85sgFz7R20Ny0/j8e+hGOJTsriSdYXtF9UVaqU9YQ8Q4hlSpsnLSsv0ORXc3qKAEXuQiTQbMr2xXpszY1fkZXoragUl15bDit3fqBg5mHDQvLysXLRaaD1Wvb5PTUpK/bvUXEchHct//HJ4untdQI2y0Ttqqe7tQo7BaC7O0LRqU2p51SrVMa/NtbE7dncF9tZOki+A6WxZ2/EW7WKagOgW3K1UZzlMTFWxjl06Zp3qf83uUy9z0iHuSPHb2oFpuUltr9q4OpT+i7vp7PqZpDMVWiI925DH0Rg15HlY6xpoLRjslFueQa3kBBbn5zNF8wW5BVFFX/oPxHvq3gPAudRzXMmyQrLituryRxQjHLN/CfZnu9fDQasOiN7956g5v1kV5yqEepX+bLTp/zf8QvitkYz74n710sJl7ULYgik/UmmWXl9LURSOXz7OL8d+qchuXVWvj/qlpJL83wxvUwMvF0fqB3iQmm3Aw8mDp1o8xQ99fijTOOWTLp8wq88smvhWvqTUpWbIgdP5J6zqWxbF/dvx31h4fCGXs8qWosW0PHb9ufXWqf5XpZaa9D0pGuKPVvzxy8n0/9ulRheLikldzzT5sen8JtJy0iq0b02qedG3SQAPdwpFp7XRZEmPyfD4JuhoWU7qtdHqiqY2AW3wdfEtdXMt/VtiVIyEx4STmJlY6v1LFNgMPIIgN+NqJVI7CqvhTeuQKuTmKfy8I5rVUasxKAYa+TQq9ffca+Xk5dwaxfUi/lMvK3EeXJlIs5GcvBxqedWiinMVeoX0Mt++e/8BWmlPYUSDtohlnSbRKdE8sPwB3tv+XsXkuQkbqeYFurBHPUtU8w4Y+0+BqqH20D7Uhzb5bywj2gTz+YgWODlozRF9vWv1LtNx76mjfhFfE73m5j9buT0/j5PWMT/fXfGSs5PNSx0a+TQqU5PjmqivC4Ni4FDioTIdo1jVW4Kp8tGO7yv++OWQY8gxV7IqbfJZkwcbP4gGDQoKf0ZUwGR4vn8PxpCcmUuApzNvDbRRBMSFPWo0q94LGg4scXOj0cixy8cA6FOrbB+I99W7zxxVOu/wvDIdo1iuPuCeH91pKqJgRw4OWno3Vs86/3cklsTMSwAllpIvSohnCF5OXhgx8sPBHyqsn3YRcxBMOWgsnMgVwtoURSHQLRAfvQ99Q8o2kZacncyIf0YwdedUzqdaISG2b114ZBV0eq7ij10GHnpHtrzanW8fbI2XS8nVOUtSmpQflV50uJqH1M3vhiJkhTEqRr4/+D3v73ifw4llq3Ddwq8FPnoffF18iU2PLdMxiuXkCqFqJfjKkqfqWuuj1YnLso5T6lepTy3PWuQYc9hysWLHES2Cvfnf6DbmKE6b0GrV9DF+9S3a3PQ97drvuaVR07MmzXybYVSMrIu2QhV1jUbNyRzaFXTlf7+pCKaiEb/siKJTta68dcdbjG9mWYBEYb478B2df+vMHxE3eZX2nIxrIiLL9nlqCzKRZiNOOiemdZnGhhEb8NH7mG93jFCr41zxawseAWQZstgRs4MdMTtumE2u5VWL2l61MRgNFbP+3t3vahWnfT+X/3gVRKPR8HQPNSpt0e7zXE7PYfP5zeyK2QVA75plm0hr4d8CXxdf0nPTrRe2biumKjr+jdQPhhKYolm0Gm2Zv4j7ufrRPrA9ALvidpXpGCUKaq5enrZfnr7C/H3mb/Oy4mENio8cLYreQU8192oA/HumYpbXKYrC7PxS6GM61CqyClCFO5U/AK7Tw6LkwSsjV5qXdZoS35eWVqulrrf6vrD2nJVeH13ycwfGlu1LSEV7+x41qiI3T+HkRQe0Gm25BlimM5wbz1shz6Etbf9WvXR0hYBKuHxO3JY0Gg1TOkxh/fD11PYuW8EAb723OfWCKbrjVuehV7/Qnrh8grXRayskkiIhI4GjlypfxFOpmJY11e1t0bK6gwkHSchMwN3R3VyBs7R0Wh1LBi3hr8F/mas9V7i6+WP4SjbOO596ntPJp9FpdHSq3qlMx9BoNHQL7gao6VRuJ7HpsRxMOIgGDT1rlj3itUdNNTjAGnnmAOj/KTz019UJXTvr2ySQIC89iWk5hEfkMrzB8DJP5AK4O7qTYci4+cd5ZzeBIQu8gtXvupWUTKTZ2LWh6ucuZ9AxS32jcG05jHXR6+j9R2/GrxrP+FXj6f1H7xsmfExvThU2wGo5Wr08+JsaRg6QlgDH7ZtHp1t9P5pW9yQzN4+5W8/yyY6vMWLE18WXYM+yfbhrNVrzwOCHQzdxREaeAa6okyc0tWxSx5T8uJ53vXKdsTW9uZuKFlS4ZvnJmZPPX309VgLLTqtL/QJdA8u0rNOkaw21oMKpJMsTBxdnwfZojlxMwUmnYVS7mhVyTIvszI8YrNbKos1NEYzB7sFlWtZpYipSEJ0SbZ3qp/Xzz3qd32m36sXXCvDU0yTIE4Ccy51o6d+yXI+fKSo3Jj2mQpcX29zp/DPVFiY/FsKWyrIk8VoVPs4rTOYVOLqsUuWpmnvwV55f/zyf7/m8XMfZcG4DPX7vwZRtUyqmY/ZSymVNpkIynWt0xknnVOZmrz3ZbxWmZcVR4Wqxs0rCNHHT0r8lnk6eZT5OlxrqBM3++P0oFfD/dSo+jXf/Pkr0JRt/Zi99Gv58HOKPW7S5g9aBx8MeZ3Ddwfi7+pe5WdPjtz1mu3Wqn1ayHGKOOi2jO6g5gOduPVvu14zpe8beuL2k5FTOSpcWOZn//levT6V7zq4lE2k2kJGbwckrJ2/459h95DgttGqljpUezjy3/jmSspOoqq+Kv4s/KTkpvLX1rQLLmEzhslsubDEnni6XOj3V9eIZl+DEcnVw9VkD+G0UpFohrNtCGo2GZ/Jzpc3aHMGZZPVxurNa+c4gmBKBxqTHVHj+Aps5/Ieaxwmg7cMlbp5nzONciros0XT/y8p0pu1gwkHzUscKZZrYRYFDlad6p+nM9p3VS65OWZyHmjyEFi1ZeVlcSLtQ7n59te4kADV93KjiVvaBc6nEHYesZPV6HcuqRx5MOAjA+LCyR1MBjGwwEgAFheVnlpfrWIXyDgb/xur/1/5fK/74ZfDmwEY4+a5C5xZBPffSJd++3uA6g9GgDkgWRyyuiO7ZniFbzbED0OIB+/ZFiHx5xjyOXDpSIV+cTRNp++P3WydPUF4uTA+DRWMg1gppGsrgwLkr/J1fMb6tf/ny9Db3a45Wo+X45ePWWZ5oC5fPwqWTavoVC9J3AGy+oEZAmSYiyis7L9s642Sf2mquNGPu1aVblcCmC+pEWnkfvxb+LZjdZzZLBy2tkMTv87adZc7Ws7z3rw0jLHOz4MifapCFhbnyfF18eablM7zb6d1yNV3Pux5BbkFk52WzK9ZKq19A/Y5r4SShtd3ftiaufpvIdtlMVFJCuY4V7Bmsrl5TDGy7sK2CemgHuZlq+qL6lXdZJ8hEmk1svbiVoX8N5dHVjxa4Pe2wmtRyo3d93tv/JQAjGoxg9bDVrBq2isfDHgfg8z2fs+WCuta+kU8j/Fz8yDRksi9+X/k7p3OA5uqXUw4uUqtimKrw2Tl/QZ/GgdT1dyfH8RQaXTZGgzs1NOWbCBpU52oeOlPy+JvOCbU0PO4B4OxR4ub/Rf6HEXXibUTDEeVq2t/VH1+9mkB05v6Z5TpWoRxd1IldgAPWr1JliQupF8yT1qMbjy5h6+JVc69GC/8WQPnD1vdGXSEuVe3XK3c1KNexSmVnfn4+B72auLUEl7MumyPSyjsR6e7kjp+LHwB/nqq4PHMFuKrVac33084aVXfAyXcdzlW3EhXjVa5jOTk4Ud29OgD/nP6nIrpne9Hhahl0V9+rBUqEsLMDCQcY+c9Ihv41tNyTaYFugTT0aYiCwvaY7RXUw2voHCEkf+laJajeCaB3TUDrmIRidCAmrnq5jlVFX4XmfmqaiI3nbtLlTQkn1KXrwXeouUhLEJseS8SVCDRouLNa+T5nAWYfmk3n3zrz07Gfyn2sG2g0UDc/h9apNRV//DKq5lYNH71PuSfSHLWOtAtqh2MF5OBKycrlz73qSVdTLi2biN6mJuT3CIKAprZrFzWQwvQcmKIsK9z+X9WgkRWvWOf4peTl6kDV6tuJc/qVhOyoch/PFJV2Uy/vHPIdvHIGalt2wt5eZCLNBkzr5OtXuZqs0WhUCIjbRB7waVUnco25dA/uzuvtX8dR54hOq+OZls8wvP5wFBTe3vY2aTlpaDQaOlTrAMC2ixU00xyWP7lycpUakVYvP4zcFFZpJ1qthse61MaxinpGIi+tARuOlu/sjpuT29Uvkmdu0i+SpmigNpblmjJNGPrqffFwKnnirSSm3C87Y3eW+1iFaqBWFyQ/ubq9bYtR/8+a+TYrc96ba5kGCOWdSPtguZq831PvQJ8mgeXul8VMA9/AMIs2//X4rygoNKjSoFzh/iZ311Zz/FktorRhfsn2pHPqMmo7+yPiDzQaUBQNWw5VJTmzfIVSutdUByURSREV0T3by49aoV4fi/LzCWEL/2fvvMPjqM62f892rXrv3ZIlV1nu3cbYGDBgOhhMqEmAhJKQEJI3jTehvCEJaZQvdIyNTbfB2LhXuVuukiWr995X2+f74+wZSVhlZnfO7sre33XpGlmaM+d4tTtzznOe575pNlBmaKYsWSh0npdXm+fytQYlw6FT5SWBtAN1ZLPYZkjH2kP1LgcjhYV49W5Xh+YZxi4Hni0ni0kR0PffpMhJCNGFuNx9sDYYvdZedu+/cSuBmY8CE25lc30n+N3s3xF9w2DX53ly8dnxahjMNmRGB2B2Wrj7Oi52zPPGLBFVVlfcViybviFATL1emPcCfjrlp7Jc7xKoLERlHhG19zDFbcVoN7XCT+WHnMgcl6+3MJEE0vbV7IPNbnP5eh5DFwSo3FRt4yS+QBpjeJ7H4brDAIC5cX3iledrWjGLP4UvA/xRbu9EkCYI/zv3fy/R1nhm+jNIDExEg6EBb599GwAwJ46kvZ9qPCXPIKOyyY6D3QKc39gnBFq61+MLyZty4qD2J3pg1u5M5JW0oLjBNcdSWp44WLmt12PpBSocAdTxN4tqQvW4ZsTOkGUI1N67ydAEMwsds3lPk2NjAQnsehga8KI7PK5CDRsO1BxAm9G5/1+X0YITlaTtndMZCQIPhtVM9OsA0dlANPNJp9LJMoTV40lW4IW2C2zKnvqXF5//Sv7rS4TqG6rsETCYefx92wWXrveDcT8AAFjtVvk2Y9wJDeSKLHfy4cMdCPM8J0XKvw+d59GyeNmhgbTqY32bcx6Evn4KYxaKGrpxqNQ1jUoaSDtWfwxmm/forUpCpSVyAyKo7a6FilO5nPVNoYHc002n2Wxapc4Hrn0JSHatjFduFJxClkC4nbfj5SMv4/rPr0eTwblSPZ7n8eEhkp20elayLOMSDTWUopmDI7CxZCOe2vUUXj76sizdjwsfhxvSb3BJE3ZYIjKIiL3N3Lem8iA0YD0tehq6jDwOlbqWSDA5cjKCNEHoMHXgVJNMsQJ3YnJtne9OfIE0xlR3VaO2pxYqhQpTovrsqy8e3wkdZ8C/Q8lN4keTfoRg7aXp234qP/xiGnGSW3N+DZp7mzE/YT7WXLcGb1/ztnwDnegQrT/zCSnt1IUApg6gVobyURcwWDsBJXmIq+2kpOv9vHKXrnl7JgkAWOwWFLZ6R328aMr2ATYTEBRPHgQjYLQa0WEik2S6gHaVFWkrABCdqi0VW2S55gBCEoHILKJTVbpb/utLwGAxCA84uXRHxoWPg5JTggePdYXO6XD935ZC8Dyg4ICfLxVnSy4Lp9cDDvdS5N434ul2ux21PbUA4JKLU38i/CIwNpSUsh6rPybLNQegDQD8yb0Gpzyvk0YD4clasuBYc6gSVqvd6etF+0cjxp9kMBa2jLL7X8N5oNGhE+NlCzAfVy6d5k6cazkHoG+jxFVyo3Lx7jXv4vMbGZWwhyQB4WNImXSZZ3WqLDYLTjScAABc5fhcv3+w3KVrZoRkIEwXBqPNyC4YyQonMkiezH0SB+4+gLuz7pZlCPEB8UgMTISNt+F4w3FZrumt8DyPi20XYeedf65+HwWnQH5jPiq7KgVpHqkcuNiC0qYeBGhVuDk3QbaxjUhbBdBcBHBK0WV1NBA+PWY6y5HJB8f1afxS8yIPkldH1hkp/lMw68UdeHTNcRgtzmeSqRQqPDDhATwx5QlhvjdqsBiBV8YCby4AeryjMmk4fIE0xhyqJ/oWkyMnQ6/uc/tTlGzDNwH+aFYpEKWPwl1Zdw15jUWJizApYhKMNiM+KvgIQZogTI6cDJVCxrIW6v5Yvp8IMKY5sm88fIPp/wDq7SWBxs9P1KDT6Hx5U3pIuuBo9FnxKBPc3vEHcozIEJVufarpFCx2C6L8opAdLo99sE6lQ4Qf0Un7ppSRu2vqInI84ll31U2lm2CymaDklMgKy5LlmgpFn3uss/otn58kmhmz0sKh07ixvI0GlgJjAfXIGWaH6g8Jk9Obx4jLoBTDuPBxAMBGvwUAkhxBmmqGQrciKGorEvT5frNwFQDAaufx5r5Sl657S8YtAIDzrW4UL5aDo47NI5UOCHZNR8mHD7k4Vn8Mdt6OlKAURPtHy3JNjVKDaTHTZNFZGhK6SC5l5MItknMt52C0GRGmC8NP5pGMqu/O16Om3XnHPo7jhKDm4frDsozTbex+Cfj3DMmGN3q1ftANeWeZFTsLANjo9AEkw710D3DiAzbXF0lVVxVu3ngzln6yVFY38DnxZB7hrAzKB46kgVty4xGgdeM8j2ajJc4A/EJGPL3D1CEkJcyIkafyBQAaehrw9pm38Xr+67JdcwBecv8z2UxCsPqmsYsQGaBFm8GCzWfqXLruwxMfxiOTHkFcQJwcw3QfVYcASw/Q3QjoGTsIy4AvkMYYGqXvv0tpttqR0XkIG4ICAAD3ZN8zrFU1x3F4cCJxZ9xwYYNsNegDCEl0LB554OxnXnOD8Vf5AwD8uDDA7g8/tRJ6jRLFDa6lmk+PJrsmo6q0k+eBJoeuUWyOqCaHaskEaHrsdFnTwml25dnms7JdcwBBjh2UyjzALt8uoVS2V5AysnC/cFlfPzpBLe2QHhA5VdWGHhPZqfqf6+UJjoqmvZIcRe5Sbry4EQAQoA6QRbeFQnfYzjczCgTlOso7je1AD4PyUZFsuECca7VKLWYkjEN2DNE4fM/FbA062T1af3R03QNLHPpo0eM9Ow4fPvohzPNi5clGcxu0PLrEs/O8yZGT8c3N3+DF+S9ibEwQZqeFI0CrQlG9a+U9d4y9Ay/Nfwl3jnXNZMntlOwEmi+QbEERyJlJ1R86T2Gmk9ZSDHxwI7D5lyQLxUNQZ8iEwARZExRcec7yPI/UCH8E+6mxelaybGMShSaAyP3Q8u8RON5wHDx4pASlyKKDS2nubcarJ17Fh+c/lDXAKZC2CABHstw7XQtaucKJhhMw2UyI8otCZugYrJqZBABCWe8VB03gSVssKmHE0/gCaQyx83YcqSM7Ef0nWAUlJVBoanFWq4WKU2HlmJUjXmtRwiIkBiai09yJbRXb0GpsxR8O/gGrN6+WbyE00SH6ef5LIHM5cPObwO3vyXNtJznaQB5wi5MXQqng0Gux4b/3TcPUZNfq5m8aQ9w785vyXR2i+6g63GdDPe1hUU3WXSA7mkmBSbIOZWX6SgCkpKXd2C7rtQEAUx8gR94OFHrOFKKghQj6yyH+2R/6mTfajKjprpHUdt2RKgDAtRNiMC5Ovt3nETF2AJ2OsS5+TlQTusuWHSZvwO/WDHKvsvJWnGxgUH6evoSUNQDA8Xflv75IqA5mRggp437mGlLS2tRlwvEK5/UDJ0ZMhFahRauxVQi2ez12O9DumFiOu2n4c334cCOsAmm91l68cPgF3LbxNlhsrpmMDErqfODGfwH3eVYLkuM4JAUlCbpw/3fbJBz69RIsznJtUT4tZhquT7teyKAfFRhagVpS5ip2w+rnu3+Ou7++WwgIycWMmBngwKGkowSNhkZZrw0AiBpHstutvcQl0kPQdca0mGmyXndS5CSoFWo0GBpQ3VUtqS3HcXjuumwc+c0SZES7bhImicl3AY8eAOY+Lep0mnEnZzYaAGSFZSFQHYguSxcbGR59GBDnkFzyYNJIZWclVJwKs+JmgeM43DEtEWolh5OV7Thb45p+ZXNvM7aUbUFpu2tVDG6Fbuyki7v/eRq3BNJee+01pKamQqfTYerUqdi3b2g9hs8//xxLly5FZGQkgoKCMHv2bGzdOtA98r333gPHcZd8GY2e29EYDJ7n8ad5f8L94+/HxIiJws/rTu3AF4EkG21x0mKE6UZOXVQqlEJp1FclX8FP5YevSr5CflM+qrul3aCHJOsGABxQc5zshE2+Cwj0bG01nRgsTpqDFZNiAQDvHih3+br0hl/UVoQWL3GHHJFj75CjJgAIHVmAtsnQhB5LD4C+nUW5mBc/D1qlFgBwspFBIMMvBNA7Jr8nPZP2bzAb0GEmD7HrU6+X9drjI8YLO59fFH8hul2HwYIv86kVeqqsYxqRioMksBmWTvR1RsBut6PB0AAAuDpZnGCtWKL9o6FXkVJ56korKwoFkOhYFHc1yH99EfA8j1YTEdz+wQSib7gkOxrBfuR988Jm57PxNEqNYP7wSfEnLo7UTRR9S95/AJArj96jD/m4Uud5APDsjGfx0ISHZF9I6pQ6bCnbggttFwQNNlnRBhKtS5GC9u4iMUwPvTslC7yJsj3kPheZJap83Wa34XDdYZxtOQs/lZ+sQwnRheD2zNvxZO6T8krJUDiOuEICfW7MbobneWGdIffn10/lJ6z9aLBOKlqVUs4hSUMhLkQgBNJkMjSjKBVKIbhJNytkZ95TwC3/BTKuYXN9EdyZdSf2370fT+Y+CQCIDNTi2glkvfthnmtZaX899lf8Yu8v8E0ZIxkeueluAuodmpZpizw6FLEwD6StX78eTz31FH7zm9/g5MmTmD9/Pq699lpUVlYOev7evXuxdOlSbN68GcePH8fixYtxww034OTJgYv1oKAg1NXVDfjS6eRxhZMLpUKJBQkL8PNpPx+gc6Go3IfNAWQRSLVqxHBD+g3gwOFo/VG09LZgQvgEAJBPCDQwGkgiTj0o2CTPNV1gU8kmXGgjDnXTYqbhhwuIJfU3Z+pQ2dqD/Kp2p68d7heOpCASDHjrzFsuj9UtUEFguoMyAlT/TckpkRudK+tQFAqFEBxhMsEHgGTHe7GagaC8CDaWkrJEDpzg9ConCQFEPHZftXih55e+LYDRYsfY6ABMT2HkZjQUBY7MwFRxpgt7qveAdxgT3Jh+o+zDyQwlJgty78ILzHBkfVYcYHP9EajurkajoREqhWqAY+wd08ii90RlO7pc0Iqkmon5jfkujdNtnFxDjn5honRbfLiPK3meBxCHw6emPiWrPhVAslKmRk8FIOM8z8s43nAcT+96GptKLp1z8jyPE5VtLlVdVHVW4e0zb+OzolGih0vLmkS6Ehe2FaLL0oUAdYBsOq79+e3s3+LhiQ+L2vB3inRHIM1DxlJVXVVoNDRCrVBjUuQk2a9PxfelzFOOlLXiYEmzZ2QX2qsAi3htwjZjG4rbigGwMRqgWb7O6syNyLibgEl3AP7hbK4vEn+1/4Cy2NWzSTnvV6dq0GFwfp7nzPvPo5Q5dKOjJwIB8pUJs4R5IO1vf/sbHnroITz88MPIzs7Gq6++isTERLz++uDiga+++ip++ctfYvr06cjIyMALL7yAjIwMbNo08CHLcRxiYmIGfI0GrDY7eiwn0aZUIkjhJylTKMY/RripbCrZJEywZHWuy76BHAs2Ab1twP5XgS8fk+/6EvjqIik3CNYEI8IvAuPjgjFvTARsdh4r/rkfN792ABUtPU5fP0JHMp52VHpmJ0wSFiPQ5ajhn3i7qCZUyD4hkI3bT24UCc6daDzB5PqYTMTV0dtGygrdDNVHi9JHQSFyZ04K9LPfbBSnwWW12vHJcZJ9OiUp1L1W6ABw9lNy9Bf3cKvtJm6dmaGZCNAEyD6cq5LIQqO2pxZ2Fjp6qYsg6Gd01ct//RGgmZ7jw8cPyDR4emkmFByRTHz3QJnT11+aTPRPmnub2eiPyE2VY0c6cZTpUF0B+OZ57BDmeQ2MNpQsvcCh14FP7nfKLdJVDtQcwPbK7ZfocNnsPG789wHc8tpBnHRh0/RU8ym8euJVfFr0qYsjdQM836+sSZzLNZWPmRo9lU3WGGvoxlzDWSIu7mZogGFixETZM/oAEshICkyS5Jz40rcFWPXfwy471zrFpieAl5KAc+Iy/UO0Ifjm5m/wlwV/YRJspVmCJxpOwGJnUN7upUxLDkVWTCDsduBEpfMyHjSQdqb5DBt9dbkRNhJGR1knwDiQZjabcfz4cSxbtmzAz5ctW4aDB8XVw9vtdnR1dSEsbOAHtLu7G8nJyUhISMCKFSsu2cnsj8lkQmdn54Av1vA8jzdOvYEDNQcGfPiLSopxLoC8mZckXSX5wUe1vb4q+UrIMpJ1p5IG0ioOEtvZHX8E8j8iuxRu5mwLEbKfHDlZ+Nkjjqy0bpMVPA+scUGMkWYZNRgamIm1ysbpDYAjuweTxAnnXmy/CGCg0YWc0An+yYaT6DE7H9AckszlAOe4RZ1YI//1R6CglY0+GuWhCQ8BIIGMTvPI96TX95bAaifvgZ8vy2QypiFpKgasjpKq8eKyaOnC79rUa5kMierM2Xk7TjWdkr8D/3AgkmiS4eC/5b/+CLx9hjhURvkNDFzqNSohK21fsfNGCCvSVwAAePDYUeHlmwlWE2B0fEam3OvZsfgYwJU8zwOA986+h91Vu2G0sik5FZ6zjSdhYxHoUqiBXS+ShXNdvvzXHwHqqPn9sjClgsNYh7mKK+VNU6PI61fQWuD9C8mWi0BHFaDUAMlzRDWhmTossoEoTYYmbCnfgg4Tgw1N/wggxiF9U7ZX/uuPAC25ZPX6zYiZgW9u+UYo2xuJszUdOFHZDrWSw3UOORu3YTUDFXmAzQxEjBXVhOobLk9dzmRI6SHpCNYGw2gzorCFgU4aALSUAPv/Dpz9nM31h+H1/Ndx19d3YXPp5gE/5zgOr9w+GQefu8olrciEgATE+sfCareOjuqDzOUkWWQsm3UDC5gG0pqbm2Gz2RAdPdAOPDo6GvX14nb4//rXv6Knpwd33HGH8LOsrCy899572LhxI9atWwedToe5c+eiuLh40Gu8+OKLCA4OFr4SE9nrQZR1luE/+f/BU7ueEuIfAFB7cht26ElZ59J06bpLS5KWwE/lh5ruGuhVeig4Baq7q1HfI1PGREgiEJcLgAfK9wLxDvFNNwsx1vfUC/pe/W/QCzIiSJTe8Zp+crwaRotzk8vr08jrb+ftgqi319LgKJ8MSQbUI5e21HXXwWgjE3sp5cNSSA1KBQcOVt7Kpv5eoQCCHZ/VC+6t7zfbzOi1kvR2GryWm5iAGCQHJcPO20UJ5tPdyezYQEQGurm86cR75KjUAtEjl4/YeTvzCWqoLlTYQd5TvYdJH9AGkWOB+8W4q7rI5kVqyKVaeE8vzYRKweFoeRsK6pwLGOhVeoRoQwAA35Z96/Q43ULlIWK0EhANZMmrV+jDNa7keV67sR1/Pf5X/HTnT4XnhdxkhmYiQB2AHkuPIHUhK0oVMR0A3O7eabAYcK6ZzG0G06eiboXfnKlDa4/ZqT5iA2IR4x8DG2/DmeYzzg/WHXAKYMpqslml0Y94utVuxYkGUhEgt75Xf3647Yf4xZ5fyFv90h+qhVTpfuOb2zNvx8MTH8biRDYZMFIrB2jQePmEWES5e55Xc4wYP+gjgCg3O8IPgYJTYErkFOiUOvn0wL9P8TZg+x+A4++xuf4wHKk/gnMt5wZ9fkyID0ZEgNal63Mc11fe6aROn1sZdyNw61uiNxK8AbeYDXz/RsLzvKiby7p16/CHP/wB69evR1RUX0R21qxZuPfeezF58mTMnz8fGzZsQGZmJv71r38Nep3nnnsOHR0dwldVFfvsKrownhg5cYA+WnX9djSrlNDzSqcE4P1UfoKz0eH6w4ImAn2YysI4h55Rwaa+9Eo3T7D21+wXvp8XP0/4nuM4POgQWVdyHNoNFmw+45xtcZQ+CjoleVB5vRBjs2MnZvbjok7fVEpKZJScEuMjxjMZkkKhENywdlUxen/k3keObrZGP9dyDha7BWG6sAHvP7mZFk0C1burdg973uHSFjR3k4XEs8vl10EZkYukzBURGaJOz6vNQ4epAypOhXHh45gNi5pAdJm72HQwnhi8oKMasLmv/LG0vVTIZL4x7VJ9ueggHa4ZT0pF3txT4nQ/9PnBTOdQLuhGziixQ78SuRLnedT1OzU4FaE6NpqVSoUSU6KILioznTQ6z3OzTtW5lnOw8TZE66MRFxB3ye8nJQRjQnwQzFY7Pj3u/N+Tvn7MZCjkIjwduOnfwC1vijq9uK0YBqsBAeoAQTOUBVTG43gjo/fftIeAH+8Hrv0/NtcfhqnRU/Fk7pPM5skUq906YsJDh8GCr04RMykaRHYrNCMwdYGo52y7sR3P7HkGHxV8xFTP7fdzfo+Ddx9kVt0g6BFWHgLM7staNdvMQnB/SvTw2td1Hc5v1NCs5lGRkTYKYRpIi4iIgFKpvGRXsrGx8ZLdy++zfv16PPTQQ9iwYQOuvnp4xzeFQoHp06cPuVOp1WoRFBQ04Is19IHdvyzMbudRx5MdxZyAsQMCbFKgOye7KndhWvQ0pAanCqLespDtWLiV7QUSaEbaboCFDtEQ0MBCkCbokgnqjTlxCPPXwOa4cX90eHBBYzGkBpOgHLOdNjkwG0i6NSBagLa6k+zcUEMFVowPJ5OP8y3OOwgOy+S7yLHupFt10miGYk5kDlMtskANKV3ZXLZ52PNe/JYEUkP81Fg01gMCnC2OYE3GsuHPc7ClbAsAQKfSQa1w7j4nBhrkPNnEwDkWAHJXkyNvBwo2suljEL4qIRlwGoUGycGDT6hvyiELzy/za1HT7tzkb348yURp7HW/No0kzjqEwtNGj27GlYJvntcXaGDF1OipSAxMZKeBRT9XlYcAUzebPgaBLuxyonIG/T3Hcbh3Jrn/rT1cCbvduXku/fuIyfweTSg4Ba5JuQZXJV0FpYKduyOVkZF1w74/YamkvJOBFq03cK75HOaum4sHtz447HmfHK+C0WJHVkyg+82kgH6BtPmiTj/dfBpby7fi48KPmc6TI/winF4vi+sgAwhKAGwmoFKcHIEcnG85D5PNhFBtKFKDLq08AACjxYY738zDvJd3obbduWAajUOcbT7r3Tpz5zcCDeeJVuQoguldS6PRYOrUqdi2bduAn2/btg1z5gydtrdu3Trcf//9WLt2La6/fuQyDp7nkZ+fj9hYN9eTDwOdIPR3SywrLcJZP1KGuCRrhdPXXpCwAApOgQttF3DH2DuwceVGoUxRFsLTgajxgN0KdDUAmkCgtxWod1/5Iw3MjA29tE5fp1binpkkQMQBOF7hfHkTNW+gZVReydlPyQ0+KAEIHyOqSUNvAwBgVdYqliMTnDtbja1sBMuDHf9n3g6U7x/5fJnYWr4VQF+gkBVz4+YCAAxWA7rNgy9gOgxmnHKILd89k21gdFBq80lZHQBMvV9UE5qpkRacxmRIlMlRRD/xYttFtBvb5e9AG0jKHADg1Dr5rz8Eh2pJictwRiFXZ0dBoySP8D99XeBUPyvSVkABBey8XT55ALlpugC0OzZLYiZ4diw+LsE3zxs6ECQX94+/H5tv2Yy7s+5m00FYGhCSRO7zFe5bSFJty+F0SG/MiUOgVoXyFgMOlDinCUkz0k41nfJeY5X2SqDmhCTDh7FhY/HKwlfw53l/ZjiwvoyWwtZC79eZk8Cuyl3YW72XXUa7g6SgJPRae1HVVYWW3pZBz7HbeXzo0HxePTvZ/WZSZgNQ5XDGTF04/LkO3HX/6w+TzDeOA9IXke/dWH1FN2KmRE0Z8u+tUyuhVHCw2XmsdTJpJCU4BX9Z8BdsXLmR6ca2S1hNwBc/Al6f3SdlNEpgHv7/2c9+hrfeegvvvPMOCgoK8PTTT6OyshI//vGPAZB0/Pvuu084f926dbjvvvvw17/+FbNmzUJ9fT3q6+vR0dGXjfLHP/4RW7duRWlpKfLz8/HQQw8hPz9fuKanae5tRmVXJThwA4TyL57ZirNaDQBgQepSp68fqgsVJgb7qve5NtihoOWdhd+4XT/DztthsJKH9dz4uYOec++sZKgUnJCHt/Wcc4vAFakkoGm2m713IXnYkeavCxKVbm3n7TjddBrAQKMGFlyTco3w/UjliU4T6dBq2PsKm+t/D7vdLpS6BWnZZjXMiJkBDuRvSoN33+fDQxXgASg54Kkl4korZeXEB+So9gNCxZUb1HST8gQaqGZFhF8EgjXB4MFjXSGjQFeSowS/2n36EmWdxI2Tlv4OhkKhwNJxJDtxe0GDU86lYX5hGBtGNito8NPrOPoWOap0vkCal3IlzvNMNhPONhNDJNYZaSyzjQCQeQXNSnOjHq6Vt0LFqYadp+g1KtySGw/A+XnemJAxCFQHwsbbUN3FSGfJVU5+BPx3MfDVTzw9kkuI8Y9BnH8cbLyNjbEPANSfAT7/EfDNz9lcfxD+k/8fPL7j8UscY+UmUBOI9JB0ABjy9avvNELJcQjUqrAyJ57peAal6jAJpAfFk8C6COj/hfU6AwDeP/c+Vn65UsjWlx1a7ePGQBrNkO2fcDMYtMz346OVMFulz/MUnALLU5cjNsB7NqEuoeowYDEA/lFANNsEBrlhHki788478eqrr+L5559HTk4O9u7di82bNyM5mbwx6urqUFnZF2V98803YbVa8fjjjyM2Nlb4evLJPseT9vZ2/PCHP0R2djaWLVuGmpoa7N27FzNmsBPblMLJRvLhyAjNEEq3AKCwbifsHIc4u58kK+TBuCqRfOipNpXVbpV3p4i6d5buApLnAio/t5XW2Xm74KK5IH7BoOdEB+lwvcPRZmFmBJ50MsCQGZaJUC1JoaaLf6+j2VHKQgVZRyC/MR/dlm7olDpkhLINvOhUOuE9zkwnLdzxUG84y+b63+Nk40mhVHp5ChsnIopCoRAsw4cKRO4sJGV3D8xNgVbNeEE1GAZHFoBI8c+qriohfXxFmvOZt2IJ1gYDAA7WMsqkyLmHHHvbgN52Nn30o6W3RRCeHen1+5/rif6cxcbj7QNlTvVHd5O91nDlosNRNFKcNqCdt3tv1sllypU4zzvX3KejmRjI3tgAAGx2m2DCJDvpVxEHTxPb7Jz+vHH1G8hblTeijuaD81Kx5qGZeP5G5wLpSoUSa65fg7y785ASnOLUNZhDy+qSxG0+9Vh6UN5RzlSbqj80WMLMsMHSC5z+mJTxs3Cn/R49lh4Ut5O5tTsyqujrN1QgLS7EDzt+vhAbfzoP/lpGJdzDEZEJXPMCMPsnojbsrXar8F5g5WzfnzZjG0o6StiVF6cuIsfGc0A3e6kLO28XJElG2oi5elw0ooO0aO42Y4uTmwleDw1gpo8+HVy3FKQ/9thjKC8vh8lkwvHjx7FgQV9w5L333sPu3buFf+/evRs8z1/y9d577wnn/P3vf0dFRQVMJhMaGxuxdetWzJ492x3/FVEI4oFRA8UDy+xkoZMT4LpY+MJEknp7ovEE/nnin5izbg7WFq51+boCUeOA4CTAaiTldc+WA0v/KN/1h6G4rRi91l4EqgORHpo+5HkPOEwHDpa0oKnb5FRfHMdhWgzJ+qABUK+i6QIp6wSA3B+IarLhwgYAgF6tZ6ep0g9a20+z4GRnmkNXwmYmpQ+M2VJO9L38VH4I0YUw749mBJ1vvVRnrrC+Eycq26FScPjhwqE/C0ypdXwuZj4m6nRq463iVEgLYVvaCfRNgi+2X2TTQeZy4qYGEA0HxlBB8ZEyNQAgNsQPmdEBAIC39jkXSEsPJu8rr3TutNuBtnLyfba4oOyF1guYvXY2frrjp+zG5eMSrtR5Xm5UrlvKsNYWrMXcj+fi3yf/zaaDzOXAryqI2L0b0al0I2bcJYf7Y15GBBQK51/ntOA0tjpLrmA29GU8p4jTpzpUewg3fHkD7t9yP7tx9WNi5EQAwJkmRoG0uFyHjEwbUM9oLtmPM81nYOftiPWPRZSeve4sfZYPJ/jOcRxSI/yZj2VQguOJmdlscfO8/us0d8zz6HqaWea8fzjR6VPpgEbnpDKk0GPpwYyYGUgJSkFW+PAxAbVSgbtnEFmXD/PKneqv09yJt868hf/Z/z9OtWdO2R5yHIU6uJensqOHeSr3KXx+4+dYPW618LP29jaUaElAZEHmdS73kRSYhDj/OFjtVrQaW9Fr7ZU35ZrjgExH2V7pLkDtPhtmWjc+KXISFNzQb9GcxBDkJoXAYuPx0aFKGMxWp3bnhJ02VhMEVzjuKKtTaoEocQFY+j5ICUphNKiBLE8lWVvMFhOhKYDaYQXvBntqGshIDnSPaxIVzG/uvVT/5T87SXBo6bho91uhAySI0V4JKFR9JY4jQDPDXM26FcuSxCUAgG5LN8xWs/wdKBRAhuNe2MFeS7Guh7gQL0hYAIUI8eWfLyWB2IZOE87WSM8apm5vLcYWtBnbJLdnSvk+gHdkJ+TeL6rJdxXfwWgzCvIAPnyw4L5x92Hjyo14LEfcwtNVgrXB6LH0sNuwUusAjfsW8TYns46MFhtsTpoOeC1OlNUJOqRuCGIAwKLERXh5/sv41cxfselAqQJSHA7ppXvY9NGP/oZS7oCuM863nL9E8P1CfReMFvZZeHJC338jrdPkggZyyzrK0Gl2ThN7RO5cA/yqEkgTpxHnCoGaQPxt0d+w6eZNonTL7p6RBJWCw9HyNhTWS///qzgV/nXyX/iq5CvvkzEydvRt2KcOXoX2fUrbS7Gveh86TO4zoRsKXyCNAQpOgYzQDCQH9S3ET53cgnIN+bDMG+u6hS/HcZgdR3ZnqUj56abT8qZ5j3WUtRVt7XPRsBjlu/4g8DyPV4+/CkCcUPmD80g21P/bW4Lpf96OfIcouxQyQkj5497qvWwW4q5Q4ihrihBfollvIDfJWXHiAh+uQssfyzvK2ZWdRDtKOtwwwaLGE1RglzXXpZLAen9tOwBo7TZj02kSVFmS5QGnTgA49g45xkwCtAGimlR3Ew2aSZGTWI1qADQQCQB7ahi9P7Icmx+0/IYh55qJPt+ECHFlTNdMiEGAoxTk5S2FkvubHDkZCsdUgGYTeg35jixrbSAQECmqCdUa9Gp3Kh+jHo7jkBqcylw+gULvp+dbidMbUxjP8wDgzq/vxB2b7kBRW5HoNv/ZdRGzX9yBHQUNkvuz83b8Me+PuGXjLWg1tkpuz5Ryh9Zx6gLRZU1ijBrkJDEwEdelXYf4AIb6XVS+pHQ3uz4cCPpeUez1vQAi+B6kCYLRZkRRa9973mbn8eB7RzHzhR04U+2hoEDdKaLR1yFeP7DJ0AQlp3Tb6xemC0NCADFfonMk2QlNAVRaNtd2keggHZaOIy7Ynx2XrvOoV+sF8z5mOofOUnGQGMqFpZPMSBF8VfIVHtvxGP5+/O+MBzcyvkCamzhVRsrFEqxqBOuCZbkmDZQUtxdDpVCh1dgqLGJlIXkeoPYHuuqIY91/ZgLvyegOOgiVXZUw2sgkblbsyIGga8bHIDZYh16LHT0mG9Ycku5qQkvDrLwVeXVsRUcl0+ooVxtztajTy9rLBH0gaqTAmkh9JGL9Y8GDZ/eAo5p9HZWk3IsRLb0twvvvujTXM0fFEO4XjjAt0Unrv6h4aQtJL1cpOM+IzwLAuS/IUeTkwma3CYF9Zg5z30Oj0vTp9FUy0umju2Q1xwAjWw0hOsmR4hh732yS9n+xoUtytoZCoUCEw5l0bw37QKEkKg6QY/RE0U3obuuMGO/Q0vLhQw4SAhIQpguD1W5FQQuj0qPmi8Ab84DX2JrEdJo7caHtAgpaCxCuCxffrteCNoMFHznhXqfgFDjecBzFbcWCSYTXQDdoRJZ1WmwWYa7lDqF3t0EzgSrzmAZz7bzdrUL5AHn/rcpehcdyHkOoLlT4+d7iJtS0E03UjGhxm5Wyc3oD8NVjwN6/iG7yRO4TyFuVh3uy72E4sIFMjHCUF7PS6esPY+3BJkOT5MSXHy1Mxz/uysEz14x1qk8x5cUeoYxuJIi7/wEQ7uH0PeFJfIE0N1FhJJkCGZok2a45K2YWOHAo7SgVsqpkTftX64jwHwDUnwWaCkn6pZFRWi2A/TX7he+nRE8Z5kyCWqnA6tl9mX9fn65Fu0FaVplerYe/mpQ07KjcIaktU+pOAzZHVkXufcOf6+Drsq8BAGqFGolB7hFABoDUYJIZ+FnxZ2w6oP9/3g4UD+5uKQeby0hGjoJTuC2jCgCWpSwDAJS0lwg/+9qRjTZ3TDhUKg/cqu32vh1KGsgcgYvtF2GwGuCv9nfrA47q9DGbYIWmANogwG4FDr/Bpg+QbMjanloA0kp2nliSiRC9GnWdJuwtapLc7/gwErS70HpBcltm8DwRoAaAqfeLalLfXS9korE2CvHhw51wHCc8k5iVdwbFEn2gtvI+bUIG0PEnBiYi3E98IG3VTDJ/3lvchMoW6aXb9JnE7PVzBlNXn/aryIVkQWsBzHYzQrQhAypfWFPRWYF3zr6DL4q/YNNBZBYQEE20mauPsOkDQHlnOTrNndApdYJGrTt4POdxPDr5UcQFxAk/W+sICt+amwCdJ8ykgD59KpFldRQ/lR+CNGyd7fvDXKcPAPJeI0kjpxi5wIMYNVz/xfWYv36+pDLLnMQQ3JQTD63KufcJTRrxqvsfAFz1P8DqL4DpD4s63Wa39QXSIn2BtCsCm82GchUJPuUmiI+4jkSILgTZ4dkAIOxwyP4ByXQsRioPEu0G3kZ2ixixr5pEpkO0IQMcT4fj7ulJ0KpIOrzJasenTqS9Uj0sr0p5bXFko/lHAeHihOYP1x0GAMT6u9fmWKPQAACO1DGa/PiFAH6OXTyG5XXULdFd5RIUmh5P33/fnK6FwUw0M567NtutYxG4uJ0ELoE+58oRoOOfEDFhRAFpOaHZR11mhtli/o7Swgvsyh+/K/8OAKDklJI05nRqJW7NJWUPaw5VSO53TjxxZPUqjbSmC8QxVqUDxq8U1YQahSg5JcaEjmE4OB8+3A/NKDjdzGghpPEH4on5EsvnLF0IS80GSg73x/yMCPA8sPaI9Ky0SREkEOmWjBaxqPyAH2wClv0JCBG30d4/m8odRheUs81n8ffjf8enRZ+y6YDjSHln9ESmGWkpQSn46qav8MrCV0TpU7GivsMouLKvmum+je8BGFqBesfnQWRGpKeYFDkJKUEpSAhMYNdJTxNJGmF4/yvtKEWvtRdWu9VpowtnZJzoRkJhayEsNi+SvtDoiWt0jLigWFlHGQxWA/xUfoJZlifxBdLcQGHBEVzUkEXlstzbZb327Fiik2a0koeO/IE0h8h27Um3TLAutJGMCFrLLYZQfw1uye17CK09XCn5JkP1sGq6ayS1Y0rlIXIcd5PoJuUd5QDcn+66IIHsZLUYW2BnVXo5+yfk2CY9UCCWwlaSOboocRGzPgZjYjj5e51vOQ+D2YB/7CC27DHBOmTFum/HbwCnHPpUuhASyBTB2gLSJlgjT/m6WO7OJmWkbaY2GCyMROapfksTO0enI/UkEB3pJ04PrD93Tif3wB2FjcivkhYQoxmRdtjZlWdLheoGJc4UXVp8sI4YXTjz+vnw4e3QQBDTjAKalcJwnneuRZoOZH/unUU2PTccq4LJKk2gXchocTg2egVKFZAyF5gj3mXYU2VNNCOyoLUAZhsjPeGVrwOP7gcyl7G5PkjFQVpIGhYmsheV/z4NPQ3YWbkTneZObDhWBZudx4zUMIyJEpc4IDv0ORuZDQSIC+q8fup13P313fi69GuGA7uUyZGTsenmTXh2xrPsOul//2NU3knnWOPCxzll1PDWvlIsemU3ztVK09RLDExEkCYIZrsZxe3Fkvv1FuhGyPjw8W7dsB8KXyDNDRwq3AQ7xyHKyiEuWL7STqAvE6O6qxoLEhbg6mRxWlqiCYgC4h2i62o/cixjI+httpnR0tsCQJw+Wn8emJsifF/a3IO80hZJ7elC0mQzCWPwOOXS6sZ5nhfKmpYkLWE1qkGhrx8Pnp09NS0zLt8H2KxMujjfch6ANH0qOUgMJEEQG2/Dl8VbUdRAdMbun5Pi1nEMgAZy43JEN6EajVQU1l1E6aMQrY+GnbcLizTZoeXFll5mZU/FbWRyQ500pZAZHYhAHTEdeHGzNNOBMF0YNEqSVdq/vN6j0BJakbuUQN/rlxUmzuHYh4/RxISICZgTNwc3jblJ0EKVHTrfKNvHZCHJ87xwj3bmObskKwoxQTq09pix5aw097mM0AxolVp0mbtQ0cluQ441K8esxCMTH8Hc+Llu7TchIAGh2lBY7BZh01F2vGBhzJJHtj2CJ3c9iRMN+fjYkVW5aoa860JJ0IC5hLLO/MZ8nG05y27T0pMkzgSUGqCzBmgtZdKFK/c/ADhZ1Y6KFoNQFiwWjuMwPnw8NAqN9ySNHPgHsPU3QMN50U1oRrY3lHUCvkCaWyhqOw4ASOfFa0GIZXLUZCg5JRp7G/HbWb/FQxMfkr0PZDpcRjscH7z6syQdWGaK24rBg0zc5sTNkdQ2MzoQs9LChH9/JNF0YFLkJHAgKfK0vMqj1JwEGh03luR5w59Lm3TXwGA1QKVQuX2nLVgbDD8lCbQye/1icwBdMGDq7BMhl5HyjnLh4eLuhbhCoRCyuD44TiY2KgWHh+emunUcAjYr0OVYpIy7WVSTdmO74CYne0BfBHR3nll5cVwOmWABwPH3mXRB3eSoI7NUbp5CTCmOlrfCaJa20KbBd+aOgGKwWYEWh15ghLigot1uFzZB5iWIu2f68DGa0Kv1eHPpm3g853GoFCo2nSTMAJRaoLseaJY/a6HR0Ijm3mYoOaVT+lQqpQJ3zSAbT1LneWqFGuPCxwHwkvLO3nZg8y+A8xslBS1nx83GE7lPOJXR5wpu0emjWIxM1hkWuwW/2f8brDm/hl1W3TBMCCd/sx2lx1DbYUSIXo3lE8TLOMiOxEDagEB4hHs3nCk2uw3Nvc1sLq7Rk3sgwCwrl2akOfv63eMIvH6VX4sek7R53gvzX8ChVYewNHmpU33Lzsk1QN6/JQUtaUYuzdD2NL5AmhuoshOr7sxg+W86/mp/YdF/vOG47NcH0FfeWXkQiBgLgAfK5c9aaOolItkcOKcs5e+bnQIA8FMr8fNl0jI6FJxCEL292H5Rct+yc/xdclTrAX9xAVj6cMsMzRSyS9wJ1S043sjofahQAnrHa3Hwn7Jf/rsKEgBUKVQI0rq/nJIaNjTayAT11qkJnjEZAIAL3wCOoDYm3SGqCTXq4MC5PaMPgLCw/Pzi5+w6oVqFxfIHiy+2XYSNJ6VKzk5yfnHNWHAA7Dzw710lI57fn2nRpHTfKxztzn8F4f03UZwcQoOhATx4cOCwLJldWZAPH5c1ah2Q5HDtZFB9YLaZsTxlOebFz4Ofys+pa9w1PQkPzE3BC7dIz0iYGDERSYFJ3lHaWXEQOPL/gB3PE32wUYBbDBsO/gt4KQnY+4rsly5pL8HGko14Lf81j+ij0eBJm7UUO3++EH+5bbLnTAZ6mh3Bco6UF4ugprsGHaYOqBVqweTOneyv2Y/Z62bjZ7t/xq4ThuXtFptFkDBydp48Ky0cKeF6dJus2HSqVlLbCL8IqJWe0wUcQGcd0FwEKe8/AHhx3ov445w/Ijc6l93YJOALpDHGZDaiTE3K7aaNYZOlQd9Mx+uPo76nXv7SppiJQGAcYDGQMs8p9wJB8fL2gb5MiPHh4536oC8dF43oIC16LTacqZFWOw4AN4+5ecA4PEq54wYeNU50k+0V2wEAmSHSy8LkYEoUcVmt7JQuAiwa+nrUnpT90kfrj5Iu/JwT/3SV3CjyOTajFWolh184aXEtC+1V5Bg/jezQieBALckSDNWGQqFw/6OFClcz26kEAHoPZ5CpQQO5aoUa0f7RTl0jUKfGhHgSBF4nUYybZjecbTnrlJCtrJz5hBz1EaLffzTDJCssSzDf8eHjcqS5t5mtMVL2jcCkO0Vng0ohMSgRf1n4F/x7yb+dvkZMsA6/v2E8xkQFSG7782k/xze3fIOVY1Y63b9sCNlA4kXeTzWdwv6a/egwSZ/jyoGQkcbK8AIAguIAm6lvHiwjgj5VxDi3GjVQaPDkbPNZpEb4Y+k45571suAfAfyiBLj3sz4zrxHw9IZ9XEAceq29ON9yXpCykR2GOmlF7UWw2C0I1gY7LYGiUHC425GV5ozpitdA5YtiJ4t+/wHAmNAxuCXjFoTpwkY+2Q34AmmMOXx6K7qVCmjtPGaPv4ZJH1OjiIbZgdoDWPrpUvxq76/k7YDjgDEOzS19GHDTf4CEqfL2gb4bNE29l4paqcCqGUSI9oM86foXdCHu8ZR/ngfaHDfHsdeKbkYDGbQ81t3Q0jCD1QCTlVEwcsKt5GhoAczy6jPQTEQpRhdyIpRDclYsytYjIkCcwDoT6ARfpFsi0GfUkBrimXLU5SnEYdjO2wWtO9mZ+gA52kxkN01GWntJGYurLkTPLCPv35YeM45XiDcdyAjNgAIKdJm7kFfHzplZFNXHyDFhmugmnhLg9uHDnRS3FWPxhsV4dNuj7ALeMx4Bbvl/QJr7xdhZ44y4NzPoQlKCW+Ka82vw6PZH8UnRJ4wGNTx0w6XR0MjOJZvKmTCQkXFVn8pVssKyoORUaDG2oMHQ4JExDMA/vG99JwJPv34pQSkIVAfCZDPhYhuj6qH4qUBkFjB2OWDulvXSQeogPDjhQdyacatLgdzbpiZAo1TgdHUHzkpMHPn78b/jlo23IL8x3+n+ZYFmPEvYSPBGvOiJcnmSX0KyhJKsKmg1zqWxj8SUaJIJVNtDUjzLO8vlf8DRTIyL2+W9rgOLzYKTDSTLyNlAGgDcPSMRKgWH4xVtuOPNPOwpahLdlgoXlnaUetZwoHw/4CjxwpR7RTWx2W3C33x+gmduSjNiZwilGuWd5Ww6yb4RcGjZ4fQGWS9N9almxUkzupCLKHU67NYAcByQEC+tLE9WbFZScgJIEqBt6CGTQloi6G4i9BHCDunW8q1sOglP7xO/rzwo66XrDUSTbmXGSpeus3BsFIIcpgMvfyveYVStUEOn0gEAdlXucmkMLmExAgbHfZsGzkVAM/poibQPH5cjKcEpRDDf0oWqripPD0cSPM+jorNCtrLK4xVteHztCXxxslpyWztv94hGlkBPC9DgKKOXEEjzdCAjUBOIT2/4FIdWHUKghpHTZGB0n4yMzHq4nn79VJwGMJMstANVJzwyBlcQDLk8pI+m4BRCMJdZ0oNKAzx+mCSNaOV9jycGJeLpqU/j6alPu3Sd8AAtrnFo630k0XSgtKMUxW3F7HUOR6KMGuqJ37BZV7gO6wrXCesNb8AXSGNMaQe56SQq2JWLhenChMUDTXUsaBG/gBJF2kKAUwBNhUBrOVBzAmi6INvlC1oLBLdHVwJpUUE6QbjzSFkr1hwSn5kWpguDXkXKiNYWrnV6DC6T7+hbEwAEihMhPVx3WPh+QYL44IecqBQq4QHHLCNIqQICHKnw57+U7bJFbUXC5N5T+kovby2CvZeIKLfaPfiAO70eMHcBKj8gWlx2T7e5G2Y7WZS42zG2P3H+cQAY6kUCfQ99GfUzeJ4XMqrkmODfMZ28jy42dcNqE79opTqHzJx3xUDLOsGJNrqw2q2CUUiMvweFm334YIxaoRZE+pk5FAOA3U4ygurkKyGt66nDii9WYNH6RbK4jh4ua8E3p+vw/kFpFQj/OPEPzFk3x2NZXQD6stEis4GASFFNOkwdQvDUlXmyq4wNG8u+rK+/e6xMmG1mFLUVAfBcIGj3hSb0dpN5SkW3fGsoybRXAu+tAPb9TVKzOP84xPnHefT9R5MePF495GFWz0rGypw43DZVmtQSzdo/2+JBPdy2cqC9AlCogCTxyQvvn3sfLxx+AWWdZezGJhFfII0x1SA762NC2JabUH0lukMk+wTLLxRImE6+3/xz4L+Lgbz/yHb5AzVk14kDhzEhY1y6FjUdAIAdBQ2o6+gV3ZYGIo/VH3NpDC5R4TByiBHvyLSrimSQ6FV6pwV85YAGAZhO8KkQcl2+bJek+nJqhRoR+gjZriuFb8/Ww9w6B9auLHAKDwoPn/2UHHXBgEitMzo5jfKLQnZ4NquRjQid3JV3lLPrhGYPyGg4cLH9IlqMLVBA4ZST3ff55TVZCPfXoLXHgh2FjaLb0fJ2j2a60HT/gGhAJU4r82BtX3bgvHifY6ePy5txYeQ+R/WemHD4DeCNucCuF2W7JJ0XxPjHyOI6evtUUoGQX9WOc7Xiy5s0Cg16LD1sX7+RoIE0CVnfdIMyMTARwdpgFqPyHuhzVkZjs+L2YljtVoRoQ4RNN3ez9kglLB3TMCvgJ7gr606PjAEA2Qgs3wcUbZHU7Pm5z2PrbVvd7mzfH7esMwDAbiNJI3abLJcz2Uw4WHtQNn3DGalhePWuKZiaLE0rjDrHevT+114FBMSQMlqRWX8dpg5hwzQ7zHPrjO/jC6QxpKe3C+Vq8gGcIUHryhmmRhPNMqpNxeQGQ8s7TZ3kKGNGxtEGIvQuh6PI9JRQZMWQD6adB9YfFb8opAvxsg4PRru7HNpLY1eIbkKFh50Vr5SLaD3JFmNWWgcAEx0ukr1tgFGeEuYzTWRni47f3Xx5sga9FhtshnQo/CpR0u7B0k5q5CBhl0jQN4zw3C4l0FfW3GHugN3OyJUt3qEP2VkLVB6R5ZJfl3wNAFAr1bIEwjUqBW6bRu4FayWk/S9KXAQA6LH0wGg1ujwOp+hxlHXOekx0k91VuwEA/ip/oTzVh4/LFZpNw3QhmTybHCsOkHJ/GRCE3mXKZokM7CtvknKfo6+fRzMyWhzPeAn6QJ4uS6Q09zbjDwf/gEe3P8qukxTHhkjjOeIuKQOVnZVQcAqMDx/vEaOBmvZe7L7QCHtvMp5bcA/iAjwTzAPQz+jCMxUsrkArX0raS2CwyKuVLMDzwD9zSNKITFm5BS0F+NG2H2HlVytluZ6z0PtfZVelx0xLkDof+HkhsGq96Cb0/udtGwm+QBpDDuR/A5NCAX+7HdOyFzHtizp3NhpI9gEtE5IVKkjZUABwSqCtjKQHywAVjcwMdd0liuO4AVlpHx+pEl3eRLMZ2k3tnrFHb68CbBYACmDKPaKb0QwSmvLsKagddrupnd0Dbux1gNIhxF8tT+agUkHsx28ac5Ms15PKv3eR939MiAYKlYGNzqEYjJ0kQAkQ1zaRUNFST0/wr0q8CpxDQ49ZVlpAJKD2J9/nfyjLJU80Eq0UOcsS755OXJ32FDXhSJk4zcc5cXOE7/dU75FtLKKxmoDKQ+T7TPHmPLTEg5am+vBxOUPvs+dbzsMmU7bEJcRMIlnJpk6gXp6FpBAIkrGs7h6He91X+bXoMYkL+NHXr7yjHN0yi4mL5r4vgSdPAelXiW4i6FN5+DmrU+rwWfFn2F+zX9CWlR3/CGD6I8CyPxNZGRm4NvVa5N2dhz/M+YMs15PK+qNVsPPA7LRwpEVKd5yVDZ7vC6RJ0OfrMnd53tEbQJQ+CtenXY8fT/oxrLw8Qf5L4Lg+aROZkkZYBcIv1Hfh91+dFW06EKwNRmJg4oAxeQSOk+TW6S33v+/jC6Qx5FT5TgBAikULpdL1NPbhiPOPQ7Q+GnaQ4E9Ndw3aje3ydhI7BdCHE/2kCBIwkUO/oNfaizYTWbzLJfS+ckocArQkOFLfacSuC+JMBxYnLgZAnC894mhC0/3jc4lDqggsNgu6LWQy6Cl9NMr0mOnC98wW4gpFn5tpzVGXL8fzvPAwmRXrfqOBhk4jLjaSv9/DczMQ4UdKS5lm9Q1F/kfkyCmAzOWim9HS4gC1ByeHAPRqvbBbeaGdof5ItONBLtMEi+o9yDlBSInwR7Afye59eUuhqDYqhUqQB9hbLV/GsWgq8gCrEfCPAiLFl7gKGwk+x04fVwCpwanwU/nBYDWgolO6Q7koFMo+90QZ7nM8zzNZCM1OD0dqhD+6TVZsPFUrqk24Xzhi/WPBg0dBq8x6wlIITQE0/qJP97TQOyVAE4CUoBQADPVwAeD6V4A5PxE9FxaDXq33iI6m1WbH+qMk8eDumUkoaCnA++fex8nGk24fC1ouksoXpRZInCG62RM7n8D89fMFKR5P8tL8l/BozqMI0gSx64Rm68kVSGtmE0h7ffdFvJ9XIUkTnJZ3Mkm6GQmrmWhwSoTeazypzzcYvkAaQyq6iG5QopL9TZvjOCErbXrMdLw4/0X5xUAVir7dM53j5lXueiCtsKVvkSdXIEOvUeH2aYnCv9ceFneDCdGFQOvIdtpRuUOWsUhCcDERv0tU0UX+bypOhblxc1mMSjQKhUJ4sO2rlk8k9hJS5JvgNxoa0dzbDAUnjz6VVF76lrz/1UoOD85NgZIjAWCPvP8KNpFjULxofbSGngZY7BYAwOzY2axGJho6SWE6Qci6nhzbq5yaEPTHbrej01EuL7e+1+1TSYbWycp2GMzidm5Tg4hxTV13naxjEcXe/yPHoDiyWykCs9WMHksPAGBhgnj3Jx8+RisqhQqP5zyOP839E8L9wtl1JAi+u/6cre6uRqe5E2qFWshclwOO43D3DDLXk1TeSXWWPKkTJJF/LP4Hnp/zvFcsJIXy4lH0+nmSnYWNaOg0Icxfg2vGR+OLi1/glWOvYFvFNvcPhuqQJs4A1OKkJOy8HedbzqPD1IEoPTvzPK+C3v8q80jwx0VYZOQCwKqZyQCAjadq0WW0iGozIWICUoJSPKOpffID4JUMYM//SWrmy0i7AqkFSXlOC53klv6oULROqcOKtBXQq/Xyd0J10qhmQdlekibsAgdq+4wG0kPSXbpWf1bPSha+n5osPn2UipC6fafIZgXObCDfx+aIbkYdWidETIBWpWUwMGkkB5HXnWnKME1Hr8gDettdutSnRURcP0wX5pGHSkEdCaIsHx8DhUIhlDdTAX+3Uu9wQUoWH5ClE0Elp0R6qHyfX2ehi4xDdYfYdTLlXnLkbX2TUic51nAMPMg9dEmivI6nTy/NBMcRrchXt4l7P9037j4AELJc3Qp9/0nIRjtS36dT17801YePy5kfjP8BbhpzE1utGJqRUXnI5YUknQ+MDR3rsg7u97ltaiKyYgJxU04cbHZx81GP6qT9v8XAuruBNmnZhGPDxuLmjJvhrxafxcYKanjBNCMNIJtV+WuBHnHyBENxrvkc7tl8D/598t8yDUwaM1LD8LsV4/DTq8ZAq1IKmfMeCUQK+mjiN57KO8thsBrgp/JDanAqo4FJo9HQiIM1B0c+0VkiswF9BGAxADWuOcH3WHoE7W25A0HTU0IxJioABrMNX+aLy8pdPW41Nt28CavHrZZ1LKIo2wcYpOkedpo7UdtN/m+eNDQbDF8gjREmUy8q1SRTIWfMYrf0OSmCBOzONJ9hV8dOM9JaSwGlGuisId+7gEZBMufiA+KhVsg3wUqLDMD8DFIm1yVSOwMApkRPAUCsst1K0RaAWsI7o5vh4XR/Sk5UDgAINz0mRGSS8kPe2leO6CR0Ia5XMQg8j8CZ6g4U1ndBo1TgjzeRiRXNymzpdW3iKBlTN2By6LJJ0OfLq80D0Od462lCtCEASCDSLMMu4qD4RwBaR1Zu/lqXLrWzkkgA+Cn9oNfI+x7016qQkxgCANhwvFpUG6qzWNxWDJPNJOt4hqWnuc/IJmeV6GZUFmBs6FhoVDJnYfvwcSUj40IyLTgNj0x8BDeOuVGmwfUR5q/BlqcW4OH5aVCKdLzOjcrF3Pi5mBI1RfbxDEtHNVB7gsz3/ELc27eMuMXwAgA+vhv48lGgdJdLlzndfBqnm06zD/wNQYhegwfnpeKBuSQIRYMpBa0FsNoZ6XwNhdof0ARKMhqgAb+ssCxZHHddpd3YjiWfLMGPtv+InZ6wQtFX/eJi9dX5lvPgwSPWP1b2LGKO47DKoRW59nClqPW/J8w2AJAKDicci4M0QchblYc1160R5Ee8BV8gjRFHz++AScFBZ+cxLWuRW/rMCsuCRqFBu6kdm8s2Y32heDcM0QREAbEk8w2T7gLu3wwEJw7fZgRo5sPcePnLEmlW2vqjVTBaxIny3jzmZgDEmcitwpo0G00X2lc6KwK6EKclWZ6G6swZbUb0mHvYdKJQkPJDACj8xqVL0V0iT+xyrD1CdqSXT4hBmD8JAlyTQkTWbbwNpe2uBaklUXUYAA8EJfRp44iAZs5lhMpXruMK/cubabYrE2IcelyVru2I5jflAwDiAtk4eD17DbGpbzdYcKhk5OBsrH8swnRhsPJW9+6Wn/iAHDklkCJ+gkUXRv31GX34uNyx83bkN+bjo4KP2C3EFQpg+UvA6i+BuByXLpUZmokncp/A3Vl3yzI0V8mNzsUbV7+Be7LFbxrJApXviJtCzBxE8lHBR1hbsBYNPQ2MBiaN7LBscODQYGhAc688rpqDQp8F5ftduoygT+UlG84pQSnQq/TotfaitMON8zwAuPl14NlyIGGa6Cbe4hhLCdGFID6ArAGYBkdl0kljXZZ4a24CtCoFCuo6kV/VLrqdnbej19rLZEyD0lQAGFoAtR6Iy5XU1F/tL1TeeRO+QBojTpXuBgAkWpRQa9xTbqdWqpEVThZNz+17Dn86/Cc2WS20vNNqBFLmAi5mAbAUEFySHY34ED+0Gyz49RdncPDiyA/8rLAsKDklWowtaDC4cdJSeZgc48TvkJqsJtT2kMwv+lDxNFOjpgrOiUcbXDcDGJIkRxkXLQdzArvdjnZTOwC4XV+uvsOI9UeJSPpd0/uC0dH+0UJm5nfl37lvQHSikLZQtD4aAGES7S2BDI1KI5ge7K7eza6jyY4FoanH5fJ2gJ2+16z0cIToHaYDW0c2HeA4DjqVDgDwceHHTMY0KBe+JceQREnvPzrB9wbdIB8+3MmPtv0ILx15ie1CfNLtQPpi0VpKnsRoseGz49X47ly9p4cyNE64JQLAu2ffxYtHXkR1t7jMYtbo1XqkBqciMTARTQZxZl5OIVNGkKcCQRabHQ+/fxQbjlXBbO3TU1UqlMIzyyPlnUoVMRQRCR2jNz1nBZ1DllmRY5YAC38FLP6NS5e5Kukq/H7273Fr5q0yDWwgwXo1rp8UC0C8VuRbZ97C7LWz8c7Zd5iMaVDo/S9plsuxA2/BF0hjRHk70a2K49xb7kTLO2nqI5NIPQ2kXdwBuGi9XtNVg9NNpwGwuUErFRxWzSQpr5+fqMHre0pGbKNT6ZAeTLSemArm98dqBrodQbvxK0U36z++mbEzZR6UcygUCsyIIU5ATb0MJ1g5NJDRSXY4nOB00+k+fapkefWpRuLFbwtg54nJwKy0gfeJSH0kAKKf5TZKSGajlHTrqq4qwX78muRrWIzKKZICyWf+TJPzQdYRmXQHoNIBxjag2Tk9OztvFzIir0+7Xs7RDeDOacR0oKi+a8Bkfiii9dEA3KB/059Gx2RYwgLTbDXjVNMpAKR0zIePKwUFp/DsQlwCLb0t2Fe9j7lcwfqjVfj5J6fwt21FoqsJmnubUdVZxXRcAjzvVFlTc28zGgwN4MAhO8x79IE23LABm2/ZzDabP3kOkfFouQh0OmeAY7AYhGCzuwNBOwsbsb2gEf83iHM2DQS59TlraJXcxGq3orCVjN9bMvqAfjqHLI2lQlOAxc8Bya4ZaSUGJuK2zNtkN5Tqz6oZSQj31yA+VNymh7/aHwarwb3PD5qRK3Ej4We7f4aXj7zsfskbEfgCaYyotZIdsUT/MW7td1IkCaTRjCAmkfqE6UQfqLcVOPQ6sPmXQMvIAarB+LT4U/TaemU3GujPXdMToXboZuwrbkZFy8jlhkrHTs2Gog1MxnQJBZsAR0AHE28X3WxvDYnuB2oCZRfwdQUqpMo25XohmWABwMk1Tl1iRxVxxtQqtWxttL+H3W7HVseu+aLMSCi+l4EzNpSIrZd0OPe5kkx7JVBPAtpS0q3PN5O/r5/KD4lBrpV4ywlN/2a6e6/SAomO4LWTaf/lHX0CviwDQU8vHYvIAA16zDZsOz9ylu3UqKkAgDqDm5w7O+sAWgZOjRxEsL9mP+w8CQzK6QTow8dowG0L8dI9wNbfOK2TdqjuEB7b8Rie3PWkzAMbyMqceGhVChTWd+GkiPKmDRc2YPGGxXjl2CtMxyXQVg50VAEKNcnIEAn9+6YFp7ExEXMS6nDPFL8QIMZh2OZkVtqFtguw83ZE+UW53XGSZgfdNjURGtXAeR4N6hW0FrhnMDYr8I/JwD9yiFafSEw2E1Zlr8L8+PlICUphNjypTAj3oGGDFzI1ORR5zy3BU1dnijq/f0afW2SM7DagwlGiLcHoos3Yhm0V27CmYA00Su/LYnNLIO21115DamoqdDodpk6din37hr8Z7tmzB1OnToVOp0NaWhreeOONS8757LPPMG7cOGi1WowbNw5ffPEFq+E7RY3KCADIThD/sJQDGkij4otMAmlKNSn/AoCj/wWOvEmy05zgeD2ZmEXpo2Q1GuhPeIAWKyb36Q+tOzLy7iN9wFV1uWmn8swn5KgPByQIjp9pJhk3NAPHWxB2ipoY7hQpFH36fIWbnboEdWaN9Y+Va1Si+PxEDYwWEgD49XWX7ubelH4TAEDhrr2O4++To0INRIp7CANAVTf5fCxIEL+77g6uSiJmHb3WXhjMBnYdJTjKWam+l0S+LSPljClBKUwFfHVqJe6cTu4R646MnPZ/dTLJOjbbzGgztjEbl0CFQ8tO5SdpgbmvhswlAtQBPqMBD3MlzvM8DX3OMg+knfwQyPt3X/m1RNxVVhesV2PFJDLXE1PeRDdvmQvmU+iGS/xUQCPeedMby+r6QzczmJHqyF5xcsNKeP0i3Pv6VbUasLeYVGX0l++gzImbg4+u+whvLXvLPQOqO0UqOHpbgUDxc15/tT+envo0Xrv6NSg478m/oZmQtT21aDVKz7QTjbmHJDvk/cep5sVtxVhfuF7QE2YFx3GXBGuHIzM0E0pOiVZjq3tkjCy9wNQHSDZarHitM/p8SwlK8TqjAcANgbT169fjqaeewm9+8xucPHkS8+fPx7XXXovKysEfcmVlZbjuuuswf/58nDx5Er/+9a/xxBNP4LPPPhPOycvLw5133onVq1fj1KlTWL16Ne644w4cPnyY9X9HFEUVp9GiUoDjecydxK5cZzDi/OMQrguHHeTBRjNGZIe6StLSznLnHnA042Zs2Fg5RjUk9zpMBwBg/dHKEcubFiUuAkAsi01WNzjX0bLOeGk6UzXdNQDgdQKMyUHk9S5sK2TnqAMQjT4AaHAuYEf1vdydrv7abvK+Twj1Q2pkwCW/nx03Gxw4NPY2shXypRQ7tNjCpWVFsRZQdRZaWgwAu6pdc/saFmp4UX+G7PZKZGcVKad1x27gnY6J/P6LzSOaDlAhaQDYXrGd+dhQR8ozMVGafgjdSEgITJB7RD4kcCXO87wBGlgpbC2ExW5h15EguO1cRpA7hd6plMfXp2vRYRj+NXGbYD7FL5Rou1J5FJEIgUgvKqsDSADth9/9EPPWzWOsk+YIpDlpOMCDR7Q+2u3zlI+PVoLngXljIpAScWngNEQXgkmRkwRNUuaU7SHHlPmS9NG8lUBNoJAhxzQrrbsBWH8vsO33gBMbs7urduNPh/+Et067J2Bqt/PYfaERRQ3Dr710Kh3GhJCqObdsJmgDgKV/BO7/mmj0iYSuMzxhCCcG5oG0v/3tb3jooYfw8MMPIzs7G6+++ioSExPx+uuvD3r+G2+8gaSkJLz66qvIzs7Gww8/jAcffBCvvNKXev3qq69i6dKleO6555CVlYXnnnsOS5Yswauvvsr6vyOKowVbAABxViA8JMatfXMch4mRxE2OLsSZPOBoIK2DBHJQvp/Y2kqgy9yFTnMnAGB2rGv15yORmxSC7BgSyW4zWISyuqGYEztH+J5mPTCD50m6PwDME1/60GvpFdxWqFOmtzAmeIywEN9VyTCQMe0hcrT09pWGiYTneSHId2+2+HIyV6lr70VpMxnrI/MHD1zp1Xqh1M8t+hlU4yvtKknNTjScAOB9gTSFQiG42LYYGWoqTL7L8Q0PFH4tuXl1FymvmBQ1ScZBDU5imB5RgaQU58Utw5eSKBQKhOpCAQAHa11zJRUFXSBJcOsE+r1+EexfPx9DcyXO87yBxMBEBKoDYbabcbHtIruOaCCj5rjk56zNbhNK19zxnMhNCkFWTCCMFjs+Pzl8+Vr/56xbysPG3Qg8+C2w8Beim/A873WOiRQFp0CjoRFdli6285TkOcDt7wEPO7eps3rcamy/fTsemfiIvOMaBovNjg3HyPuPBnc9Ds3ok6DPBxAt4Q5TB4MBuc4PJ/0QL8x7gW2QJTSVuNnbLUDVIcnNqYabuwLhf95cgPvfPYo394xsQkPH5M3lsd56/6MwDaSZzWYcP34cy5YtG/DzZcuW4eDBwSfneXl5l5x/zTXX4NixY7BYLMOeM9Q13U1xI1lcxtsuzTRxBzQ7yV9NdkCYPOBCU4CwdAB2ohXU29YnFi2S/hFw1o5/HMfhvjkpwr9HSvvv7/y3p3oPy6EBTYVATxMpa4qfKrpZ/wCftzgmUhQKBYK1xNp9f41rtuXDEj+VlHfyNqBS2gOuursaneZOqBVqQZPMHbzwLVlUqJUcVs8aeoJFtTw+KfqE7YCaLhAHXgDIvV90s9L2UiFIlRTkJRPFftDyztJ2ho52Gj0pxwb6yrNFYrQaYbCS3c3FCe4JhN+aSzK3Tld1oNs4fAYdXWBSkWFmdNYCtaTEWnBoE4HRakSPlSzqFyaycTz1MTJX6jzPG+hvOMA0kBGaQp6zdovk52x5Zzl6rb3wU/m5RV+J4/oMptYerhwx21eQoWhhKEPhAi3GFrT0tkDBKZhXbjiDsBBnmdGiDQTG3wz4R7h0GaUbs7B2FDSgqcuEiAAtlo6LHvK8M01n8L95/4v3zr7HdkBWc99nV0IgzWK34IEtD2Dex/OEjStv4ob0G3BD+g2I8HPtvTEsHNcvK1d69ZW7A0HXTSRlu2Kyct2ms2mzAkXfASbpFUp0bN5a2s40kNbc3AybzYbo6IE3kejoaNTXD54RVF9fP+j5VqsVzc3Nw54z1DVNJhM6OzsHfLGkxkiCNHFaz4hvT4wgGWlapRYfXfcRZsUx0mkb43A51DtuYBLT/g/WkAkxBw5pIewd127KiYO/hjxIey22Ecs7aXCAqfMfAJz93NHhTBKUFEmPhSwix4ePd+sEQSx00sxUSJXj+qX9S3v/UbfYsaFj3WbUwPM88ivbAQCLx0ZdYjLQHxoIZ/7+O/4eOSq1QHSW6GbbKrYBAFQKFWL83Zt5KwZqeME8ZZ2aM1QfldRsT1VfgJ7ZPfp7PLlkDBQcsTX527YLw55Ls4RNNsal7cfeBcAT7c3geNHN+gfo58TNGeZMHyy5Uud53sJjOY/hg2s/wLWp17LrxIXnLL3/Zodlu22esnJKPPQaJSIDtejsHX7DgC4kmTr/AUBbhVOOiRF+EchblYePrvsIfipxbnzuxC2BXBew2C3uEVL/HmH+WszPiMCd0xOgVg49z6vprsGGog34ruI7tgOqOQZYewH/SCBS/DyvpL0EZrsZgepAxAeIfz5fdgg6fdLufwMcd91Umkizck1WO74YISt3cuRkzI+fj9lxbKvCUH8KWHs7MbuQUL3WamxFXQ8xvfImx+L+uEU1kOO4Af/mef6Sn410/vd/LuWaL774IoKDg4WvxES2Aa5ARQBiLTwyoqYw7WcoJkRMAAcOLcYWxAXEsXPWoeWdFkfNuMRI/fFGYjQQrY9mZjTQH71Ghdunkb99RIB2RFHGKZHk70d1yJhx1FE37x8pqRmdoPbXg/ImcqNIgIHeBJkR6yjrOiHNuXNNATnfLc5TDk5Vd6CqrRcalQIv3zpx2HPpg4252HsJ0emSYjIAAEfrSeAoys+9LlhioQukC60X0G5sZ9fRhJvJsbuB7PqKpL/jLkujgf7oNCpMTSYlm5+dGH6CdXMG+X+1GFtgsEjXBREN1ecLSZHULL8xHwAxGnDX6+djaK60eZ63kBudiylRU9i7OTop+O5OfTRKkE6N/c9ehbWPzEKwfvi5ZX+HcaYBl22/A/4vDTj6tuSm/mp/YZzehtuc/3rbgD3/B3z6kKRmawvWYuH6hXjj1KVmJiyZkRqGDx+aiWeWDZ9FSAORF1ovwGJjqHPYv6xzmPvy9+lv1DDc/dyTnGw8iffPvY+WXoYyHnQjofakpKwq+vqlBacJm+Os4TgOd89wZOUeGT4rd2zYWLx29Wv4wfgfsB0UDUAmziRGcSKp66lDuC4cKUEpCNB4pspvJJgG0iIiIqBUKi/ZQWxsbLxkp5ESExMz6PkqlQrh4eHDnjPUNZ977jl0dHQIX1VVbJ0Y//bwd/ju4bO477pfM+1nKPzV/oIbEc26YULKfOLy1+tY6HdUE70vkVANo5yoHAaDG5zVs4kI/s7CBlS3Db84vDqJCMLaeBts1FRBbkzdxEEHAMZKM6bwlBORWGhpnclmYquvQDOCDE1Ap/igXWUnyRx1p1D52sMVAIDrJ8Yi1H/4AB51TrTDzna31+j422TfKKmZYBTixrJYKUTro8GBAw8e2ysZCuaP7yeQf+5z0c1oBgQ15nAXzy4nu9EdvVbsKx5aPzNSH4kofRTsvJ1teWeT49ppiyQ1M9tJ0PL6NPca+vgYyJU6z7vioAvJLmkbBquyV+H5Oc/j2hSGGXODEOYvzsV3bNhY3J11N3429Wfs3CftdocOJA9Eeed8zVnGho2FglOgubcZjYZGdh0p1MCel4Gzn5LsPpGcaz6HNlMblJxnqjZGCj4N0DlsZ6lzOA+Y/jAw7iZJzbxdnwoA/nToT3jl2CvIb8pn10lIIilx521ARZ7oZrRk3N1GISunxEOnVqCooRsnKt3gvD4SNJNZgnwHQN53u+7YhbXXr2UwKHlgGkjTaDSYOnUqtm3bNuDn27Ztw5w5g5dizJ49+5Lzv/vuO0ybNg1qtXrYc4a6plarRVBQ0ICvyx2qk/Zp0af437z/ZWM4oA0g0WUAWPQc8Oh+STsdtT21ANxblpMeGYC5Y8Jh54E395bgXO3QAZ4p0VPgp/KDxW5BWUcZmwGd3uD4hgOyxC8Iu83dwgMuIySDwcBcZ2LERMFwYGflTnYdJc0EaEbK8fdFNbHarYLRxfyE+axGNoCadgM+P0GyG8WIz4bpwqBRkMXAjsodbAbVWQt01QGcApjxQ0lNqd24u8oSpdJfMP9AzQF2Hal1fdmkBeINB2q7yf1vipszl6elhAmLzFe2Dl/eOS6MLPqY6Ry2lvXT57tPUlMaXHbnRoyPS/HN8zzPd+Xf4cXDL6K0g6EeZEgi8NMTwNNnAZW4IBVANgpuzrhZMMFyN41dRpwcZiGpVWrx65m/xk1jbmJXetpUABiaAbVekg4uz/N4bPtjePnIy14r9u6n8hM27plu+GkD+jZNJZQXuzsQZLHZ8caeEjR0GkWdz3GcsBnO9PVLmQdc/9fLMpAmyHiwFswXstJOiG4iZOS6+fUL9lPjhklxAICPRtAEB0gJKrPnh62ftmaK9PUWx3EI1ATKPCj5YF7a+bOf/QxvvfUW3nnnHRQUFODpp59GZWUlfvzjHwMgu4j33dc3gf7xj3+MiooK/OxnP0NBQQHeeecdvP3223jmmWeEc5588kl89913ePnll1FYWIiXX34Z27dvx1NPPcX6vzNqmBRJyt2ONRzDhqINONPMSGdpjKO8s+6UpGZ23o6CFqKd5W4BwdWzSAbIh3mV+P1XQ994lQqlUJPNTGep4CtyDIyRNDndWbkTPHhw4ITMPm9DoVAgUk8CDMwCkZRQR1ZP8VZRpx+pOyJ8vyBBmoORs7z4TQGsdh5alQLTHOV1IxHtT7IvjjccZzMomm4dmwP4hYhudqH1grB7vyx52Qhnew63Cebn3EOOIvXEzDYzLHZSxrEibQWrUQ3JXdNJ2dv5uk6YrENn29Ksr2/KvmEzkBOOwLdS3VeiLQKr3YoLrSQI6M0T/CsF3zzPs3xS9AnWFq5l95yghKdL2iz1NHuLmjDnxZ34+YZTHtHJEqDP2aRZkuZ5dT112FezDx8XfgydSsdocK4zK3YW5sbNZT9GiTpVneZOVHaRIIK71hnbzjfgpW8LsfI/B2C3i3vP0bEx13OViNlmRlEbcXR3d0aVFPqXFzNl/s+AJ08BC58V3eTlBS/j7WVvCxU67oRu2Jc0dg/7XtxYshGLNyzGC4dfYDOQ2nzA3A34hQLR3lmi7grMA2l33nknXn31VTz//PPIycnB3r17sXnzZiQnk4VvXV0dKiv7oqWpqanYvHkzdu/ejZycHPzv//4v/vnPf+LWW/vKZ+bMmYOPP/4Y7777LiZNmoT33nsP69evx8yZM1n/d0YN1HDAbCMLIWY7HVQnrWwviTqLnKx8Xvw5ui3d0Cg0bjEa6M/V2dGICCCTmWMVbShuGLrenbokbS0XF6CRTG0+OSZKe+8eqCUZNsHa4GEF6z3NzWOIzlK7qZ1tR6mLyLFJnLHBrqpdAAC9Su8WAV+73Y5tBaTsYfHYSNFaE1lhpAyPWSDy1DpyTJkrqdn2ClIqqVaoEaFn6JbkItTNtsHQwLajCY7nU8VBch8cgaK2Ith4G0K0IR4RUH3iqjEI99fAYuPx3bmhXxuqc8gkoxkALjpKbsPSJTXbVbULRpsRKk7l9tJYH5fim+d5Frc5r1FEzvOO1R/DRwUf4WIbw5K1YchNDoVWpUBpcw/ySofWTzLZTDjZeFIw0JEdqk8lMRuD/j0zQjPcquUqlV9O/yXeWPoGe8Hy/oYXIt6DdLM+PiAeIboQhgPrY60j++eW3HgoFOLmecwDQaV7SEaQRA224rZiWO1WBGuDEecfx2ZsMtDfOZZpwDwsjZR3SthMCNQEYkbsDI8YcuUkhmDTT+bhy8fnDvtepFVNzHQiyx33v+S5kvTRWnpbsHjDYvx0x0/ZySvJgFtW4I899hjKy8thMplw/PhxLFjQlwHy3nvvYffu3QPOX7hwIU6cOAGTyYSysjJhV7M/t912GwoLC2E2m1FQUIBbbrmF9X9jVEGFDW08efMxu0HHTAb04STa/N4K4NWJom7WnxV9BgAI14W7xWigPyqlAqtnpQj/Hi7tVa8iAr6H6w/LPxBDG0BF0CfdKakpnWC5w07eFdy2U0TLwiy9QPvIacynmkgGpbv00dYfq4bJ4RL7m+vE74zSsudOU6f8Dzi7vW+CrwuW1JQ6xnqrHTWF6sxZ7Ba2+i3RE8hum7m7Lzg+DFQfbXzEeI8I+Oo0KtzjyMxdO8z9b2nyUgDk9WvoYRCMbC4mx7TFkprtrSLvW51KBwXnvRsJVxK+eZ7nEDJaWJc22SzAhh8Ar2SKcqDcUr4FLx15CV+VfMV2XEMQoFXhpinEaXC4+1xZRxnu+/Y+/P7A7xk8Z21AhaM0PlVa9judN3n7c9ZtJM4kWmmdNUDryGVo7i5LrGjpwf6LzeA44K7pI8t3UOjf12AxsNHp2/FH4J1rgDOfSGoW4x+D38/+PX486cdeazQAAJkhmVAr1OgwdaC6e3gTpSsJjuMwMSF4xL/dmJAx0Cg06DJ3obqLwetHM0iduP819zajvLPcbY7PzuCbgV6mKBXKAQ8PZpFmhaJvEVR3CuioErWQLOskGTZZ4eJtmOXkrhmJoAH6T49Xw2gZPNq9JGkJAJLZ19o78sRREqcd2UDggMxrJDWlTpi50bnyjklm6E7RxbaL6DR1susoLodMsABROmlVXUSI2l1OWG/sIcL8SWF6JIaLd1dbnrIcCihg5a3yB4IqDhDhVADIvV9a0y4i9nttqnsFpKWSGZopBFqYZZUC5D5Is6r2/WXE07+8+CUAINY/lt2YRuDO6eQemFfagpMVg2sIpYWkCSLNsmdr9LT06aNNfUBSUyrgmxQofrHiw8flCn3OFrcXC1UITFCqgcbzQE8jyb4dAbrh58ny61UO97qt5+rR3D146X16SDq0Si26LF1CKaBs1J8hhj6aQCKhIAFPOJ66QksvY4dnjR5IIFnmYnTS3P36rTtC5pULMiKRGCZ+npcQkIC9d+7Fpps3yb8xZOwgTpOA5EBGuF84bsu8DfeOu1feMcmMWqkWTK+YbyaU7QXWrQJ2/nnEUz8r+gx/OfoXnGliJK0kgR6TFY1D6PaplWqh+opJ0sN1fwGue0XyOpeOxVsdiym+QNplDC3v5MCh1djKrrxpDAk2CdoPZXuGPb2lt0XIaJkdyzgVfAiig3RYPp6k2nabrPj69OBujxMiJgiC+bI7//U0k2PUOEBCtL3D1AGTQ4uJBvq8lSh9FFScCnbYsaV8C9vOwhxacTXD68TY7DYYHQv4RQmL2I4JQFWrARUtZHL544XSypgDNAEYEzoGAIMH3Mk15KgNBAIiRTfjeb4vo2oU6FOF64gL4KHaQ2w7CiaZD6g6Mvx5ICUTABCqFaeVx4L4ED+kRxI78d9vGvq9FaYLAwAcqpP59aNZGpHZQJQ059eabmLa4TMa8OEDiPOPQ7A2GFa7Vbi3MKN/ed0wWGwWr9AxnBAfjMmJIbDYeHxybPBsC7Wi30JS7oV4SBJw47+Ahb8ElCrRzXieHxVC75RHtz+KRRsW4WDtyAFWl0iZR4KSve0jnjo+Yjymx0wXzNdYYrba8elxEkgTYybVH47jBGMk2anIA3g7KUsMdp9DvbuhwVI6N2WGoQW48A1QOLJu7JbyLfjg/AcobGOs0TsCX5ysxswXduClb4ceB1OdvogMYMYjpCxWAuebyUaML5Dmw2PQQJpGSQJczCL1NCPN6HAVGmGC1f+D6smMqtWzU4TvP8grH/QcjuOEhaTsEwRq0DBF2m4PdXDkwGFCuHffYACi4wYweP2+z5wnyNHQPOxpFZ0VsPJW+Kn83GI08OdvyMNAo1IIIu9SYPaAq3A4WUZLc1O70HYBrcZWKKAQNNy8GVreqVUx1pih5dm9bYCpe8jTWnpbBBF/OjZPcY1jM+FMdQe6jIOX5GeEEv0MKjosG0K6vzTdIIPZgF5rLwBgSaJ3byT48OEOOI5zn4yCIPi+d9jTLrZfhNluRqAm0G0SCkNxjyMrbd2RyiFFt+nrR7NdZUMfRqQn5j4hqVl1dzU6zZ1QK9Re68zen2g9MUZi/v6b+yTwbDkw76kRT31wwoN455p3MDVavFOqs3x3vh7N3WZEB2mxJCuKeX+ioZ9TidlovdZefFz4Mc42n/WsUYdI7s66Gx9e+yF+MuUnbDuiGwmN5/qSIQbBmwLhKeH+JGHkTB3aDYNnLLvt+SEBb3n9RsIXSLuMoXbjNHupvLOcTUdBsUBUvzd65WHAOrR73cEaElBRcArBNtsTzEoLQ4qjzK6wrmvItFdqhiCr85/NQnaKAMkLSZomHKIL8WqjAQqT128wMhzukfVnh9VvoRPl7LBs5nX3PM/jSBkZy9XZUU79vYI1JBD5efHn8g3Mbic6IwCQfYOkpptLNwMg6eDe7CRGWZxIAv1UeJgZmdcCjuxVnFo75Gk0s1UBhce1b36yOB0KDuAB/GXrhUHPoYYNshsOnCU6mYifJqnZzuqdwvfTYqS19eHjcoUuNpjN8yjCQvL8sAvJ/osgT+srrZgci0CtCg2dRlxsGnyTg2Y9MC8NE0mjoRERfhHIDM2EWuleHWFncJtOnzZAUmafu6jvMEKnVuDOaYlQKaXP8y62XcQj3z2Ch797WN6BORlIu9B6AX8+/Gf8dOdP5R0PI9JD0pETlcN+TuofQaqIAKB8/5CnVXVVocvcBY1C4/FAeE5iCLJjg2C22vHZiZpBz6Gf3/Mt5+XV6dvzF+DYO6I0NfvTaGhEU28TFJxCyBb2Vrx/Fe7DaaL0UcIu0T8X/xMPTXyIXWfpjqw0lQ6w9gLVx4Y89WQjqdeP1kdDpfDcA5HjODwwl5QDJoT6ITJw8IyVGdEzAEBejapTHwOWHkAbPDAIKQKLnWSOrExfKd94GDItmix2mYq9A0BgNBCZBYAHSnYOeVpeLQlgukM343hFG1oNFmhVHH67wrmgCQ02t/S2wG6X6QFX/B1J9weAKfdIanqi8QQAz+p7SYFOEKq7q9FOzT1YoFAAQQ5nq/ObhjyNbiQwK+WQgE6jwvQUknH75cnBJ1jXpBBdCytvle/1aygEqOZknLSs5P01ZPIarPFux2IfPtzJquxV2Hn7Tvxy+i/ZduQf0TdnGab6wJuyCfQaFf7ffdNw+NdLkBkdOOg5dJwFrQXyOcQ1FQGH3gAapW8iTo2eip2378Tb17wtz1gYIwQiWTsn9scy+OY3ANR216LL3OWecQB4eH4aDv/6ajw0T5p8B0Wv1uNQ3SEcrz8uJD+4TE8L0ODQ55LoGCvoU4VP8Hgg3OsQUd5OX7+xYWM9HgjnOE4oN153pHLQz2d6SDruzb4Xv575a/nuf1YTsO8V4OungR5pG7G0RDc9JB1+Kj95xsMI3yz0MoeWdzLfpRzzvRKbYW4wdCzjwjzvRHRzbjz0GiVKm3twqHTwiPnSlD7nurruwbXUJHPiA3L0C5FkBwz03aC93WiA4jbnRAAIdAR39v11yFNoaazCDbc/6hR24+R4xAY79zBYkkw+Wzx4+cpOTn1MjroQyY6d5R3lAEaPk1iwNhgRuggAwKaSoQNcspDk0HysPzXkKVQvY0zIGLZjEclz15Hy3E6jFbsvXPr5TAxMRHwA0X+TTevjxHvkqNIBkdJ2a2lmHM109eHDBxDhF4FIvXitS5cQyjuHWUh6mVD+7PRwhOg1Q/4+JSgFfio/9Fp7UdoxsiOkKAo3AVueBXb+r1PNOY6Dv9pfnrEwJiMkA2qFGp3mTvbOiUVbgX/kAF/8cMhTXjz8Iuasm4Mvir9gO5Z+BPupEax3LmgS6x+LUG0orLyMOodUhzRqHBAgrdyUBjLGRYyOeR4A7K3eiz8d+hP2VY9sROESIsrbhdfPS+bJK3Pi4KdW4mJjN46WX2oupVKo8OyMZ3Fj+o3yBf6qjxJDqYBoICJTUlOVQoXcqFwhEcOb8QXSLnNoeeeZZsauIUmzHdloRiBmMhA8tBZUZij5QM2Jm8N2TCII0qmx0mGP/mFe+aD142nBaQhQE1FuKnLtMg2O9HeJ6dY95h5hkucNO71i6O+c+F35d2w7i3WIyrZcHPTX/fWV5sXPYzqUytYebDpdCwC4W6L4bH+CNEHQKkm25PYKmQwv6I7nuJskNbPb7egwEy3E+QnSdjg9CZ0Y7K0ZXtfHZSbfTY7GjiHFkGkwmZZMepqcxFBEBJAF5itDlHcK+hlyle2U7iLHCOklDzTL4L5x98kzFh8+fEgjbREQP3XYz++7y9/FO9e8gxkxM9w3LpEMNs9TKpR4fu7z+ODaD5AUJJMbsFBWt1BSM57nR4UuVX8GOCey1lnyCwXaykggd5AsfZ7v23RMCU5hOhST1YazNR0uX4fjOPnLY8deDzy8A7hmZIfJ79M/I220kFebh/UX1mNfDeNAWvJcABzQXAR01Q96irc5Tgbq1LhxMqmYWHu4wj2d0o2WlHmAxKzGBQkL8P617+PXM3/NYGDy4gukXebQjLTDdYfxw+9+iL3VjBaSaj/HzQXApDuGLBez2W2CaHVOdA6bsUjk3pnJAIDNZ+tx938vdabjOE4QK73QNvhCUxLtVaSsE5BsNPDFxS9g5+3wU/m5b/dZBgTnRLmd/77P1PvJ0WYG6i4NHu+o2iF8z3qC/6evC2Cx8QjxU2NKYohL16JllLQs2iXsdqDCYfwwZbWkpkfrjwrfX5VwletjcRN0gn+xffAAq2ykXwVwDt29i5cGPZt7m2G1WwEAy1KWsR2LBFY5xLjP1XaiexDTAbr5sbtqtzwdtpSQ4xhpZgsmm0nYrR8tGwk+fLiLTSWb8Oj2R9ln3o69FnhkJzDr0SFP8Vf7Y3rMdMFsyBuoaOnByv8cwPX/3A/bIKYDy1OWY0rUFGHjyiWsJqDSMd+RuGFa2lGKqz65Cr/cw7hMV2Zo9iF122NG3BRAE0DkARovDTo1GBrQ3NsMJadkboi05Ww9VvxrP374wdByNmIRdKpaZXr9lCogYRqZl0ig29wtVB54S0apGPqXFzNFH0Y2EpJmExfP78HzPBp6GgB41zyFlnduOVePHpP1kt+bbCacaDiBLWVb5OmwvF8g7TLGF0i7zBkfPh4KToFOcyfy6vJwouEEu87ozbpkx5CnlHeWw2A1wE/lh7Rg7yjNGRcXhMkJZLJXUNeFM9WX7i7JmpFx8kNyVKiAxJmSmlJ9L5ohN1qYEjUFAGC0Da1pIQthqQCtpz/x/iW/dpe+kt1uxy5Hmdz8jAiXNSboBEuWEu2GM4CxnVjIx02R1HRnFdGe81P5Qa/Ruz4WNzE7jpRcthqlCZ5KRqEAxt9Cvm+6tAyypJ0EkKL10UgNTmU7Fgn85KoMBOlU4AHsKLy0vJMuLGXJbK7NBxw6j0LgWySnGk/BylsRpgtDjH+M62Px4eMyoryzHPtr9uNI/RFPD8UriQ7Soay5BzXtvdhbLLN5yvehZU3+UUCkNLHss81n0dzbjAZDA6PBsWF+/HzcNfYuzIyVNq+VjFINJDsqWgYpr6PzdHfoK1H5juzYIJevJXvmt5MUtBaAB484/ziE6cI8OhYp0NfvQusFQUuaGQ9tAx7cAkRfGijjOA6bb9mMbbdt85p1LgBMSgjG71aMw7anF8Jfe6k+eVVnFX6w5Qf43cHfua6TZukl90AASJG2kWC0GtFDk01GAb5A2mWOXq0f4Ix5voXhThHVSas4CHTWEaHV7/HKsVcAAGlBaR41Gvg+D87rW9SuOXRp2uuYUKJntKd6j+udFW0lx9AUyemuNJuPZoiMFm7NuBUAUN8zeBq0rEQ6diBp+Vg/6ASFdRDjg7xKWGxkx/u567Jdvt7cOJLt2WHqcN1w4MA/yTEqW7L7VX5jPgAImlmjhWXJJPvLzttR2MLYPTbNMWkYRD+I7pROipzEdgwS0agUgkjyR46FQX+oTqSNt6Giw8WygJNryFHlR+6BElh/YT0AEtjzCSD78DEQupBkOs/rj6kLaLi0rzdOvYGXj7wszFe8BZ1aiVtyybPro0OX3uesdis2lmzES0degsXm4kK8v1uixHsV1VfylrIwsSxMXIjfzPoN5sbPZd8ZzfIbJJBGyzpZv34XG7txuKwVCg64a8bQcjZiodlfF9svwmh1cdP5whZg4xPDGm8NhbfpG4olKSgJgepAmGwmYdOSGSNsxHMchxj/GCgVSrbjkADHcXhwXioSwwbfBE8NThV0Il3etK86TCqDAmOB8PSRz+/H3uq9mL12Nn62+2eujcFN+AJpVwC0vBNg7KgTmQUExpFduL9lAxt/MuDXdt6Ow3WHAQDJwclsxuAkyyfEIEhHggpf5teg63vlTdlhJBjSae5EabuLQrQ0UyVtsfSmvWQX2FMHBQABAABJREFUlfmOn8zQB3JFZwU6zZ1sOxt7LTm2lV/yqzoDMYtgbdTw1n7yHkmL8EdciOs7olclkWxPHjwudrhYnljqCAbrwyU3pVpj3lSWKIYIfQQ0CqIDtq1yG9vOqKNT9bFLLL/pAsmb0v0pd0xPgIIDjpS1oqhhoNtZfEC8sPGxrcLF16/KkS0jMUsDIDvlABAXEOfaGHz4uAyhmcsl7SWuL8RHoiIPeCkZ+HjVJb/6uvRrrClYw95cyAnucZQ37SxsQF1H74DfKTklXj7yMj4q+AjF7S4KvvcPpEnE2/SVvBLBOfEAYBtYpuau5+y6IyQYe1VWlNNmUv2J1kcjJSgF02Kmod3U7trFCjeRqoySSzeUR+K2zNvw9rK3cf/4+10bg5tRcArhHkjfA8zpbQNM3e7pS2bs3ytvVyqUwlrX5fLY5mKAU5DPqcSNhHMt58CD9ypZgOHwBdKuAGggjQOHTnOnfIL534fj+tXi80DN8QE3mIrOCiHdlmbYeAtalVKYYJmsdnyVXzvg9wmBCVBxZCG5vdIFwfe2ChJoBIBcaWLZ5R3lsPEk3ZZm2IwWgrXBiPMni1/mjjr0dbVb+7TAALT0tsBsIyLDLF+/0qZuVLeRCfpji6XtxAxFgCZAeMBVdLqQEWQ2AA7XQ0y8TVLT/vqGVydJ07byBqL9owEAx+pd1zIZltAUYrzCW4Fj7w74FdUYSwx0ffdabmKD/ZCbFAoA+Nn6/Et+H+lHNBldLhtzmAVg5tD6SkNBdUeoZqUPHz76iNZHI1wXDhtvk0fPddjOHEGKtjKgo8+lscPUITyjvFGofExUIGakhsHOA+uPVg34HcdxfeV1riwkrSag7jT5XmIgzWKzoLCVbLZ644bLSBitRuQ35qOso4xtRzETieu4uQuo63PJ5nneLYFIo8WGz06Q9/0qF8yk+sNxHDbdvAlvLXvLdekCJ40uADLfnBE7w+sy58VAN+2Z66QBwBc/Bl5OBQq/HvDjn+z4CZ7Y+YTrSReMOF/biYffP4pnPrnUXV42w4sZjwDPlgNX/0FyU9q3Nz4/BsMXSLsCEAJpjqgw00h9uiPLSqEmgYyqPnH5U019H9rJUZPZjcFJ7pnVlyX37oGySzL3ovTEPvpInQsLyRbHLqd/FJkISGBrOSkJVSlUiA8cXaV1AMlIBMhuNVOCYgF9BPme1ugDwuRUp9QxTVl/YTPJmtGpFbg1N0G268rygDvzieMbDhi3UlLT8s5y9Fp7vUrfUAo0EMlsI4HCcSSdHQCKvhV+XNBSIGwk5EaxzYh0lnljyOfmXG0nOr7nbCeLYUNHDdBaSnYqs66V1LTZ0AyznYxpNAZyffhgDcdxwrONeUaGLqhPY7NfGTtdwCYEJCBEF8J2DE5CN03XH62C1TZQKkFYiLvynFVpgV8UA/dtlFy+XtReBIvdgiBNkFduuIzE34//Hau/XY0NFzaw7UihJC7Z0x4EtH2awVbeiqdyn8KtGbciI1S6K7RYvj1bh3aDBfEhfliYGcWsH6doKwfaK4kOc9IsT4/GrdDgM910Y0pAFAB+wP3PZDPhQO0B7KraBa1KBtMSBtjsPLYXNOLr03Vo7Rk4zxMMQ+SQB9AFA8HS1qp23i70PVpKi32BtCsAKrhJAxmnm08z7OwqAFyfmHS/G8yBmgMAALVCjaRAmezFZSQhVI+FmWQhWdLUg9PfMx0YGybDQpLuEmUslZzuSh0To/y87KEtEjqpoa57TJn6A3Js6JsMU82EWXHsJhY8z2NfcTMA4JrxMbLqONGHSv+AtGTOfUGOgdGS9dG+vPglALJA8ibdB7HckXkHAMBsM7Mrb6fQLIR+7z/qhKRRahBBA71exmOLx0DJceAB/GXrwIwWWk7eYrzUpUo01MUpNodMsiRAM4EVUCA73HXdQR8+LkdoFs7pJobzPEqqo7yun04VDUD1lxTxNpZPiEGYvwZ1HUbsKRpoOkCzIFzOaNH4A2kLpZc1UX2q8PGjUgdS1oX4SFz7ErDi7wNkAtQKNe4Yewf+MOcPUCvUzLrecpbo/d45PRFKhfx/J5ckUOjnMX7agCCjGM40ncFfjv6FfeUII+bFz8OeO/fgtatfY99ZyqU6fQUtBbDaiSESrcLxNiYmBGNCfBDMNjs+O1494Hc0EFnYWig4zLuTqq4qdFm6oFVqB+i7ezO+QNoVgEqhErJZdEodODB8OOvDBjoBlvfdjKnjW1JQktdOEB6YS0To/dQKpEX6D/jdnDjiEtRqbHV+IU4Di07oZlDNhNESpf8+s2JJAKu5t5l9Z6n9BN8dfytBgJZhuvCh0laYrHZolRx+LYPJQH+i/RyliQ3HnH/A1Z4kx8TZkpvSQLg3mYRIISc6BypOhTZTG2p7akdu4ApT7iVHi4FkYYH83QB47eQKIKYDM1KJS9f3y9uXJhPDATtvx8U2JzcT9v6VHEOla2RSx+Iwv9HjIubDh7uZHDEZfio/KDk3bHYIOlV9z1k6z/PmeYpWpcSvlmfh9XtysSAzcsDv6LiL24rZ68wNQpA2CLlRuZgWM83tfcsBXYgXtBZ4ZCHuLv6zKhdvrp6Ku6bLmzXYbe7GtZ9diwUfL4DBYnDuIlQH14l1Rl5dHj44/wE2lW5yrm8Po1fr3ec0mjSLZP11VAqazPT+NylikteucwFg1QwyB1t3pHLAejY5KBn+an8YbUaUdjhZmrrrBeCtpcD5ryQ3pRsJY8PGMg2Ey4kvkHaFQHcHV6StwC+m/4JtZ4JOGsjC3dgBi82Cuh4i9D4lcsoQDT3PgoxIJIXp0Wux45vTdQN+d3UyKSfiwTu321abD9SeIN/TCagE2kxtAIB7su+R3rcXsDx1OQDi/MfcOTFxJikv7qoFKonBBS3JZak7stYhPnvL1EREB+lkvfaM2BnC9zSoJQlDK2BsJ99Pkf4eoiWRkyO9ryxbDFqlVsiKZG4vnziDTLAA4MQHAICyTqIZ4+26I89dR1xvu0xW7CjoK4+I9o8WdNKqu6oHbTsibQ4nrSjpn0FqNJAePDp2KX348AQzYmcg7+48vDD/BfadJc0iz9mOKmEhORoy0gDgjumJuHZiLNTKgcsgl3XmetuBNxcC3/0PYLdJbr48ZTnev/Z9/HDSD6X37QWkBqciQB2AXmuve6oPbBYyx3O8/3ZU7sD5lvOCjAIrVEoFrhkfgyiZ53kBmgBYeStsvM25rEie78uQSpOujyY4xo4SfSqPog0A4h16rY4kCZoJPDHSu+9/N+bEwV+jRGlzDw6V9pliKTgFnp/zPD689kMkBzlpCliyE6g+4pQJg7ARM4r0IX2BtCsEOqlxiwDjmCXkyCkA3g5U5KG+p17IhKOZXd6IQsHh3lmk7PSDvIoBkfoIvz7nvz3Ve6Rf/MSH5KjSSa4bbzI0odHQCAWnELSeRhtR+iholOT1+7b82xHOdhG1HymtAICj/0VVV5VQkpYUxKasuK69F9+eIVk8q2bI34dOpUOAmqTp76jcIf0Chd+QI6cA0pdIamowG2Cwkt3RxUnS3Wa9hVAtEdNfU7DGDZ2lkGPRFpitZnQ5RPYXJ3r36zcpIQSRgUTb42/fFQ34HS3vLGgrkH7h8v19C0uasScBmh2yKGmR9L59+LhCUClU7iu91/j3W0juRae5Ezx4KDgFssKy3DMGmemvM+dUIKjiAFCXD1zYQnS8rjAUnEJYb7ilvPirnwDvLAPy18Fqt+JXe3+FO7++E1WdVSO3dQKLzX6Jrp7cTIogm21OyXj0NBHZBLUeSJguqSnP831C76PYMfZQ3SH8aNuP8PKRl9l31j8rF32BIG/fSAjQqnBjDlmHUvdZyrKUZciJyoFW6YTGm7ETqHEkjKRKTxiZFjMNN6bfiHnx86T37SF8gbQrBJoFUdRWhF5rL9vdmoTpgCaQBNEWPAPETUGkPlIISnn7Dfr2qYlQKzmcr+vETf85MCCYRheSTpVNlO4mx0jpE8zDdSSrKi04DXq1XnrfXkJCABHfd9n5TwyxjsypyoN9Rg2cilkg7TdfnoHVDiSG+mFiAhvb5pSgFABOTrBaHdlA41YCCmm3/q0V5PXjwGFWzOgVr43wc2ggOvTymJLmCJg1XcCemr7A+4J46eUW7oZuJpyv60R7P9MBeu92SsicbiRoA4khiASae5vRZmoDBw4r01dK79uHjysQ1lk5AICZPwKuewVIvwpBmiDsvGMndty+Y1TMUwxmK/65oxgr/3MAln7BkV9O/yV23bELt2VKc7YG0M8tUfp9vtPciW6z9CwOb4OaiTHVY6YkO2QqyvaipL0ERpsR/mp/pASnMOluY34t5v/fLnyYV87k+kDfeu1M0xnpjQOigJ8eA54+R0wvJFDfU4/G3kaoONWo1iE1WU04WHsQh+oOjXyyq/TTiWwxNKOmuwYcOK9f5wJ9pitbztZfYjrgNBUHAN4GhKUDIdLXWkuSluDP8/6MBQneP0+m+AJpVwjR+mhE+EXAxttw/efX47cHfsuuM6W6bxKh8gMCo1HYWgg77AjThblu68yYUH8Nlo8nC73T1R04Udkm/G52HHloS87s43liEw8AmdLc6gDgo4KPAEDI6Bqt0LLA8o5y9p2Nu4kcO+twpJY8UCP1kcM0cB6bzY69RUT7bXoKO30GqpvilPMk1c3IWCa56d5qsjgI0YZAITEI503Qh3OnuZO9fkvufeRo7cW5OqKPFqwJhkbl/Z/hRxeOgU6tAA9g2/l64edZoWQT4FDtIdjtEnfly/eTY4z00mCa2ZAeko4AjTTxZB8+rjSO1B3BDV/cgMe3P86+swm3ADMeAUL6tKLohoW3o1Io8EFeOfKr2geUsScHJTv/f3AhkPZZ0WeYs24OXjryknN9ewk0o8o9hheO17n6KM41kEyY8eHjoeDYzFPWHqlEXYcRHb3sgtQ0kHa6+bTzesx66fPQU81kgzYzLBN+Kj/n+vUCaEZpaUep8zpzYkmcCUy6E1j0HNp7W5ATmYOssCwEagLZ9isDE+KDcUtuPH57wzjo1H2fF5vdho0lG/GnQ39Cr7VX2kVpwkjaItnG6e2M3hWRD0lwHCc83Jp6m9g/4NId2RgluwD0BZ4mREzwagFGykPzU4Xv39lfLnxP67YlayxVHCRRegDIXS15PFRfaWzo2BHO9G6uT7seAHFOZB7ImHSX4xseFY6dPVblJm/vL4PVTiY8v7qWXUnL8hSiM2eymdBskGDa0N3Yp8/nhG4G/fxmhmZKbutNLEjsW9ww362MnQREkNervZWUCN2acSvbPmVCo1Lg8UVjAAAbjvXpodGycrPdjIO1B8Vf0GYFOh3B3/ErJY+HljLTZ5gPHz6GJlgbjPLOcpxuPg2bEzpdVwoalQJ3TCMBwI8OV45wtgi6G4FGh36uEzq4p5pOgQePKP3odGanTIqchGemPYPn5z7PvrPQVCA4EbBbcKp8JwB2ZXUX6rtwvKINKgUnvG9YkB2WDRWnQnNvs6AtLQq7DbA6n1l0qpEE0karDi4lwi8C0fpo2Hm7oK3KDLUfcMv/A6b+AOnhY/HhdR9i/Yr1bPuUkb/dkYPVs5Kh1/SZiCk4BV49/irWX1gvfa0rBNKkrzOK2opwofXCqDMp8QXSriCmRPWJ/Fd1VaHV2DrM2S5CddIq89C97y/497G/ARg9gaDJCcFId7h2bjlXL5Q3ZYVlgQOHxt5GHG84Lv6C+SSjDBp/yfpovZZe9Fh6APQZHoxWpsdMR6AmEGa7GUVtRSM3cAWtP6APBw+gxfH6sdKnevdgOQAgIypAdvHZ/oyP6Ntp3VK+RXzDvH+Toy4ECJLuGkmdVmlG5mjFT+UHvYqUHO2q2sW+Q8di6nQrMdeYFDV6AkF3TE+EUsHhaHkbihqIvpteo4e/mtwXt1VsE3+x818BcOys50g3uqB/KxvvCwr48DES6SHp8FP5ocfSg7KOMvYdtlXAfuQt3LBhCX6y4ydo6W1h36dM3D0jCRwH7CtuRkVLj/DzNefX4NHtjyK/MV/8xahLffREwD9c0jh4nhckG0Z7ICNYG4wfjP/BgDUHMzhOyErLbyWL/pyoHCZdrT1cAQC4Ojua6TxPp9IhM4xswkkqj60+BrycAnz2iFP9VnSS/5+3GyKJgSY9OCVD4SKjIVlkODiOE+5BkmRkuuqBpkIAnFMbCf89/V/ctuk2vHfuPcltPYkvkHYFQR8udCHuVP29WMLSiNg2b8PpA6+g224eMAZvh+M4/HB+GgDAZufxiSMrQ6/WCwKMOyokCL73n2BJhC5YOXCYGzdXcntvQsEp3Jv2Hz8V5WoVTAryYLsm+RrZuyis60RdBxFCf2LJGNmv/32SAonuQG1PrfhGxY6gh5NBNKq1c1P6TZLbexsJgUSnzy3vv5S56AIwro3sKo+mjKroIB0WZJDypl9/0fesoDp9+U354i9WfZQcA2IAjTTtJKPVKBg1XJV41Qhn+/DhQ6VQCRo9btGpOvUxLm77Fcp7G3Gk/giCtWw0QlmQGKbH/Awi+bDuSJ9A/bGGY9hfs1/aQtKFss66njo09zZDxalGlWOdV5C6AB0KBUqt5DnBIhBpMFvx+UmSVb1qJhud3f4sT1mO2zNvR7y/hI33sj2ApQewmZzq8z9L/oOtt27FooRFTrX3Juj9zy0GezwPe/1Z9Bx6ncj4jDK6TVZ8dLgCf/uuz6WYfoYkzZPNPUD2jaQizYnSYsHx1MuNGr6PL5B2BTEufBw0Cg3sPNG2YT7BcjgDntL1aQKNpgnCjTnx0KnIR+Tt/WWCVkFcAAlGnGw6Kf5ive3kmHW95HHsrt4NgOhTuc2NiyEZIRkAgK9Lvmbf2fhbcEpLAp8Ban/oJS7ixfCnb0gph16jxA2TpWUbOgMtD6ztlhBIa3G4jzmhj0bT/TNCMxChHx3aN8NBJwhVXWxcvQYQPQGFOi2+CfSHP6dmptHHitxk4nJ6rLxNyMqdETMDAFDdXT1ku0ug77+5T0gew56qfkYNiaNHgNaHD0/iXp2q+cjXaYV+VQrVCA28C+qy/cmxKpitZH4sLMSllDZpg4CAaKcCaTRgNzZsLHQqdtlO7qLD1IFNJZsEfV+mpMzHaS1ZZ6QEJiJUFyp7Fxvza9FltCI5XI95Y9jPgx6Y8AB+N/t3mBgpIahAdXBTpZfVASSBIC4g7rLQIXVahscZbGaUv7cMcwr/g3u+utV5XTsPUdHSg998cRav7ylBczcJwlLDkFNNp8T/f8LTgTs/BFZ/IXkMTYYm1PbUjhqjhv74AmlXEBqlZsAblL1OGskeOOmYYMWpAhHuJy3d3ZP4aZS43aGDUN9pxOEyUgpLo+UVHRXiLtRZC5g6AXDAlHslj4M+CDJCMyS39UYCtUSE0y07RRNuBc8pkGi2YE6I/C5ERrMVeSWkjGXlFPZBNADCxOp0k0gh2pqTgM0hjDvtAcn9UQHa0ZRNNRyLEhcBICXTzLUYIsfisJ8eVo5DvERtfm/g0QXpUDqyOV/YTLRGlqcSnT6zzYxGQ+PIF7GaiEYk4JQA7c4qonsTrAkedQt0Hz48hfCccEdGWvw05PuRTaocKRk0XsKS7ChEB2nR0mPG1nPEXGVc+DgAwJlmCZUby/4X+PkFIGOp5DFcLmWdlLqeOvx6/6/xr5P/Yq/TFxyPqQt+h//mPIOnc5+W/fI8z+ODPDLfv3dmMhQKLyzdM/cAVYfJ91eQ0PtQjI8Yj0BNIOIC4ti7F6u0OB07FnaOg8rcM+pKO8fHBWNyQjAsNh4bjpEN5nHh46BSqNBibHHO3Ewi9Dk1JnSMIB8yWvAF0q4w+pdWnmk+w/YBl7oANk4pZARdp5J/l4g1D87rMx2gQYslSSTTrsvShR5zz6DtBlDmKOuMy3Eq3bXBQNyk5sTNkdzWG7ku9ToAgJW3oqydsX6LSoMPImNQpVHjekWQ7JffU9QEGw9oVQo8e4179P/GhY+DEko09TaJE1I9/h45qvWk3FoiXxST3aUYvXe77Yplbtxc6FV62GHHxfaLzPvL05MF5sxeI/O+5EalUmDuGLL5sekUKU8dFz4OSo5kxn5T+s3IFynaAlgMgH8kEDVO8hjoQjYtJE1yWx8+rlToxsfFtouCxiozVBrk+5MNsimj8D6nVirwgzkpuH1qAjKiSTbOpIhJUHAKVHdXi9swoHAc4ETlAM38vhz0qQBgTMgYt+r06Wc/jlmTf4CrUqQHMUeC4zi8fOsk3D0jEbdNTZD9+kNhsVmQ35iP+p76kU+uzAPsFmK8ECb9WfmnQ3/CEzufkKYJ6MUEa4Nx4K4D+O+y/0KtUDPv73QgmSdNMjlv9uBJ7pmVDAD46FAlbHYeWqVWMJcSVd7e0wK0lDhd2koTe0bjhj3TQFpbWxtWr16N4OBgBAcHY/Xq1Whvbx/yfIvFgmeffRYTJ06Ev78/4uLicN9996G2dmAJ06JFi8Bx3ICvu+66a4ir+uhPTmQOAECr1GJF2goYbQwnPbogXEycAoNCAb3djut7R5cTBwCkRvhjvkMnaE8REVyfHz8fHMiOgyjB90JHCaMT6f5NhiZBYJs6Xo52EgIThAfb5vLNTPvqNHfiIu9IVW4olv36axxOXw/MTUWwXjPC2fLgp/KDVkWC059c+GTkBmW7yTFaelm1wWpAu6kdAJAdIX9GnydQKpTChoIkwxAnsNvtKHDYii/ubAXsoy8t7XfXk+BXr8WGTx27lZF+pET1aP3RkS9w6HVy1AWTRaZEqGvZrNhZktv6YI9vnuedROojMTduLm7JuAUGi4FpX829zaiCBRzPY1JdIdO+WPHYojH4y+2TkRVDNtwCNAGCOdaJhhMjX6Cj2iV9pNvH3o4b029EblSu09fwJvrr9EnSmfNSJiYE48VbJiHU3z3zPAB4dt+zWP3tanxb9u3IJ/cv63TiOburahd2Ve1in73lRtyZGXbS3g0AyGkq76sAGUXcODkOIXo1atp7sauQbBzQ7NjSjtKRL3D2M+BfucCnDzrVPw3gjsaMXKaBtFWrViE/Px9btmzBli1bkJ+fj9WrVw95vsFgwIkTJ/Db3/4WJ06cwOeff46ioiLceOONl5z7yCOPoK6uTvh68803Wf5XLhvoAtJkM+GnU37KPIXyRGQKAGCSyYTkpoujUohxtSNSv+FYFYwWG1RKlVCiuqNyBMMBcw9QsJF8Hyd9gkSzMdKD0xHjf3lkBAFArH8sAOBQ7SGm/Xx18SuA57Gsuxvh5XlAd7Ns1y5t6sa+4mZwHHCPG8Rn+5MaTDIljzUcG/5Eux1oJ8E+ZK2Q3M/28u3C96Pd6KI/U6OnAgAO1x5m2s++mn2wcBy0djtyenuJGPAoY0x0IBJD/QAA/9pJMvhWjlkp/gL1jtKoxJmS+y5sKRTKb28aM/qNLi5HfPM87+WNpW/gD3P+wFybkWZTjbFYEFh5CLCMvqy0waDPiRE3XOx24M2FwCuZQLNzG3a3ZNyCP8/7M2IDYp1q743Q7BLWgbSyjjK8cvQV7D34f8DGnxI5lcsA+vqJCuSW7ibHNOn6aPU99Wg0NELJKUeVjrVYOkwdTK/fbmzHxR5S/jilu524p44ydGol7nBIGX14iJQx3z/+fuy6Yxd+OuWnI1+Azm1jpOubGa1GYa1L77mjCWaBtIKCAmzZsgVvvfUWZs+ejdmzZ+O///0vvv76a1y4cGHQNsHBwdi2bRvuuOMOjB07FrNmzcK//vUvHD9+HJWVlQPO1ev1iImJEb6Cg0ePS5AnCdWF9rmuuSGF1xCaBI7nkWs0QWXs7FvUjyKuyopCbJAWrT1m/OjDY7DbeUEnbUTB7VMf932fId0xkj5Ap0S7wUbcjdDMSNalddsrtgMcBw4KgLcDx9+V7do/30Amh7NSw5EYJr+JwXDQMt8RtQsaz5P/Nzgg9z7J/XxX8R0AIEwXdlnpU8UHEB2f3dW7YWeYJfZtOdlJHmOxQg0AJ95n1hdLHltM3GgrWg0obeoWdObym/KHlwdorwLMZKcWufdL7re4nSxKo/RRwt/Mh/fgm+f5AAC1Uo3cqFzMtCpJNkaDG/RPGXG2pgN/2HgORosNU6OnQq/Sg8cIG8ANZwBDM9k4DUl2z0BHAVOiyLz1RKOIQJALHK47jPfPv4+1Fz4GTnwAlOyS7drv7C/Ds5+eRlFDl2zXFEtuNNl8P9F4QjCJGxSeBybcQrLRnKh8oZnl2WHZ0KvdO5dlSZuxDSu+WIGrNlwFk5NOpmKg7+80Tocwux0o2cmsL5bcMzMJHEcka8qbexDtH40IPxHGGjZrn4SRE/p8SoUS/1nyHzyZ+yQSAxMlt/c0zAJpeXl5CA4OxsyZfbvQs2bNQnBwMA4ePCj6Oh0dHeA4DiEhIQN+/tFHHyEiIgLjx4/HM888g64u99/kRiv04Xas/hjyG/OZOowERWSB5zi0KpTgVn0ChI6+SYZKqRBMB/YUNeNgSQvuzSamAR2mjuFfv7Ofk2NgLKDxk9w3zXibGjX6ovTDsSKdZEd1W7rRbmxn1g8N1KVypBQShZtkuW5Ltwknq9oBALPSpOveucpN6SQ7x2K34GLbMMHIyjxyTJ3vlD7f2eazAIAJ4aPLRWck5ifMBwDw4JFXl8esH7pZkaJ0TE4r2PXFkjunJcDPUaL6ybEqjA0bC3+1P7rMXShqKxq6IQ1cK9RA0gzJ/VLdjKuTrpbc1gd7fPM878dit+B002lYGJYbLUhYgPevfR/P3rQWeLYMSBid8xWbnccjHxzDewfLseVsPRYmLsSBuw/gf2b9z/ANaeAmdT6gkl76t7NyJ4raioYPloxCpkRPAQcOFZ0VaDI0MevnZONJAMDkkEzyg1J5Amk2O4+395dh/bEqnHLM99xJdng2/FR+6DR3oqS9ZOgTOQ6Y9zTwg41AoPTKFZpxOS1mmrND9UpCtCHoNnfDbDcLc1kW0ISHXEcpOMr3MeuLJcnh/rhqbBSWZEXBYpNwL6rLB0wdRL4jNkdyv2qFGrPjZuPhiQ+POqMGgGEgrb6+HlFRUZf8PCoqCvX1IoQTARiNRvzqV7/CqlWrEBTUJxR+zz33YN26ddi9ezd++9vf4rPPPsMtt9wy5HVMJhM6OzsHfF3J0EDaBwUfYPW3q5k6cuQ7nDgCeTtQKX5i7W3cOzsZ1Kjn9T0XkROVA61Si1ZjK8o6hxFSrcsnRyd2iWq7a4WMt/SQdMntvZmZMTOhcNx+ROnMOUG3uRudZvJZnxYznfywUR79lhc3k+soFRweXzRGlmtKITk4GRoFmbBvLNk49Ik03d8JO3Sz1YwWI3EkXZayTHJ7byZIE4QANRGV3lzGTqePinxPSnVko5p7RqV+hkKhwG9XEK20r8/UgYMSCQFEdPm/Z/47dMOireQY7tz963gjmeCPxnT/KwHfPM+74XkeKz5fgXs23+Mel+y4HLKYGqUoFRzumk5kGtYeroRaoRaXiU0zUBxO9VKw2C341b5f4daNt6K4TX4dV08SpAlCVlgWADALZPA8j2P1pJRuKjUaKNklix7p7guNqGnvRbCfGjdMjnP5elJRK9SC+YSo8k4noRIh06Ivr0Aax3Hiy7NdYGr0VKxIW4EFWbcD93wG3PsZs75Y8+bqqXj7/unIiCbmMXur9+KH3/0Qb5x6Y+hGwjpjgVNGK6MdyYG0P/zhD5cIwH7/69gx8qEcLLLI87yoiKPFYsFdd90Fu92O1157bcDvHnnkEVx99dWYMGEC7rrrLnz66afYvn07TpwY/Ebz4osvCkK4wcHBSEwcfamDckJ10mgm1Yg6S07SZGgSMjJyjCbg4gh6Yl5MVKAO8zOIzsjBkha0G+zCA25Ina+2in5lTT+Q3OcXF4lboopTITv88hB6pygUCsyMI1kMbcY2Jn3QABMHDtPn/Yb80GYC6k67dF273Y6vzxANjgUZEVCpPGN+TFOgh8yoshj7JvhOpFvvqOr7vF6TIr0s2dsZE0ICoKwmqHXddWg3tUPJKbFy7m8AvzDA3AXUsDU4YMXNUxIQ7KdGVWsv9hY1CbpLNBtgUJod2WoZ0p3UqrqqhIUl3fzx4R5887zLA47jhEAGq4Vkq7GVuQaRO7lzeiKUCg5HyltR3K+cz2gdQvfNbOjL/E5bLLm/gpYC9Fp7EaQJQkZohjND9mqen/s8dt6+E4uTpL82YqjorEBjbyMJOmXfDqj9SZltg+uBO6oVdce0BOjUngkQ0GoUuqk0KOc3At3OZfw1GZpQ0VkBDtxlJyEDSNA5dIHFSYvx4vwXsTjjJiDjakDDVnucJSrlwPVMm7ENeXV52FczTJadCxv2FrsFfzv2N+yo3CHo4Y42JK8Af/KTn6CgoGDYrwkTJiAmJgYNDQ2XtG9qakJ0dPSwfVgsFtxxxx0oKyvDtm3bBuxSDkZubi7UajWKiwffzXnuuefQ0dEhfFVVVYn/D1+GpASlIEQbIug+sLrB/PXYX1HZRTRPJpvMZAH52hygbC+T/ljzuEMniOeBNXkVgnPd22ffHryBUNakApLnSO5vf81+ALhstYFoudbRBhHOf06wvZII5Ufro6GIygTUjtLaY0P8vUTyyfFqGC1kt5Nm6XiC6Y4su/KO8sFPOPUxYDEA4IAY6ZbSVEA6VBcKnUrn5Ci9l4UJ5KFPXSHlhk58s8OyodcG9okAy6jf4k78NErcPpVkob34bQGWJpPgWEtvy+AToNp8wOawgp8q3cnpy4tfAiDub6zF0n0MxDfPu3yg5VqsNkzXnF+D+R/Pxz9P/JP84Ni7wH+X9MlajDJignVYkkWyLNceqcTpptO44Ysb8ODWIe5hlQfJfS4oAYiQHgij8+/c6FwoOM9syrEkKyyL6f37SP0RAMTtT6cLAlLmkV+4WN5Z0dKDPUUkOHXvLM9J0gg6aQ0nBpeRaSsHNqwG/pZNMt4l0mZqQ25ULiZGTESQZvh78GiEBtLyG/NHbaDGE1S3GfDO/jLh9TvffH5w92ezAahymHY5sZFQ2FKId8+9i98d+N2ovf9JHnVERASysrKG/dLpdJg9ezY6Ojpw5MgRoe3hw4fR0dGBOXOGDirQyVVxcTG2b9+O8PDwEcd07tw5WCwWxMYO7naj1WoRFBQ04OtKhuO4ARbbNC1abg7VkUytWP9YBIdnAuCBxnOjVohxekooYoJIQOGDvApMdgjmNxmaBte2uOCwrI7IcMqOmmoi0IDJ5Qad4J9uOg0zXXDLSGErKb+kExFEO3S+XAxk/GcX0SRLCtMjLTLApWu5ws0ZNwMgDry0hHAAZzaQY2CsU7ot9QZSmrU6e2gHvtHMjWOIS6CNtwlaXHLyXTkxahCyqah2xNFhSiG9nGsmEP2VooZuhNtJRikPfnD34jqHU1tgLBCeJrmvAzUHAEAoIfXhPnzzvMsHuhA62XhyeGMQJzlSfwQ8+D6R6NZSoObYqK5AWOVw4f7seDWC1GEo7yzH+ZYhFpJ0PpG+2Kl53uVaVucuqFD+jBiHBme6YzHv4jxv7eFK8DywMDMSyeGeyzCaFDkJT+Y+ib8s/MvgJ9DPWcJ0pzKhMkMz8f617+PD6z50YZTey5iQMQjUBMJgNeBC6+AGOK5wtvnsQH3Dnhbgu98Ca++UvS930WOyYunf9uL5r8+jqc0fcf5xsPJW5DflX3qyQgXc8QEw/xmnJDyoUUNu1OjdSGA26uzsbCxfvhyPPPIIDh06hEOHDuGRRx7BihUrMHbsWOG8rKwsfPEFKWGzWq247bbbcOzYMXz00Uew2Wyor69HfX09zGay0C4pKcHzzz+PY8eOoby8HJs3b8btt9+OKVOmYO7cuaz+O5cdM2L7hJ+ru6tR3yNOz0QsNd01gr7S3Li5A7UjRmkgjeM4PLKALAjbey0Its0CQBaSB2sH0X+jWkjZN0nuq6m3Cb3WXgDADek3ODdgLyc1KBV6lR4mmwmfF8u7e22wGNBlJmUZK9KIsQHGrSTHrjqSVugEJU3dqGwlf5efXOV+bbT+jAsfh/iAePDgBy+vo/p8TpR12nm7sFN+uQZyo/RR0ClJYHxTiTwmFP2hqfBalcPoIskRWDC0kLLvUcj0lDCE6tUAgL9uK0OINgQAsKVsEJ3DcpJRi8l3OdVXaUcp6fMyff9dDvjmed7P2NCxCFAHoMfSgwtt8i4keyw9gvbVzFiH4YQQyNjp9HPW0yzIiERCqB86jVYcKwHi/ONg422DLyTHrQTmPklcEyVis9sEaYHLTei9P19e/BI/2vYj7KqUPxubVr0IzwmaFWNodlonzWixYf0xktG62oPZaADgp/LDwxMfxpSoKYOXy9NA2pglLvUzWoMYI6FUKIXEERZZuX899lfcuvHWvjWMSgsceh0o2kI2FUYh/loVrp1INk0/PFTRl9U8WNKNSgOMvRZY8luXNhJGsw4u00/ORx99hIkTJ2LZsmVYtmwZJk2ahA8/HBj1vnDhAjo6iL5CdXU1Nm7ciOrqauTk5CA2Nlb4og5QGo0GO3bswDXXXIOxY8fiiSeewLJly7B9+3YolVeeyJ2zzIwhkx4O5I0v9w3mSF3fDvWc+DlAer+bfN1poKdZ1v7cxZ3TE6FyuA5crLf0CZaXfk+w3NDadxOder/kfr4q/goAoOSUl60+EMdxQir5toptsl6bCiuHakNJIBcApv4AUPmRMozG805d96t8YswRoFUJZW6ehC5eLgnktpT2pflPf1jydc82n0W7qR1+Kj+Mjxjv6jC9lgkRJEux0dAo63VPNZ4Syghuy7yN/DBpBnGvBFwuL/Yk98wkC4sz1R3ICCbajaeaTg08yW4HSugEX7o+Wl13Xd9GQtrluZFwueCb53k3SkXfHIJm78jFiYYTsPE2xAfEIy7AIcaeNBtQ6YCuWqBJ/gwQd6BQcLh7RhKiArWw87ywyBtUTzNxOrD0eaeMBi60XUC3pRv+an+MDR07coNRSkFLAQ7WHhx8w9lFPr7+Y3xz8zeYHDmZ/CByLPD0OeDH+wGFc0tcq53HA3NSMS05FIuzLjVT8Rqs5j6pHCcCaUarUTDkupxZmrwUt2fejnHh8kqx9Fh6hOA6XVNDGwAkOhJVRqmMBwDcNzsFAPD16TpkheQAkD9OYLVbhQ17XyBtCMLCwrBmzRrBQWnNmjWX2JvzPI/7778fAJCSkgKe5wf9WrRoEQAgMTERe/bsQUtLC0wmEy5evIh//OMfCAsLY/lfuexID0lHmC5M0EnLqx1CsNxJqL4X4PiAJM8BlI7MDPB94oSjjACtCrc5Aijn6zqRGUrsti/R+SrdDYAHosYBQYOXogwH1feKC4gblXbAYqHGF7QMUy6obsasuFlQ0MmUNhBIcQTVnHjA2ew8vjhJAmm/WzHOK/4u9OFzSUbVkf9HjkoNkCD9AfXeufcAENctNQ3+XIY8MOEBAOT9N6j+iJNsKCJltXqVfqDGYQS5XwhulqOQJ5ZkQMlx4AH0tJAFenNv80D9kXNfkMw7lb5vUimBdYXrABB9tMtRAPlywjfP837ohsuQxjROQp+zQlkdQLRIk2aT713UqfIkD85NxYFfXYU7piUKz1kqVyIXdN49LXqaOHfQUQrNFpM7kAuQDdmkoCSolWr6AyDYtU3OAK0KT16dgU8fnQOlwvPzPIvNgq3lW/Hi4RcHyshUHyEGRvoIIGay5Ovuqd6Deevm4Zk9z8g4Wu/jpjE34Xezfyd7dvux+mOw2q2ID4hHYlA/c5v+WbmjlJzEEExKCIbZakdNHVnDnmk+I2xwAgA6aoDtfwQqnHuunG0+iy5zF4I0QbIHOd3J5ZnL6WNEOI4TIuizYmfhgfEPyHZtnudxuI6ID8b5xyFMFwZo9EDy7L6TRvMEa14qAGDb+QbMjCK7QA09DQN1vg6/SY4pC5zqw2wn17ppjPSy0NEELVvtNHei2SBfliLNiBwwwQf6do0vbpd8zb1FTahq9ZwV+mBMiSRBhnZTO841n+v7RTHR50Kkc26vdJeIOlterkyLnga1Qo3anlqUd5bLdl36/rvEbTfrOnJsuShbX+5Go1JgbgbRtDp9IQEcOPDgB5rWnHifHHVBgFJ6IHZ39W4AQFqwdG01Hz58DGRx4mI8nvM4fjrlp7JeVwikxQ7xnB3FC0k/jRJqh4PdnDhSln+m+cxAh9JT64HibURw2wnuyb4Hb1z9Bh6cIN2MZTQxLXoaOHAo6SiRXUZmWKzya+96it8d+B3WFq4duOlMyzrTFzuVfXeg5gB48IJxmg9p0I0Jen8QSPv/7J13fFPV+8ffGU33pqWltLSMUqBskL2UKSAbBEQRRUGGyA/3ABcofhEFRYYKCApuREaVrSwpo8yyC2W0FErpXknu74/bppTOtEmTtuf9euWV2+Tcc56bpPee+5zn+Tw557+of0BXeQsc5BbZ+PNoJoEugbTzbUdCRkJeg4vbYO+nsH12mfrfd1PWwe1QqwMqZeWNNBeOtGpM7uQnW59NfXfT3TBfTbpKYpY82ejkd5+eST6dtF2VVj8juKYznevXQC/BfyfqQc6N5ObLm+UGWWlwLWfl0tt4R8ad9DtcSJArkw1vMNxEVlsnnWt1RqWQT6Drzq0zSZ9xaXEGActmNR6oVlk7Z8J/eZfR6cXv/Sk7qoa38sNeYx0nfX8Xf+zVcjXSH8/9KL+o10NClLzd2HhHbFJWEncz7gIwtIHxui+VCQcbB0NU5E/nfjJJn1naLG6lyZUM+wX1y/9mm2fkZ70WooopJ27lvNNfXj1Mz1ZS37Y/QH6dvhs5KVBBxi8kSJLE9eTrADwSUD7dF4FAAAEuAUxqPokmnqZL00/MTDTc1BdcsMqJyLiyF7SZJhvTEuj0EsevKKjjXBe9pM+LStPr4e+34PvhcLOQlM9SYKe2o5Nfp7yCSFUUNzs3mnnJc7HcIjLlRafXMfD3gby06yXupD8wl9Np4YfH4eNASDKuKvfX/14m7FQsWl3Z9NXMgY3KxvA/li891iCf0NPoPiVJMmQOdandpdw2Wjs6vY7jt4+bNKo097voUKtD/jdqtQA7N8hMKvO5wRp4rHkt3BxsuJGQwfSGy1jac2leCj/kBSSU4fcHcP7ueYA8+Z1KinCkVWNyI9KO3z6eP1yznLjbuRtWOPKdYAw6aQoIaA+FVUCqJEzoHAjAnnP3cJZCALh4LyfK5NSveQ2bjjC679yTc2PPxnjal1zNrDKjVCoJcpUj/HZcNU2Vr7Vn1gKgVqgLRlT5tYYcxx2HSl89cfe5OKLi5d/rI41qmsROU9HIQ3bWGiYIt8+CpJer6bQxPtJ0/dn1gCw+2zOgbBfIyoSD2gEgzxFeTsKuhBlS5gsUCnHxBducaoJHVplkPEtQv6Yz/u6yAzfxrlypKbe4AglX5HQTgLbPGN13VFIU2fps1Ao1jzcsW6ECgUBgXmyUNrzb8V3GhIzB2+EBHSnvJlCjITToDen3LGKfqZj6w1EmrT2Ct6Ijw4OHU9s5J20w7jSkxoGNY94CnaBIOvt1Bu67TpSTE3dOcCXpCv/F/mcoemNApZa/m+xUo7IP7qRkMj/sHJPWHuHkjcSSd6hAOvrJUU/5ZHjG/AyDl5bJkXEu4Ry3029jr7avFhVjt17ZyhNbnuDTw5+apL/Y1FiiEqNQKpQFFxKUKqjbTd6uxNWL7WxUjGzjj6NGxa2k7Pxv6rLh8h55u4yFLj7r8RkbB2/kkTqVe8FUONKqMbWda+Pr6ItWr2VpxFKWn1hukn51ko7b6beBBwQEazYBp5qABK2eLFOpZmuhe7A3Ho4aAJKjRyNJ9+l8nciJDHKqCbbGH+M3J2Uh8qY1mprEVmunVx1ZjPxK0hX0ZayydD87ouULV333+nn6aLkolVCjgbwdubHUfc7bIn+3NZw0dKxfo9w2mpLcqKfY1FhZpyp3lTKoGzgYryn09xU5LTTAOaDg51cFyU2fTshMMInw7uYo2SFXw76GwUmXD7+c6IM40+oCVjTvDpKjW67Fyee4E7dPcCP5Rp6DWqWRF0yMZP8NeSGhtU9rPOyFJpZAYAoytBn8feVvPj/6uUn6c7BxYHD9wbze7vWCbyqVMOU/GLkanK1r4clY+obK1etOnmnN623fzovqu5BTICmwk1y5zki+OfkNCw4v4NK9S6Yy1arJjXo6cPMA2brsElqXzJ5r8k18Z7/OhevL5Ra5yZW5KAU//BdNlk5Pc383Wga4l9tGU5KbPng07ihpuUEIzjWhxWhwNH5OmhuN1s6nHRqV8b/fykZ7X3kuEnk3smAEYxnIdWiG1gjF1da1YIN6j4Cjl+zUrcRM7laP/97saUjzjE2N5V7GPbgeLkfcOXiCb9l0bBUKBUGuQYaic5WVqn+XJCgShUJhEKH99vS3fBXxFanZqeXuN1cfrb5bfVkfLW/AKqGdAXJVp+e6yvo9yWkadGn1OBp3VP78buRoBQV1M7rfTF0mlxPlap9VuYrT/YwOGQ3IDtjcaptlRavXci1ZLlveP6h/4Y0a5UQJ3TlXqvLo1xPSOHdLjrB5tov1aTY9Vv8xACQktkZtLXc59EuJ8sS+h38Pk9hn7Tzi/wjKnEvhz+d+Lnd/6dlydG+/wH6FN3j4Lfk5IapSpz31aOhN89quZGW4YKOQo9NWnV4ll32HMuvz5U7wO9fqbAozBQIBsu7qK/+8wtcnv+Zmyk3zD2gFxXhMQb9QX7ydbbmdnMnWU/elCeY60hr0LlO/v5z/hVWnVxGdFG0CK62fRh6N8HPyo1XNViRkJpS8QwnsuS470rrX7l54g9zv5fJuOXqmBLK0etYcvArAhE6B5bbP1AQ4B+Dn5IdWrzVJ9cR/r8uRgbmRglWdGvY1DNkbpiiu1y+oH8t6LuOF5i8U3qD5aPi/89D15XKPZUncHTU42crOwPcOvEevX3qx8dLGvEjPeg+XSZ/PlMW9LI1wpFVzutaWNWzUSjVaSWtwgpWVw7GH+e70d0ARefe5jrQLOyDmOGSV33FnKca1r4ONSp4sKu51Q6vX8ufhL/JSVh96zug+N13aZNgukBZWRXG3czestuUTLC8Df1z8w5BWN7LhyMIbPfS8/KzX5UVvFcOcjbJzT6NW8lyXoHLZZw4c1A7UsJdXJP8893NeuHVQd6P7OnjzoKH64thGY01koXWjVCrxc5Yra+ZG45WVhIwETtw5AcDoRqMLb+TXBpx85LSTq6bRi7EECoXCUHglK0Verfzn+j9wV14IKKs+X64ArajWKRCYDheNS55O1c3ynXfO3j3L6tOrS3YCSZIceZt2t1zjWRKNWsnYdvL57dt9l4mIi+Bo9B64ljNXLoMjLTopmusp11Er1AULNVRRlAolm4ds5queXxVMBTaS68nXuXjvIiqFKr8O8/3UailXs8xMyvuuimHLyRhuJ2fi7WxLv1DfctlnDhQKhWGe/O/1f+DHcbBvUZnuoZKykjh++zgAnWtXD0ca5EX1lff8B7K+YUe/jkX//tSaMjmYrBVJklBp5ejif2/8Wy59NEmSGLpxKDN3z+R22m1TmmkRqs63LCgTHXw7yE60nJvnf67/U67+fj7/M6fiTwHQ1a8Qoem6OVEucadgWVe56EAlxdFWzaAWsvCiVnMFgI25gu8qW6htvO7ArxdkfTUfBx/s1HYmsbMykOvQLa9+xh+X/gCgpkNNHGwKSasDcPIC+5yw/cPfFttfllbPrnPyib5/qK/Vpjp28JW1CG/FnQT0sg5cGQpd5P7v+jr6UtOxcqfkGEN3/+6ArBtSnvTiXdd2oZN0hHiE4O/sX3gjhQIa5KSdnPy18DaVhH6hvtioFKTflqNvY1NvopVyPr8y6KPl6hsqFUqaelaP1HaBoKLIFXX+51r55nmbLm3if4f/x7ITy4pv+PN4WNIOTv9ervEszZh2AdioFJxJ+YtxW8fxefh8kHTgFQLudYzuL1d+onXN1jjaVF6JE2MxVWW+3PuUFt4tCk+rA9mJkXuTX0J6pyRJrNwnF2h6on0dNGrrnOflzpNvxJ+VpUn2fAxK46tiqxVqZneYzRONnsDPyc/UZlotucEd/1z7xyTpxaVCr4eEqxUzlhl56ccIvt5mC8DhW4dJS86Jar6/iGApibwbycV7F9l7Yy8utpU7rROEI63a46Rxyic0uevaLnR6XZn60uq1hnBre5W9oRpe/gG9wOe+SooX/irTWNbCi48EA6BNkXUzzpJFmkIBvs2MTm3Q6XWciT8DQO86ZUsXqKx08ZMvcEdijxCTalyVpfvJ/fxyJxxFEpBTrjp6f7HNFm47j04voQDeHtC4zHaZm+eby1F2o+Pj5Bc865VpNexQzCEAHg+pXiLvz4TKTh+dpDNonJWFpceXAtDepwRtMM+cIhi5eoqVFI1aSbsgD3TpAUg6DXrgVycHCOgA9m5G97clagsA9VzrWa3TWiCorOQuGOy/ub9cMh6587wSr7O+OXM9I3SqrBEvZ1sGNquFNlmeAxxLieaWSpW3IGIkf12R5729A6vXPC+X68nXScgoe3pniWmdueR+P7lpuEVwNPoex68nolEpGdMuoMx2mZsOtTqwZegWljjmLDIFdSuTPp+DjQNDGgzh1YdeNbGF1k0LrxZ42XuRnJ3MgZiyp3cuOrqIT8I/4WpSCQ6yu5fh0xBY1kWuJFuJ6VDPEymrBgptDbR6LfuHL4HJB8DJ+OjS7VflaLbOfp2xVdma2tQKR8xUBXSrLUcTqBQq7mbc5Whc2cr1RsRFGCZnnWsXIQAKENw3b/vCNjn8v5Li7+FAqwA39Bm10Gc7k61QsMrVGVpPMLqvzZc3o5NkJ+YzzYyP5qjMBLgE4GTjhA4dy46XsMpdBNeTr5OpkzWnxjUeV3zjNjnfT0YyZKYU2WzXOdkx1cLfDQ8n6xVkreNSh1ZeLeiWllN9t/Fgo/tIyEjgUKzsSHskoHJX0TEWT3tPajrIEXg/ny+bTtqNlBsGJ3Bbn7bFN242Sn7WZ1fqqFyAOQObACq0KfJN5i8uzmVK68zQZhhSxR6r95gpTRQIBECwezABzgFk6bMMGknGciXxCleSrqBWqg0RbkVi0KnaA9kZZRrPWniqYyCS1hV7XT0k4O/eb0LbiUb3cy35GqfjT6NUKKvddRbgw4Mf0u+3fvx+sexRig/5PESoZyhd/Utw5NZ7GAK7yNfbYiLNdXqJ5rVdeaxFLWo4We+Nva3KVo50P79VfqFh3+J3EORDpVQZipuV9fyXrc/mx3M/8t2Z74hLiyu+sVsd0GVBRqIszl+JGdTCD3cHDZmJIQD8Hb0dapYtuGB7tOxI6xlgfFqoNSIcaQKDI02fk5Kz7WrxqzdFkbvKBnkrn4XS8D4R7uQYiD1RpvGshVf6hgAKbHVyKubvzk7Q2PgbwXVn1wFyWme+Ig3VhMae8kl5Z3TZClHkrnK08m5FkGsJWmb1HwH3QDk9I6rwNJfImCTOxiajVMCCUc3LZFNFMlztja9OR7xSAe0mGb3/V8e/QifpqO9WnzouxqerVHaeaPwEALdSb5VJCDW32q6N0qbkCb6LL9i5ydvhXxs9ljVRv6YzQTUc0SXJq+TnNRq0ZSh0sf7seiQkFCiqXUSkQFARKBQKetaRb17+vlq2KLHced5DPg/hpHEqvnHNUHCuBdp0uLK3TONZC8393dj6Yhde7DACgLD4o2VK68ydX7f1aYunvadJbawMBHvIWRxhUWFl7uOZps+wbsA66rqWUPzJwQPGb4LOM4qN0H8oyIMNUzrxweDQMttUYSTFwM1jJCsUSPWNj2g8cusIK0+t5EbKDTMYZ/2MDhnNqr6rCq82XArCY8NJykrCw86DVt6tim+sVN2XXly5s6/sbFSMbVcHbc48b/e13WRojV8cuZhwkajEKHmeXFJEcyVBONIE+Lv4E+QaZBBpL9HLXgjZ+mzCruRdGIutBFOrJTjfJ+ZZycP+2wV5EOrnQvN7slZDrFrNXX2WUX1IkkR0shyN0Sewj8ltrAw81eQpABIyE7iWdM3o/XPTwvrXLaJa5/0oFHmr5UX8/lbvvwJA31Af6tYo4YbBCuhy8wzTvGvwSEBtjiRfMXr/zZfllMbyCgFXVkY1HIW92p6bqTfLVD121zU5siy0Rikn4wGyrl1lLjiQyyt9G9I7/S4Oegm9QsEvd4yPav7p/E8ABLoGVit9SIGgIsmdX8SnxxsWT0uLJEmG1Pd+QUVUJb6f+/UgK/mNJEAjXxd61+mNAgUnbp8oU/VTlUKFp51ntZ3nPRLwCCqFisi7kSWnxlUgCoUCOxvTaLiZlQt/82YND7rX8edkpvH3aj+f/5lPj3xq0COtbgS6BtK6ZmuUirK5P3IX7Hv49yid5p/hPqNsASrWxLgOdWifnYJ3tp50bXqZNK3/vPwnIPsISlyIqSQIR5oAyItK6+bXjc96fGb0/gdvHuRe5j0Amno2LT6iSqHIH5V2vnI70hQKBRM6BvJ52n68tXJqZm50Smk5l3COpKwkNEoNzzUzvtpnVaBr7a6GfPnPjn5m1L77b+wn8m4kKlSl15ernzPBP72hQNj/pdsp/HLkOgBPd7K+Sp2F4XbjOOlKJTqFgqUnlhq17/G44yRlJQHyam91xF5tb9Bc2XJ5i1H7Xk28yp30OwCMDSlltdNcMf70BEi+ZdR41ka/UF9Gqg4w424C/W87GbQKS0tCRgLXkmXn+ZiQMeYwUSAQAI08GrFpyCZW91tt9M3k2btniUqMwlZlW/q0nOAch9GFvyu1jEcuXr9MpJVCLhBQlirPTzV5ih0jdjConvHp71UBDzsP2vvKGqIbL200at+7GXf589KfpGWnGTdo6h04/iNoM/O9nJGt49u9USSmV5DwvCk4H4ZWoSBLkbf4WVqSs5LZcVUudFEqR3gVx1g98CxdliGSt/T3GT0BBdw6BYnXjbTQuqjpYsdE73NMu5fApBQ/oyPK9JLe8JsdWG+gOUy0CMKRJgDyTgqHbh0y/iIFRCdHo0AW1+8VWAoB1oaP5m1fD4fUeKPHtCYGeFzHRZHBoCT5s9txbYdR+2+4uAGAbv7dqkQVk7KSW3QgV0y2tHx+9HMAPOw9cMtNmSuJ2jk6VhkJcOaPfG/N2XgarV7C0VZFmzruRtliERJvQHYq/VJkjcLDsYcNlXhLw6JjiwBw1jjzkM9DZjGxMpBbCv77s9+Tpi39eTDX8WursjVocJRIvUcgV0fyUNl0Aa2GrDQ6Kk8yOjmFSymdCYv6y6jrSG4VO1uVLSODR5rLSoGg2qNQKMqcun858bK84ODfvfTRBEHdQKWBhCtw50KZxrUakm/B5V08elvOHjh863CZulEpVWhU1qu5am4GNxgMwB8X/zDKmfHHxT94Y+8bTNkxpfSDSRIs7Qy/P1cgvfiPiBu8t+kMI5buL5OcQ4UjSaDSMCBNznjZHLXZoAtcGsKuhJGhy6Ceaz2a1qi+VbEztBl8fOhjev/am+Ss5FLvtzN6J4mZiXg7eNPOt13pdnLwyLvXqOxRaZJEB+0hBqekcjulO0qMqxibrc9mTKMxtPRuWWXSOkE40gQ5hNYIpbZTbdK16fxz/R9up93mXsa9Uu/fu05vQ2poqULWg7pC7kTs0U/ArnI7jzRHvwWgdqI/aVcmceOuzhChUhJ30u/w24XfABjaYKjZbKwMzGg1A4BMXSabLm0q1T6JmYlE3o0EYFiDYaUfzMEdHHPSGA9/a3g5LUvLvovyd/dY81oojKy+ahEuy2mF3R0DAdBKWladWlWqXbO0WRy5dQSAvoHVW7y2Z52eKFCgl/R8eezLUu2j1+v554ass9e1dtfSV5tUKsFLFm7ljHEr81ZH+NfYoCNLUhPpe4h0XVo+zcyS+OOi7Mh+tumzolqnQFBB3Mu4x+2026Vu379uf3aM2MH/tf6/0g9i6wS9P4AxP4Ob9VZELBU5MhB1UrxIu/oMYwJnl3rXbH02+27sMzoKpirysP/DuNq6civtFvtulk7aQC/pDYWAjCpGc3968bm8SHNJkli57woAw1vXrhzzPIUCRq6m47Qz+Dr6kpiZWOqoSEmS+PX8rwAMrj+4chyvmbBV2XIw5iBxaXFsuly6+wyAXy/In9+geoNKl9aZS3BO9Nr5Sp7efvsctsnR6JUa3n5xCjYq4+ZqtipbJoRO4Lt+31WJap25iBmrAJBXKXNDfb+M+JLev/RmbWTpc+hzb5qaezWnllOtkndQ28oVdQBS4kBlnGfb6rgkR1Rcz66HLj2QjDudDBetkph/aD7p2nTsVHZ0rNXRnFZaPXVc6+DrKOvnLT+xvFT7LDyyEAkJlULFxKZGVtHKnWDdV1Hn461n0UvynOWNRxsZ15+lOCdXcfIMGUiwuyzmW9r/30URi9BJOhQomNZymtlMrAw4qB1o4d0CwODcLon9N/eTpZNXiF9q/ZJxA7bISQNNvVNsVTGr56Ssb5buUIusJFmAd96heehLcUyR8ZFE3I5ArVQzPHi4Wc0UCAQyP537iZ6/9GTJ8SVG7eesccbXybfkhvfT7nn5ZtKmkmsfnpVvupO9H0aX1oBv/r1S6l23X93OpO2TeDLsSTMZV3nQqDQGZ9ifl/4s1T77b+7nWvI1nG2cjdeXC8lJIzu7xXCdPXApnrOxydjbqBjVpnI5eFV2LowIloterD+7vlTRdMfijnE6/jQapaZKpdWVBYVCke/zK41WpF7S07RGU9xt3Y0PeAgZCB2mQmcj54fWRo4jWlm3G9lqG7499S1jNo8hW1eJUqPNgHCkCQzknlyvJl1FK2n58dyPpGanFrtPWnYap26f4reL8k1nqYTec8lN78xxAlRaEq5CmpyamuUnh/tmJ7XkuxO/l7jam6nLNOTcd/brXGYBzKrEzNYzAYhOiiY2NbbYtlq91qCz0c63HRq1kekSnV7M6Sgdog+i1+v58bCs1dQ+yBNnu0rg4M1Mhos5qcQN+zK95XQA4jPiDcKoRaHX6/n5nLzK29yrOe52lSCN1cy83OZlAFKyUwyfTXH8cPYHAEYEj5BL0xtD22fB1kVOL75RtjQhi6PXQ5wcEWrXbDCajIeQJEjXpvP1qZIrkr7+r1w9q6d/T2rY1zCrqQKBQCbINYhMXSZ/XvqzxHmKVq/l6K2jlSP9zVxkJsMlOfK7YY/RKBSw42wcJ2/GkZCRUOyukiQZdHOLLcRVjXii0RN80OkD5naeW6r2X5+UryWD6g/CwcbBuMFyM2CSb0LMMQCW/3sZkKPRXB0qwTxPkuBeXhGuIQ2GoFFqOHHnRKlSjFefXg3I93nVsVrsgwysNxAnGycuJ15m97XdJbZXKpRMbzWdHSN2UNu5tnGDeYdAnw8hoJTpoNZK7r16w36oFCrWnFnLyTsn2Xql5Hv4ladW8sfFP4ySnKksiLt2gYEg1yBaebdCQsLN1o17mfdYd3Zdsfv8eO5HRm8ZzYWEC9iqbI1zpAX3AYUSbp2EDZMrb3n0AzkpYEoVI0aMASRsXA+TqI3ljX/fKHbXjw99bIgGeqv9W+a3tRLQN6gvbWq2QYeOFSdWFNv20yOfkq2XV0Pe6/ie8YN5NZQdGQD7v+CbvVFkZMurUx8OqQSl0AH+Wyo7AlW24NOcbv7dDJU3FxxeUOyue27sMWiBvd3+bbObWhlo6tWUOs6yhtDiY4uLbXv89nH+vfEvSoWS8U3GGz+YWpNX1emsccLBVsP5rZAzObLtPI0OdRqQnSBXJP3m5DfFRqXtvLqTS4mXAOgTVD2r2AkElqBNzTY092pOpi6Tb099W2zbLVFbeCrsKWbsmlH2AWNOwLZ3Ku957uJ20GWCR138G7ahZ6OaqJ2P89S2QXx65NNid919bTfnEs5hr7YXxVRyqOVUi0H1B2FTimyUw7GHOXLrCDZKm7JdZ23sckTfgchNnItNZve52ygV8GyXylFMitiT8FkofN0TJIka9jUY0mAIAN+d/q7YXfWSnlpOtbBR2jCu8biKsNbqcdY483jI4wAsO7Gs1BWMS/N7rZKkxOVl7gT35fi1ZBJiZD3lZceXGe7DCiMuLY4vjn3BW/ve4ugt4yu6WzvCkSbIR27Iau5J5duT3xap9RWfHp8v/a5nnZ64aIzQOnPwgAD5houIH+BkydEfVklOuD81m1HHy5WWAe5IWmcUSh0HYw9yNv5sobslZCQYcu471OogVonuY0oLWUz2l/O/EB4TXmibexn3+CFSjgZqXbM1NR1rlm2wQLnAAVf+4as98iplw5rO1PWqJKWZT+WkILrXkXW3gFfavgLA9ZTrRMRFFLqbTq8zOIpGBY8i2CPY7KZWFt5oLzvAEzITikwx1uq1vLRLDtUfXH8wAS5lTA/J1c84srps+1ua6APys0ttcPbm+a51ybrTA0mvIU2bxv+O/K/Q3fR6PXMOzAHAy96LnnVKWQVQIBCUG4VCwQvNXwDkBdEriVcKbZeWnWYo5tPUqxwC5ee2wL7P4dj3Ze/DkkTmzPNCBoBCwXNd66LPdiebFP64+AeR8ZGF7patzzY42saEjMHV1rWiLK40ZOmyiEmJKfQ9nV7H/PD5AAypP6Ts87xGuemdm1mRE43WN9SHOp6OZeuvojkfJj87esu6I8Azoc8wpcUU5nYpPqpPqVDy6kOvsn3Eduq51TO3pZWGJxo9gYPagTPxZ4qtgPr50c/Zf2N/+QbT6+TMkbDXQVcJo7IkPXScBo0Hg6sfDWo6k323PXqtE9HJ0fx07qcid110dBFZ+ixaerekrU/birO5ghCONEE++gX1w9POk6SsJPyc/EjOTmbef/MKhPRLksRHhz4iJTvF8FqZVtrur955n35BpUGvl0P+AVrL2hdv9W+MNqUR2lR5pWvKzimFrnY8v+159JIelULF/C7zK8zkykAbnza08GqBHj1Td04lXZteoM2XEV+ik3QoFUr+17Xwm/VS0V6+mZAyEtGnyk7jOY81Lnt/FYlOC7dzHLWNBxte7hPYh8H15b/fO/heoZWdVpxYwYWECzhrnJnWqnproz1Ix1odaeQh6+MtP7GclKyUAm1e+/c1bqfLKVFjQ8aWfbA6Oak+GQlwvnTCwVZF9H/ycxc5JbtNoAd+LjXJipcd1GvPrOXyvcsFdvvo0EckZMopUXM6zqkQUwUCQR4danWgU61OZOuzee/ge4UK4X9+9HPi0uLwc/IrXzRLSE62wqWdkGV8ZXiL49cafJsbHDJt6rjT1KsZ2qQWSEjMOTCnUK2gZceXcSXpCh52Hjzb9NmKttrqOXXnFI9teIzntj1XaKXnNG0aAS4BOGuceaHFC2UfqEEvUNog3TmPW9YtVEoFE7vULYflFUxkjpZcw7yCUL5OvkxqPglnjXORu91/7+Zh52E28yojnvaePN/8eQBWnV5VaOr631f+5uuTXzN5x2SuJV0r8H6pkST49Rk4uASuHSx7P5bC2Qd6vw8j5QVfV3sbRrcNJuu2vAC66OgiriUX/HwOxhzkj0t/oEDBzNYzq2SRC+FIE+RDo9IwtpF8U6hAgUqh4u+rfxt0gHJZfXo1YVfCUCD/U7TybkUzr2bGD9j4vuo7qXGVTyfo1knITAK1PTSTw4Rb13GncS03su7Jnve4tDim7ZyW7yT96ZFPDZUmn2n6DK52YpXyQf6vjVwZLE2bxpjNY/KliP115S/Wn1sPwDvt36GGQzm0lYI6g2sACuARZQQPN/SiQ71KotUU8b28UgSymOl9zGw9EzdbNy4kXGD81vFkabMM7y2JWMKXx+WU5Ncfel2skhfCwh4LcVA7kKnL5I29b+S7Sfr+zPeGAis9A3qWL5rP1Q/scya4h5aVx+SKJ/lWvnD/XF7p25Cs+O7oMr2RkBi3dVy+KtB/XvqTdedk2YC2NdtWqVLoAkFlQaFQ8Gb7N7FT2REeG86XEfkrFW+8tNEw93uj3Rvlq7RWM1Su2qlNl51plY0OL8Dz/4C/nM6kUCiYOySUn0Z8gKutK2fiz/DBfx/kWzTdf3M/K07K8hSvPfQaTppKEuVegfg7+5Otz+ZK0hXe3vd2gRQxZ40z/+v2P/4Y9Ef5sjbsXOHx71HMOs9bY3tz4LWHaRlQSTRh70ZB7AlQqKBh4fI5Or2On879lG+eEhEXwVNhT3Hp3qWKsrTS8USjJxjVcBTLei0r4OQ5d/ecIWr+mdBn8HcxUgP3flTqvDnS2S3Ft60kTOgchJTUDm1aIGnaNP5v9/+RlJVkeD86KZpX9sjZMSMbjjQU8qpqCEeaoACjQkbhonHhesp1+gT2Qa1U09hTjtDR6XW8e+BdFhyRtZdyxfHLvNLmFgC1WuX9HVm6Cj5WQ264f/1HQJMngPp/vYLRJbVCSpWjWv65/g9DNw4lPCactWfWsva0XFEx2D242ldKLIoW3i14qvFTAFy8d5GHf36Yz498ztNhTzNrzyxAvggOCx5W7rFSGo0EoLfqMFMfaVDu/iqMIyvlZ1d/sM/vDHO3c2d+VznS8VT8KR7++WH+d/h/PLn1Sb46/hUAAc4BDKg7oEJNriz4OfmxrNcy1Eo1u67t4umwp/n40MdM/HsiH4V/BICnnSefdPuk/IPV6yE/Xy1n+kBFs28hIMnncFc/w8uPNffDzc6BjBuPI+lVJGUlMT5sPGFXwpizfw5v7JVTZ101rnzR8wsLGS8QCPyd/Xmv03soUNC0hpy6KUkSi44u4s29bwLwdOjT5Xd2KxRyWiTkyWFUcprUcqWpTx0+6PQBChT8duE3pu6YaojMaOzRmGD3YIY1GEa/oH4WttY6cbV15aMuH6FWqPn76t88v+15tl3dxqpTq/JFqHk5eJV/sOA+4CTrx3q7VKIKsrn3RYGdwLFwZ+LL/7zM+wffl6+zUWF8ffJrntv2HMfijrHo6KIKNLZyoVFpeKv9W4ZCR9n6bMKuhPHd6e94OuxpkrOSaendkknNJ5V/sNyo3MiNcoRaZeHGUbiwDe5bjAeo5WbPwOa1ybg5EhuciUqMIioxCoDTd04zZssYEjITaOLZxFBErioiHGmCArhoXHiu2XMAHLh5gCWPLKGld0sAVEoVO67KFQKDXIPQSToe8nmofJWI7o9KO/NH5TnBZKXC8ZxiDI3yl5Pu0dCb+t5OpESPw0MhT04v3rvIhL8n8HH4x2RL2XSp1YV1jxZfzKG6M6vtLIbUlwVV4zPi+frU14YKRcMaDDNErZWXD24055q+Bt1VJ2nlU45V94pEr5cFaAGaDC60SYdaHQxph4lZiaw+vZpjcXLVKh8HH35+7OcqGWptKlp4t2Dxw4uxU9lx/M5x1kau5WCMHJbvbuvOhkEbUCvV5R+oi+wYJjsNov4tf38VRUROpLJTQd2a57rVRZ9Zi/ToZ7DFlUuJl3h5z8sGXUhnjTM/D/wZB7WRFdgEAoFJ6RfUj3UD1tEjQHboKxQKdl2TK1SOCRnDiy1fNM1AuY60c1sK3JRZLXodnN6QJ+FRCN39uzO92duoFWr+vfGvodq4m50b3/T5RhSSKoG2Pm1Z2GMhGqWG8NhwZu6eyYIjC3hn/zsmrRS798IdLsYVlGmweiLlyvQ0eqzIJsMbDMdZ48yJOyd4+Z+X+fzo56Rr02nv256Pun5UQYZWfn6/8Dsv73mZTw5/QnJ2Ms29mvPFI1+gUWnK33n9nnL12MRrcL0SZV8d+AK+Hw67C2rxTexSFynbg8SoZ5j90AKaezUHwMHGgcTMRBp5NOKLR74wvtJuJUI40gSFMjpkNPXd6pOQmcAPkT/k087oG9SXp5o8RVRiFGqlmlfavlK+m/H7Lw73rsLNSlLVI+J7+YQIeZX3clAqFTzbOQhQcvXMWB7zm4KLxgVbpS313erzZrs3WfzIYjRqE5ycqzjvdXqPhd0X4ufkh53KDk87TyY0mcCcjnNM4sS4lZTB+gsqvtANQSsB/1QSvbpTvxiqJdKx6Bud19q9xhcPf0Fd17rYqmxxt3XnycZP8tewv4QToxR09uvMhsEbCHYPxk5lh5utG4PrDWb3yN242bmZZpCajSG3r32fmaZPc3PrLGQkytsdpxZ4e1LXutiplejS69JKNY+xjcbStEZTHqr5EP2D+rN7xG58nXwr2GiBQFAYTTyb5Pu7a+2uLHlkCa899Boqpco0gwS0Bycf+bxRWdI7rx2Cn5+CRa2K1PCdsf4YH/zowMzGS3ms3mMEu+el+rtoXEyz2FLF6e7fnQ2DNzCswTCa1mhKp1qd6O7f3WT9Z+v0vPrrCRZ8Np+EJX0qT3GfxBt58gkhRWcPdPTryM8Df+aJRk/QyKMRHXw78H6n91nacyn2avsKMrbycy/zHk1rNKWtT1tee+g1VvVdZVwRveKwsYeGOZGpp383TZ/mJjsdzssyJoX9/hrXcqFLgxroMnxIiM8ruBXkGsTsDrNZ++haQ7RfVUWc3QWFolFpmNt5LmO2jGH39d28sfcN3unwDg5qB4Ldg3n/4PsATG0xlYYeDcs3mGc98GkqR9fYu8tldisDx+T0TFxqyxVIH2BIKz/mbDxNhlbP8chQ9k3bV8EGVh161ulptqp+b204BUBz5SUcFZlw6lfoOccsY5mUmJxoNO9G4FR82kM3/2508+9WAUZVTfyc/Pj1sV/NO0iD3nDyJ7hSSc4Te3MKfNjYQ2DBiGSlUsmk7vX4bPsFjl7JYNGol7GzMdENuUAgMCsvtX7J9J0qVXL09KnfID3B9P2bg9w01Ho9DFWxH6SWm+yo2BCu45dJH4go7zLi7+xvtsIzW07GcONeOo873ME97iCctoPWT5llLJPi4AEjv4Nbp8Gl+IUnPyc/Xn3o1QoyrGryXLPnDBlZZqHJEDj5M5zZAL0/KPKcYjVc2glZKfJ9rl/rQpu88WgjOXPfJ7/DcXjw8Iqw0OJY+TcosCSNPBsxv+t8lAolW6K28MjPj9Dzl568e+Bd9JKeIfWH8HTo0yYabJD87Nsyz2NvzWRnQKzsgMmXmnoftmoVY9vXAeDUjUTOxSQV2k5gOVIytOyIvAVAUq0cDZh70ZBTvdNqkSS4kFMOvbNp0lsFFqarLMqKNh1iTljWltJwcbv8XKdTkU2m9KhPLVc77qRk8dvRGxVkmEAgsFp6vAn/dxZajLa0JSWj18OZnLS6YqKBxncMRKNWcuRqAoei7laQcYLSIkkSS/fIlaOdW8t6uET9UzkW7W3sofEg6PGGpS0RmIJ6j4CtC6g0kBxjaWtK5swf8nPjQbLOZSE08nUp4ESrTpjVkZaQkMC4ceNwdXXF1dWVcePGce/evWL3GT9+PAqFIt+jffv2+dpkZmYybdo0atSogaOjI4899hjXr18345FUX3rV6cXyXsup7VSb1OxU4tLisFfb82KrF5nTcY6h2EC5CR0qP0ftrhwXt+PrQMpJd21fdEnuF3s2QK2UTz7vbDxdEZYJjGD2xlPoJVAqYPS4yXJVJIC9Cy1rWEnEnoQ750FlKwvoCio/Xg0goIO8feEvy9pSErcv5EWUdCy6WIqNSsmEzkEALNl9kSxt4alRgsqLmOcJjMLORY5MqwxcPwSJ0aBxhga9imzm7WLHiNa1Afhyt6iQaG3sPBtHZEwSjhoVg3t0BL82crXzXCeBQFBR2NjB5H0w/Vi+Ak1WiTYTzm2VtxsPKtUuN+6lk56lK7lhFcKsjrQxY8YQERFBWFgYYWFhREREMG7cuBL369u3LzExMYbHli35S8XOmDGD33//nfXr17N3715SUlIYMGAAOl31+vIqina+7dg0ZBO/DPyF1X1Xs2vkLp5t+qzpnGggp3f6tZYvbid+hjsXTNe3OTi0XH528QO3oksiu9jZMLSVfLL8L+ou1+6mVoR1glKQma3jj4ibAPRo6IWrkx3UkotqcMrMaXzl5e8c8eKgrvKNiaBq0GKM/Hx6g0XNKJE9OeLFajuo273YpqMfCkCjVnI9IZ0Pt0Sa3zZBhSLmeYIyodfD7XOWtqJ4Tv4sPzcaKEcGFcPzXeuhUir45/xtTl5PrADjBKVBkiS+2HURgCfa18HNQQOhOZXerX2eF7EOds2Du1GWtkRgStwCiozusioubIPMJHCuBbXbltj8023n6TZ/F+sORVeAcdaD2RxpkZGRhIWF8fXXX9OhQwc6dOjAihUr2LRpE+fOFX/xtLW1xcfHx/Dw8MjTn0pMTOSbb75hwYIF9OzZk5YtW7J27VpOnjzJ9u3bzXU41R6VUkVDj4a0qtkKRxtH8wzSNCfkevs7sGqAXC3JGslIhLicG8JSpCe81q8ROUFpzN54xoyGCYxh7pZItHoJBTBvaDP5xdzowuQYWeTVGtFp4cpeedu7sWVtEZiWkAGgVMOtU3DdiouuXJYr+hHUtcSmjrZqWge4A7DuUDRaEZVWZRDzPEGZSIqBhY1heXe5+rk1osvOEwRvWrLWT4CnA481rwXI0bcC6+DApXiORd9Do1byTBc5Olqucq6A6AOQaMVRrv99JS9aXdphaUsE5kCbCWlWnAp+NUevN3RoqbTcfFzs0Oollv1ziUytld6/mwGzOdIOHDiAq6sr7dq1M7zWvn17XF1d2b9/f7H77t69G29vb4KDg5k4cSJxcXmpfkeOHCE7O5vevfOqJNaqVYvQ0NAi+83MzCQpKSnfQ2CFhA4FlHIlwpRY+SJnjRxfD+SU5G5XdFpnLh6OGvqF+gCw7+IdsqvRCcZakSSJsNNyifr2dT3xdrGT32gyBJQ28vY//7OQdSVw+Nu8tOIuMy1ri8C0OHiAe85kf/s7lrWlKO5FQ1q8vP3w26XaZf4w2VGdpdXz6fbz5rJMUMGIeZ6gTDj7gNoWstPgfJilrSmcG0fk85yjFwSVrlDP5O71ADhyNYHkjGxzWicoJbdTMnF3sOHxtv54O+fM81xqQZ2O8ra1Vk+8cwFijssLa42HWNoagak5+h180gB2fmBpS4qm7zyYtA/aPV+q5sNa++HjYsetpEx+OWLFDmoTYzZHWmxsLN7e3gVe9/b2JjY2tsj9+vXrx/fff8/OnTtZsGAB4eHhPPzww2RmZhr61Wg0uLu759uvZs2aRfY7b948g36Hq6sr/v5Fp+IJLIiTt1wZKZdTv1nOluLIvYms3RYcPUu1yzsDGqNWKsjU6jl89Z75bBOUih2RcdxKysTBRsn/RjTLe0OpzJtgxVqp4Pvhb+Rnj3pg72ZRUwRmoE6OTtq1/+T0J2sjN90psAv4Niu+bQ7+ng60CnADYOW+K+it8bgERiPmeYIyoVBAkxxdXGud5wW0hxmnYOgKUKlLtUtwTWe+Hd+Gf17pgbOdjZkNFJSGQS382Pvqw8zsFZz/jWajoH4vqNHQMoaVxMlf5Oe6PUp9nyGoRDjXgsxEWadPp7W0NUXjEyqnopYCW7WK57rWBeCr3ZfI1lWPeZ7RjrQ5c+YUEIl98HH48GGAQktAS5JUbGnoUaNG0b9/f0JDQxk4cCBbt27l/PnzbN68uVi7iuv39ddfJzEx0fC4du2aEUcsqFCajczbPv2bHPpqTUhS3gXuodKXSK7pas/jD8kT+y93ibB/S3K/Zsa4joH4uTvkb9D/U/n55jFILvpm0CJkpeXpyrR8wrK2CMxDbvVOXRac+NGytjyIXp8TkUv+c3UpyI1KS8/WsSSngprAOhHzPIHZydWpuvC39aY3ufnnX9wtBQ+H1MTOppIUU6gmONqqZW20+2n9FDzxCwT3LnwnSyJJeQtWTUdY1haBeajbDRxqQNod60zdLeO99+iHAvB01HA9IZ2NORrUVR2jHWlTp04lMjKy2EdoaCg+Pj7cunWrwP63b9+mZs2apR7P19eXOnXqcOGCLD7v4+NDVlYWCQkJ+drFxcUV2a+trS0uLi75HgIrJaQ/qHLCr9MT4NyW4ttXNDeOwN1LoLaHho8atWuuGO3ei3f4/Wj1CXu1NtYcvErEtXtoVAqe7Vy3YIMa9aH2Q3L65ImfKt7A4vjnE0AChbLYarGCSoybP7jmRNPsX2RZWx7k9O9ytViFEkIGGrVr/ZrONKklX3uXicp2Vo2Y5wnMjk8o+DSVFwxynQbWggkiZnV6idM3RdEBS3ExLpltZ24hSZKlTTGe64fz7jNCjLvPEFQSVDZ5i5ER31vWlgdJugnz68FvzxkdLWevUfFsF/m+6svdF9HpK+H/n5EY7UirUaMGISEhxT7s7Ozo0KEDiYmJHDp0yLDvf//9R2JiIh07diz1ePHx8Vy7dg1fX18AWrdujY2NDdu2bTO0iYmJ4dSpU0b1K7BSbJ2hUf+8v49Z0QlGkmB9TlW9wM5g62TU7v4eDvRqLN8EvPzLCZKEhoZF+N9fckRX09queDnbFt4ot3ri/i+sK73u2Fr52beFXEZbUDVp/bT8HBcJGVak9bTvc/nZqSY4uBffthA+GtYUgORMLVtOVI/VysqImOcJKoSWOdVdc69r1sK6x2HtcIgpm7xDfEomvRbuYciS/cQmZpjYOEFp+Gz7BSZ+d5h5W88W3zDxBuxbJBeXsBaOfSc/Nxks3xMJqia59xnntlpXVO6JnyArGRKuljqt/X6eaB+Ai52a2MQMzt9KNoOB1oXZNNIaNWpE3759mThxIgcPHuTgwYNMnDiRAQMG0LBhXk56SEgIv/8uiz2mpKQwa9YsDhw4wJUrV9i9ezcDBw6kRo0aDBkiiy26urryzDPP8H//93/s2LGDY8eO8cQTT9C0aVN69uxprsMRVCTNRuVtX9oBKXFFt61Irh2ClJzV9/ttNIJZveXfvlYvMW9LCRd4gclZe/AKSRnyCstb/YupeNmgj/ycest60uuSYiD1trzd/TXL2iIwLx2nyVFfSLD7I0tbI5ORlKcb2OqpMnXR1M+NHg29AFgXLlLvKjtinicoF01HgEojn1dyK6FbmoSrcrrpxW1GL5bm4uGooYajLVlavajgaQEu3U5hy8kYAAa38Cu6oV4PK3rAtrfhohWl19m6gMZZyHdUdXya3heV+4ulrZGRJLkQApT59+dsZ8PSca3Z9+rDNPKt+pHhZnOkAXz//fc0bdqU3r1707t3b5o1a8aaNWvytTl37hyJiXL4s0ql4uTJkwwaNIjg4GCeeuopgoODOXDgAM7OeV75hQsXMnjwYEaOHEmnTp1wcHDgzz//RKUSugRVgnqPgLO8Ms0js+UiBNbArg/lZ7WdXN2xDNT3dqJdkAcAPx++RmK6Fa2CVQM+yYlGq1vDkZYBxUTUuNayvvS6EznVYmu1huA+lrZGYE7UGqjdRt62Fkfurg8xpBV3fqnM3bw3KBSVUsG/F+5wNDqh5B0EVo2Y5wnKjIMH9JsPz+4ErxBLWyNzbA0gQd3u4FGI9EMpUCgUzOjVAID1h65x81666ewTlMjn2y+gl6BnI28a1yrmRl6phNDh8rY1pdf1+RBmnYM6nSxticDctMhxVlnL7+/KXjmtWONU5vtcgI71auDuqCm5YRVAIVXKBPLykZSUhKurK4mJiUJHw1rZ+SH8M18uO/7URktbA5nJ8FEdWTer6UgYtqLMXUXdTqXHgt0AjGxTm/nDm5vISEFxfLP3Mu9vkle9f5ncgTZ1PIrf4d8FsOM9QAGvXJYn/ZZCkuCLNhB/ER5bDK2etJwtgorh4k5YOwRQwMwz4FLLsvZ8HCjrVgZ0gAlh5erq5Z+P8/OR6zSr7crGqZ1NY18FIuYQ1o/4jgRGo9PCZ6GQHAPDV0Lo0HJ1N2rZAf6LussT7QP4YHBTExkpKI5zscn0/fwfJAk2T+9Mk1quxe8QewqWdgKlDcyMBCevijFUIABIjYeDX0KLseBZz9LWwK8T4eRP0Ho8DPy83N1JkkT4lQTa1HFHqSy6AJE1Uto5hPHJr9UInU5HdraIGLIIoaPh6Hq4fRlizssC3MVUATM7h9eCY86NbMeXIaPsuhe+zioGNPLk2PV7HLgQy+17dUWpdDOj1+tZf+Ayfs4qAj0dCK3pQEZJ32HLifDfd4AOdv4Per5TIbYWyrkwyMwEt4ZQv3+5fn/WjEajQak0a6B05aH+wxDQEaL3w5HV0ON1y9kS9a/sRAN4pPz/B1N61OeXI9c5cT2Rb/dGMaFzULn7FAgElRxJsuw878LfshPNoQaEDCh3dy/1Cubx5Qf5Mfwak7vXx8/N3gRGCopj4bbzSBL0C/Up2YkGctGLWq3g5lFZm6zL/5nfyKJIvC5LeNRuY9n/A0HF4ehpkjmVSUi7C2f+kLdNtFj/3JojbDtziy/GtGRAMwsvBpsJ4UgrBEmSiI2N5d69e5Y2pXrT7UvQpsO163AzDhw8LWeLTTB0WiCvWt3Twb2ocnX3fBtnYhvIYa8XLl6uNiGwliI5I5tXOskRZd7OtkRFlfL767ZY/g0qFHD5suUmNxnO8u9PpYEbVqIZaAaUSiVBQUFoNOL/AYCHns1xpK2CrrPkSk+WYOf78rO9O9Qpv9h7YA1HGtR04vytFBZuO8/4jnWEA1UgqK4k3oA9H8uOhHG/Wc6Oo6vl5xaj5fT6ctK+ricd6npy4HI8X+66yNwhIirNnJy6kUjY6VgUCtmJWWoemggbJsPhldBpBigtlD5+4Es4uATaPAMDPrWMDYLqy4mfQJcJNXOcyyYgtJYr287c4tNt5+nbxAe1qurN84QjrRBynWje3t44ODigECsDliHDE5Ku5/3t4WeSyY3RZKWDY47GhZNvmarVFYbd3VTSsnSolAoCvZzE78xMSJLElTtp2Op02KpVBNZwLP3O2bUg4ZK87eRusu/eKO7//TnXAnu3irehAtDr9dy8eZOYmBgCAgLE/wNAyECwc4OUWNj2DvSdV/E2ZGfA7fPydqvxJuv2fyOa89gX+0jO1PLVnstM6VHfZH0LBIJKhEIpawTptXKlTN9mFW9D4g05Ig1Mep57qVcwB5Yd4GJcCjq9hKqSpTdVJrJ0ehr7utCgphPBNY2odtlkCPz1BiReg/N/Qcij5jOyKDKT86rXWmJ8gWWJ/k+uil6vh+zYtQRNh8u/Q7cAkwUNTOgcyMr9UVy+ncofETcZ1rq2Sfq1JoQj7QF0Op3BiebpacEIKAHYaiDjljy5AtCngJ0FQkOlDFArAAW41ZQFSk1AHW8bzsWmoEdCp1DjJNI7zUJSejbZChUqGzVBNZ2wVRux2mhnB2m2clUdfTLY+ZrP0KJIiZZ/fwoluPtU/PgViJeXFzdv3kSr1WJjI/4fUGvAuxFEH5An2ZZwpJ38GTISwKU2PPyWybptVtuNJrVcOH0ziS92XmRyt7oiKk0gqI64+ELjQXDqVzi0DAZ9WfE2OHjKmkDXD0MN0zn1Hwry4LcXOtLS300sDpmZVgHubJrWmbRsnXE72thDy3FwaAXcizaPcSVxfD1kJoFnA6j7sGVsEFiO2BNwbjPcOQ9tn7VM9otjDej2skm7dLazYVK3eny09Syf7TjPYy1qYVPFotKq1tGYgFxNNAcHBwtbIkChlP+xc0m9I5errmjS7sjPjjVM5kQD0KhVeDrJEXaxSZlUw7ofZkeSJG4lyXpink4a45xoueRW78xKA10FaybqdZCVKm871Ci+bRUgN6VTpzNyIlyV6ZPjPMtMgjMVXHhFkuDgV/J2u+dAZdq1t4WjWgCQnq1jwbYLJu1bIBBUItpNkp9P/CwLcFc0NnayLtBjpq/S3SrAXTjRKgilUoGTbRmuU51fgv+LhPaTTG9USej18N8yebvd8ya9zxBUEpo/LlfKjL8Al3dZ2hqT8mSHOtRwsuXa3XR+Pny95B0qGeK/tQjERc9KcKgB5HwXki5P8LqiyE6XQ10BHE1fzcfL2RalQkFalpaYxKopIG9JbiVlkJ6tQ6lQ4OVkW7ZO7FzAxgGQIPW2Se0rkaQbedvOFoiGq2DEebcQ/FrmVez8++2KHXv/Yog7DSo7s1SKDa7pTKsANwC+/vcyWq0FFkoEAoHlqd0WarWUNXqOrrK0NWYhMS2b345WvRtJS3Pk6l2W7L5Iaqa27J04eMgaoJbg8k7ZgWLrIjtUBNUPW2doMUbe3md6Z36x3DoNK/vD2S1m6d5Bo2ZKD7ki6eKdF8gwNmLUyhGONIF1o7KRL3C5pMbJURIVgV4P8Tn6WLauoC6jI6YYbFRKPHIKDdxJyaxyJxhLotdL3E7JAsBBoyqfyKVTTfk59TZos0xgXSmQJLmKDsgXWbFKWX15ZLb8fO8KXNlXcePmTug865rtJuOzUS0ByNTqWRd+zSxjCAQCK0ehyItK+2+ZvIhZEej1sHY4hH8t60GaiaSMbHos2M3Mn45zLLqCF4SrMJIk8dHWs8wPO8eCv8+bptPo/yA51jR9lYa9n8nPLcbKcz1B9aTDVFCo5Ii0m8cqbtyDS+DqXjix3mxDjH4oAF9XO+xsVNy4V0Hn9gpC3JkJrB9H77xtbQZk3KuYcVPjQJ+Tyudk+mi0XGq62Bkica7dTTPbONWNG/fSDemytd3LWXbezlVONZb0siBtRZB2B8hxGuemlwqqJ80fz3Nkbfm/ihnzxE+QlhOB2Weu2YYJ8HRgfMdAAL7Ze5ksEZUmEFRPmgyVr3Upt+DodxUz5pkNcHEb7HgvT4/XDLjY2fBwiDyX/WjrWSHlYSK2nblF+JUEbNVKJnYNKn+HYa/Dt73laOyKIO0uJMeA0gY6Tq2YMQXWiXsdWfAf4N8Kqtp6LxqO/yhvdzDf78/ORsX3z7Zj20tdqeflZLZxLIFwpAmsHxs7OeQZ5BS73G1zotfLkzmQL3Aa8/3jq5QKvHK00tKzdaSUMjxdoVCwYcMGs9mVS2BgIJ999pnV9FMasnV67qXJkWOu9jZoStBGK9E2hSLPkZGZZNYJNyBHo+XqxDh4miUasjh2796NQqHg3r17FTquoBi6zJKf4yIh9pT5x/vrTfnZLUCuJGVGZvVpSA0nW67Ep/HDf1fNOpZAILBS1Bro8SZ0fRmajjD/eHo97PlY3m4/BWzNe4P3Uq9gNGol/0XdZff5CpaJqIJodXo+CjsLwDOdg/B1LeeCKUC9R+TnwyvzMgLMiYMHTDkEE/4C16pX0VBgJJ1fkp8j/8yrlm5O/vmfHDBStzv4P2TWoep6OZUvM8hKqXpHJOCtt97C1taWMWPGWNoU05GbWpedbn4nBuSkkOZERrj6mb2CireLHcqcMa4npBEXF8fzzz9PQEAAtra2+Pj40KdPHw4cOGDYJyYmhn79+pnVrrKwatUq3NzcCrweHh7Oc889VyE2XLubhgQoUJQqGq1Utrn45W3fM31UWj7HaEYiaNPlKDjniq9U27FjR2JiYnB1dTVZn1euXEGhUBAREWGyPqsV7V+QnaogV/A0JxHr5HMgQP/PzDsW4GSr5qVeDQD4OOws1xJEZK5AUC1pMVquDny/pIe5OLMBbp+VI87bPW/24fzc7HmqQx0APt56Fr1eRKWVhx8PX+Py7VQ8HDVM6l7PNJ3WfwR8mkF2KhyooOqxShXUbl0xYwmsG+9G8NDzMOBTeRHTnCRcgYjv5e3ub5h3rPvI0ur5Zm8UMYlVI8VTONKqIK+88gqffvop69at4+LFiybv/59//mHgwIHUqlWrxKio8ePH89prrzFv3jzatm2Ls7Mz3t7eDB48mHPnzpV+UFsn0DgDkqxdIEnm00rT6/JHo9m5mWec+1AqFPi42gHySWbQkKEcP36c1atXc/78eTZu3Ej37t25ezdvhczHxwdb24qNVCoPXl5eFVINN+O+qD5PJw2qUmiLlco2pQrscqLSMu6ZTytN0kNSjiCxo1e+Som5VYXNjUajwcfHR4j/WxNKJQxZLm8f/kaeBJmLbTlFDdwCocEj5hvnPka18cfeRkV6tp5pP1SgPohAILBOJMl8ldp12vzRaPZu5hnnAV7oXh9nOzVnY5P54/iNkncQFEpqppaFOZWepz9cHxc7G9N0rFBAt1fk7QNfQtJN0/T7IJIkyyeYUZdPUEl5dD60mSBnY5mTf/4nB6bUexgC2pl3rPt4+ZfjvL/pjOk0DS2McKRVQVxcXJgwYQJKpZKTJ0+avP/U1FSaN2/OF198UWw7vV7P5s2bGTRoEHv27GHKlCkcPHiQbdu2odVq6d27N6mpqaUf2CWnamH6XXkV0Vxh1ymxedFoLuaPRsvF01GDWqkkKTGRg/v38dFHH9GjRw/q1KnDQw89xOuvv07//v0N7e93YuZG+/z000906dIFe3t72rZty/nz5wkPD6dNmzY4OTnRt29fbt/OSyno3r07M2bMyGfH4MGDGT9+fJF2fvrppzRt2hRHR0f8/f154YUXSElJAeSUwKeffprExEQUCgUKhYI5c+YABdMno6OjGTRoEE5OTri4uDBy5Ehu3bpleH/OnDm0aNGCNWvWEBgYiKurK48//jjJyclF2rZq1Sq8a3iyZ3sYg7q1pX4tT4YPH05qaiqrV68mMDAQd3d3pk2bhk6XV9jhQdsUCgVff/01Q4YMwcHBgQYNGrBx40Zw8wcUrPpxI26eNfKNvWHDhnyOp+PHj9OjRw+cnZ1xcXGhdevWHD58uFC7AwMDARgyZAgKpYrANr3lz+B/X9GiRQu+/fZb6tati62tLZIkERYWRufOnXFzc8PT05MBAwZw6dIlQ3+5v4fffvuNHj164ODgQPPmzfNFNF69epWBAwfi7u6Oo6MjTZo0YcuWLYbv8cHUzv3799O1a1fs7e3x9/dn+vTp+f5/AwMDmTt3LhMmTMDZ2ZmAgACWL19ueD8oSNYvadmyJQqFgu7duxf5PQqKoP4jENQNdFmw7V3zjBH+TV512oGfmWeMQlCrlDzTWf6NRFy7x5GrQpBbIKi2XN0PK/vBvoXm6f/o6pxoNLcKiUbLxd1Rw+Sc6KlPws6RniUKTJWFFf9e5k5KJnU8HRjTro5pOw8ZAP7t5ayAnR+atu9czv8Fv02EpZ0rroCVoPKhzTJP0MjdyxDxg7zd/XXT918MuZq4vx69zpmbSRU6tjkQjrQqilarxcHBgVOnTK+l069fPz744AOGDh1abLt9+/ahVCpp164dYWFhjB8/niZNmtC8eXNWrlxJdHQ0R44cKf3AGkc5BB/kogPJN02f5qnTQkrOTaRKU2GrlCA7b2q72+Pg6IiDoxO//PY7mZmZRvUxe/Zs3nrrLY4ePYparWb06NG88sorfP755/z7779cunSJd955p1x2KpVKFi1axKlTp1i9ejU7d+7klVfkFbyOHTvy2Wef4eLiQkxMDDExMcyaNatAH5IkMXjwYO7evcuePXvYtm0bly5dYtSoUfnaXbp0iQ0bNrBp0yY2bdrEnj17+Oijj4q0LVunJz09jR++Xc43q9cSFhbG7t27GTp0KFu2bGHLli2sWbOG5cuX88svvxR7nO+++y4jR47kxIkTPProo4wdO5a79xLBKbf4hQRZRTuCx44dS+3atQkPD+fIkSO89tpr2NgUvmoaHh4OwMqvVxBz7G/Ct6yVK8UqVVy8eJGffvqJX3/91ZAWmZqaysyZMwkPD2fHjh0olUqGDBmC/oHV+zfffJNZs2YRERFBcHAwo0ePRquV/2emTJlCZmYm//zzDydPnuTjjz/GyalwjZiTJ0/Sp08fhg4dyokTJ/jxxx/Zu3cvU6fmFyddsGABbdq04dixY7zwwgtMnjyZs2dlDZNDhw4BsH37dmJiYvjtt9+K/vAFhaNQQO/35e0zv+WF5ZsKnRYOrZC3fZubXRvtQWb2aoCLnRyBOWO9iEoTCKot965B9AE5asLUUgrZGbB7nrzd440KnecBTOgUhL+HPV2DvcjSieIqZWFQCz8eberDK31C0KhNfCurUECfHAdaxPem1yTVZsFfOc6LkEdlbUCB4EFOb4AvWsOFv03ft3sQDP8WHnrO7NpoD9IywJ0BzXyRJJi3NbLSF15Rl9xEIEkS6dmWWTWyt1GVKb3qrbfeIiUlpVhH2ty5c5k7t/hqbFu3bqVLly5Gjw+wceNGBg4ciLKQ1LrExEQAPDyM1MFw9pX1o0B2oiXF5EQJGUdaVhEOuOxMlFo9dmqFXD1KoSi6LXJKpp1NnpB9UW0dNKX7V3Oxt8HT2Z73P/2S916dwTcrltOqVSu6devG448/TrNmzYrdf9asWfTp0weAF198kdGjR7Njxw46deoEwDPPPMOqVatKZUtR3B/BFhQUxPvvv8/kyZNZsmQJGo0GV1dXFAoFPj4+Rfaxfft2Tpw4QVRUFP7+8ve3Zs0amjRpQnh4OG3btgXkqMZVq1bh7CyXBB83bhw7duzgww8LrhJKkkRCWhba7Gw+WvA53do2BWD48OGsWbOGW7du4eTkROPGjenRowe7du0q4Li7n/HjxzN69GhA/l9ZvHgxhw4dom+fPrJ2Gci/vxr1C90/Ojqal19+mZCQEAAaNGhQ5FheXnJVWDd1Jj7eNQCFXMEHyMrKYs2aNYY2AMOGDcu3/zfffIO3tzdnzpwhNDTU8PqsWbMMUYzvvvsuTZo04eLFi4SEhBAdHc2wYcNo2lT+nOrWrVukfZ988gljxowxfPcNGjRg0aJFdOvWja+++go7OzkE/dFHH+WFF14A4NVXX2XhwoXs3r2bkJAQg/2enp7F/jYEJeDbHNwD5dTOLS9D6AjTTcTDV8DtSLD3gHEbTNOnESiVSt59LJSXforgWkI66w5FM/ohM+uECAQC66PZSDiyCqL3w19vwKg1puvbxg7G/CgvGrSZYLp+S4mdjYq/ZnQt9bxQUJCgGo4sGWtGXbHabeQqsjeOQLqJo6P/WypHBDnVlAtrCASFceOIXFXzrzchqCvYmKCYRi4KBTQZLD8swCt9Qvj79C3+vXCHHZFx9Gxc0yJ2mAJxFi8F6dk6Gr/zl0XGPvNeH6MvtkeOHGHp0qX079+/WEfapEmTGDlyZLF9+fn5Fft+cWzcuJH//e9/BV6XJImZM2fSuXPnfDf9pcLGXhahzU3rTLsjC3BrjNPeKu777BFoy8oRQWAnVwdt/f72Ih2p7YI8+PH5Doa/O3+8i7upBcO0r3zUv8BrReHrak/v/oPo8nBvrkce5eSxw4SFhTF//ny+/vrrYtMu73e01awpn5hyHSW5r8XFxZXalsLYtWsXc+fO5cyZMyQlJaHVasnIyCA1NRVHR8dS9REZGYm/v7/BiQbQuHFj3NzciIyMNDjSAgMDDU40AF9f3yLtT0zPJjNbj529A+1bNDa8XrNmTQIDA/NFW5Xmc7j/s3R0dMTZ2VneR6EAx5y0zqxkSL9X6Ir2zJkzefbZZ1mzZg09e/ZkxIgR1KtXgiCuLicC0bmmrMkG1KlTJ58TDeRIvbfffpuDBw9y584dQyRadHR0vv+p+4/B11dOjY6LiyMkJITp06czefJk/v77b3r27MmwYcOKdNQeOXKEixcv8v33eRFQkiSh1+uJioqiUaNGBcbLdaaW9/cmKIRh38LXD8sRkT+Nk28Ky0tcZF4aS8/ZFSP2XQhDWvnx2fbzXL2bxnt/nmFEq9qoTR1xIBAIrBuFAh79BJZ1hciNcHGHnNpuKvxawxDLCbwLJ1rZyMjW5Vu8Niv9F8iZMKasmp5wBfbMl7cfmQ22zsU2F1RjuvwfnPgR4i/Arrl52QjlITMZdNkWm9/lEuDpwNOdA1m25zLvbTpD5wY1Ku7/2sSI2WkVQ6/X8/zzzzN16lSefPJJzp8/T1ZW4fn3Hh4e1K9fv9iHvX3ZPOCRkZFcv36dnj17Fnhv6tSpnDhxgnXr1pWpb5z9QHnfJORetOkFaV3K7kAsLxq1Ei9nW2zt7KjfqhOzXnuD/fv3M378eGbPnl3svvenDuZGMj742v3pf0qlskBYbXGC9levXuXRRx8lNDSUX3/9lSNHjvDll1+WuN+DSJJUaKTlg68/mAr5oP25aHV6rt2VK8BobGywve+ErFAoSt3P/RS3j9LWEYkcOxOvgS67wPHPmTOH06dP079/f3bu3Enjxo35/fffCx9Md9++SrUceZlDYc7JgQMHEh8fz4oVK/jvv//477//AAr8rxf2e8g9hmeffZbLly8zbtw4Tp48SZs2bVi8eHGh5uWeVyIiIgyP48ePc+HChXzOwbJ8zoIyULs1NMuJpjwfBhe2la8/nVbWI8pKBo960HJc+W0sB1+NawXIi1iv/nbCorYIBAIL4ROap1/254zyRwZlp8Ot0+U2y5Rcvp3ChFXhHLwcb2lTrB6dXmL40v3M/DGC+BTjZE/KhINHfidaeVPQdFr47Xn5OuvfHpqPLl9/gqqNvRsM+EzePvAFXAsvX3+SBJtegi/ayGmjFmbaww3wdrYl+m4aX/972dLmlBmxJFIK7G1UnHmvj8XGNobFixdz+/Zt3nvvPaKjo9FqtZw7dy5fVFIu5kzt3LhxI7169SrgiJs2bRobN27kn3/+oXbt2kb3C8hVDF384N5V+W9tulzl0IhSwfm+T0mCu1cgSxY9VDr75KuWcuTtgs7AXJQPOIP2vmoaTSEvJ1tuJ2eilySi49No6ONM48aNi62QWqZxvLyIiYkx/K3T6Th16hQ9ehR+HIcPH0ar1bJgwQJDyu5PP/2Ur41Go8kn5F8YjRs3Jjo6mmvXrhmi0s6cOUNiYqIhuskYou+mIeW4tiqiNoSXlxfJySmkZupxtNXC7XNEHCuo6RQcHExwcDAvvfQSo0ePZuXKlQwZMqRgh/GXsLFRo9PpwbPoFFCA+Ph4IiMjWbZsmeF/c+/evWU6Dn9/fyZNmsSkSZN4/fXXWbFiBdOmTSvQrlWrVpw+fZr69QtPYy0NGo2cfljSb0NQSgYvlR1o6Xfhp6dg1nm5unFZ+GFk3k1qn7mGaEhL0djXlV6NvNkWGceOyDgS07NxtTdRVTaBQFB56P46nNsKCVGwYQo8/n3ZL/J/vwVHVsvnuHbPmdbOMrJy3xV2no0jJjGDTdM6o1KKStlF8dPha5y6kcTV+DTe6G/8PLHM6PVypezzf8Ho9fkqqRvFgcVw7SDYusDQ5XIlboGgOEIehWaPw4n1sGESPLe77FGMET/AyZ9BoQJny8urONmqebN/I345cp2+oZa3p6yI/+JSoFAocNCoLfIwRh/txo0bvP322yxZsgRHR0caNGiAra1tkemdkyZNyhdhUtijTZs2ZfrM/vjjDx577DHD35IkMXXqVH777Td27txpqOBXZuzd859MdNl5lTZLQb7POSseBykFBxslDnZ22Ln7Ft32gceDoahFtTOG+Ph4evZ8hH+3/sb5yFNcjopi5dp1zJ8/n0GDBhnVV0k8/PDDbN68mc2bN3P27FleeOGFfJUaH6RevXpotVoWL17M5cuXWbNmDUuXLs3XJjAwkJSUFHbs2MGdO3dIS0sr0E/Pnj1p1qwZY8eO5ejRoxw6dIgnn3ySbt26Gf2bS8vUkpIpa9M52lXM2kC7du1wcHDgjf8t52JUND/8upFVK781vJ+ens7UqVPZvXs3V69eZd++fYSHhxfuJExPAG06gbVrseO/U8TG3yMhoeiVd3d3dzw9PVm+fDkXL15k586dzJw50+hjmDFjBn/99RdRUVEcPXqUnTt3FunEfPXVVzlw4ABTpkwhIiKCCxcusHHjxkKdbkXh7e2Nvb09YWFh3Lp1y6CTKCgjSiWMzknpzE6Fb4p2+BfLoRVwaYe83WIsNOxrGvvKyZdjW9HA24l76dks3FY1yqQLBAIjsXOBEavk4k8Z9+TUpLJweCWEfw36bPAo5/zThLzUKxgXOzWRMUmsOxRtaXOslsS0bD756xwAL/UMpoaTCdMtSyI5BrbPgYvbYHvxWSHF0uxxqNtDThl1N3GlUUHVpd9HcpZK/EXY8ELZMrDiImFLTuG3Hm9AQHvT2lhGHmtei+8mPER978qb4iwcaVWI6dOn069fP4O4uFqtplGjRkU60sqa2pmSkmJwtAFERUURERFBdLQ8CYiLiyM8PJwBAwYY9pkyZQpr167lhx9+wNnZmdjYWGJjY0lPTy/bwSpyiwHkOLKUaqAMK3lpd+WLZC7ugXlC8hbCycmJdu3a8fVXXzBh+ACG9ezI++/O4Zlnn+WLL74w6VgTJkzgqaeeMjixgoKCioxGA2jRogWffvopH3/8MaGhoXz//ffMmzcvX5uOHTsyadIkRo0ahZeXF/Pnzy/Qj0KhYMOGDbi7u9O1a1d69uxJ3bp1+fFH47Wert6VHXUqhQK3Copa8fDwYO3atWz5eydNe45i3YYw5sycaHhfpVIRHx/Pk08+SXBwMCNHjqRfv368++67+TvKTIEEObJywdw5bNuzD39/f1q2bFnk2EqlkvXr13PkyBFCQ0N56aWX+OSTT4w+Bp1Ox5QpU2jUqBF9+/alYcOGLFmypNC2zZo1Y8+ePVy4cIEuXbrQsmVL3n77bYPuWmlQq9UsWrSIZcuWUatWLZM7haslAQ9BV7liLnGR8O9C4/Y//7dcsADAtTY8ZtrzS3nQqFXMeawJAGsOXuVYtIkFnwUCQeWgVgsYvwWe3GjQrjWKSzvzbiIffgsa9DKpeeXBw1HDzF7BAHzy1znuVETKYiVk/l9nuZuaRQNvJ8Z1qGAnlKsfDJIlTDjwBfy3rGz9uPjCuN/lQhoCQWmxd4eR34HSJkef2cgU48QbsHY4ZKdBUDfo/JJZzCwLCoUiX8BQelbly1hRSJW97mgZSEpKwtXVlcTERFxc8l+UMzIyiIqKIigoyFCJrjKwadMmxo0bR2RkZL6KeE8++SSJiYn88ccfJhtr9+7dhTpbnnrqKVatWsU333zDypUr86WbFRVZt3LlymLF80skIwnuXpK3XWrLJ5ns9NIVH0i9I+tb5eJaGxy9im5vAdKytFyMSwHAxc6GwBqlE/OvLsQkpnM7WZ541vFwwNXBAmXEJQlunwVthvy3Q43SVZJNuSVX/USSQ/096lZMXqoVU1nPvxYn7A04mDPR7zkHOs0o+bd0egP88rQcyWvjAC+eACfrOv8BPLs6nO2RcThoVES80xuNFRQeKG4OIbAOxHdUhZEkiImAWkUvOBk4uxl+Hg+6LLkK4/Bvre46q9XpeeyLfZyJSWJwi1p89ngpjqsaEX7lLiOWHgBg3cT2dKjnaRlD9syHXTkFefrMgw4vlLzPzWMQfRDaTzavbYKqT8wJ8Glq3PnrbhSsGSwXufCsDxP+BkcL/f8UQ0qmlo+3nmXfpTtsmd7FKgoPlHYOYfkZqcAkDBgwgISEhHxONIDvvvvOpE40gO7duyNJUoHHqlWrgIJpnUCh7SVJKp8TDeTVSeda8nbSdbhzHu6ck6PMivIRSxIkXs/vRLP3kB0gVoaDRo2bvewcSsrIJiVDa2GLrIcsrZ47OU40B43aMk40kC9qXiFy6gnIlWRvnwV9Ed+VpIf4S5B0E5BAbQ/uQVY3uRdUIvrOha45kWXb58CXDxkiHQsgSXB8PfwyQf4tqu1k3Q0rdKIBzOgpR2ukZemYvu6oha0RCAQWRZIg7DVY3h22vgbawotpAbDnE/hxnOxEa/QYDFlqlddZtUrJvKFNUSpgQ8RN/r1w29ImWQ1ZWj1v/HYSgFFt/C3nRAP5GtsxR87ir9fhjynyYn5hSJKsx/dtP/n3GvFDxdkpqJr4Nss7f2WnQ9jrkBJX/D7hX8tONPdAORrSCp1oAHpJ4u8zsVy+ncrinRcsbY5RCEeawOR07tyZ0aMrsBqNk3deJFl2jh5XcqzszEi9A9pM0Ovy55VnZ+Rt27rKEURWOMECqO1hjyrHthv3ypgKWwWJSUxHAhQoCPQsRQSiOVEowLuxHNkD8kUu7qx8kcvOkDX8ctM4Y05AZs7kS6WRV4mE6KygvDz8lrxKDvKCwufNYfVAOLNRjny8fgT2LoRv+8Dvz4Okk3U3pvwHXg0ta3sxhPq58lgLebEk7PQtjlwVKZ4CQbVF0oMqR8Lhv6/kRYP/lsuLU1mp8rU3F5VaPs+1HAfDV+avwGhlNPd348kOgQB8uzfKssZYEVfiU7mXnk0NJw2vPxpiWWMUCuj1PvR8F1DAsbVw+1ze+1lp8iL98R/h60fgz+lyMbT6vSCkv8XMFlRB/noTDi6Bxa1h+7ty5GNGEiTfgpsRee0eeQdaj4enw4wqyFfRuNjZ8O5joQAs23OZyJgiHNRWiEjtrCKpndUeSYLkm8V751385BS6pJuQmSN0bucmi35aWBetJBLTs7kanwpAXS8nnGyrd8Hd+1Ne/dzs8axI4dmSSLoJqbdLLn5h7w5udazWgWsJxPnXBBxaAX+9IUdhFIXaTl5d7/ySxSt0lgatVk+L97eRkqnF01FD+JuPGKoGWwKRNmj9iO+oinN2C/z5IqQ+MOfr8n/yzSOATguXd0ODMhZiqWBSMrV8f/Aq4zsFYqu2/vNyRZGYns3l2ym0DHC3tCl5RP0LF7dDr/t0bxeG5s90UdvLwu4dplSK66ygEhF7Ev6YKqe4P4izL8yMrJT3FpPWHCHsdCzN/d34bXJHi1YxFqmdguqFQiE7ytzq5BUgkN/I20y5Bbcjc5xoCnCpZRXFBUqDq70NHo5y6uCNhDR0+mrn/zaglySuJ8irzm4OGutyooH8u6oZKmvuaRzJ9xtUKOVqs96Nc357le9CJ7ByHpoIr16FNs/IKev3o7aV9dOmR0DXWZVmcq9WK1k0WtYNik/N4t0/z1jYIoFAYFFCHoXpx6Dvx+DfLk9a4f4IIZW60jjRAJxs1TzfrZ5woj2Aq72NdTnRAIK65HeiAWSlyIXPvJtAt1dhxknoNL3SXGcFlQifpjBxF4xaC8H95MwqABTyfUfqHYuaV1beHdQEZzs1x6/dY9X+K5Y2p1SIiDQRkVb10GbJGmnpCRRa3cTWVa6eY1OwIqk1o9XruXArhWydHkeNmrpejkUWcajKXLqdQmqmFrVSSYOaTtiorNwRKkmyXppSVSmctpZEnH/NgF4PafFg5wpqC+kImojRyw9y4HI8CmDT9M40qeVa4j7mQEQ7WT/iO6pm6PWQnSrLK1QBx0W2Ts/ag1cZ/VCAVQhvVzTfHbiCg0bNsFZ+lWeem5UmO3RV1TtjRGAhqsjv7/v/rvLm76ews1GyeXoX6nk5WcQOq4hIS0hIYNy4cbi6uuLq6sq4ceO4d+9esfvklkJ98PHJJ58Y2nTv3r3A+48//rg5D0VQmVBr5HRNn1BwrytHCOVGn9UMBc+6lc6JBqBWKqntLtudmqWtlnppd1IySc2URfy9nG2t34kGctSZykY40QSWQamUCwlUcicawDfj22Bno0QC3vz9FNVwHdDqEPM8gVWgzIn2rgJONIDJa4/y7p9n+N9f50puXMU4G5vEB5simfXzcf69UIkiazQOld6JIajEVJHf3+i2AXRpUAM7GxU3K8F9rlnv7MaMGUNERARhYWGEhYURERHBuHHjit0nJiYm3+Pbb79FoVAwbNiwfO0mTpyYr92yZcvMeSiCyohSDfau4FRTfti754nUVlKc7WwM+mh3U7MMTqXqQLZWT8w9uUiErVqFl7OVpXQKBAKz4qBRs+LJ1mhUCiKu3WPtwSIqkwoqDDHPEwhMz9h2sjD4N/uiOHg53sLWVBxZWj0v/XicLJ2eno286dKghqVNEggEFYhSqWDBiOb8NaMrXRpYZzX5+zGb6zIyMpKwsDAOHjxIu3btAFixYgUdOnTg3LlzNGxYeJUwHx+ffH//8ccf9OjRg7p16+Z73cHBoUBbgaA6UMfTgciYZPSSxJX4VBr5uKC0oCBjRXH5TioSEgoUBNVwtLQ5AoHAAnRp4M3rjzbi3T/P8OGWSDrU86S+t7OlzaqWiHmeQGAeeoR483hbf9aHX+P/fjrOluldcHWo3AvBpeHzHeeJjEnCw1HDvKHNKk9ap0AgMBneLvmlXSRJstpzgdki0g4cOICrq6thcgXQvn17XF1d2b9/f6n6uHXrFps3b+aZZ54p8N73339PjRo1aNKkCbNmzSI5ObnIfjIzM0lKSsr3EAgqKyqlkgAPBwB0eomonGqeVZmYe+lkanUA+LjaoVGLNEmBoLryVIdAOtXzJCNbz+Av95GckW1pk6olYp4nEJiPN/s3IsDDgRv30nn11xNVPpV9/6U7fLX7EgAfDg4VWQcCgYC/TscyfOkBq53nme1uNDY2Fm9v7wKve3t7ExsbW6o+Vq9ejbOzM0OHDs33+tixY1m3bh27d+/m7bff5tdffy3Q5n7mzZtn0O9wdXXF39/fuIMRCKwMF3sbmvu7szNsM6mZWm4nZ5ptrMDAQD777DOL9ZOckc3tFPn4HDRqs0yuTHWMVYXdu3ejUChK1DoSCCyBUqngrQGNUAApmTpGLD1gaZOqJWKeJxCYD2c7G74Y0xIblYKw07GsqcKp7HFJGUxfF4FeghGta9Ovqa+lTRIIBBYmI1vHuxtPc+RqAq/9etIqFxOMdqTNmTOnSKHY3Mfhw4cBCg3DMyY879tvv2Xs2LEFqrdNnDiRnj17EhoayuOPP84vv/zC9u3bOXr0aKH9vP766yQmJhoe165dM/KoBYKKJS4ujueff56AgABsbW3x8fGhT58+HDiQd8N48+ZNHu7VG4DYxAy0Or2lzM3HqlWrcHNzK/B6eHg4zz33nFF96fUS1xNksUmVQkFdM6V0lsU2U6NQKNiwYYNFbcilY8eOxMTE4OpquqqIV65cQaFQEBERYbI+BdWXRr6uTH+kPgBnY5OZvfG0hS2qOoh5nkBgHTSr7cbr/RoBsHDbeVKqqC7u3ot3iE/NJMTHmfcGhVraHIFAYAXY2ahYPKYVaqWCzSdjWLnviqVNKoDRGmlTp04tsXJSYGAgJ06c4NatWwXeu337NjVr1ixxnH///Zdz587x448/lti2VatW2NjYcOHCBVq1alXgfVtbW2xtq0+I8FtvvcUnn3zCsGHD+OGHHyxtjqAMDBs2jOzsbFavXk3dunW5desWO3bs4O7du4Y2vr6+1NDpORcr66XdTMzA393eavPIvbyMF428mZhOtk6PSqEgyMvRbFpwZbHNEmRnZ2NjY36dFI1GI7SJBFbPS70asvfiHY5cvcfq/Vfo2qAGjzQqeX4hKB4xzxMIrIenOwVyKymDUW39DcWmqhpDW9XGy9mWWm722GuqRuVVgUBQflrXcefN/rIu7twtkTT3d6V1HQ9Lm5WHZCbOnDkjAdJ///1neO3gwYMSIJ09e7bE/Z966impdevWpRrr5MmTEiDt2bOnVO0TExMlQEpMTCzwXnp6unTmzBkpPT29VH1ZI4mJidIXX3whAdKFCxdM3v+ePXukAQMGSL6+vhIg/f777yYfozqTkJAgAdLu3buLbZf72SdnZEtb9x+XAGnFqjVS586dJTs7O6lNmzbSuXPnpEOHDkmtW7eWHB0dpT59+khxcXGGPrp16ya9+OKL+fodNGiQ9NRTTxn+rlOnjrRw4ULD3wsWLJBCQ0MlBwcHqXbt2tLkyZOl5ORkSZIkadeuXRKQ7zF79uxC+7l69ar02GOPSY6OjpKzs7M0YsQIKTY21vD+K6+/JTVsHCp98NlXUkBAHcnFxUUaNWqUlJSUVORnsnLlSsnV1VX6888/peDgYMne3l4aNmyYlJKSIq1atUqqU6eO5ObmJk2dOlXSarVFHiMgrVixQho8eLBkb28v1a9fX/rjjz8KjHM/v//+u3T/KTUiIkLq3r275OTkJDk7O0utWrWSwsPDC7W7Tp06+T6zOnXqSJIkSbNnz5aaN28uffPNN1JQUJCkUCgkvV4vbd26VerUqZPk6uoqeXh4SP3795cuXrxo6C8qKkoCpF9//VXq3r27ZG9vLzVr1kzav3+/oc2VK1ekAQMGSG5ubpKDg4PUuHFjafPmzfm+x4SEBEP7ffv2SV26dJHs7Oyk2rVrS9OmTZNSUlLyHcOHH34oPf3005KTk5Pk7+8vLVu2LN9nev+jW7duBT6HqnD+FVQs6ZnZUtPZYVKdVzdJ9d/YLMUmmv+3U9wcojpRWed5AoHAOtDr9ZY2QSAQWDl6vV564fsjUp1XN0ntPtwu3U7OMPuYpZ1DmE0jrVGjRvTt25eJEydy8OBBDh48yMSJExkwYEC+Sk4hISH8/vvv+fZNSkri559/5tlnny3Q76VLl3jvvfc4fPgwV65cYcuWLYwYMYKWLVvSqVMncx1OpcLFxYUJEyagVCo5efKkyftPTU2lefPmfPHFFybvu8LISi36kZ1hRNv00rU1AicnJ5ycnNiwYQOZmSVrnznZqvF2kVfi537wPs+/OIujR4+iVqsZPXo0r7zyCp9//jn//vsvly5d4p133jHKngdRKpUsWrSIU6dOsXr1anbu3Mkrr7wCyCmBn332GS4uLsTExBATE8OsWbMK9CFJEoMHD+bu3bvs2bOHbdu2cenSJUaNGgXA7eRMkjKyuXb1Cvt3hLF58yY2bdrEnj17+Oijj4q1Ly0tjUWLFrF+/XrCwsLYvXs3Q4cOZcuWLWzZsoU1a9awfPlyfvnll2L7effddxk5ciQnTpzg0UcfZezYsfkiAkti7Nix1K5dm/DwcI4cOcJrr71WZDRZeHg4ACtXriQmJsbwN8DFixf56aef+PXXXw1pkampqcycOZPw8HB27NiBUqlkyJAh6PX503vffPNNZs2aRUREBMHBwYwePRqtVk4NmTJlCpmZmfzzzz+cPHmSjz/+GCcnp0LtO3nyJH369GHo0KGcOHGCH3/8kb179zJ16tR87RYsWECbNm04duwYL7zwApMnT+bs2bMAHDp0CIDt27cTExPDb7/9VurPUiAoCjuNmp+e74BSAdk6if6f/0uW1jrS3Ks6Yp4nEFQ8+y/eYeG285Y2o9ycupHIoC/3ER2fZmlTBAKBFaNQKPh4WDPqejkSm5TBc98dJiNbZ2mzgDKkdhrD999/z/Tp0+ndW9Zxeuyxxwo4X86dO0diYmK+19avX48kSYwePbpAnxqNhh07dvD555+TkpKCv78//fv3Z/bs2ahUIhw4F61Wi4ODA6dOnWLIkCEm7btfv37069fPpH1WOHNrFf1eg94w9ue8vz+pD9lFXOjrdIanN+f9/VlTSIsv2G5OYsHXikCtVrNq1SomTpzI0qVLadWqFd26dePxxx+nWbNmhe7j7qAB4Mnnp9KsfTe83Ox58cUXGT16NDt27DDcfDzzzDOsWrWq1LYUxowZMwzbQUFBvP/++0yePJklS5ag0WhwdXVFoVAUmxq4fft2Tpw4QVRUlEEUes2aNTRp0oTdew/gEShrgkh6PevWfoeLiwsA48aNY8eOHXz44YdF9p2dnc1XX31FvXr1ABg+fDhr1qzh1q1bODk50bhxY3r06MGuXbsMjrvCGD9+vOEcNHfuXBYvXsyhQ4fo27dvqT6n6OhoXn75ZUJCQgBo0KBBkW1zU0vd3NwKfG5ZWVmsWbMmX/rpsGHD8rX55ptv8Pb25syZM4SG5umLzJo1i/79+wOyY7BJkyZcvHiRkJAQoqOjGTZsGE2bNgWgbt26Rdr3ySefMGbMGMN336BBAxYtWkS3bt346quvDPpGjz76KC+88AIAr776KgsXLmT37t2EhIQY7Pf09BRpowKTEuLrwryhTXn115PcSc3io62RvDOwiaXNqhaIeZ5AUHFcu5vGk98eQquX8HOzZ2TbyllUIyYxnWdWh3MrKZP//X2ORaNbWtokgUBgxTjZqlk+rg1Dl+wj1M8VtZmkfozFrI40Dw8P1q5dW2wbqZAKDM8991yRwt/+/v7s2bPHJPaVGkkq2pFibmwcoAyaV2+99RYpKSmcOnWqyDZz585l7ty5xfazdetWunTpYvT4gvIxbNgw+vfvz7///suBAwcICwtj/vz5fP3114wfP75A+1xdtEaNZSfKzXvpOLl5AhgcJQA1a9YkLi6uXLbt2rWLuXPncubMGZKSktBqtWRkZJCamoqjY+mKAURGRuLv75+vslrjxo1xc3Nj3+HjDAyUK/IFBQUanGgg68KVZL+Dg4PBiQbyMQcGBuaLtirN53C/09LR0RFnZ2ejPruZM2fy7LPPsmbNGnr27MmIESPy2VVa6tSpU0DD7dKlS7z99tscPHiQO3fuGCLRoqOj8znS7j8GX1+5ClZcXBwhISFMnz6dyZMn8/fff9OzZ0+GDRtWpKP2yJEjXLx4ke+//97wmiRJ6PV6oqKiaNSoUYHxcp2p5f29CQSlYVTbALJ0et7ecJpv912hrpcTT7SvY2mzqjxVZp4nEFQC/D0ceKFHfRbtuMDrv5/Ey9mWHiEFK+daMymZWiasOsytpEyCazrxwRBRXEAgEJRMfW8n/nqpK76u9pY2xUDVVK00NdlpxUcwmZM3boLGuEqFR44cYenSpfTv379YR9qkSZMYOXJksX35+fkZNXal4Y2bRb+neGDF++WLxbR9IDt6hulSae3s7OjVqxe9evXinXfe4dlnn2X27NmFOtJyqVvTFaVCgV6SiEuW00LvTydUKBT50v+USmWBm5zs7Owi+7969SqPPvookyZN4v3338fDw4O9e/fyzDPPFLvfg0iFVHXL1unR6fWgAAUKPBw1BVIhH7S/MArbx1T95O5Tms9tzpw5jBkzhs2bN7N161Zmz57N+vXrjY4QLcw5OXDgQPz9/VmxYgW1atVCr9cTGhpKVlZWkceQ+3nnHsOzzz5Lnz592Lx5M3///Tfz5s1jwYIFTJs2rcB4er2e559/nunTpxd4LyAgoNDxcscs6XMWCEzFuPaB3EvNZsG28yzacYEhLf1wrKLi3AKBoHryUs8GXE9I47ejN3jh+6Ose649LfzdLG1WqcjS6pny/VEiY5Ko4aThm6fa4mJn/gJKAoGgamBNTjQQjrQqR+4N79SpU2nXrh1jx44lKysLjUZToK2HhwceHlZU+aIiMcY5aa62RtK4cWM2bNhQbBsblZL63k5cuJVCrpunuDxyLy8vYmJiDH/rdDpOnTpFjx49Cm1/+PBhtFotCxYsQKmUnYg//fRTvjYajQadrvjc9caNGxMdHc21a9fw9/dHq9ez9d9wkpOSqFu/IXU8HVCrzCbhWG68vLxITk7OF4WXq192P8HBwQQHB/PSSy8xevRoVq5cWaQjzcbGpsTPDSA+Pp7IyEiWLVtmiBbdu3dvmY7D39+fSZMmMWnSJF5//XVWrFhRqCOtVatWnD59mvr165dpHMBwDirNMQoEZWXqw/XJ1ukZ0cZfONEEAkGVI1cv6E5KFv+cv83TKw/xw8T2NPJ1KXlnC6LV6Xlx/TH2nL+NnY2SFU+2wd/DwdJmCQQCQZkRs8zSYONQfASTucc2gsWLF3P79m3ee+89oqOj0Wq1nDt3Ll96Xy4itdM6iY+PZ8SIEUyYMIFmzZrh7OzM4cOHmT9/PoMGDSpxfzsbFUE1HMiVq790OwUPdzc06oLaMg8//DAzZ85k8+bN1KtXj4ULF3Lv3r0i+65Xrx5arZbFixczcOBA9u3bx9KlS/O1CQwMJCUlhR07dtC8eXMcHBxwcMj/O+7ZsyfNmjVj7NixfLpwIZdvJfHuazNp074Tfbp3xMXeulco27Vrh4ODA2+88QbTpk3j0KFD+bTn0tPTefnllxk+fDhBQUFcv36d8PDwAtpm9xMYGGjQs7O1tcXd3b3Qdu7u7nh6erJ8+XJ8fX2Jjo7mtddeM/oYZsyYQb9+/QgODiYhIYGdO3caUjQf5NVXX6V9+/ZMmTKFiRMn4ujoSGRkJNu2bWPx4sWlGs/b2xt7e3vCwsKoXbs2dnZ2uLq6Gm23QFAcCoWCmb0bltxQIBAIKik2KiVfjW3FmK//4/i1e4z9+j/+mNLJqh1TX+y6yNZTsWhUshOtZUDhcxyBQCCoLFhvyIc1oVDIkUaWeBihj3bjxg3efvttlixZgqOjIw0aNMDW1rbI9M5JkyYRERFR7KNNmzam+hQFpcTJyYl27dqxcOFCunbtSmhoKG+//TYTJ04sdaVUJzsbarrIAvCSBNF30+W0yQeYMGECTz31FE8++STdunUjKCioyGg0gBYtWvDpp5/y8ccfExoayvfff8+8efPytenYsSOTJk1i1KhReHl5MX/+/AL9KBQKNmzYgJubO926dmPCqEHUrhPIqrU/4OFoW6pjtCS5ukBbtmyhadOmrFu3jjlz5hjeV6lUxMfH8+STTxIcHMzIkSPp168f7777bpF9LliwgG3btuHv70/LlkUL7yqVStavX8+RI0cIDQ3lpZde4pNPPjH6GHQ6HVOmTDFU3mvYsCFLliwptG2zZs3Ys2cPFy5coEuXLrRs2ZK3337boLtWGtRqNYsWLWLZsmXUqlWrVE5hgUAgEAgEBXG0VfPdhIdoVtuVh0O8qeVmXSlPD/JUh0Aa+7rw5dhWdGngVfIOAoFAYOUopMJUYKs4SUlJuLq6kpiYmE/IHCAjI4OoqCiCgoIMlegqC8OGDUOtVvPjjz8aXmvZsiWPPvposVUOjSUlJYWLFy8a+v/000/p0aMHHh4e+fSSBJYnJSObq/Fp6CQJexsVgTUcsbGSlEmtTs/Vu2mkZmpRKhQEeDhYfSSawLxU5vOvoPpQ3BxCYB2I70hQXUjJ1GJvo0JlJVXs7idTq8P2vmwIrU5v1bIdAoFAAKWfQ4izWRVh06ZN7Ny5k88//zzf602bNi224EBZOHz4MC1btjREzcycOZOWLVvyzjvvmHQcQflxsrMhyMsRtVJJeraOc7HJxKdkWtosUjKyiYxNJjVTi0qhIKiGo3CiCQQCgUAgEBiBk63a4ETT6SWmrTvGHxE3LGwVxCSmM3TJfr47cMXwmnCiCQSCqoTQSKsiDBgwgISEhAKvf/fddyYfq3v37oWWsxdYJw4aNfW8HbkUl4JWL3HjXjqpWTr83e0LVM6sCOKSM4hNzADk6pxBNRxxEKLgAoFAIBAIBGXm1yPX+fP4Tf48fpOT1xN5tV+IRbIQjly9y+S1R4lLzuSLnRcZ1qq2KP4iEAiqHGJpQCCoBtiqVTSo6Yw6p9LmvbQszt1KJltbUDfNXOj0ei7EJRucaEqFgvrewokmEAgEAoFAUF6Gt67N893qAvD13ijGfv0fN++lV9j4Wp2ehdvOM2LpAeKSMwmu6cSvkzsKJ5pAIKiSCEeaQFBNsFEpCfF1xilnQpOl1XM2Npm45Ayzj52Unk1kTDLpWTpAduyF+DhjrxGTK4FAIBAIBILyolQqeL1fI5Y+0QonWzWHou7SZ+E//BgebfZMkuj4NEYtP8jnOy6gl2BISz9+ndzRqiuJCgQCQXkQd7ECQTVCqVBQ18uJ28mZxCZmICERm5hBcrqWOp4OJtev0OslYpMyuHOfLpuXsy2+rtZdXUogEAgEAoGgMtI31Jfgms7838/HORZ9j1d/PUnUnTRe6xdilvHSs3Q89uVe7qVl42yr5oMhoQxq4WeWsQQCgcBaEBFpAkE1xMvZloY+ztjlVFNKzdJyLjaZuKQMsnXlT/fM1um5nZzB2dhkgxNNrVTSwNtJONEEAoFAIBAIzEhdLyd+mdSRNx9thL2NioHNfQ3vmWKel5KpNUS52WtUTOgURMd6nmx5sYtwogkEgmqBiEgTCKopGrWSYB9nEtOyiEvOJD1bR2xSBreSMlCrlLg72FDDybbUUWp6vcTdtCziU7LI1OryxlEp8XG1w9XexiLFDQQCgUAgEAiqGyqlgold6zKiTW3cHDSG19/47SRX49MY1tqPPk188r1XHFqdnqPR9/j92A02Hb/Jkida0aWBFwAvdK/HtIfri3meQCCoNghHmkBQzXF10OBib0Nieja3kjLJ1OrI1umJS84kLjkTlVKBnVqFnUaFQ84DCbR6iaT0bNKzdWRq9QVWOFVKBb6u9rg52KAUEyuBQCAQCASCCud+R1lalpYtJ2NIzdJx6MpdXv/tJKF+rrQKcKeetxONfV1oXccdgIxsHWGnYrkSn8qpG0kcioonKUNr6OuPiJsGR5qppUEEAoHA2hGONIFAgEKhwM1Bg2uOQy0uOZPMbB0SoNNLpGZpSc3SEl+KvjRqJZ6OttRw0oiVSYFAIBAIBAIrwUGjZvv/deO3ozf48/hNzsYmc+J6IieuJwLQp0lNlo1rA4BekpjxY0S+/V3tbXikkTfDW9emfZBnRZsvEAgEVoNwpAkElRSFQsHvv//O4MGDTdqnm4MGNwcNekkiOT2bJiENePLZyYx9ZjIoQJJAASgUoJdArVRgZ6PC2U6Nu4MGpbJw51lgYCAzZsxgxowZJrPXVFizbZZg9+7d9OjRg4SEBNzc3CxtjkAgEAgEAhPh62rPlB71mdKjPjGJ6fx3+S5nYpK4GJdCUz9XQzsHjZpHQrxxc9DQpJYLLQLcaF7bDVUR8zyBQCCoTghHmkBghcTFxfH222+zdetWbt26hbu7O82bN2fOnDl06NABgJiYGNzd3c1mg1KhwNVBg1qpwNvFjib3Ta6KY9WqVcyYMYN79+7lez08PBxHR0czWFp+rME2czhGy0rHjh2JiYnB1bV033lpuHLlCkFBQRw7dowWLVqYrF+BQCAQCARlw9fVnsEt/RjcsvACAd+Mb1vBFgkEAkHlQDjSBAIrZNiwYWRnZ7N69Wrq1q3LrVu32LFjB3fv3jW08fHxsaCFxuPl5WVpE4rEmm27n+zsbGxsbMw+jkajqXS/L4FAIBAIBAKBQCCoCIQyZBXkrbfewtbWljFjxljaFEEZuHfvHnv37uXjjz+mR48e1KlTh4ceeojXX3+d/v37G9opFAo2bNgAyNE+CoWCn376iS5dumBvb0/btm05f/484eHhtGnTBicnJ/r27cvt27cNfXTv3r1AOuPgwYMZP358kfZ9+umnNG3aFEdHR/z9/XnhhRdISUkB5JTAp59+msTERBQKBQqFgjlz5gBy+uRnn31m6Cc6OppBgwbh5OSEi4sLI0eO5NatW4b358yZQ4sWLVizZg2BgYG4urry+OOPk5ycXKRtq1atws3NjU2bNtGwYUMcHBwYPnw4qamprF69msDAQNzd3Zk2bRo6XV5l0QdtUygUfP311wwZMgQHBwcaNGjAxo0bC4xzPxs2bMinCXf8+HF69OiBs7MzLi4utG7dmsOHDxdqd2BgIABDhgxBoVAY/s79DL799lvq1q2Lra0tkiQRFhZG586dcXNzw9PTkwEDBnDp0iVDf7m/h99++40ePXrg4OBA8+bNOXDggKHN1atXGThwIO7u7jg6OtKkSRO2bNli+B4VCkW+qML9+/fTtWtX7O3t8ff3Z/r06aSmpuY7hrlz5zJhwgScnZ0JCAhg+fLlhveDgoIAaNmyJQqFgu7duxf6WQgEAoFAIBAIBAKBNSMcaVWQV155hU8//ZR169Zx8eJFk/c/b9482rZti7OzM97e3gwePJhz586ZfBxzkpadVuQjU5dZ6rYZ2oxStTUGJycnnJyc2LBhA5mZmSXvcB+zZ8/mrbfe4ujRo6jVakaPHs0rr7zC559/zr///sulS5d45513jOrzQZRKJYsWLeLUqVOsXr2anTt38sorrwBySuBnn32Gi4sLMTExxMTEMGvWrAJ9SJLE4MGDuXv3Lnv27GHbtm1cunSJUaNG5Wt36dIlNmzYwKZNm9i0aRN79uzho48+Kta+tLQ0Fi1axPr16wkLC2P37t0MHTqULVu2sGXLFtasWcPy5cv55Zdfiu3n3XffZeTIkZw4cYJHH32UsWPH5osILImxY8dSu3ZtwsPDOXLkCK+99lqR0WTh4eEArFy5kpiYGMPfABcvXuSnn37i119/JSIiAoDU1FRmzpxJeHg4O3bsQKlUMmTIEPT6/JVT33zzTWbNmkVERATBwcGMHj0arVauuDVlyhQyMzP5559/OHnyJB9//DFOTk6F2nfy5En69OnD0KFDOXHiBD/++CN79+5l6tSp+dotWLCANm3acOzYMV544QUmT57M2bNnATh06BAA27dvJyYmht9++63Un6VAIBAIBAKBQCAQWAsitbMK4uLiwoQJE5g+fTonT56kfv36Ju1/z549TJkyhbZt26LVannzzTfp3bs3Z86csbjOVGlp90O7It/r4teFJT2XGP7u/lN30rXphbZtU7MNK/uuNPzd99e+JGQmFGh38qmTpbZNrVazatUqJk6cyNKlS2nVqhXdunXj8ccfp1mzZsXuO2vWLPr06QPAiy++yOjRo9mxYwedOnUC4JlnnmHVqlWltqUw7o9gCwoK4v3332fy5MksWbIEjUaDq6srCoWi2NTA7du3c+LECaKiovD39wdgzZo1NGnShPDwcNq2lTU59Ho9q1atwtnZGYBx48axY8cOPvzwwyL7zs7O5quvvqJevXoADB8+nDVr1nDr1i2cnJxo3LgxPXr0YNeuXQUcd/czfvx4Ro8eDcDcuXNZvHgxhw4dom/fvqX6nKKjo3n55ZcJCQkBoEGDBkW2zU0tdXNzK/C5ZWVlsWbNmnzpp8OGDcvX5ptvvsHb25szZ84QGhpqeH3WrFmGKMZ3332XJk2acPHiRUJCQoiOjmbYsGE0bdoUgLp16xZp3yeffMKYMWMM332DBg1YtGgR3bp146uvvsLOzg6ARx99lBdeeAGAV199lYULF7J7925CQkIM9nt6eoq0UYFAIBAIBAKBQFBpERFpVRStVouDgwOnTp0yed9hYWGMHz+eJk2a0Lx5c1auXEl0dDRHjhwx+VjVlWHDhnHz5k02btxInz592L17N61atSrRCXa/o61mzZoABkdJ7mtxcXHlsm3Xrl306tULPz8/nJ2defLJJ4mPj8+X5lcSkZGR+Pv7G5xoAI0bN8bNzY3IyEjDa4GBgQYnGoCvr2+J9js4OBicaCAfc2BgYL5oq9J8Dvd/lo6Ojjg7Oxv12c2cOZNnn32Wnj178tFHH+VLvTSGOnXqFNBwu3TpEmPGjKFu3bq4uLgY0iajo6OLPAZfX18AwzFMnz6dDz74gE6dOjF79mxOnDhRpA1Hjhxh1apVhmhJJycn+vTpg16vJyoqqtDxcp2p5f29CQQCgUAgEAgEAoE1ISLSSoEkSUVGJJkbe7V9Pt2l0vLWW2+RkpJSrCNt7ty5zJ07t9h+tm7dSpcuXYptk5iYCICHh4fRdlqK/8b8V+R7KqUq39+7R+4usq1Skd8XHTYsrFx23Y+dnR29evWiV69evPPOOzz77LPMnj27WP2y+1MHc383D752f/qfUqlEkqR8fWRnZxfZ/9WrV3n00UeZNGkS77//Ph4eHuzdu5dnnnmm2P0eRJKkQn/XD77+YCrkg/YXRmH7mKqf3H1K87nNmTOHMWPGsHnzZrZu3crs2bNZv349Q4YMKXbcByksynPgwIH4+/uzYsUKatWqhV6vJzQ0lKysrCKPIfdzzT2GZ599lj59+rB582b+/vtv5s2bx4IFC5g2bVqB8fR6Pc8//zzTp08v8F5AQECh4+WOWdLnLBAIBAKBQCAQCASVCeFIKwXp2vRiUwHNyX9j/sPBxsGofY4cOcLSpUvp379/sY60SZMmMXLkyGL78vMrvBx2LpIkMXPmTDp37pwvpczaMeYzNVdbY2ncuLGhuICp8PLyIiYmxvC3Tqfj1KlT9OjRo9D2hw8fRqvVsmDBApRK2Yn4008/5Wuj0WjyCfkXRuPGjYmOjubatWuGqLQzZ86QmJhIo0aNynNIFYKXlxfJycmkpqYaHF25+mX3ExwcTHBwMC+99BKjR49m5cqVRTrSbGxsSvzcAOLj44mMjGTZsmUGJ/fevXvLdBz+/v5MmjSJSZMm8frrr7NixYpCHWmtWrXi9OnT5UoT12g0AKU6RoFAIBAIBAKBQCCwVoQjrYqRGzkydepU2rVrx9ixY8nKyjLcxN6Ph4dHuaPIpk6dyokTJ8p8Iy8oSHx8PCNGjGDChAk0a9YMZ2dnDh8+zPz58xk0aJBJx3r44YeZOXMmmzdvpl69eixcuDBfpcYHqVevHlqtlsWLFzNw4ED27dvH0qVL87UJDAwkJSWFHTt20Lx5cxwcHHBwyO9g7NmzJ82aNWPs2LF89tlnaLVaXnjhBbp160abNm1MeozmoF27djg4OPDGG28wbdo0Dh06lC/tNj09nZdffpnhw4cTFBTE9evXCQ8PL6Btdj+BgYEGPTtbW1vc3d0Lbefu7o6npyfLly/H19eX6OhoXnvtNaOPYcaMGfTr14/g4GASEhLYuXNnkU7MV199lfbt2zNlyhQmTpyIo6MjkZGRbNu2jcWLF5dqPG9vb+zt7QkLC6N27drY2dnh6upqtN0CgUAgEAgEAoFAYEmEI60U2Kvti00FNPfYxrB48WJu377Ne++9R3R0NFqtlnPnzuXTycqlvKmd06ZNY+PGjfzzzz/Url3bKDsFRePk5ES7du1YuHAhly5dIjs7G39/fyZOnMgbb7xh0rEmTJjA8ePHefLJJ1Gr1bz00ktFRqMBtGjRgk8//ZSPP/6Y119/na5duzJv3jyefPJJQ5uOHTsyadIkRo0aRXx8PLNnz2bOnDn5+lEoFGzYsIFp06bRtWtXlEolffv2LbVTxtJ4eHiwdu1aXn75ZZYvX07Pnj2ZM2cOzz33HAAqlYr4+HiefPJJbt26RY0aNRg6dCjvvvtukX0uWLCAmTNnsmLFCvz8/Lhy5Uqh7ZRKJevXr2f69OmEhobSsGFDFi1aRPfu3Y06Bp1Ox5QpU7h+/TouLi707duXhQsXFtq2WbNm7NmzhzfffJMuXbogSRL16tUrtljDg6jVahYtWsR7773HO++8Q5cuXdi9e7dRNgsEAoFAIBAIBAKBpVFIDwr9mJAPP/yQzZs3ExERgUajKTbSJRdJknj33XdZvnw5CQkJtGvXji+//JImTZoY2mRmZjJr1izWrVtHeno6jzzyCEuWLCm1MycpKQlXV1cSExNxcXHJ915GRgZRUVEEBQUZKtFVFm7cuEGjRo1Yt24d/fv3R6vV4uTkxMqVKw3VB+/n7t273L17t9g+/fz8sLfP78yTJIlp06bx+++/s3v37mKrEQoEAkFpqcznX0H1obg5RHWjMs7zBAKBQCAQCIqitHMIs1btzMrKYsSIEUyePLnU+8yfP59PP/2UL774gvDwcHx8fOjVqxfJycmGNjNmzOD3339n/fr17N27l5SUFAYMGFDttXemT59Ov3796N+/PyBHgDRq1KhInTQPDw/q169f7ONBJxrAlClTWLt2LT/88APOzs7ExsYSGxtLerplCjIIBAKBQCCoeMQ8TyAQCAQCQXXErBFpuaxatYoZM2aUuFIpSRK1atVixowZvPrqq4C8KlmzZk0+/vhjnn/+eRITE/Hy8mLNmjWGtKKbN2/i7+/Pli1b6NOnT4n2VMWItE2bNjFu3DgiIyPx8fExvP7kk0+SmJjIH3/8YbKxiqoiunLlymIrSgoEAkFxVNbzr6B6IaKdClKZ5nkCgUAgEAgERWEVEWnGEhUVRWxsLL179za8ZmtrS7du3di/fz8gV6TMzs7O16ZWrVqEhoYa2jxIZmYmSUlJ+R5VjQEDBpCQkJDPiQbw3XffmdSJBvJEuLCHcKIJBAKBQCAoCjHPEwgEAoFAUBWwKkdabGwsADVr1sz3es2aNQ3vxcbGotFoClS0u7/Ng8ybNw9XV1fDw9/f3wzWCwQCgUAgEAiKQszzBAKBQCAQVAWMdqTNmTMHhUJR7OPw4cPlMurB1EFJkopMJyxNm9dff53ExETD49q1a+WyTyAQCAQCgaAqIuZ5AoFAIBAIBMWjNnaHqVOn8vjjjxfbJjAwsEzG5KYlxsbG4uvra3g9Li7OsHrp4+NDVlYWCQkJ+VYr4+Li6NixY6H92traYmtrWyabBAKBQCAQCKoLYp4nEAgEAoFAUDxGO9Jq1KhBjRo1zGELQUFB+Pj4sG3bNlq2bAnIFaH27NnDxx9/DEDr1q2xsbFh27ZtjBw5EoCYmBhOnTrF/PnzzWKXQCAQCAQCQXVAzPMEAoFAIBAIisdoR5oxREdHc/fuXaKjo9HpdERERABQv359nJycAAgJCWHevHkMGTIEhULBjBkzmDt3Lg0aNKBBgwbMnTsXBwcHxowZA4CrqyvPPPMM//d//4enpyceHh7MmjWLpk2b0rNnT3MejkAgEAgEAoEgBzHPEwgEAoFAUB0xqyPtnXfeYfXq1Ya/c1cfd+3aRffu3QE4d+4ciYmJhjavvPIK6enpvPDCCyQkJNCuXTv+/vtvnJ2dDW0WLlyIWq1m5MiRpKen88gjj7Bq1SpUKpXJbNfr9SbrSyAQCAQlI0mSpU0QCARGUJnneQKBQCAQCARlRSFVwzuXpKQkXF1dSUxMxMXFJd97er2eCxcuoFKp8PLyQqPRlCiAKxAIBILyIUkSt2/fJi0tjQYNGogbZoHVUtwcQmAdiO9IIBAIBAJBWSjtHMKsEWmVEaVSSVBQEDExMdy8edPS5ggEAkG1QaFQULt2beFEEwgEAoFAIBAIBFaLcKQVgkajISAgAK1Wi06ns7Q5AoFAUC2wsbERTjTB/7d33/FR1Pkfx9+bTbLpCySkQYCA9F6kCoJiQAFFOQFL7NhPEblT7HIqP7GfCoinYgX0EOUUkVCkSKgSUEFABUJJCDWFkrbz+2PJypK2hGx2YV/P32Me7H73OzOf2Ym//dxnZr5fAAAAwKtRSCuHyWRSQECAAgICPB0KAAAAAAAAvICfpwMAAAAAAAAAzgUU0gAAAAAAAAAXUEgDAAAAAAAAXOCTY6QZhiHJPrUpAACAq0pyh5JcAt6HPA8AAFSFq3meTxbScnNzJUkJCQkejgQAAJyLcnNzZbVaPR0GykCeBwAAzkZleZ7J8MFLqjabTXv37lV4eLhMJlO1bz8nJ0cJCQnatWuXIiIiqn37qBrOi3fivHgnzot34rx4nmEYys3NVXx8vPz8GCHDG5Hn+SbOi3fivHgnzot34rx4nqt5nk/ekebn56f69eu7fT8RERH8B+CFOC/eifPinTgv3onz4lnciebdyPN8G+fFO3FevBPnxTtxXjzLlTyPS6kAAAAAAACACyikAQAAAAAAAC6gkOYGFotFTz/9tCwWi6dDwSk4L96J8+KdOC/eifMCeB7/HXonzot34rx4J86Ld+K8nDt8crIBAAAAAAAA4ExxRxoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCmhtMmjRJiYmJCgoKUufOnbVs2TJPh+TTJkyYoAsvvFDh4eGKjo7W0KFDtWXLFk+HhVNMmDBBJpNJo0eP9nQokLRnzx7deOONioyMVEhIiDp06KB169Z5OiyfVlRUpCeeeEKJiYkKDg5W48aNNX78eNlsNk+HBvgc8jzvQp53biDX8x7ked6HPO/cQyGtms2cOVOjR4/W448/rvXr16t37966/PLLlZ6e7unQfNaSJUt03333aeXKlUpJSVFRUZGSkpJ09OhRT4cGSWvWrNHUqVPVrl07T4cCSYcPH1avXr0UEBCg7777Tps2bdIrr7yiWrVqeTo0n/biiy9qypQpeuutt7R582ZNnDhRL730kt58801Phwb4FPI870Oe5/3I9bwHeZ53Is8795gMwzA8HcT5pFu3burUqZMmT57saGvZsqWGDh2qCRMmeDAylNi/f7+io6O1ZMkS9enTx9Ph+LS8vDx16tRJkyZN0nPPPacOHTro9ddf93RYPu3RRx/Vjz/+yB0WXmbw4MGKiYnRe++952gbNmyYQkJC9PHHH3swMsC3kOd5P/I870Ku513I87wTed65hzvSqlFBQYHWrVunpKQkp/akpCStWLHCQ1HhdNnZ2ZKkOnXqeDgS3HfffRo0aJD69+/v6VBw0pw5c9SlSxdde+21io6OVseOHfXuu+96Oiyfd9FFF2nhwoXaunWrJGnDhg1avny5rrjiCg9HBvgO8rxzA3medyHX8y7ked6JPO/c4+/pAM4nBw4cUHFxsWJiYpzaY2JilJmZ6aGocCrDMDRmzBhddNFFatOmjafD8WkzZszQTz/9pDVr1ng6FJzizz//1OTJkzVmzBg99thjWr16tR544AFZLBbddNNNng7PZz3yyCPKzs5WixYtZDabVVxcrOeff17XXXedp0MDfAZ5nvcjz/Mu5HrehzzPO5HnnXsopLmByWRyem8YRqk2eMb999+vjRs3avny5Z4Oxaft2rVLDz74oObPn6+goCBPh4NT2Gw2denSRS+88IIkqWPHjvr11181efJkEiwPmjlzpj755BN99tlnat26tdLS0jR69GjFx8fr5ptv9nR4gE8hz/Ne5Hneg1zPO5HneSfyvHMPhbRqFBUVJbPZXOqqZFZWVqmrl6h5f//73zVnzhwtXbpU9evX93Q4Pm3dunXKyspS586dHW3FxcVaunSp3nrrLeXn58tsNnswQt8VFxenVq1aObW1bNlSs2bN8lBEkKR//OMfevTRRzVy5EhJUtu2bbVz505NmDCBBAuoIeR53o08z7uQ63kn8jzvRJ537mGMtGoUGBiozp07KyUlxak9JSVFPXv29FBUMAxD999/v7788kstWrRIiYmJng7J51166aX6+eeflZaW5li6dOmiG264QWlpaSRWHtSrVy9t2bLFqW3r1q1q2LChhyKCJB07dkx+fs4/2WazmWnRgRpEnuedyPO8E7medyLP807keece7kirZmPGjFFycrK6dOmiHj16aOrUqUpPT9fdd9/t6dB81n333afPPvtMX3/9tcLDwx1Xkq1Wq4KDgz0cnW8KDw8vNXZJaGioIiMjGdPEwx566CH17NlTL7zwgoYPH67Vq1dr6tSpmjp1qqdD82lDhgzR888/rwYNGqh169Zav369Xn31Vd12222eDg3wKeR53oc8zzuR63kn8jzvRJ537jEZhmF4OojzzaRJkzRx4kRlZGSoTZs2eu2115h+24PKG7fkgw8+0C233FKzwaBcffv2ZUp0L/HNN99o3Lhx2rZtmxITEzVmzBiNGjXK02H5tNzcXD355JOaPXu2srKyFB8fr+uuu05PPfWUAgMDPR0e4FPI87wLed65g1zPO5DneR/yvHMPhTQAAAAAAADABYyRBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIa4AOmTZsmk8nkWIKCghQbG6t+/fppwoQJysrKcut+d+zY4ZbtV+SWW25Ro0aNKu03adIkTZs2ze3xVIe+ffuqb9++bt3Hpk2b9Mwzz3jknAEAcCZWrVqlq6++Wg0aNJDFYlFMTIx69Oihhx9+2KlfTfx+lueHH36QyWTSDz/8cMbrVvSb7Gqec64ymUx65plnPB3GGXFX3uvJc92oUSPdcsstHtl3Rc7Fvw+cX/w9HQCAmvPBBx+oRYsWKiwsVFZWlpYvX64XX3xRL7/8smbOnKn+/ftX6/4GDRqk1NRUxcXFVet2q9OkSZMUFRXllUnC6SZNmuT2fWzatEnPPvus+vbte14n6ACAc9u3336rK6+8Un379tXEiRMVFxenjIwMrV27VjNmzNArr7zi6FsTv5/uUNFv8pNPPqkHH3zQM4HVgNTUVNWvX9/TYXiF8/1cVwV/H/A0CmmAD2nTpo26dOnieD9s2DA99NBDuuiii3TNNddo27ZtiomJOev9HD9+XEFBQapbt67q1q171tvzFoWFhTKZTPL398z/62zVqpVH9gsAgLeZOHGiEhMT9f333zv9Lo8cOVITJ0506ns+/n42adLE0yG4Vffu3T0dgscdO3ZMISEh5/25rgr+PuBpPNoJ+LgGDRrolVdeUW5urt555x2nz9auXasrr7xSderUUVBQkDp27KjPP//cqU/Jbezz58/Xbbfdprp16yokJET5+fmlbnEfPXq0QkNDlZOTUyqOESNGKCYmRoWFhY62mTNnqkePHgoNDVVYWJgGDBig9evXl1p32rRpat68uSwWi1q2bKmPPvrIpWNv1KiRfv31Vy1ZssTx2GvJFd+SRzE+/vhjPfzww6pXr54sFot+//137d+/X/fee69atWqlsLAwRUdH65JLLtGyZcuctr9jxw6ZTCa9/PLLevXVV5WYmKiwsDD16NFDK1eudOr7559/auTIkYqPj3c8nnLppZcqLS3N0aesR1MKCgr03HPPqUWLFrJYLKpbt65uvfVW7d+/v9SxDh48WPPmzVOnTp0UHBysFi1a6P3333f6Hq+99lpJUr9+/RzfScmjr+vXr9fgwYMVHR0ti8Wi+Ph4DRo0SLt373bp+wYAoLocPHhQUVFRZV7c8vNz/p84p/9+lvw+v/TSS3rxxRfVqFEjBQcHq2/fvtq6dasKCwv16KOPKj4+XlarVVdffXWpYTDKe7TMlUfh1q5dq5EjRzr226hRI1133XXauXOno09lv8llPe534sQJjRs3TomJiQoMDFS9evV033336ciRI6VirCwnkOyFnLFjxyoxMVFBQUGqU6eOunTpounTp1d4fCX536JFizRq1ChFRkYqIiJCN910k44eParMzEwNHz5ctWrVUlxcnMaOHeuU/0mlv9+SbS5evFj33HOPoqKiFBkZqWuuuUZ79+6tcN1Tj/vUc1PV45OklStXqlevXgoKClJ8fLzGjRtX6hhKuJLP3nLLLQoLC9PPP/+spKQkhYeH69JLL3V8duq57tixo3r37l1qP8XFxapXr56uueYaR5ureWJhYaH++c9/KjY2ViEhIbrooou0evXqSr+HEvn5+Ro/frxatmypoKAgRUZGql+/flqxYoWjj6t/n4sWLVLfvn0VGRmp4OBgNWjQQMOGDdOxY8ccfc7m70Ny7Zy4kpvDd3FHGgBdccUVMpvNWrp0qaNt8eLFGjhwoLp166YpU6bIarVqxowZGjFihI4dO1YqSbzttts0aNAgffzxxzp69KgCAgJK7ee2227TG2+8oc8//1x33HGHo/3IkSP6+uuvdd999znWe+GFF/TEE0/o1ltv1RNPPKGCggK99NJL6t27t1avXu24ujxt2jTdeuutuuqqq/TKK68oOztbzzzzjPLz80sl0qebPXu2/va3v8lqtToe+7BYLE59xo0bpx49emjKlCny8/NTdHS0I/l4+umnFRsbq7y8PM2ePVt9+/bVwoULSxW73n77bbVo0UKvv/66JPst+ldccYW2b98uq9XqOAfFxcWaOHGiGjRooAMHDmjFihWlkotT2Ww2XXXVVVq2bJn++c9/qmfPntq5c6eefvpp9e3bV2vXrlVwcLCj/4YNG/Twww/r0UcfVUxMjP7zn//o9ttv1wUXXKA+ffpo0KBBeuGFF/TYY4/p7bffVqdOnSTZr3ofPXpUl112mRITE/X2228rJiZGmZmZWrx4sXJzcyv8ngEAqG49evTQf/7zHz3wwAO64YYb1KlTpzJzj4q8/fbbateund5++20dOXJEDz/8sIYMGaJu3bopICBA77//vnbu3KmxY8fqjjvu0Jw5c6ol9h07dqh58+YaOXKk6tSpo4yMDE2ePFkXXnihNm3apKioqAp/k8tiGIaGDh2qhQsXaty4cerdu7c2btyop59+WqmpqUpNTXXKcSrLCSRpzJgx+vjjj/Xcc8+pY8eOOnr0qH755RcdPHjQpeO84447dM0112jGjBlav369HnvsMRUVFWnLli265pprdOedd2rBggV68cUXFR8frzFjxri0zUGDBumzzz7Trl279I9//EM33nijFi1a5FJMp6rq8W3atEmXXnqpGjVqpGnTpikkJESTJk3SZ599Vqqvq/msZC96XXnllbrrrrv06KOPqqioqMz933rrrXrwwQe1bds2NW3a1NE+f/587d27V7feequkM8sTR40apY8++khjx47VZZddpl9++UXXXHONSzleUVGRLr/8ci1btkyjR4/WJZdcoqKiIq1cuVLp6enq2bOny3+fO3bs0KBBg9S7d2+9//77qlWrlvbs2aN58+apoKBAISEhFcbiyt+Hq+ekKrk5fIgB4Lz3wQcfGJKMNWvWlNsnJibGaNmypeN9ixYtjI4dOxqFhYVO/QYPHmzExcUZxcXFTtu+6aabyt3v9u3bHW2dOnUyevbs6dRv0qRJhiTj559/NgzDMNLT0w1/f3/j73//u1O/3NxcIzY21hg+fLhhGIZRXFxsxMfHG506dTJsNpuj344dO4yAgACjYcOGFXwrdq1btzYuvvjiUu2LFy82JBl9+vSpdBtFRUVGYWGhcemllxpXX321o3379u2GJKNt27ZGUVGRo3316tWGJGP69OmGYRjGgQMHDEnG66+/XuF+Lr74YqdYp0+fbkgyZs2a5dRvzZo1hiRj0qRJjraGDRsaQUFBxs6dOx1tx48fN+rUqWPcddddjrYvvvjCkGQsXrzYaZtr1641JBlfffVVpd8HAADuduDAAeOiiy4yJBmSjICAAKNnz57GhAkTjNzcXKe+p/9+lvw+t2/f3pHPGIZhvP7664Yk48orr3Raf/To0YYkIzs729EmyXj66adLxdWwYUPj5ptvdrwvySdO/109VVFRkZGXl2eEhoYab7zxhqO9vN9kwzCMm2++2SnPmTdvniHJmDhxolO/mTNnGpKMqVOnOsXoSk7Qpk0bY+jQoeXGXZ6S/O/0PG7o0KGGJOPVV191au/QoYPRqVMnp7bTv9+Sbd57771O/SZOnGhIMjIyMspdt8Tp56aqxzdixAgjODjYyMzMdLQVFRUZLVq0cMp7Xc1nDcN+PiUZ77//fqn9nX6uDxw4YAQGBhqPPfaYU7/hw4cbMTExjtzd1Txx8+bNhiTjoYcecur36aefGpKcvrOyfPTRR4Yk49133y23j6t/n//9738NSUZaWlqF+6zq34er58TV3By+i0c7AUiyX8ks8fvvv+u3337TDTfcIMl+palkueKKK5SRkaEtW7Y4rT9s2DCX9nPrrbdqxYoVTut/8MEHuvDCC9WmTRtJ0vfff6+ioiLddNNNTvsOCgrSxRdf7Jj5asuWLdq7d6+uv/56mUwmx/YaNmyonj17Vul7OF15xzVlyhR16tRJQUFB8vf3V0BAgBYuXKjNmzeX6jto0CCZzWbH+3bt2kmS4xGOOnXqqEmTJnrppZf06quvav369bLZbJXG9s0336hWrVoaMmSI0/fUoUMHxcbGlpohrEOHDmrQoIHjfVBQkJo1a+b0KEl5LrjgAtWuXVuPPPKIpkyZok2bNlW6DgAA7hIZGally5ZpzZo1+r//+z9dddVV2rp1q8aNG6e2bdvqwIEDlW7jiiuucLp7vWXLlpLsv9unKmlPT0+vltjz8vL0yCOP6IILLpC/v7/8/f0VFhamo0ePlplHuKLkjpvTnxi49tprFRoaqoULFzq1u5ITdO3aVd99950effRR/fDDDzp+/PgZxTR48GCn9xV9v67kIpJ05ZVXOr0/Pac6E1U9vsWLF+vSSy91GlfYbDZrxIgRTv1czWdP5Uo+HRkZqSFDhujDDz905IuHDx/W119/rZtuusnxuLOreeLixYslyZH3lxg+fLhL4wJ/9913CgoK0m233VZuH1f/Pjt06KDAwEDdeeed+vDDD/Xnn39Wuv9TVfb34eo5qWpuDt9BIQ2Ajh49qoMHDyo+Pl6StG/fPknS2LFjFRAQ4LTce++9klQqQXV1Zs4bbrhBFovFMcbHpk2btGbNGsdt6Kfu/8ILLyy1/5kzZzr2XXLrfWxsbKn9lNVWFWUd16uvvqp77rlH3bp106xZs7Ry5UqtWbNGAwcOLDMJi4yMdHpf8mhFSV+TyaSFCxdqwIABmjhxojp16qS6devqgQceqPCW+n379unIkSMKDAws9T1lZmaWOkenx1ESiyuJo9Vq1ZIlS9ShQwc99thjat26teLj4/X000+XOyYIAADu1qVLFz3yyCP64osvtHfvXj300EPasWNHqQkHylKnTh2n94GBgRW2nzhxolpivv766/XWW2/pjjvu0Pfff6/Vq1drzZo1qlu37hkXq0ocPHhQ/v7+pSZ5MplMio2NLfW4ois5wb///W898sgj+uqrr9SvXz/VqVNHQ4cO1bZt21yK6Uy+X1e/28pyqjNR1eM7ePCgS7mnq/lsiZCQEEVERLgU+2233aY9e/YoJSVFkjR9+nTl5+c7FapczRPLy6f9/f3L/Ds53f79+xUfH1/hkCqu/n02adJECxYsUHR0tO677z41adJETZo00RtvvFH5l6LK/z5cPSdVzc3hOxgjDYC+/fZbFRcXO8b2ioqKkmQfH+zUAUtP1bx5c6f3p94RVpHatWvrqquu0kcffaTnnntOH3zwgYKCgnTdddc5+pTs/7///a8aNmxY7rZKfiwzMzNLfVZWW1WUdVyffPKJ+vbtq8mTJzu1n80Pa8OGDfXee+9JkrZu3arPP/9czzzzjAoKCjRlypQy1ykZSHXevHllfh4eHl7leMrStm1bzZgxQ4ZhaOPGjZo2bZrGjx+v4OBgPfroo9W6LwAAzlRAQICefvppvfbaa/rll1/cui+LxaL8/PxS7ZWNr5Wdna1vvvlGTz/9tNNvZ35+vg4dOlTleCIjI1VUVKT9+/c7FSsMw1BmZqYuvPDCM95maGionn32WT377LPat2+f4+6tIUOG6LfffqtyrO7m6rmp6vFFRka6lHu6ms+WcDWXlqQBAwYoPj5eH3zwgQYMGKAPPvhA3bp1cxpzzdU88dR8ul69eo7Pi4qKXBoPr27dulq+fLlsNlu5xbQz+fvs3bu3evfureLiYq1du1ZvvvmmRo8erZiYGI0cObLSeCpyJuekKrk5fAd3pAE+Lj09XWPHjpXVatVdd90lyV4ka9q0qTZs2KAuXbqUuZxNkebWW2/V3r17NXfuXH3yySe6+uqrVatWLcfnAwYMkL+/v/74449y918SZ1xcnKZPn+70aOrOnTudZgmqiKt3ZJ3KZDKVmpRg48aNSk1NPaPtlKdZs2Z64okn1LZtW/3000/l9hs8eLAOHjyo4uLiMr+j04udrnDlyq7JZFL79u312muvqVatWhXGCACAO2RkZJTZXvJoZMld9u7SqFEjbdy40alt0aJFysvLq3A9k8kkwzBK5RH/+c9/VFxc7NR2Jndblczw+Mknnzi1z5o1S0ePHnV8XlUxMTG65ZZbdN1112nLli1OMyh6m6qcmzM5vn79+mnhwoWOu5sk+4yZM2fOdOrnaj5bFWazWcnJyfrqq6+0bNkyrV27ttSjla7miSUX0j/99FOn9T///PNyJzw41eWXX64TJ044njYpS1X+Ps1ms7p166a3335bkqol36zqOXE1N4fv4I40wIf88ssvjrEAsrKytGzZMn3wwQcym82aPXu20xWid955R5dffrkGDBigW265RfXq1dOhQ4e0efNm/fTTT/riiy+qHEdSUpLq16+ve++9V5mZmU6PdUr2BGj8+PF6/PHH9eeff2rgwIGqXbu29u3bp9WrVzuuIPr5+elf//qX7rjjDl199dUaNWqUjhw5omeeecblRztL7rKaOXOmGjdurKCgILVt27bCdQYPHqx//etfevrpp3XxxRdry5YtGj9+vBITE11KOE63ceNG3X///br22mvVtGlTBQYGatGiRdq4cWOFd3qNHDlSn376qa644go9+OCD6tq1qwICArR7924tXrxYV111la6++uoziqVknLqpU6cqPDxcQUFBSkxMVGpqqiZNmqShQ4eqcePGMgxDX375pY4cOaLLLrvsjI8ZAICzMWDAANWvX19DhgxRixYtZLPZlJaWpldeeUVhYWF68MEH3br/5ORkPfnkk3rqqad08cUXa9OmTXrrrbccs3GXJyIiQn369NFLL72kqKgoNWrUSEuWLNF7773ndFFRKv83uazH7S677DINGDBAjzzyiHJyctSrVy/HrIgdO3ZUcnLyGR9jt27dNHjwYLVr1061a9fW5s2b9fHHH6tHjx6Vzp7oSa6em6oe3xNPPKE5c+bokksu0VNPPaWQkBC9/fbbOnr0qFM/V/PZqrrtttv04osv6vrrr1dwcHCpMdpczRNbtmypG2+8Ua+//roCAgLUv39//fLLL3r55ZddetT0uuuu0wcffKC7775bW7ZsUb9+/WSz2bRq1Sq1bNlSI0eOdPnvc8qUKVq0aJEGDRqkBg0a6MSJE3r//fclSf3796/yd1XC1XNS1dwcPsSDEx0AqCElM9mULIGBgUZ0dLRx8cUXGy+88IKRlZVV5nobNmwwhg8fbkRHRxsBAQFGbGyscckllxhTpkwpte2yZgQta9bOEo899pghyUhISHCaMetUX331ldGvXz8jIiLCsFgsRsOGDY2//e1vxoIFC5z6/ec//zGaNm1qBAYGGs2aNTPef//9UjMclWfHjh1GUlKSER4ebkhyrFMyy9YXX3xRap38/Hxj7NixRr169YygoCCjU6dOxldffVVqnyWzgr300kultqFTZhvat2+fccsttxgtWrQwQkNDjbCwMKNdu3bGa6+95jTb5+mzjhmGYRQWFhovv/yy0b59eyMoKMgICwszWrRoYdx1113Gtm3bHP0aNmxoDBo0qFQcZW3z9ddfNxITEw2z2WxIMj744APjt99+M6677jqjSZMmRnBwsGG1Wo2uXbsa06ZNq+QbBgCg+s2cOdO4/vrrjaZNmxphYWFGQECA0aBBAyM5OdnYtGmTU9/yZu08/fe5vN/+snKd/Px845///KeRkJBgBAcHGxdffLGRlpbm0qydu3fvNoYNG2bUrl3bCA8PNwYOHGj88ssvpdY1jLJ/kw2j9EyOhmGfefORRx4xGjZsaAQEBBhxcXHGPffcYxw+fNipn6s5waOPPmp06dLFqF27tmGxWIzGjRsbDz30kHHgwIFS61b2fRmGYTz99NOGJGP//v1O7TfffLMRGhrq1KZyZmU8fZtlfb+unpuqHp9hGMaPP/5odO/e3bBYLEZsbKzxj3/8w5g6dWqZea8r+WxZ38Gpn5WX0/bs2dOQZNxwww1lfu5qnpifn288/PDDRnR0tBEUFGR0797dSE1NLfNvsizHjx83nnrqKUc+HhkZaVxyySXGihUrnPpU9veZmppqXH311UbDhg0Ni8ViREZGGhdffLExZ84cp/2dzd+HYVR+TlzNzeG7TIZxyvNQAAAAAAAAAMrEGGkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALvD3dACeYLPZtHfvXoWHh8tkMnk6HAAAcI4wDEO5ubmKj4+Xnx/XI70ReR4AAKgKV/M8nyyk7d27VwkJCZ4OAwAAnKN27dql+vXrezoMlIE8DwAAnI3K8jyfLKSFh4dLsn85ERERHo4GAACcK3JycpSQkODIJeB9yPMAAEBVuJrn+WQhreQ2/4iICBIsAABwxnhk0HuR5wEAgLNRWZ7H4B4AAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALjArYW0pUuXasiQIYqPj5fJZNJXX31V6TpLlixR586dFRQUpMaNG2vKlCml+syaNUutWrWSxWJRq1atNHv2bDdEDwAAgPKQ5wEAAF/k1kLa0aNH1b59e7311lsu9d++fbuuuOIK9e7dW+vXr9djjz2mBx54QLNmzXL0SU1N1YgRI5ScnKwNGzYoOTlZw4cP16pVq9x1GAAAADgNeR4AAPBFJsMwjBrZkcmk2bNna+jQoeX2eeSRRzRnzhxt3rzZ0Xb33Xdrw4YNSk1NlSSNGDFCOTk5+u677xx9Bg4cqNq1a2v69OkuxZKTkyOr1ars7GxFRERU7YAAAIDPIYcoG3keAAA417maQ3jVGGmpqalKSkpyahswYIDWrl2rwsLCCvusWLGixuIEAADAmSHPAwAA5wN/TwdwqszMTMXExDi1xcTEqKioSAcOHFBcXFy5fTIzM8vdbn5+vvLz8x3vc3JyqjdwAAAAVIg8DwAAnA+86o40yf5owKlKnjw9tb2sPqe3nWrChAmyWq2OJSEhoRojBgAAgCvI8wAAwLnOqwppsbGxpa44ZmVlyd/fX5GRkRX2Of3q5anGjRun7Oxsx7Jr167qDx4AAADlIs8DAADnA68qpPXo0UMpKSlObfPnz1eXLl0UEBBQYZ+ePXuWu12LxaKIiAinBQAAADWHPA8AAJwP3DpGWl5enn7//XfH++3btystLU116tRRgwYNNG7cOO3Zs0cfffSRJPvMTW+99ZbGjBmjUaNGKTU1Ve+9957TLE0PPvig+vTpoxdffFFXXXWVvv76ay1YsEDLly9356EAAADgFOR5AADAF7n1jrS1a9eqY8eO6tixoyRpzJgx6tixo5566ilJUkZGhtLT0x39ExMTNXfuXP3www/q0KGD/vWvf+nf//63hg0b5ujTs2dPzZgxQx988IHatWunadOmaebMmerWrZs7DwUAAACnIM8DAAC+yGSUjPLqQ3JycmS1WpWdnc3t/wAAwGXkEN6PcwQAAKrC1RzCq8ZIAwAAAAAAALwVhTQAAAAAAADABRTSAAAAAAAAABdQSAMAAAAAAABcQCENAAAAAAAAcAGFNAAAAAAAAMAFFNIAAAAAAAAAF1BIAwAAAAAAAFxAIQ0AAAAAAABwAYU0AAAAAAAAwAUU0gAAAAAAAAAXUEgDAAAAAAAAXEAhDQAAAAAAAHABhTQAAAAAAADABRTSAAAAAAAAABdQSAMAAAAAAABcQCENAAAAAAAAcAGFNAAAAAAAAMAFFNIAAAAAAAAAF1BIAwAAAAAAAFxAIQ0AAAAAAABwAYU0AAAAAAAAwAUU0gAAAAAAAAAXUEgDAAAAAAAAXEAhDQAAAAAAAHBBjRTSJk2apMTERAUFBalz585atmxZuX1vueUWmUymUkvr1q0dfaZNm1ZmnxMnTtTE4QAAAOAk8jwAAOBL3F5ImzlzpkaPHq3HH39c69evV+/evXX55ZcrPT29zP5vvPGGMjIyHMuuXbtUp04dXXvttU79IiIinPplZGQoKCjI3YcDAACAk8jzAACAr3F7Ie3VV1/V7bffrjvuuEMtW7bU66+/roSEBE2ePLnM/larVbGxsY5l7dq1Onz4sG699VanfiaTyalfbGysuw8FAAAApyDPAwAAvsathbSCggKtW7dOSUlJTu1JSUlasWKFS9t477331L9/fzVs2NCpPS8vTw0bNlT9+vU1ePBgrV+/vtxt5OfnKycnx2kBAABA1ZHnAQAAX+TWQtqBAwdUXFysmJgYp/aYmBhlZmZWun5GRoa+++473XHHHU7tLVq00LRp0zRnzhxNnz5dQUFB6tWrl7Zt21bmdiZMmCCr1epYEhISqn5QAAAAIM8DAAA+qUYmGzCZTE7vDcMo1VaWadOmqVatWho6dKhTe/fu3XXjjTeqffv26t27tz7//HM1a9ZMb775ZpnbGTdunLKzsx3Lrl27qnwsAAAA+At5HgAA8CX+7tx4VFSUzGZzqauSWVlZpa5ens4wDL3//vtKTk5WYGBghX39/Px04YUXlnul0mKxyGKxnFnwAAAAKBd5HgAA8EVuvSMtMDBQnTt3VkpKilN7SkqKevbsWeG6S5Ys0e+//67bb7+90v0YhqG0tDTFxcWdVbwAAABwDXkeAADwRW69I02SxowZo+TkZHXp0kU9evTQ1KlTlZ6errvvvluS/Xb8PXv26KOPPnJa77333lO3bt3Upk2bUtt89tln1b17dzVt2lQ5OTn697//rbS0NL399tvuPhwAAACcRJ4HAAB8jdsLaSNGjNDBgwc1fvx4ZWRkqE2bNpo7d65jdqaMjAylp6c7rZOdna1Zs2bpjTfeKHObR44c0Z133qnMzExZrVZ17NhRS5cuVdeuXd19OAAAADiJPA8AAPgak2EYhqeDqGk5OTmyWq3Kzs5WRESEp8MBAADnCHII78c5AgAAVeFqDlEjs3YCAAAAAAAA5zoKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4IIaKaRNmjRJiYmJCgoKUufOnbVs2bJy+/7www8ymUyllt9++82p36xZs9SqVStZLBa1atVKs2fPdvdhAAAA4DTkeQAAwJe4vZA2c+ZMjR49Wo8//rjWr1+v3r176/LLL1d6enqF623ZskUZGRmOpWnTpo7PUlNTNWLECCUnJ2vDhg1KTk7W8OHDtWrVKncfDgAAAE4izwMAAL7GZBiG4c4ddOvWTZ06ddLkyZMdbS1bttTQoUM1YcKEUv1/+OEH9evXT4cPH1atWrXK3OaIESOUk5Oj7777ztE2cOBA1a5dW9OnT680ppycHFmtVmVnZysiIuLMDwoAAPgkcghn5HkAAOB84WoO4dY70goKCrRu3TolJSU5tSclJWnFihUVrtuxY0fFxcXp0ksv1eLFi50+S01NLbXNAQMGlLvN/Px85eTkOC0AAACoOvI8AADgi9xaSDtw4ICKi4sVExPj1B4TE6PMzMwy14mLi9PUqVM1a9Ysffnll2revLkuvfRSLV261NEnMzPzjLY5YcIEWa1Wx5KQkHCWRwYAAODbyPMAAIAv8q+JnZhMJqf3hmGUaivRvHlzNW/e3PG+R48e2rVrl15++WX16dOnStscN26cxowZ43ifk5NDkgUAAFANyPMAAIAvcesdaVFRUTKbzaWuIGZlZZW60liR7t27a9u2bY73sbGxZ7RNi8WiiIgIpwUAAABVR54HAAB8kVsLaYGBgercubNSUlKc2lNSUtSzZ0+Xt7N+/XrFxcU53vfo0aPUNufPn39G2wQAAEDVkecBAABf5PZHO8eMGaPk5GR16dJFPXr00NSpU5Wenq67775bkv12/D179uijjz6SJL3++utq1KiRWrdurYKCAn3yySeaNWuWZs2a5djmgw8+qD59+ujFF1/UVVddpa+//loLFizQ8uXL3X04AAAAOIk8DwAA+Bq3F9JGjBihgwcPavz48crIyFCbNm00d+5cNWzYUJKUkZGh9PR0R/+CggKNHTtWe/bsUXBwsFq3bq1vv/1WV1xxhaNPz549NWPGDD3xxBN68skn1aRJE82cOVPdunVz9+EAAADgJPI8AADga0yGYRieDqKm5eTkyGq1Kjs7m3E0AACAy8ghvB/nCAAAVIWrOYRbx0gDAAAAAAAAzhcU0gAAAAAAAAAXUEgDAAAAAAAAXEAhDQAAAAAAAHABhTQAAAAAAADABRTSAAAAAAAAABdQSAMAAAAAAABcQCENAAAAAAAAcAGFNAAAAAAAAMAFFNIAAAAAAAAAF1BIAwAAAAAAAFxAIQ0AAAAAAABwAYU0AAAAAAAAwAUU0gAAAAAAAAAXUEgDAAAAAAAAXEAhDQAAAAAAAHABhTQAAAAAAADABRTSAAAAAAAAABdQSAMAAAAAAABcQCENAAAAAAAAcAGFNAAAAAAAAMAFFNIAAAAAAAAAF1BIAwAAAAAAAFxAIQ0AAAAAAABwAYU0AAAAAAAAwAU1UkibNGmSEhMTFRQUpM6dO2vZsmXl9v3yyy912WWXqW7duoqIiFCPHj30/fffO/WZNm2aTCZTqeXEiRPuPhQAAACcgjwPAAD4ErcX0mbOnKnRo0fr8ccf1/r169W7d29dfvnlSk9PL7P/0qVLddlll2nu3Llat26d+vXrpyFDhmj9+vVO/SIiIpSRkeG0BAUFuftwAAAAcBJ5HgAA8DUmwzAMd+6gW7du6tSpkyZPnuxoa9mypYYOHaoJEya4tI3WrVtrxIgReuqppyTZr1SOHj1aR44cqVJMOTk5slqtys7OVkRERJW2AQAAfA85hDPyPAAAcL5wNYdw6x1pBQUFWrdunZKSkpzak5KStGLFCpe2YbPZlJubqzp16ji15+XlqWHDhqpfv74GDx5c6krmqfLz85WTk+O0AAAAoOrI8wAAgC9yayHtwIEDKi4uVkxMjFN7TEyMMjMzXdrGK6+8oqNHj2r48OGOthYtWmjatGmaM2eOpk+frqCgIPXq1Uvbtm0rcxsTJkyQ1Wp1LAkJCVU/KAAAAJDnAQAAn1Qjkw2YTCan94ZhlGory/Tp0/XMM89o5syZio6OdrR3795dN954o9q3b6/evXvr888/V7NmzfTmm2+WuZ1x48YpOzvbsezatevsDggAAACSyPMAAIBv8XfnxqOiomQ2m0tdlczKyip19fJ0M2fO1O23364vvvhC/fv3r7Cvn5+fLrzwwnKvVFosFlksljMLHgAAAOUizwMAAL7IrXekBQYGqnPnzkpJSXFqT0lJUc+ePctdb/r06brlllv02WefadCgQZXuxzAMpaWlKS4u7qxjBgAAQOXI8wAAgC9y6x1pkjRmzBglJyerS5cu6tGjh6ZOnar09HTdfffdkuy34+/Zs0cfffSRJHtyddNNN+mNN95Q9+7dHVc5g4ODZbVaJUnPPvusunfvrqZNmyonJ0f//ve/lZaWprffftvdhwMAAICTyPMAAICvcXshbcSIETp48KDGjx+vjIwMtWnTRnPnzlXDhg0lSRkZGUpPT3f0f+edd1RUVKT77rtP9913n6P95ptv1rRp0yRJR44c0Z133qnMzExZrVZ17NhRS5cuVdeuXd19OAAAADiJPA8AAPgak2EYhqeDqGk5OTmyWq3Kzs5WRESEp8MBAADnCHII78c5AgAAVeFqDlEjs3YCAAAAAAAA5zoKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4oEYKaZMmTVJiYqKCgoLUuXNnLVu2rML+S5YsUefOnRUUFKTGjRtrypQppfrMmjVLrVq1ksViUatWrTR79mx3hQ8AAIBykOcBAABf4vZC2syZMzV69Gg9/vjjWr9+vXr37q3LL79c6enpZfbfvn27rrjiCvXu3Vvr16/XY489pgceeECzZs1y9ElNTdWIESOUnJysDRs2KDk5WcOHD9eqVavcfTgAAAA4iTwPAAD4GpNhGIY7d9CtWzd16tRJkydPdrS1bNlSQ4cO1YQJE0r1f+SRRzRnzhxt3rzZ0Xb33Xdrw4YNSk1NlSSNGDFCOTk5+u677xx9Bg4cqNq1a2v69OmVxpSTkyOr1ars7GxFRESczeEBAAAfQg7hjDwPAACcL1zNIfzdGURBQYHWrVunRx991Kk9KSlJK1asKHOd1NRUJSUlObUNGDBA7733ngoLCxUQEKDU1FQ99NBDpfq8/vrr1Ro/UBHDMGQzbLIZNhUbxWW+NmTIMAynf0vWdfxfSbuhk+9t9sVmk2EUS4ZNKqPdvo69rdhWbF+KbbLZTu7fdjIGm00yimWTIcNWso79Xxn2iByfyZBhyL7o5AtJxfYGyRGz/or55PdQxhdk/+eU1yVb0Oltjten1vVd/Nwoe5tOoThtq6wOzo3OezHK6lLmts977r3u4nV862jPL9bQSA266BZPh3HeI8/D+cyR5+lkfncyv6qOPK8kFzOM4pM5WbFznifbKf1sshnFKio+mecZxfYc77Q8z5Ahm4t5niTZShI+Oed5kiGbD+V5JWyV5Dg+ke+R5+EcERwYqqv73e3RGNxaSDtw4ICKi4sVExPj1B4TE6PMzMwy18nMzCyzf1FRkQ4cOKC4uLhy+5S3zfz8fOXn5zve5+TkVOVwUIPyi/N1vPC4ThSf0ImiE45/jxcd14miE/bPi075vOiECmwFKrIVqdBWqMLiQhXaCv96f+rr4kIVFuerqDhfhcUFKiwuUJGt8GSCVHxKgmRPHIpLEijD0MnU5uQCAPBGTfNNFNJqAHkeqqqguEDHi47reNFx5Rfn/5XjnZLXnfr6ePFxR253ek53aq5n/6zQnt8V5dvzvZPvi41i2Wz2PM8wDBWfLJIVG+R5AHAuiSm0nd+FtBImk8npvWEYpdoq6396+5lsc8KECXr22WfPKGacvcLiQh3OP6xDJw7p0IlDOnzisA6fOKwj+UeUV5in3IJc5RXk/fW6ME9HC48qtyBXhbZCT4dfbUyGIZPkWFTy2pBMMkq3n/K5vc0o/Zlj2yXvnfv89ZnJ0V4ew+nz0j3LWteo4LPy1j39qk9lkZmM8q8UVbzfqn9a2fEAODdEKszTIfgU8jzfVGQr0pH8Izp4/KAO59tzvEMnDik7P1u5BbnKLci153WFp+V7BXkqsBV4OvxqU5U879Q+9tfO26jJPK+sVqOCz8pbt6yczVTB2hXleRXv92yyQHI94HxgtQV5OgT3FtKioqJkNptLXUHMysoqdaWxRGxsbJn9/f39FRkZWWGf8rY5btw4jRkzxvE+JydHCQkJZ3w8sLMZNh06cUiZRzOVeTRT+47tc3p98PhBHT5xWLmFuWe9L3/5KdhkVpBMCjIMBdmKFVxcpKCiAlkMQ0GGoWCbTRbDkMUwFGBI/jIUUPLaMBSgcl4bhoqNABUZASo2AlRgBKpAgSo0AlRk+KtY/iqSv4ps/iqSvU1+gTJOLn5mi33xt8jPHCR//0CZ/QNk9nP+18/fX2ZzgMxm/5PvA+Tvb3/tf8p7+dn7mcx+8vczy8/sJz+Tn8xms/z8/ORnNsvfz09+fn4ym/3l52eS2Wy2L35+MvuZHItJJplMsi8yyc9k/x8lJp1sq+B/4AAA4AryvPOTYRg6nH/YkdtlHs1U5rGTed7RfY4LpDkFZ3/nn7/JT0EqK88rVJBhO9lmyGLYZDFkz+9kyL/ktWHIX+W8NiSbYVaxEahiI0D5RqAKFKBCI1BFhlnFClCR/FVs+KvI8FehESDDL1AyBUgnczyT2SKTv/21v79F/v4BMvsFyOwfKLOfv8zmQJn9/eVnDnDkeOZT8jzzKe9NfmaZzP7yM/vLbPKT2d8sP5Of/Mx+Mp/M+8wms8zmv9r+yvH8ZDbbcz0/k30hzwMAz3FrIS0wMFCdO3dWSkqKrr76akd7SkqKrrrqqjLX6dGjh/73v/85tc2fP19dunRRQECAo09KSorT+Bnz589Xz549y9ymxWKRxWI528PxKYZhaP/x/dqZs1M7cnZoZ/ZOx+s9eXtcvmPMz+Sn2pbaqh1UW3WC6qh2UG3VstRSuDlYYYUnFH4iV2FHDyksL0theQcUlpup8KOHFGazKfhkQlQem0w6rAgdsoXpiEKVbYQqW6HKNsKUbYRqn0J1xAhTtkKVawTrqILlHxQqS0iEgsOtCg0NU3iwRRHBAYoIClBEsL8iggJUNzhA1uAAhQf5K8zir+BAs0ICzQryN8vPj8QEAACJPO9cZhiGDp04pJ059vxue852R663O2+38ovzK9+I7HleLUstR65XO6i2altqK9w/RGGFJxR2Ildhxw4rPG+/wvL2Kyw3S+FH9yvUZlOIzVBAJds/onAdsIWfzO9CdUT2HC9Hodpv/JX75RihOqYgmSyhCgqJUHBYhIJDwxUeHCRr8F85XkRwgKKC/B2536l5XnAAeR4AwDVuf7RzzJgxSk5OVpcuXdSjRw9NnTpV6enpuvtu+zOt48aN0549e/TRRx9Jss/c9NZbb2nMmDEaNWqUUlNT9d577znN0vTggw+qT58+evHFF3XVVVfp66+/1oIFC7R8+XJ3H855Kb84X78f+V1bDm3Rb4d+05ZDW7T18FblFeaVu45JJtUNrqvY0FjFhMYoNjRWsSH211HBUfbCmaWOIgLD5ZezR9q7XtqbJu3+Rdo/XzqSropu6C4MiNChgBjtNurq94Ja2nEiXPtl1X6jlvYbtZRlWHVIESqWWZIUHuSverWC7UvtYMXXClaDMIsiwwIVFWZRVJhFdUIDFejvV83fHgAAvos8z/sV2gr155E/teXwX3neb4d+q/SOssigSHt+V7KE2P+NDI50XBy1Blplzss6meetlzJ+kfb/IB3efnKypLIV+YfqcFCc9hpR+qOglv7Mj1CWUZLn2f89qAgVnfyfKqGBZtWrY8/z4k8urcItjhwvMixQkWGBsvibq/OrAwCgTG4vpI0YMUIHDx7U+PHjlZGRoTZt2mju3Llq2LChJCkjI0Pp6emO/omJiZo7d64eeughvf3224qPj9e///1vDRs2zNGnZ8+emjFjhp544gk9+eSTatKkiWbOnKlu3bq5+3DOC/uO7tP6/euVlpWm9VnrtfXQVhUZRaX6+Zn8VC+snhpGNFSjiEZqFNFIDa0NlRCeoOiQaAX4lXEdseCYtHu19Os8ac86KSNNOnaw7ECCa6s4spkOBDXUb0XxWpdr1YqDodp6orZyToSW6h4ZGqjGdUPVtm6YGtcNVWJUmBLq2JOpiKDKrmkCAIDqRp7nfQ4eP6i0rDSl7bfneZsObirzSQKTTIoPi1fDiIaOpVFEIzUIb6CY0BgFmgNLb7wo357fbZll/3fveilvX9mBWCJki2qmg8GJ2maL19ocq1YdCtMvx2opW6E6fbQqa3CAmtQNVYu6YRpUN0yJUaFKqBOs+rVCFBHsz+OKAACvYTL+mm/YZ+Tk5MhqtSo7O1sRERGeDsftDp84rNS9qVqxd4XWZK7R3qN7S/WxWqxqUaeFWtRuoeZ1mqt5neZKjEhUgLmSAlXhCWnXSmnHcvuye610erLm5y9Ft5LiO6owpq02F8Zr8aFaWrLL0MY9OSqyOf8JBphNahYTrjbxVrWpF6GWcRG6IDpMtULKSOgAAKhBvpZDnIt87RzlFORodcZq/bj3R63OWK303PRSfcIDwtW8TnO1qHMyz6vdXInWRAX5VzJgc3GhtGv1yTxvmbR7jVR0wrmPyU+q20KK76jimHbaaovXksN1tGi3n9J2Z6ugyPnONLOfSU2jw9T6lDyvaXSY6oQGUiwDAHiUqzlEjczaiZplGIa2HdmmlJ0pWrp7qTYf3CzjlMco/Ux+al67uTpEd1DH6I5qX7e94kLjXE9e8vZL276Xtnwn/bFYKjzq/Hl4vJTYW6p/oVSvk/YFN9Gi33O06Lcs/bj2gI4VFEs64ugeZw1S18Q6urBRHXVIqKVmMeE8ggkAAFCOHdk7HHnezwd+VrFR7PjMJJOa1GqijtEd1SG6gzrU7aCE8ATX87zjh6VtC6St39n/zc92/jy0rtToIimhmxTfSYfCm2nRn0e1eEuWln6/X7kniiT9tU5UmEVdE2vrwkZ11LFBbbWIDVdQAI9gAgDOXRTSzhOGYei3Q78pZWeKUnamaEfODqfPm9Vupp7xPdUjrofaR7dXaEDpRycrdOyQtOkraeMXUnqqnMY3C4+TEi+2J1WNekm1E3XwaIG++yVT//tmr1bv+FGn3vdYN9yii5vVVY/GkeqaWEf1awdzBRIAAKACfx75U/N3ztf8nfO17fA2p88aRTRSr3q91DO+pzpEd1BE4BneiZefK23+Rto4U9q+VDqlMKeQyFPyvN5SVFNlnyjS/F8z9b8FGfrx91QVn/J0Qe2QAF3crK66n8zzEqNCyfMAAOcVCmnnuEMnDumbP77R7N9n6/cjvzvaA/0C1ateL13S4BL1iu+luiF1z3zjxYXSlrnShpnStvnOj2zGtZeaXyE1G2h/bTKpsNimhZv3afpXa7T89wNOSVX7hFq6tEW0LmkRrVZxEcyKBAAAUIncglx9t/07fbntS/168FdHu7/JX93iuumSBpfoonoXKT4s/sw3brNJvy+QNky3P2VQdPyvz+q2lJpfbl/qdZb8zLLZDC3dtl/T563T4t/2q6D4r0c2W8ZFqH/LaPVtHq0OCbVkJs8DAJzHKKSdgwzDUNr+NH2y6RMt2rVIRTb7RAEWs0V96vfRZQ0vU5/6fc78rrMSufuknz6U1r4v5Wb81R7TVmp3rdRmmGSt72jee+S4ZqzZpZlr0rUv56/p0tvUi9CQdvEa1C5O9WuHVC0WAAAAH7P54GZ9svkTzd8xXyeK7WOS+fv5q2d8T13W8DL1S+gnq8VatY0fOySlfSqt+Y90eMdf7ZFNpXbD7XleZBNH84G8fH2+drumr07XrkN/FdsuiA7Tle3jNbhdnBrXDataLAAAnIMopJ1DimxFWrBzgT7a9JF+PvCzo711ZGtd0/QaXZ54ucIDw6u+g8yfpR/fkH796q+7z0LrSh1ukNqNkGJaOXX/dW+2Jv/wh+b+nKGSm88iQwM1/MIEXdu5PkkVAACAi2yGTUt3L9VHmz7Smsw1jvYLal2gqy+4WoObDFadoDpV38GB36UVb9iH6Si5+yzIKrW/3l5Ai+8onfII5h/78/TOkj80e/0eFRbbE72IIH8N61xfw7skqEVsOI9sAgB8EoW0c0CRrUjf/vmtpmyYot15uyXZH90c0mSIrmtxnZrXaX52O9izTlr6sv0xzhL1u0pd75RaXSn5W5y6r9t5WP9euE1Ltu53tHVvXEc3dGuoAa1jmSgAAADARYZhaGH6Qr2d9rZjmA5/k7+SGiXp+pbXq11Uu7MrWO3bJC17Rfr1S8k4+ThmTFup251Sm79Jgc5PDWzam6M3F23TvF8zHWPctk+opRu7NdDgdvEKDmSiAACAb6OQ5sVshk3zd8zX22lvOyYPqBNURyObj9Tw5sMVGRx5djs4sE1KeeqUAppJanON1PMBKb5Dqe5b9+Vq4rwtWrB5nyTJzyQNahevey5uolbx5//08gAAANXFMAwt27NMb61/S5sPbZYkhQeE62/N/6brW1yv2NDYs9vBkV3SwvHSz5//1dbscqnXg1KD7k53n0nSrkPH9Mr8Lfp6w15HAa1/y2jd0/cCdW5Y++xiAQDgPEIhzUv9euBXPb/qeccjnFaLVbe1uU0jm49USMBZjjd29KC05P/sY6DZiiST2f7oZu8xUlTTUt0P5uVr4oFWagIAADGmSURBVLwt+mLdLtkMewHtb53r696+F6hRVBXHYQMAAPBRfxz5QxNWT9CqjFWSpBD/ECW3StZNrW868xk3T3ciR1r+mrRyklRkH19NLa+U+vxDimtXqnvOiUK9nrJNH6/c4XiEc1C7OD1wSVM1jz2LIUMAADhPUUjzModPHNYbP72hL7d9KUOGQvxDdGubW3VjyxsVFniWY47ZbNJP06SUZ6T8bHtbs4HSZeOluqUfDy22GZqxJl0T521R9nH7mGkDW8dq7IDmuiCa8c8AAADORF5BnqZsmKJPN3+qIqNIgX6BuqHlDbq1za2qHXSWd30Zhv3xze8elY5m2dsaXiQNeM4+/lmp7obmbNir577drP259smiejeN0j8HtFDb+lWcyAAAAB9AIc2LfLf9Oz2/6nllnyxyDW48WGM6j1HdkLpnv/H9W6X/PSilr7C/j20rJT0vNb64zO7b9uVq7H83asOuI5KkVnER+tfQ1urc8CwGuQUAAPBRy3Yv0zMrnlHWcXuRq29CX/3zwn8qITzh7Dd+JF369mFp23z7+zpNpKR/Sc2vKPUIp2R/jPPRLzfqx98PSpISo0L1zJWtdXGzasg5AQA4z1FI8wJHThzR86ue17wd8yRJzWo302PdHlPnmM5nv3GbTVo1WVrwjFRcIAWESpc+aZ9IwK/0YLE2m6H3f9yuid9vUUGRTeEWfz2c1Ew3dm8ofzOTCAAAAJyJY4XH9PLal/XF1i8kSQ3CG+iRro+oT/0+Z79xw5DSPpO++6dUkCeZA6XeY6WLRpeaLMre3dAX63Zr/P82KS+/SBZ/P93f7wLdeXFjWfyZRAAAAFdQSPOwH/f8qCd/fFL7j++X2WTWne3u1Kh2oxTgF3D2G8/JkL66R/pzsf39BZdJg1+VajUos3tG9nGNnpGmVdsPSZL6Nq+rF4e1U0xE0NnHAgAA4GPSstI0btk4x6zrN7a8UQ92elBB/tWQWx07JH3zkLTpK/v7hO7Slf8uc7gOSTp8tED/nLVRKZvsk0Z1aVhbrwxvr4aRjHcLAMCZoJDmIcW2Yk3eMFnvbHxHkpRoTdQLF72gNlFtqmcH25dKX9wiHTso+QdLA1+QOt9a5u39krTi9wP6+/T1Oni0QCGBZj0xqJWu65pwdtOtAwAA+CDDMPTxpo/16rpXVWwUKy40Tv/q9S91i+tWPTvYu16amSxl75L8/KV+j9tn4yzjaQNJ2rj7iO755CftOXJcgWY/jUlqplG9G8vsR54HAMCZopDmAUdOHNEjyx7Rir328cpGNB+hsV3GVs/VScOQUt+SUp6SDJsU01b623vlXp00DEPvLP1TE+f9JpshtYyL0OQbOjEbJwAAQBUcKzymp1Y8pe93fC9JurzR5Xqyx5MKD6ymGTDXf2q/E604X6rTWBr2nlSvU7ndZ6xO11Nf/6qCYpsaRYZo0g2d1Sr+LGcGBQDAh1FIq2E7c3bq3gX3Kj03XcH+wXqqx1Ma3Hhw9Wy8qECac7+0cab9fbuR0uDXpMCQMrvnFxXrH19s1JwNeyVJwzrV13ND2yg4kDEyAAAAztS+o/v090V/1+ZDm+Vv8tc/LvyHrmtxXfXc4W8rluY/Ia2cZH/fbKB09TtScK0yuxfbDI3/36/6MHWnJKl/yxi9Mry9rMHVMHwIAAA+jEJaDVqbuVajfxit7PxsxYfG681L31Sz2s2qZ+PHj0gzb5R2LJNMZmngBPuEAuUkbjknCnX3x+u04o+D8vcz6ZkrW+uGbg14lBMAAKAKfjv0m+5beJ+yjmWpTlAdvd7vdXWM7lg9Gy88Ln05Str8P/v7vuOkPv+U/MqeCOpEYbEempmm737JlMkkjU1qrnsubiI/HuUEAOCsUUirIT/s+kFjfhijQluh2ka11b8v+beigqOqZ+M5e6VPhklZm6TAMGn4h9IF/cvtvi/nhG5+f7V+y8xVaKBZU5I7q3dTpjsHAACoinX71um+hffpaOFRJVoTNenSSaofXr96Nn7skDR9pLRrlX1WzqGTpbZ/K7d79rFCjfporVbvOKRAs59eHdFeg9vFV08sAACAQlpNmLdjnsYtHacio0j9EvrpxT4vKtg/uHo2fmSX9OFg6fAOKSxWuuFzKa59ud13Hjyq699dpT1HjisqzKJpt16oNvWs1RMLAACAj0ndm6oHFj2gE8Un1CWmi17v97qslmrKrY4elD66Str3sxRklUZ+JjW6qNzuWbkndMO7q7QtK0/hFn9NvamLejSJrJ5YAACAJAppbjfnjzl68scnZTNsGtR4kJ7r9Zz8/arpaz+8015EO5Iu1W4k3TRHqt2w3O67Dh3TdVNXam/2CSVGherDW7uqQWTZ46cBAACgYkt2LdGYH8aowFagi+pdpNf6vlY9k0dJUt5+exEt61cptK49z4tpVW73A3n5uv7dVfo9K08xERZ9eFtXtYhlUgEAAKobhTQ3mvvnXD2x/AkZMjSs6TA92f1JmcuZlvyMHdklTRtkn/a8TmPp5v9J1vIfIdh9+JhGniyiNa4bqhl3dld0eDUlegAAAD7mxz0/avQPo1VkK9KlDS7VxD4TFWgOrJ6NHz0ofThE2r9ZCoux53nlzMAuSQfz8nXDySJabESQZt7VXQ0jmYEdAAB3oJDmJkt3L9Xjyx+XIUMjmo/Q490er76B/I8ekD6+2l5Ei7zAnlxFlD/2xb6cE7ru3ZXac+S4EqNCNX0URTQAAICqSstK00M/PKQiW5GSGibpxT4vVt8TB/l50mfX2oto4XHSzd9IUReU2z37eKFufG+1tuzLVXS4RdPvpIgGAIA7lT3VD87Kmsw1GvPDGBUZRRrceLAe6/ZY9RXR8nOlT/8mHdwmRdSXbvq6wiJaXn6Rbv1gjXYdOq4GdUL02ahuiomgiAYAAFAVWw5t0b0L7tXxouPqVa+X/q/3/1VfEa2owD4L+551UnBte55XQREtv6hYd328VpszchQVZi+iJUZRRAMAwJ0opFWzYluxxqeOV35xvvrW76vxvcbLz1RNX3NxofT5TdLe9VJwHSl5doWPcxYW23TPJ+u0KSNHUWGB+uT2boqzVtMkBwAAAD7GMAw9v+p55RbmqlN0J73W9zUFmAOqa+PS1/dKfy6WAkKkG/5b4eOcNpuhf/53o1b+eUihgWZ9eNuFalI3rHpiAQAA5aKQVs3MfmZN6j9JVza5Ui/3fVkBftWUXEnS949Lfyw6JblqVm5XwzD0xOxftGzbAQUHmPXezRcysQAAAMBZMJlMerXvqxrceLDevPTN6puFXZKWvSL9/IXk5y+N+Fiq36XC7q+kbNHXaXvl72fS5Bs7q3U8s7ADAFATGCPNDRLCE/T8Rc9X70bXTZNWv2N/fc1UqX7nCrt/lLpTM9fukp9Jeuv6jmqfUKt64wEAAPBBUcFRmtB7QvVu9LdvpUX/sr++4iXpgv4Vdv9m4169vfgPSdKEa9qqT7O61RsPAAAol1vvSDt8+LCSk5NltVpltVqVnJysI0eOlNu/sLBQjzzyiNq2bavQ0FDFx8frpptu0t69e5369e3bVyaTyWkZOXKkOw/Fs3amSt+Otb/u94TUckiF3dfsOKR/fbNJkjTu8pa6tGWMuyMEAAA+hjyvmuzbJH15p/31haOkLrdV2H1LZq7++d+NkqS7+jTWtV0S3B0hAAA4hVsLaddff73S0tI0b948zZs3T2lpaUpOTi63/7Fjx/TTTz/pySef1E8//aQvv/xSW7du1ZVXXlmq76hRo5SRkeFY3nnnHXceiufk7Ze+uEWyFUqtr5b6jK2w+76cE7r3059UZDM0uF2c7uidWDNxAgAAn0KeVw3y8+zj3xbkSY16SwMrvtMt+3ih7v5knY4VFKvXBZH6x4Dyx1ADAADu4bZHOzdv3qx58+Zp5cqV6tatmyTp3XffVY8ePbRlyxY1b176h99qtSolJcWp7c0331TXrl2Vnp6uBg0aONpDQkIUGxvrrvC9g80mfXW3lJcpRTWXrnpbqmD2z2Kbob9PX6/9uflqHhOuiX9rV32zhQIAAJxEnldN5v7DPhN7eLx07YdSBRMXGIahR/67UdsPHFW9WsH698iO8jcz3DEAADXNbb++qampslqtjuRKkrp37y6r1aoVK1a4vJ3s7GyZTCbVqlXLqf3TTz9VVFSUWrdurbFjxyo3N7e6QvceqW9Kvy+Q/IOka6dJgRVPZz5lyR9avd0+c9OU5M4KCWQIPAAAUP3I86rBhhnShs8kk5807D9SaGSF3T9fu0vzfs1UgNmkyTd2UmSYpYYCBQAAp3JbpSUzM1PR0dGl2qOjo5WZmenSNk6cOKFHH31U119/vSIiIhztN9xwgxITExUbG6tffvlF48aN04YNG0pd5SyRn5+v/Px8x/ucnJwzPBoP2L1OWjje/nrg/0kxrSrsvmHXEb2WslWS9OxVbZQYVXHRDQAAoKrI887SwT+kb8bYX1/8qNSoV4Xdtx84qmf/Zx//9uGk5mpXv5abAwQAAOU54zvSnnnmmVIDwJ6+rF27VpLKfKzQMAyXHjcsLCzUyJEjZbPZNGnSJKfPRo0apf79+6tNmzYaOXKk/vvf/2rBggX66aefytzWhAkTHAPhWq1WJSR4+aCshSekr+6RbEX2cdE631Jh96P5RRo9M01FNkOD2sZpWKd6NRMnAAA4r5Dn1QBbsfTVvVLhUfu4aJWMf1tYbNPoGet1rKBY3RvX0ajejWsoUAAAUJYzviPt/vvvr3TmpEaNGmnjxo3at29fqc/279+vmJiKZ5EsLCzU8OHDtX37di1atMjpKmVZOnXqpICAAG3btk2dOnUq9fm4ceM0ZswYx/ucnBzvTrKW/J90YIsUGi0NerXCcdEkaeK837T9wFHFWYP0/NVtGBcNAABUCXleDVg9Vdq1UgoMk4ZOkvzMFXaf8sMf2rA7WxFB/np1eAeZ/cjzAADwpDMupEVFRSkqKqrSfj169FB2drZWr16trl27SpJWrVql7Oxs9ezZs9z1SpKrbdu2afHixYqMrHi8CEn69ddfVVhYqLi4uDI/t1gssljOkXEk9qyTfnzD/nrwa1JInQq7r9t5WB+t3ClJmvi3dqoVEujuCAEAwHmKPM/NDv4hLXjW/jrpX1KtBhV2/2N/nt5c9LskafxVbRRfK9jdEQIAgEq4bbKBli1bauDAgRo1apRWrlyplStXatSoURo8eLDTTE4tWrTQ7NmzJUlFRUX629/+prVr1+rTTz9VcXGxMjMzlZmZqYKCAknSH3/8ofHjx2vt2rXasWOH5s6dq2uvvVYdO3ZUr14Vjy/h9Yrypa/ukwyb1GaY1HJwhd0Limwa9+VGGYY0rFN99W5at4YCBQAAvow8rwpsNmnO36Wi41JiH6nzrZV0NzTuy59VUGzTxc3q6qoO8TUUKAAAqIhb58z+9NNP1bZtWyUlJSkpKUnt2rXTxx9/7NRny5Ytys7OliTt3r1bc+bM0e7du9WhQwfFxcU5lpIZoAIDA7Vw4UINGDBAzZs31wMPPKCkpCQtWLBAZnPFt8Z7vdS3pP2bpZAo6fKXKu3+zpI/tHVfniJDA/XEoJY1ECAAAIAded4Z2vCZtPNHKSBUuvLNSofu+HztLq3efkjBAWY9N5ShOwAA8BYmwzAMTwdR03JycmS1WpWdnV3puBw15sgu6e2uUuExaegUqcN1FXbfceCokl5bqoJim94Y2UFXdWCCAQAA3M0rcwg48cpzdPyw9GYX6dgB6bLxUq8HK+x+IC9fl7z8g3JOFOmJQS11BxMMAADgdq7mEG69Iw1nYP7j9iJaQnepfcWD/ErS83M3q6DYpt5No3Rle271BwAA8FqLX7AX0aKaSd3uqbT7qylblXOiSG3qRejWXok1ECAAAHAVhTRv8MdiadPXkslPGvRypbf6//j7AaVs2iezn0lPD2nFrf4AAADeKmOjtOY/9tdXvCT5Vzwx1OaMHM1YnS5JenpIa2bpBADAy1BI8zRbsfT9Y/bXF46SYttW2L2o2Kbx/9skSUru3lAXRIe7O0IAAABUhWHY8zzDJrW+Wmrct5Luhsb/b5NshjS4XZwubFTx7O0AAKDmUUjztA0zpKxNUpBV6vtopd1nrNmlLftyZQ0O0Oj+TWsgQAAAAFTJ7wukHcskc6B9bLRKzN+0T6l/HlSgv58evbxFDQQIAADOFIU0Tyo8Li1+3v6698NSSMVXHY/mF+m1lK2SpIf6N1WtkIofDQAAAICH2IqllKftr7veKdVqUGH3omKb/u+73yRJd/ZurPq1Q9wdIQAAqAIKaZ60eqqUs0eKqC91vavS7tNW7NDBowVKjArVDd0b1kCAAAAAqJKNn0tZv9qfOuj9cKXdv/xpj7YfOKrI0EDd07dJDQQIAACqgkKapxw/LC17xf76kselgKAKu2cfL9Q7S/6QJI3u31QBZk4dAACAVyrK/+upg4vGVPrUQX5Rsd5YuE2SdE/fJgq1+Ls7QgAAUEVUYzxl1TvSiWwpupXUbkSl3d9bvl05J4rUNDpMg9vF10CAAAAAqJL1n0jZu6TweKlb5U8dfL5ml/YcOa6YCItu5KkDAAC8GoU0TziRI62cZH/d5x+Sn7nC7oePFuj95dslSQ9d1oxp0AEAALxVcaG0/HX764tGSwHBFXY/UVistxb/Lkm6r98FCgqoOC8EAACeRSHNE9a8a78bLaqZ1OqqSrtPXfan8vKL1CouQgNbx9ZAgAAAAKiSDTOk7HQpNFrqdFOl3T9dla59OfmqVytYIy5MqIEAAQDA2aCQVtMKjkqpb9tf9x5b6d1oOScK9XHqTkn2sdH8uBsNAADAOxUXSctftb/u9UCld6MVFNn07tI/JdnvRrP4czcaAADejkJaTVv7gXTsoFQ7UWozrNLun61KV16+fWy0/i1jaiBAAAAAVMmvX0qH/pSC60idb620+5wNe5WZc0J1wy0a1rleDQQIAADOFoW0mlR4Qlrxb/vr3mMkc8UzMuUXFTvGRrvr4ibcjQYAAOCtbDZp6cv21z3ukyxhlXQ3NHWpfUb223olcjcaAADnCAppNennL6S8fVJEPandyEq7f71+r7Jy8xUbEaQr2zNTJwAAgNf6PUU6sEWyREhdR1Xa/YetWdq6L09hFn9d361BDQQIAACqA4W0mmIYf83U2e0uyT+wwu42m6F3Tl6lvP2iRAX6c6oAAAC8VskYuJ1ukoKslXafssQ+Ntr13RrIGhzgzsgAAEA1ojpTU/5cLGVtkgJCpU43V9p90W9Z+mP/UYUH+WtkV2ZwAgAA8FqZP0vbl0gms/2CaSXWpx/W6u2HFGA26dZejdwfHwAAqDYU0mpK6sm70TreKAXXqrT7h6k7JNmvUoYHcZUSAADAa62cbP+31ZVSrcof0/zo5IzsQ9rHK85a8cyeAADAu1BIqwkHfrePmyGT1P3uSrv/uT9Py7YdkMkk3ditofvjAwAAQNUcPWAfB1eSut9XafeDefn6dmOGJOnmHo3cGBgAAHAHCmk1Yd0H9n+bJkl1Glfa/dNV6ZKkS5pHK6FOiDsjAwAAwNlI+1QqLpDiO0oJF1ba/fO1u1VQbFO7+la1T6jl/vgAAEC1opDmboUn7AmWJHW5rdLuxwuK9cXaXZKkG3twNxoAAIDXstmktScvmLqQ5xXbDH26yv5Y543dyfMAADgXUUhzt01fS8cPSxH1paaXVdr9fxv2KudEkRLqBOvipnVrIEAAAABUyfYfpMPbJUuE1GZYpd2XbM3S7sPHZQ0O0JB28e6PDwAAVDsKae629n37v51vkfzMFXY1DEMfrdwhyT42mp+fyb2xAQAAoOpK8rz2I6XA0Eq7f3xykoHhXeorOLDivBAAAHgnCmnutO9XaddK+1TonZIr7f7znmz9sidHgf5+urZLQg0ECAAAgCrJyZB+m2t/3fnWSrvvPnxMP2zdL0m6gcmkAAA4Z1FIc6d1H9r/bTFICo+ttPt/1+2WJA1sHas6oYHujAwAAABnI+0TySiWErpLMa0q7f7lT3tkGFLPJpFqFFX53WsAAMA7UUhzl6KCv6ZC73Rzpd3zi4r1ddpeSdLfOtd3Z2QAAAA4G4YhpU23v+50kwvdDc36yX7BlDwPAIBzm1sLaYcPH1ZycrKsVqusVquSk5N15MiRCte55ZZbZDKZnJbu3bs79cnPz9ff//53RUVFKTQ0VFdeeaV2797txiOpgm3fS8cPSWGxUpN+lXZftDlL2ccLFRsRpF4XRNVAgAAAAFXn03nertXSoT+kgBCp1ZWVdl+787B2Hjym0ECzBrap/CkFAADgvdxaSLv++uuVlpamefPmad68eUpLS1NycuVjhQ0cOFAZGRmOZe7cuU6fjx49WrNnz9aMGTO0fPly5eXlafDgwSouLnbXoZy5DTPs/7YbXukkA9Jfj3Ve3amezEwyAAAAvJxv53kn70ZreaVkCa+0+3/X2vO8K9rGKSTQ352RAQAAN3PbL/nmzZs1b948rVy5Ut26dZMkvfvuu+rRo4e2bNmi5s2bl7uuxWJRbGzZV+uys7P13nvv6eOPP1b//v0lSZ988okSEhK0YMECDRgwoPoP5kwdPSht/d7+usP1lXbfn5vvGHx2WCdu9wcAAN7Np/O8whPSr1/aX3e4rtLuxwuK9e3PGZJ4rBMAgPOB2+5IS01NldVqdSRXktS9e3dZrVatWLGiwnV/+OEHRUdHq1mzZho1apSysrIcn61bt06FhYVKSkpytMXHx6tNmzblbjc/P185OTlOi1v98l/JVijFdZCiW1ba/eu0PSq2GerYoJYuiA5zb2wAAABnyafzvC1zpRPZUkR9qVGfSrt//2um8vKL1KBOiC5sVMe9sQEAALdzWyEtMzNT0dHRpdqjo6OVmZlZ7nqXX365Pv30Uy1atEivvPKK1qxZo0suuUT5+fmO7QYGBqp27dpO68XExJS73QkTJjjG77BarUpISDiLI3NB2mf2f124G02SvkrbI4m70QAAwLnBp/O8ksc624+Q/CpPpUvyvGs61ZMfw3cAAHDOO+NC2jPPPFNqkNjTl7Vr10qSTKbSyYJhGGW2lxgxYoQGDRqkNm3aaMiQIfruu++0detWffvttxXGVdF2x40bp+zsbMeya9euMzjiKrj6HanXaKnNsEq77jhwVL/syZHZz6Qr2sa5Ny4AAIAKkOe54PKJUt9xUocbKu16+GiBlm87IEka0j7evXEBAIAaccZjpN1///0aOXJkhX0aNWqkjRs3at++faU+279/v2JiYlzeX1xcnBo2bKht27ZJkmJjY1VQUKDDhw87Xa3MyspSz549y9yGxWKRxWJxeZ9nLbqFdNmzLnX9ZuNeSVLPJpGqExrozqgAAAAqRJ7ngjqJUt9HXer6/a+ZKrIZahkXoSZ1Gb4DAIDzwRkX0qKiohQVFVVpvx49eig7O1urV69W165dJUmrVq1SdnZ2uYlQWQ4ePKhdu3YpLs5+t1bnzp0VEBCglJQUDR8+XJKUkZGhX375RRMnTjzTw/G4bzbaB58d0o6rlAAAwLPI86pXSZ43uB1PHQAAcL5w2xhpLVu21MCBAzVq1CitXLlSK1eu1KhRozR48GCnmZxatGih2bNnS5Ly8vI0duxYpaamaseOHfrhhx80ZMgQRUVF6eqrr5YkWa1W3X777Xr44Ye1cOFCrV+/XjfeeKPatm3rmN3pXPF7Vq5+y8xVgNmkAa3Lnr0KAADA25DnVe5gXr5W/GF/rJNCGgAA548zviPtTHz66ad64IEHHDMvXXnllXrrrbec+mzZskXZ2dmSJLPZrJ9//lkfffSRjhw5ori4OPXr108zZ85UeHi4Y53XXntN/v7+Gj58uI4fP65LL71U06ZNk9lsdufhVLuSq5QXXRAla0iAh6MBAABwHXlexb77JVM2Q2pbz6qGkaGeDgcAAFQTk2EYhqeDqGk5OTmyWq3Kzs5WRESER2IwDEOXvbZUv2fl6ZVr22tYZ2bsBADA23lDDoGKecs5Gjk1VSv/PKRxl7fQXRc38VgcAADANa7mEG57tBMV25aVp9+z8hRo9tNlrV0flBcAAADebX9uvlZtPyRJGsRjnQAAnFcopHlIyib7TFe9LohURBCPdQIAAJwvFv22T8bJxzrr1w7xdDgAAKAaUUjzkJJC2mWtmGQAAADgfJKyKUuSdFkrnjoAAOB8QyHNA7JyTyht1xFJ0qUtoz0bDAAAAKrN8YJiLf99vySpf0sKaQAAnG8opHnAos32q5Tt61sVExHk4WgAAABQXX78/YBOFNpUr1awWsaFV74CAAA4p1BI84AFm+2PdXKVEgAA4PzyV54XLZPJ5OFoAABAdaOQVsOOFxRr2bYDkqT+jJsBAABw3rDZDC04+eQBeR4AAOcnCmk1bPnvB5RfZL/dv0Ust/sDAACcLzbsPqIDefkKs/irW2Kkp8MBAABuQCGthi1wzNYZw+3+AAAA55GSxzovbl5Xgf6k2QAAnI/4ha9BNpuhhb+dvN2f8dEAAADOKwtPPtZ5GXkeAADnLQppNejnPdmO2/27JtbxdDgAAACoJnuPHNdvmbnyM0l9m9f1dDgAAMBNKKTVoGXb9kuSejaJ5HZ/AACA88jSrfY8r0NCLdUKCfRwNAAAwF2o5tSgpVvts3X2acZVSgAAgPPJ0pMXTMnzAAA4v1FIqyG5Jwr1U/phSdLFJFgAAADnjaJim5Zv44IpAAC+gEJaDVnxx0EV2QwlRoUqoU6Ip8MBAABANdmwO1s5J4pkDQ5Q+/q1PB0OAABwIwppNaRk3Iw+TaM8HAkAAACqU8k4uBddECWzn8nD0QAAAHeikFYDDMNwjJvRuym3+wMAAJxPSi6Y9uaCKQAA5z0KaTUg/dAx7Tp0XAFmk3o0ifR0OAAAAKgmOScKlbbriCSpN+OjAQBw3qOQVgNS/zgoyT4deqjF38PRAAAAoLqs/vOQbIaUGBWqerWCPR0OAABwMwppNSD1T3shrUdj7kYDAAA4n6w8med1J88DAMAnUEhzM8MwHHekdeexTgAAgPOK44IpeR4AAD6BQpqb/XngqLJy8xXo76dODWp7OhwAAABUkyPHCrQpI0eS1L1xHQ9HAwAAagKFNDcruRutY0ItBQWYPRwNAAAAqsuq7YdkGFKTuqGKDg/ydDgAAKAGUEhzs5Xc7g8AAHBeKrlgSp4HAIDvoJDmRoZhaOWfhyQx0QAAAMD5xnHBtHGUhyMBAAA1xa2FtMOHDys5OVlWq1VWq1XJyck6cuRIheuYTKYyl5deesnRp2/fvqU+HzlypDsPpUp+z8rTgbx8Wfz91KFBLU+HAwAAUG18Pc87mJev3zJzJTE+GgAAvsTfnRu//vrrtXv3bs2bN0+SdOeddyo5OVn/+9//yl0nIyPD6f13332n22+/XcOGDXNqHzVqlMaPH+94HxwcXI2RV4+SWZy6NKotiz/jowEAgPOHr+d5q7bbnzpoHhOuyDCLh6MBAAA1xW2FtM2bN2vevHlauXKlunXrJkl699131aNHD23ZskXNmzcvc73Y2Fin919//bX69eunxo0bO7WHhISU6uttShKs7ok81gkAAM4f5HnS6pI8j7vRAADwKW57tDM1NVVWq9WRXElS9+7dZbVatWLFCpe2sW/fPn377be6/fbbS3326aefKioqSq1bt9bYsWOVm5tb7nby8/OVk5PjtLibYRhau8OeYF2YSIIFAADOH76e50nSGvI8AAB8ktvuSMvMzFR0dHSp9ujoaGVmZrq0jQ8//FDh4eG65pprnNpvuOEGJSYmKjY2Vr/88ovGjRunDRs2KCUlpcztTJgwQc8+++yZH8RZ2H34uPbl5Mvfz6T29WvV6L4BAADcydfzvLz8Im3OsBfsujSkkAYAgC854zvSnnnmmXIHii1Z1q5dK8k+oOzpDMMos70s77//vm644QYFBQU5tY8aNUr9+/dXmzZtNHLkSP33v//VggUL9NNPP5W5nXHjxik7O9ux7Nq16wyP+syt23lYktS6nlXBgYyPBgAAvB95nmvS0o/IZkj1agUr1hpU+QoAAOC8ccZ3pN1///2VzpzUqFEjbdy4Ufv27Sv12f79+xUTE1PpfpYtW6YtW7Zo5syZlfbt1KmTAgICtG3bNnXq1KnU5xaLRRZLzQ4C67jdv2HtGt0vAABAVZHnuaYkz+vSiDwPAABfc8aFtKioKEVFRVXar0ePHsrOztbq1avVtWtXSdKqVauUnZ2tnj17Vrr+e++9p86dO6t9+/aV9v31119VWFiouLi4yg+ghpTckUaCBQAAzhXkea75K8/jsU4AAHyN2yYbaNmypQYOHKhRo0Zp5cqVWrlypUaNGqXBgwc7zeTUokULzZ4922ndnJwcffHFF7rjjjtKbfePP/7Q+PHjtXbtWu3YsUNz587Vtddeq44dO6pXr17uOpwzkn28UFv22QfF7cy4GQAA4Dzjy3leUbFN69NPFtJ48gAAAJ/jtkKaZJ9xqW3btkpKSlJSUpLatWunjz/+2KnPli1blJ2d7dQ2Y8YMGYah6667rtQ2AwMDtXDhQg0YMEDNmzfXAw88oKSkJC1YsEBms3eMRfZT+mEZhtQoMkR1w2v2UQMAAICa4Kt53m+ZuTpaUKxwi7+axYR7OhwAAFDDTIZhGJ4Ooqbl5OTIarUqOztbERER1b79l7/forcW/65hnerrleGVP7IAAADODe7OIXD23H2Opv24Xc/8b5P6NKurj27rWu3bBwAAnuFqDuHWO9J81dqdDEALAABwPlq7k8c6AQDwZWc82QAq9/SQ1lq9/ZB6N618sF4AAACcOx66rJl6NIlUF8bBBQDAJ1FIc4OWcRFqGcfjHgAAAOebJnXD1KRumKfDAAAAHsKjnQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALiAQhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC5wayHt+eefV8+ePRUSEqJatWq5tI5hGHrmmWcUHx+v4OBg9e3bV7/++qtTn/z8fP39739XVFSUQkNDdeWVV2r37t1uOAIAAACUhTwPAAD4IrcW0goKCnTttdfqnnvucXmdiRMn6tVXX9Vbb72lNWvWKDY2Vpdddplyc3MdfUaPHq3Zs2drxowZWr58ufLy8jR48GAVFxe74zAAAABwGvI8AADgi0yGYRju3sm0adM0evRoHTlypMJ+hmEoPj5eo0eP1iOPPCLJflUyJiZGL774ou666y5lZ2erbt26+vjjjzVixAhJ0t69e5WQkKC5c+dqwIABlcaTk5Mjq9Wq7OxsRUREnPXxAQAA30AOURp5HgAAOB+4mkN41Rhp27dvV2ZmppKSkhxtFotFF198sVasWCFJWrdunQoLC536xMfHq02bNo4+p8vPz1dOTo7TAgAAgJpDngcAAM4HXlVIy8zMlCTFxMQ4tcfExDg+y8zMVGBgoGrXrl1un9NNmDBBVqvVsSQkJLghegAAAJSHPA8AAJwPzriQ9swzz8hkMlW4rF279qyCMplMTu8NwyjVdrqK+owbN07Z2dmOZdeuXWcVHwAAwPmIPA8AAKBi/me6wv3336+RI0dW2KdRo0ZVCiY2NlaS/WpkXFycoz0rK8tx9TI2NlYFBQU6fPiw09XKrKws9ezZs8ztWiwWWSyWKsUEAADgK8jzAAAAKnbGhbSoqChFRUW5IxYlJiYqNjZWKSkp6tixoyT7jFBLlizRiy++KEnq3LmzAgIClJKSouHDh0uSMjIy9Msvv2jixIluiQsAAMAXkOcBAABU7IwLaWciPT1dhw4dUnp6uoqLi5WWliZJuuCCCxQWFiZJatGihSZMmKCrr75aJpNJo0eP1gsvvKCmTZuqadOmeuGFFxQSEqLrr79ekmS1WnX77bfr4YcfVmRkpOrUqaOxY8eqbdu26t+/vzsPBwAAACeR5wEAAF/k1kLaU089pQ8//NDxvuTq4+LFi9W3b19J0pYtW5Sdne3o889//lPHjx/Xvffeq8OHD6tbt26aP3++wsPDHX1ee+01+fv7a/jw4Tp+/LguvfRSTZs2TWaz2Z2HAwAAgJPI8wAAgC8yGYZheDqImpaTkyOr1ars7GxFRER4OhwAAHCOIIfwfpwjAABQFa7mEGc8aycAAAAAAADgiyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALvD3dACeYBiGJPvUpgAAAK4qyR1Kcgl4H/I8AABQFa7meT5ZSMvNzZUkJSQkeDgSAABwLsrNzZXVavV0GCgDeR4AADgbleV5JsMHL6nabDbt3btX4eHhMplM1b79nJwcJSQkaNeuXYqIiKj27aNqOC/eifPinTgv3onz4nmGYSg3N1fx8fHy82OEDG9EnuebOC/eifPinTgv3onz4nmu5nk+eUean5+f6tev7/b9RERE8B+AF+K8eCfOi3fivHgnzotncSeadyPP822cF+/EefFOnBfvxHnxLFfyPC6lAgAAAAAAAC6gkAYAAAAAAAC4gEKaG1gsFj399NOyWCyeDgWn4Lx4J86Ld+K8eCfOC+B5/HfonTgv3onz4p04L96J83Lu8MnJBgAAAAAAAIAzxR1pAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmluMGnSJCUmJiooKEidO3fWsmXLPB2ST5swYYIuvPBChYeHKzo6WkOHDtWWLVs8HRZOMWHCBJlMJo0ePdrToUDSnj17dOONNyoyMlIhISHq0KGD1q1b5+mwfFpRUZGeeOIJJSYmKjg4WI0bN9b48eNls9k8HRrgc8jzvAt53rmBXM97kOd5H/K8cw+FtGo2c+ZMjR49Wo8//rjWr1+v3r176/LLL1d6erqnQ/NZS5Ys0X333aeVK1cqJSVFRUVFSkpK0tGjRz0dGiStWbNGU6dOVbt27TwdCiQdPnxYvXr1UkBAgL777jtt2rRJr7zyimrVquXp0Hzaiy++qClTpuitt97S5s2bNXHiRL300kt68803PR0a4FPI87wPeZ73I9fzHuR53ok879xjMgzD8HQQ55Nu3bqpU6dOmjx5sqOtZcuWGjp0qCZMmODByFBi//79io6O1pIlS9SnTx9Ph+PT8vLy1KlTJ02aNEnPPfecOnTooNdff93TYfm0Rx99VD/++CN3WHiZwYMHKyYmRu+9956jbdiwYQoJCdHHH3/swcgA30Ke5/3I87wLuZ53Ic/zTuR55x7uSKtGBQUFWrdunZKSkpzak5KStGLFCg9FhdNlZ2dLkurUqePhSHDfffdp0KBB6t+/v6dDwUlz5sxRly5ddO211yo6OlodO3bUu+++6+mwfN5FF12khQsXauvWrZKkDRs2aPny5briiis8HBngO8jzzg3ked6FXM+7kOd5J/K8c4+/pwM4nxw4cEDFxcWKiYlxao+JiVFmZqaHosKpDMPQmDFjdNFFF6lNmzaeDsenzZgxQz/99JPWrFnj6VBwij///FOTJ0/WmDFj9Nhjj2n16tV64IEHZLFYdNNNN3k6PJ/1yCOPKDs7Wy1atJDZbFZxcbGef/55XXfddZ4ODfAZ5HnejzzPu5DreR/yPO9EnnfuoZDmBiaTyem9YRil2uAZ999/vzZu3Kjly5d7OhSftmvXLj344IOaP3++goKCPB0OTmGz2dSlSxe98MILkqSOHTvq119/1eTJk0mwPGjmzJn65JNP9Nlnn6l169ZKS0vT6NGjFR8fr5tvvtnT4QE+hTzPe5HneQ9yPe9EnuedyPPOPRTSqlFUVJTMZnOpq5JZWVmlrl6i5v3973/XnDlztHTpUtWvX9/T4fi0devWKSsrS507d3a0FRcXa+nSpXrrrbeUn58vs9nswQh9V1xcnFq1auXU1rJlS82aNctDEUGS/vGPf+jRRx/VyJEjJUlt27bVzp07NWHCBBIsoIaQ53k38jzvQq7nncjzvBN53rmHMdKqUWBgoDp37qyUlBSn9pSUFPXs2dNDUcEwDN1///368ssvtWjRIiUmJno6JJ936aWX6ueff1ZaWppj6dKli2644QalpaWRWHlQr169tGXLFqe2rVu3qmHDhh6KCJJ07Ngx+fk5/2SbzWamRQdqEHmedyLP807ket6JPM87keede7gjrZqNGTNGycnJ6tKli3r06KGpU6cqPT1dd999t6dD81n33XefPvvsM3399dcKDw93XEm2Wq0KDg72cHS+KTw8vNTYJaGhoYqMjGRMEw976KGH1LNnT73wwgsaPny4Vq9eralTp2rq1KmeDs2nDRkyRM8//7waNGig1q1ba/369Xr11Vd12223eTo0wKeQ53kf8jzvRK7nncjzvBN53rnHZBiG4ekgzjeTJk3SxIkTlZGRoTZt2ui1115j+m0PKm/ckg8++EC33HJLzQaDcvXt25cp0b3EN998o3Hjxmnbtm1KTEzUmDFjNGrUKE+H5dNyc3P15JNPavbs2crKylJ8fLyuu+46PfXUUwoMDPR0eIBPIc/zLuR55w5yPe9Anud9yPPOPRTSAAAAAAAAABcwRhoAAAAAAADgAgppAAAAAAAAgAsopAEAAAAAAAAuoJAGAAAAAAAAuIBCGgAAAAAAAOACCmkAAAAAAACACyikAQAAAAAAAC6gkAYAAAAAAAC4gEIaAAAAAAAA4AIKaQAAAAAAAIALKKQBAAAAAAAALqCQBgAAAAAAALjg/wHeQ3zsgn9TRAAAAABJRU5ErkJggg==", + "image/png": "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", "text/plain": [ "
" ] @@ -1113,9 +1064,9 @@ "ax = fig.add_subplot(2, 2, 1)\n", "ax.set_title('Simulations, and subtracted transients')\n", "ax.set_ylim(-1.1, 1.1)\n", - "ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", - "ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", - "ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "ax.plot(t, log['rc.v0'], label=r'$\\lambda = 1/2$')\n", + "ax.plot(t, log['rc.v1'], label=r'$\\lambda = 1$')\n", + "ax.plot(t, log['rc.v2'], label=r'$\\lambda = 2$')\n", "ax.plot(t, log['rc.v0'] - cos_transient(t, 1/2), '--', color='tab:blue', label='Simulation minus transient')\n", "ax.plot(t, log['rc.v1'] - cos_transient(t, 1), '--', color='tab:orange', label='Simulation minus transient')\n", "ax.plot(t, log['rc.v2'] - cos_transient(t, 2), '--', color='tab:green', label='Simulation minus transient')\n", @@ -1185,27 +1136,9 @@ "id": "147105b9", "metadata": {}, "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "<>:11: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:12: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:13: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:11: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:12: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:13: SyntaxWarning: invalid escape sequence '\\l'\n", - "/tmp/ipykernel_62986/1873408677.py:11: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", - "/tmp/ipykernel_62986/1873408677.py:12: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", - "/tmp/ipykernel_62986/1873408677.py:13: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n" - ] - }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1225,9 +1158,9 @@ "ax = fig.add_subplot(1, 1, 1)\n", "ax.set_title('Sine simulations')\n", "ax.set_ylim(-1.1, 1.1)\n", - "ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", - "ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", - "ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "ax.plot(t, log['rc.v0'], label=r'$\\lambda = 1/2$')\n", + "ax.plot(t, log['rc.v1'], label=r'$\\lambda = 1$')\n", + "ax.plot(t, log['rc.v2'], label=r'$\\lambda = 2$')\n", "kw = dict(color='#999', lw=0.5, ls='--')\n", "ax.axhline(amplitude(1/2), **kw)\n", "ax.axhline(amplitude(1), **kw)\n", @@ -1271,39 +1204,9 @@ "id": "e1c06f2c", "metadata": {}, "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "<>:6: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:7: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:8: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:24: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:25: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:26: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:6: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:7: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:8: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:24: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:25: SyntaxWarning: invalid escape sequence '\\l'\n", - "<>:26: SyntaxWarning: invalid escape sequence '\\l'\n", - "/tmp/ipykernel_62986/1872220516.py:6: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", - "/tmp/ipykernel_62986/1872220516.py:7: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", - "/tmp/ipykernel_62986/1872220516.py:8: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", - "/tmp/ipykernel_62986/1872220516.py:24: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, sin_transient(t, 0.5), label='$\\lambda=1/2$')\n", - "/tmp/ipykernel_62986/1872220516.py:25: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, sin_transient(t, 1), label='$\\lambda=1/2$')\n", - "/tmp/ipykernel_62986/1872220516.py:26: SyntaxWarning: invalid escape sequence '\\l'\n", - " ax.plot(t, sin_transient(t, 2), 'k:', label='$\\lambda=1/2$')\n" - ] - }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1318,9 +1221,9 @@ "ax = fig.add_subplot(2, 2, 1)\n", "ax.set_title('Simulations, and subtracted transients')\n", "ax.set_ylim(-1.1, 1.1)\n", - "ax.plot(t, log['rc.v0'], label='$\\lambda = 1/2$')\n", - "ax.plot(t, log['rc.v1'], label='$\\lambda = 1$')\n", - "ax.plot(t, log['rc.v2'], label='$\\lambda = 2$')\n", + "ax.plot(t, log['rc.v0'], label=r'$\\lambda = 1/2$')\n", + "ax.plot(t, log['rc.v1'], label=r'$\\lambda = 1$')\n", + "ax.plot(t, log['rc.v2'], label=r'$\\lambda = 2$')\n", "ax.plot(t, log['rc.v0'] - sin_transient(t, 1/2), '--', color='tab:blue', label='Simulation minus transient')\n", "ax.plot(t, log['rc.v1'] - sin_transient(t, 1), '--', color='tab:orange', label='Simulation minus transient')\n", "ax.plot(t, log['rc.v2'] - sin_transient(t, 2), '--', color='tab:green', label='Simulation minus transient')\n", @@ -1336,9 +1239,9 @@ "ax = fig.add_subplot(2, 2, 3)\n", "ax.set_title('Derived transients')\n", "ax.set_ylim(-1.1, 1.1)\n", - "ax.plot(t, sin_transient(t, 0.5), label='$\\lambda=1/2$')\n", - "ax.plot(t, sin_transient(t, 1), label='$\\lambda=1/2$')\n", - "ax.plot(t, sin_transient(t, 2), 'k:', label='$\\lambda=1/2$')\n", + "ax.plot(t, sin_transient(t, 0.5), label=r'$\\lambda=1/2$')\n", + "ax.plot(t, sin_transient(t, 1), label=r'$\\lambda=1/2$')\n", + "ax.plot(t, sin_transient(t, 2), 'k:', label=r'$\\lambda=1/2$')\n", "ax.legend()\n", "\n", "ax = fig.add_subplot(2, 2, 4)\n", @@ -1377,27 +1280,9 @@ "id": "dc683065", "metadata": {}, "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "<>:11: SyntaxWarning: invalid escape sequence '\\i'\n", - "<>:19: SyntaxWarning: invalid escape sequence '\\i'\n", - "<>:27: SyntaxWarning: invalid escape sequence '\\i'\n", - "<>:11: SyntaxWarning: invalid escape sequence '\\i'\n", - "<>:19: SyntaxWarning: invalid escape sequence '\\i'\n", - "<>:27: SyntaxWarning: invalid escape sequence '\\i'\n", - "/tmp/ipykernel_62986/3767847795.py:11: SyntaxWarning: invalid escape sequence '\\i'\n", - " ax.text(2, 0.5, '$\\int h$ from 0 to $\\infty$')\n", - "/tmp/ipykernel_62986/3767847795.py:19: SyntaxWarning: invalid escape sequence '\\i'\n", - " ax.text(2.5, 0.5, '$\\int h$ from 2 to $\\infty$')\n", - "/tmp/ipykernel_62986/3767847795.py:27: SyntaxWarning: invalid escape sequence '\\i'\n", - " ax.text(4.5, 0.5, '$\\int h$ from 4 to $\\infty$')\n" - ] - }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABMcAAADxCAYAAADP/9D0AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjguNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8fJSN1AAAACXBIWXMAAA9hAAAPYQGoP6dpAABc2klEQVR4nO3dd3wUdf4/8NdsS8+mkV4IPRBqAtIiKBBFDuX0PCxHUSx4IE1QkbMcXxXFE1E58FCwoR6/U0RERCNK72mUhJpAEkgnvWyyu/P7Y5OFJQkkYTez5fV8PPaRZDIz+95V9pV5f2Y+I4iiKIKIiIiIiIiIiMgByaQugIiIiIiIiIiISCpsjhERERERERERkcNic4yIiIiIiIiIiBwWm2NEREREREREROSw2BwjIiIiIiIiIiKHxeYYERERERERERE5LDbHiIiIiIiIiIjIYbE5RkREREREREREDovNMSIiIiIiIiIiclhsjhERERERERERkcNqc3Ns9+7dmDhxIoKDgyEIAjZv3nzTbXbt2oWYmBg4OzujS5cu+Oijj9pTKxEROQDmDBERWRJzhoiIrtfm5lhVVRX69++PVatWtWr9zMxM3HPPPYiLi0NycjJeeuklzJkzB999912biyUiIvvHnCEiIktizhAR0fUEURTFdm8sCPj+++8xadKkFtd54YUXsGXLFqSnpxuXzZw5E6mpqThw4EB7n5qIiBwAc4aIiCyJOUNERACgsPQTHDhwAPHx8SbL7rrrLqxbtw719fVQKpVNttFoNNBoNMaf9Xo9rly5Al9fXwiCYOmSiYjsniiKqKioQHBwMGQy255+kjlDRGR9mDPMGSIiSzJ3zli8OZaXl4eAgACTZQEBAdBqtSgqKkJQUFCTbZYtW4Z//vOfli6NiMjhZWdnIzQ0VOoybglzhojIejFniIjIksyVMxZvjgFoMjrSeCVnS6MmixcvxoIFC4w/l5WVITw8HD8dOIaRvSMsVygRkYMoLy9HWFgYPDw8pC7FLMyVMy99+QdeuHeQ5Qq1ISkpKRg1ahR27dqFAQMGSF0OEdkY5kzzOTP6tW/xw/xxliuUbBZzl6htzJ0zFm+OBQYGIi8vz2RZQUEBFAoFfH19m93GyckJTk5OTZbnVAnw9PS0SJ1ERI7IHi7tMGfOXKwQmTMN3N3djV/5nhBRezFnTGVViHB2dYdKYduXmpL5MXeJ2sdcOWPxT+Vhw4YhISHBZNmvv/6K2NjYZq/Pv5HTeRXmLI2IiOwAc4aIiCzJnDlTrxNxrqDSnOUREZEZtLk5VllZiZSUFKSkpAAw3No4JSUFWVlZAAynEE+dOtW4/syZM3Hx4kUsWLAA6enpWL9+PdatW4eFCxe2udhTuTxoISKyd1LmTH65BiVVdWZ5HUREZJ2kzBkASM8tv+XXQERE5tXm5tjRo0cxcOBADBw4EACwYMECDBw4EK+88goAIDc31xgsABAZGYlt27Zh586dGDBgAP7v//4PH3zwAR544IE2F3u2oAI6vdjm7YiIyHZImTMAD1qIiOwdc4aIiK7X5jnHRo8ebZyAsjmfffZZk2WjRo1CUlJSW5+qidp6PS4WV6FLJ/db3hcREVknKXMGANJyyzG8m59Z9kVERNbHGnKGiIisi83NBJnOSyuJiMiCmDNERGRJ6bnlN2zOERFRx7O55lhabpnUJRARkR3jiD4REVmKXCagpLoe+eUaqUshIqJr2FxzjCP6RERkSecKKlCn1UtdBhER2aFIP1cAHPAnIrI2Ntgc44g+ERFZhruzHPU6EecLK6UuhYiI7FCPAA8AHPAnIrI2Ntccyy2rRUlVndRlEBGRHeoZ4AkASLvMgRgiIjK/noGG5hgv4Scisi421Rzz93ACwLPHiIjIMnoFNo7oM2eIiMj8egUaBmHSOQhDRGRVbKo51tnXDQBHWoiIyDJ6Nl7uksecISIi82vMmcziKlTXaSWuhoiIGtlUcyzCt3ECSx60EBGR+Rkvd7lcDlEUJa6GiIjsjZ+HE/zcnSCKwKk8zjtGRGQtbKo51nh3lxOXeHcXIiIyv24B7lDKBZRU1+NSaY3U5RARkR2KDjFcWsljGiIi62FjzTF3AMC5gkqehkxERGbnpJAbzx7jQQsREVlCvxA1AOB4DnOGiMha2FRzzMdNBR9XFfQi7yRGRESW0bfhoOUYD1qIiMgCohubYxyEISKyGjbVHAOArv6GSfkZJkREZAk8aCEiIkvqG2rImbMFlait10lcDRERATbYHOvWyXBpJQ9aiIjIEhrPHDtxqYyT8hMRkdkFejrDz10FnV7kjcaIiKyE7TXH/DkXDBERWU7PQA/jpPw5JZyUn4iIzEsQBJOBGCIikp4NNsc4KT8REVkOJ+UnIiJL68tJ+YmIrIrNNcc4KT8REVlaX847RkREFsT5LYmIrIvNNccATspPRESWxYMWIiKyJE7KT0RkXWyyOda9Yd4xHrQQEZEl9AvxAmDIGU7KT0RE5maYlN+Jk/ITEVkJm2yOdW24YyXngiEiIkvoEegOpVxAKSflJyIiCzBMyu8JgMc0RETWwCabY5yUn4iILImT8hMRkaU1zm95jJPyExFJziabY5yUn4iILM140MLmGBERWUDj/JYchCEikp5NNseAq2ePpWSXSlsIERHZpb4N844dyymVtA4iIrJP/UK9AABn8it4NQwRkcRstjnWo+FyFzbHiIjIEgaEeQEAUrPLoNNzUn4iIjKvQLUzAj2doReB47y0kohIUjbbHOsVwOYYERFZTo8Ad7iq5KjUaHG+sFLqcoiIyA4NDPcCACTzmIaISFI22xzrHuAOAUBOSQ0KKzRSl0NERHZGIZcZ5x1LySqVthgiIrJLjWcpM2eIiKRls80xV5UCYT6uAHj2GBERWcbAcG8AQHJ2icSVEBGRPWrMmaSsEogiL+EnIpKKzTbHAKBnw6WVyVk8aCEiIvNrHNFP5og+ERFZQN8QNeQyAQUVGuSW1UpdDhGRw7Lt5hgn5SciIgtqnAvmTH4FKjW8kxgREZmXi0qOXjymISKSXLuaY6tXr0ZkZCScnZ0RExODPXv23HD9r776Cv3794erqyuCgoLw2GOPobi4uF0FX6tHw5ljqdmlvJMYEZEdsZacCfB0RrDacCexYzmlt7w/IiKyDtaSM8C1ZynzahgiIqm0uTm2ceNGzJs3D0uWLEFycjLi4uIwfvx4ZGVlNbv+3r17MXXqVMyYMQMnT57E//73Pxw5cgRPPPHELRcf7uMKZ6UMVXU6nC2ouOX9ERGR9KwpZ4Cr88FwRJ+IyD4wZ4iI6Hptbo6tWLECM2bMwBNPPIGoqCisXLkSYWFhWLNmTbPrHzx4EJ07d8acOXMQGRmJkSNH4umnn8bRo0dbfA6NRoPy8nKTR3PkMgHd/RtOQ+Z8MEREdsGacgbgvGNERPbGWnPmWE4Z6nX6W3ptRETUPm1qjtXV1SExMRHx8fEmy+Pj47F///5mtxk+fDhycnKwbds2iKKI/Px8fPvtt5gwYUKLz7Ns2TKo1WrjIywsrMV1r07KX9qWl0JERFbIGnOmcd6xlOxS3kmMiMjGWWPOdPFzg6ezAhqtHqfzeDUMEZEU2tQcKyoqgk6nQ0BAgMnygIAA5OXlNbvN8OHD8dVXX2Hy5MlQqVQIDAyEl5cXPvzwwxafZ/HixSgrKzM+srOzW1y3ByewJCKyG9aYM9EhaihkAgorNLhUWtO+F0ZERFbBGnNGJhPQn/OOERFJql0T8guCYPKzKIpNljVKS0vDnDlz8MorryAxMRHbt29HZmYmZs6c2eL+nZyc4OnpafJoSeOZY2cKKlBRW9+OV0NERNbGmnLGWSlHVJDh9zxLmYjIPlhTzgBX5x1jzhARSUPRlpX9/Pwgl8ubjKoUFBQ0GX1ptGzZMowYMQKLFi0CAPTr1w9ubm6Ii4vD66+/jqCgoHaWbuDjpkKApxPyyzVIzirF7T063dL+iIhIOtaYMwAQE+GN45fKkHixBBP7B9/y/oiISBrWnDMAkMgzx4iIJNGmM8dUKhViYmKQkJBgsjwhIQHDhw9vdpvq6mrIZKZPI5fLAcBsc7f0bhjRP3Lhiln2R0RE0rDWnIntbDhoYc4QEdk2a82ZQeFekAnAxeJqFJTXmmWfRETUem2+rHLBggX45JNPsH79eqSnp2P+/PnIysoynla8ePFiTJ061bj+xIkTsWnTJqxZswYZGRnYt28f5syZgyFDhiA42Dyj772D1AB40EJEZA+sMWdiI3wAAOm55byEn4jIxlljzng4K9ErsHHAn2ePERF1tDZdVgkAkydPRnFxMZYuXYrc3FxER0dj27ZtiIiIAADk5uYiKyvLuP706dNRUVGBVatW4bnnnoOXlxfuvPNOvP3222Z7Eb2DDUGSkl2KOq0eKkW7plIjIiIrYI05E6h2RpiPC7Kv1PASfiIiG2eNOQMAgzt7Iy23HEcuXMGEfrd+qSYREbWeINrAfenLy8uhVquxPy0L7h5NJ7PUiyL+9skhVGi0+P7vw40TWhIRUfMaP1fLyspuOkmwI2jN+7FgYwo2JV/CnDu7YUF8zw6usOMlJSUhJiYGiYmJGDRokNTlEJGNYc6Yas378WPqZTz7TTKiQzyx9dm4Dq6QpMbcJWobc+eMXZxiJRME49ljR3kaMhERWUBsZ8OllbzchYiILKFxfsu0y+Wo1GglroaIyLHYRXMM4KT8RERkWYMbDlqSs0tQr9NLXA0REdmbILULQr1doBeBZN61koioQ9ldc+zoxRKz3TWGiIioUddO7vByVaK2Xo+Tl8ulLoeIiOzQYJ6lTEQkCbtpjnX1d4dKLsOVqjpkFFVJXQ4REdkZmUww3rXyKM9SJiIiC2hsjjFniIg6lt00x5RyGboHuAMAjmQyTIiIyPwaL608zJwhIiILMF7Cn1XKS/iJiDqQ3TTHAKBPsBoAT0MmIiLLaJyUn5fwExGRJTRewl9Tr+Ml/EREHciummON844dvlAscSVERGSPokM84aQwXMJ/vrBS6nKIiMjOGC7hbzxLmcc0REQdxa6aY1FBHpAJQPaVGuSUVEtdDhER2RknhRwxDQctB87zoIWIiMxvaBdfAMwZIqKOZFfNMVeVAt39PQAwTIiIyDKGNR60ZDBniIjI/BqbY0culEDLeceIiDqEXTXHAKBfqGHeMR60EBGRJQzrajhoOZhxBXo95x0jIiLz6h3kCbWLEpUaLY5fKpO6HCIih2B3zbG+IYbm2MHzxZwsmYiIzK5fqBdclHJcqarDmYIKqcshIiI7I5MJuC3ScAMYDvgTEXUMu2uORQV5QiETcLmsFllXOO8YERGZl0ohQ2xnzjtGRESW03iWMnOGiKhj2F1zzFkpR48AzjtGRESWM7yrHwDmDBERWUZjzhy9UII6LecdIyKyNLtrjgFA34Z5x/bzoIWIiCzg6rxjxdBx3jEiIjKzHgHu8HVToaZeh9ScUqnLISKye3bZHOsXcnVSfs47RkRE5hYd7Al3JwXKa7VIzy2XuhwiIrIzgiAY71rJs5SJiCzPLptjvQI9oZQLKKzQ4HxhldTlEBGRnVHIZRjSOFkyD1qIiMgChnLeMSKiDmOXzTGVQoZegZ4AeIcXIiKyjGGNI/rMGSIisoDGnEnMKkFtvU7iaoiI7JtdNscAoF/DvGP7zhZJXAkREdmjxnnHDmUUc7JkIiIyu66d3BDg6YQ6rR6JF0ukLoeIyK7ZbXNsYJg3AGDf+SJodTxoISIi8+od5AlfNxWq6nRIyuJBCxERmZcgCBjZrRMAYPeZQomrISKyb3bbHOvm7w53JwUqarW8wwsREZmdTCYgrrsfAGDPWR60EBGR+d3ew5Azu3k1DBGRRdltc0wuE9A/zAsAsOsMw4SIiMwvrnvjiD5zhoiIzG9kNz8IApCeW46CilqpyyEislt22xwDgEHhXgA4ok9ERJbReObYictlKK7USFwNERHZG193J0QHG+ZS3suzx4iILMaum2ON846lZpeirLpe4mqIiMje+Hs6o1egB0QR2HuOBy1ERGR+xksrOe8YEZHF2HVzrJOHE8K8XaDnQQsREVnIqB68tJKIiCyn8RL+PWeLoNeLEldDRGSf7Lo5BgADww1nj/HSSiIisoSrBy2FEEUetBARkXkNCveGm0qO4qo6pOWWS10OEZFdsvvm2KCG5tjuMzxoISIi84vt7A1npQwFFRqczq+QuhwiIrIzKoUMw7o23rWSA/5ERJZg982xPsGeUMoFXC6rxfnCSqnLISIiO+OslOO2SF8AnA+GiIgsg/OOERFZVruaY6tXr0ZkZCScnZ0RExODPXv23HB9jUaDJUuWICIiAk5OTujatSvWr1/froLbylkpR5+GO7zsPM0wISKyBbaUM8DVeceYM0REtsFWc+bohRKU1/JGY0RE5tbm5tjGjRsxb948LFmyBMnJyYiLi8P48eORlZXV4jZ//etfsWPHDqxbtw6nT5/GN998g169et1S4W0xuLMPAOC39PwOe04iImofW8yZMVH+AIDDmVd40EJEZOVsMWcifN3QtZMbtHoRe3gDGCIis1O0dYMVK1ZgxowZeOKJJwAAK1euxC+//II1a9Zg2bJlTdbfvn07du3ahYyMDPj4GJpUnTt3vrWq22hIZx98vCcDRy6UoKymHmoXZYc+PxERtZ4t5kzjQcv5wirsPlOIP/UL7tDnJyKi1rPFnAGAMVEBOF+YgR3p+ZjQL6jDn5+IyJ616cyxuro6JCYmIj4+3mR5fHw89u/f3+w2W7ZsQWxsLJYvX46QkBD06NEDCxcuRE1NTYvPo9FoUF5ebvK4FYFqZ4T5uEKnF7GL1+kTEVktW80ZABgbFQAA2JFecMv7IiIiy7DlnLmzl+Es5T9OF0Cn543GiIjMqU3NsaKiIuh0OgQEBJgsDwgIQF5eXrPbZGRkYO/evThx4gS+//57rFy5Et9++y1mzZrV4vMsW7YMarXa+AgLC2tLmc0a0tlw18rfeWklEZHVsuWc4UELEZH1s+WciY3whqezAiXV9UjOKrnl/RER0VXtmpBfEASTn0VRbLKskV6vhyAI+OqrrzBkyBDcc889WLFiBT777LMWR1sWL16MsrIy4yM7O7s9ZZponHds55lCaHX6W94fERFZji3mTEyEN9QuSpTyoIWIyOrZYs4o5DKM7mkYiNlximcpExGZU5uaY35+fpDL5U1GVQoKCpqMvjQKCgpCSEgI1Gq1cVlUVBREUUROTk6z2zg5OcHT09Pkcat6BXrCw0mB0up6JGWV3vL+iIjI/Gw5ZwwHLYa7if3GSyuJiKySLecMcPUGMDt4NQwRkVm1qTmmUqkQExODhIQEk+UJCQkYPnx4s9uMGDECly9fRmVlpXHZmTNnIJPJEBoa2o6S20cuExATYbi0cscphgkRkTWy5ZwBrl5a+TtzhojIKtl6zozq0QlymYAz+ZXIvlLdoc9NRGTP2nxZ5YIFC/DJJ59g/fr1SE9Px/z585GVlYWZM2cCMJxCPHXqVOP6jzzyCHx9ffHYY48hLS0Nu3fvxqJFi/D444/DxcXFfK+kFYZEGi6t5GTJRETWy5ZzZnQPfx60EBFZOVvOGS9X1dUBf549RkRkNm1ujk2ePBkrV67E0qVLMWDAAOzevRvbtm1DREQEACA3NxdZWVnG9d3d3ZGQkIDS0lLExsbi0UcfxcSJE/HBBx+Y71W00sBwb8hlAs4VVCKjsPLmG9ig//3vfwgNDUWvXr2QmpoqdTlERG1myzmjdlVicMMNYH452fzEzmTAvCIiqdhyzgDA2IZLK39NY3OMWo+5S3RjgiiKVn9LrfLycqjVauxPy4K7x61dr//KDyeQnF2K5+/uib+P7mamCq1DTk4OunfvjiFDhqCkpARubm44cOCA1GURkRVq/FwtKysz2zwotsyc78en+zLxzx/TMLizN/43s/lLdGxBUlISYmJikJiYiEGDBpl138wrIvvHnDFlzvfjYnEVRr2zE3KZgKNLxsLbTWWmKklKzF2itjF3zrTrbpW2bHhXPwDA9hP2N6J/4MAB1NbW4uWXX8bbb7+NPn36QKfTSV0WEZFDuTs6EABw9GIJCipqJa7GOjGviIjaL8LXDVFBntDpRSTw7DFqBeYu0c05XHNsaBcfyATgWE4Zckrsaz6YS5cuATDcUWf8+PH45JNPIJfLJa6KiMixBKldMCDMC6II/HKSBy3NYV4REd2a8Q0DMT+fyJW4ErIFzF2im3O45piXqwq9gwyn3Nnb2WONd9Bxd3dv9vfPPfccJk6c2Or9iaKIp556Cj4+PhAEASkpKeYok4jI7jUetGznQUuzmFdERLemMWf2nitCeW29xNWQtWPuEt2cwzXHAGBYw6WV9jZZ8s0+9FJSUtC/f/9W72/79u347LPPsHXrVuTm5iI6Otosdd6q1atXIzIyEs7OzoiJicGePXtuuP7o0aMxb968jimOiAhXL608mHEFJVV1EldjfRwhr5YtW4bBgwfDw8MD/v7+mDRpEk6fPn3DbZhXRNRa3QM80LWTG+p1Iv44VSB1OWTlHCF3r7Vs2TIIgnDTTGXu0rUcsjk2vKsvAPubD+ZmH3qpqalt+tA7f/48goKCMHz4cAQGBkKhUDRZp66uYw/6Nm7ciHnz5mHJkiVITk5GXFwcxo8fb3JHISIiqXE+mBtzhLzatWsXZs2ahYMHDyIhIQFarRbx8fGoqqrq0DqIyH6Njw4CAPx83L4G/Mn8HCF3Gx05cgRr165Fv379JHl+sl0O2Rzzc3dCzwAPu5sPprKyEkqlEk5OTk1+l52djeLiYshkMowbNw6urq7o2bMnDh061Oy+pk+fjmeffRZZWVkQBAGdO3cGYOiuz549GwsWLICfnx/GjRsHANBoNJgzZw78/f3h7OyMkSNH4siRI8b9jR49Gs8++yzmzZsHb29vBAQEYO3ataiqqsJjjz0GDw8PdO3aFT///PMNX+OKFSswY8YMPPHEE4iKisLKlSsRFhaGNWvWtPg6du3ahffffx+CIEAQBFy4cKFVNRMR3QrOB9MyR8ir7du3Y/r06ejTpw/69++PTz/9FFlZWUhMTGzxdTCviKgtGs9S3nmmANV1WomrIWvmCLnb+DofffRRfPzxx/D29r7husxdup5DNseAq2eP/Xzcfg5aKioqbniqLAB8+OGHWLx4MVJTUxEeHo4XX3yx2fXff/99LF26FKGhocjNzTX5MPj888+hUCiwb98+/Oc//wEAPP/88/juu+/w+eefIykpCd26dcNdd92FK1eumGzn5+eHw4cP49lnn8UzzzyDBx98EMOHD0dSUhLuuusuTJkyBdXVzd8ooa6uDomJiYiPjzdZHh8fj/3797f4OoYNG4Ynn3wSubm5yM3NRVhYWKtrbs6rr76Knj17YsqUKSgvL8cPP/yAQYMG4c4778Tx48dvuC0ROY5r54Mpq+F8MNey97xqTllZGQDAx8enxdfBvCKitugT7IkwHxfU1uux83Sh1OWQFXOU3J01axYmTJiAsWPH3vQ9Ye5SE6INKCsrEwGI+9OyxGPZpWZ5JJzMEyNe2Cp2fnGrmF9WI/VLNIv4+HgxLCys2d8tXbpU9Pb2FvPz843LVq1aJfbp06fF/b333ntiRESEybJRo0aJAwYMMFlWWVkpKpVK8auvvjIuq6urE4ODg8Xly5cbtxs5cqTx91qtVnRzcxOnTJliXJabmysCEA8cONBsPZcuXRIBiPv27TNZ/sYbb4g9evRo8XWMGjVKnDt3bptrbk5CQoI4a9Yssby8XHz99dfFsWPHigMHDhTPnj0rnjp1ShwzZkyL2xJZk8bP1bKyMqlLsQqWej/GrdgpRrywVdx4OMus++0IiYmJIgAxMTHR7Pu297y6nl6vFydOnGiy3+Ywr8ieMGdMWer9WLYtXYx4Yav49BdHzbpf6njMXYP25u4333wjRkdHizU1Ncb9Xp+p12Pu2jZzf6467JljAZ7O6BVouLTyx2P2cfZYZWXlDUcE7rvvPvj7+xuXZWRkoFu3bm1+ntjYWJOfz58/j/r6eowYMcK4TKlUYsiQIUhPTzcuu/a6b7lcDl9fX/Tt29e4LCAgAABQUHDjSUUFQTD5WRTFJstuprU1Xy8pKQnTpk2Dh4cHlixZgpKSEixcuBDdunVDz5494evrK9n19URkfe4bEAIA2JJ6WeJKrIuj5FWj2bNn49ixY/jmm2/a/BqYV0R0I/f2DwYA/H66gHetpBbZe+5mZ2dj7ty52LBhA5ydndtcd3tqvh5z1/Y5bHMMAEb16ATAfg5abvahN2zYMJNlycnJGDBgQJufx83NzeRnURQB3LxppVQqTX4vCILJssZ19Xp9s8/r5+cHuVyOvDzTSUcLCgqMH5it1dqar9ezZ09s27YNAPDbb79BFEW8/fbbKCoqQmlpKQoKCqBSqdpUCxHZr4n9DAct+88XoaDcfm4Ac6vsPa+u9eyzz2LLli34448/EBoa2rYX0Iaar8e8InIMUUEe6O7vjjqtHr+c4MT81Dx7z93ExEQUFBQgJiYGCoUCCoUCu3btwgcffACFQgGdTtfq18DcdVwO3Rwb0c0PMgFIzS7FhSLbv3tUZWUlPDw8miyvqKhAZmYmBg4caLI8JSWlXR961+vWrRtUKhX27t1rXFZfX4+jR48iKirqlvffSKVSISYmBgkJCSbLExISMHz48Btud/0HYntrvvfee43Xo7/00kvYvHkz5s6di/79+2Pw4MFYsmRJO18dEdmjcF9XDAz3gl4EttrJWcrmYO95BRj+iJ49ezY2bdqE33//HZGRkTfdhnlFRG0lCALuG2AYiLGXAX8yP3vP3TFjxuD48eNISUkxPmJjY/Hoo48iJSUFcrm82e2Yu3StpvdcdSDerir0D/VCcnYptqRexpwx3aUu6Za0NCKQkpICmUxmcmrqxYsXUVJSYpYPPTc3NzzzzDNYtGgRfHx8EB4ejuXLl6O6uhozZsy45f1fa8GCBZgyZQpiY2MxbNgwrF27FllZWZg5c2aL23Tu3BmHDh3ChQsX4O7uDh8fn3bXLAgCPvroI5Nljz/+OB577LE2X9pJRI7hvv7BSM4y5MzjI2/eIHEEjpBXs2bNwtdff40ffvgBHh4exrOe1Wo1XFxcmt2GeUVE7TGxfzD+9esZ7DtXhMIKDTp5NL0jITk2e89dDw8PREdHN3luX1/fJsuvxdylazl0cwwwXFqZnF2KzSmX8Oyd3Wz6f9yW7kKSmpqKXr16mVx/nZycDC8vL+Otd2/VW2+9Bb1ejylTpqCiogKxsbH45ZdfbnoL3baaPHkyiouLsXTpUuTm5iI6Ohrbtm1DREREi9ssXLgQ06ZNQ+/evVFTU4PMzEx07tzZrDXb8v83RGRZE/oFY+nWNKRkl+JicRUifN1uvpGdc4S8WrNmDQDDLeqv9emnn2L69OnNbsO8IqL2iPB1w4AwL6Rkl+KnY5cxfQQHYsiUI+RuezB36VqC2HhRrRUrLy+HWq3G/rQsuHt4mnXf1XVa/G3dIdTrRGx9diSiQ9Rm3X9H0ev1kMvleOqpp4y3zSUiaknj52pZWRk8Pc37uWqLLP1+TFl3CHvOFuG5cT3wrI2cpZyUlISYmBgkJiZi0KBBZtsv84rIMTBnTFn6/fh0Xyb++WMaBoZ74fu/j7j5BmR1mLtEbWPuz1WHnnMMAFxVCgzu7AMA2Jx8SeJq2u6LL75AQkICiouLAcDkLiNERGQdGu8m9n3KJdjAmJRFMK+IiCxnQr8gyAQgOcs+5lKmW8fcJWobh2+OAcCdvQwfFJtTLqFed/M7T1mT7777DvPnz8d7770HABgyZIjEFRER0fXG9w2Ci1KOjMIqJGWVSl2OJJhXRESW4+/hjLjunQAA3ybmSFwNWQPmLlHbsDkGICbcG14uShRV1uGPUwVSl9MmS5cuRX5+PpYtW4bp06dj4sSJUpdERETXcXdSYHzfQADAt4nZElcjDeYVEZFlPRgbCgD4LikHOr1jnqVMVzF3idqGzTEACrkMdzScPfY/Gxtp6d+/P7KysnD58mV8+umnUpdDREQt+GtsGADgx9RcVNdpJa6m4zGviIgsa1zvAHi5KpFbVou954qkLockxtwlahs2xxqMjQoAAPxxqgCFFRqJq2kbFxcXBAUFSV0GERHdwG2RPgj3cUWlRovtJ/KkLkcSzCsiIstxUshxX8Mcl/876phnKZMp5i5R67E51iDcxxU9Atyh1Ys2OTE/ERFZN0EQ8JcYwyUv/ztqW2cpExGRbXiw4SzlX9PyUVZdL3E1RES2g82xazSePfa/xGyHvZsYERFZzgMxoRAE4EBGMbKvVEtdDhER2Zk+wZ7oFeiBOq0eW1I54E9E1Fpsjl0jrnsnqOQynMmvREp2qdTlEBGRnQnxcsHIbn4AgP/HS16IiMjMBEEwznH53yMc8Cciai02x67h7qTAiG6+AICvDmVJXA0REdmjyYMNBy0bj2SjXqeXuBppJCcnQ6lUIi4uzmLPsXr1akRGRsLZ2RkxMTHYs2fPDdffvXs3Jk6ciODgYAiCgM2bNzdZZ82aNejXrx88PT3h6emJYcOG4eeffzZZ57XXXoMgCCaPwMBAc740IqIbmjQwBCqFDCcvlyM1p0zqcsgKWGPutmab1mSzVqvFP/7xD0RGRsLFxQVdunTB0qVLoddf/RurNflNxObYde6JNkxY+GPqZZRW10lcDRER2Zv43oHwc3dCQYUGCWn5UpcjiTlz5mDhwoVITU21yFkNGzduxLx587BkyRIkJycjLi4O48ePR1ZWywNfVVVV6N+/P1atWtXiOqGhoXjrrbdw9OhRHD16FHfeeSfuu+8+nDx50mS9Pn36IDc31/g4fvy42V4bEdHN+Lip8Ke+hmOaDQcvSlwNWQNrzN3WbNOabH777bfx0UcfYdWqVUhPT8fy5cvxzjvv4MMPPzSu09r8JsfG5th1egZ6INLPDRqtHt8mcsJkIiIyL5VChocazh5zxIOWr7/+Gt7e3pg1axYqKiqQkZHR7n219Af+ihUrMGPGDDzxxBOIiorCypUrERYWhjVr1rS4r/Hjx+P111/H/fff3+I6EydOxD333IMePXqgR48eeOONN+Du7o6DBw+arKdQKBAYGGh8dOrUqX0vkIionR4dGgGAA/5kvbnbmm1ak80HDhzAfffdhwkTJqBz5874y1/+gvj4eBw9etS4Tmvzmxwbm2PXEQQB46MNlz98dSgLej2v0yciIvN6+LZwyARg//linCuolLqcDlNVVYWXXnoJb7/9NkJDQ6FWq5GSktLsuhUVFViyZAliYmLQs2dPPPnkk/j9999RVVWFtLQ0PPnkk0hLS2uyXV1dHRITExEfH2+yPD4+Hvv37zfba9HpdPjvf/+LqqoqDBs2zOR3Z8+eRXBwMCIjI/HQQw/d0oEIEVF7DAr3QlSQJwf8HZy15q45s3rkyJHYsWMHzpw5AwBITU3F3r17cc899zS7/o3ymxwbm2PNGN3DHy5KOTKLqrDvfJHU5RARkZ0J8XLBnb38AQBfHXKcs8feeOMN3H333YiKigIA9O7du8U/0t966y0UFhbinXfewbvvvgu5XI4HH3wQ7u7uGDZsGNRqNbp27dpku6KiIuh0OgQEBJgsDwgIQF5e3i2/huPHj8Pd3R1OTk6YOXMmvv/+e/Tu3dv4+9tuuw1ffPEFfvnlF3z88cfIy8vD8OHDUVxcfMvPTUTUWoIg4G9DwwFwwN+RWWvumjOrX3jhBTz88MPo1asXlEolBg4ciHnz5uHhhx82We9m+U3UruZYeybbA4B9+/ZBoVBgwIAB7XnaDuOikhsPWhzxkhciIqnZe84AwN8aLnn5LjEHNXU6iauxvIyMDKxduxavvfaacVl0dHSLf6QvWrQIa9euRY8ePdCvXz989NFHKCwsRH5+PkpLS/H666+jvr6+xecTBMHkZ1EUmyxrj549eyIlJQUHDx7EM888g2nTppmMpI8fPx4PPPAA+vbti7Fjx+Knn34CAHz++ee3/NxEZD6OkDOTBoTA3UmBzKIq7D/PBr2jsYXcNUdWb9y4ERs2bMDXX3+NpKQkfP755/jXv/7VJHdvlt9EbW6OtWeyPQAoKyvD1KlTMWbMmHYX25EaL61MSMtH9pVqiashInIcjpIzt3fvhHAfV5TXarEp2f4veZk/fz6Ki4sRGhoKhUIBhUKBTz75pMU/0ktKSnDHHXcgLCwMERER6Nu3L1avXo3CwkL88ssvGDZsGC5ebDqA5efnB7lc3mTkuaCgoMkIdXuoVCp069YNsbGxWLZsGfr374/333+/xfXd3NzQt29fnD179pafm4jMw1Fyxs1JgfsHhQAAPtufKXE11NGsOXfNmdWLFi3Ciy++iIceegh9+/bFlClTMH/+fCxbtsxkvbbmNzmeNjfH2jPZHgA8/fTTeOSRR2zmut4IXzf0D1VDLwKf7b8gdTlERA7DUXJGJhMwbXhnAMC6vZl2fclLQkIC9u3bh+TkZKSkpBgf69atQ05OTrOXHH755ZeIj4/H+fPncezYMTz66KNYv349Bg8ejEWLFmHGjBnNXg6hUqkQExODhISEJjUMHz7c7K9NFEVoNJoWf6/RaJCeno6goCCzPzcRtY+j5AwAY878ll6AjELHmePS0Vl77pozq6urqyGTmbY15HI59Hr9Dbe7WX6T41G0ZeXGifNefPFFk+U3mzjv008/xfnz57Fhwwa8/vrrN30ejUZj8j9qeXl5W8o0m0kDQ5CaU4aNR7Ixd2x3eDorJamDiMhROFrOTB4chpW/nUFGYRX+OF2AMVG3fmaTtdFqtZg7dy4WLVrU5DIkT09PAEBKSkqTMzFeeuklKBRX/0zp27dvk/8vWrJgwQJMmTIFsbGxGDZsGNauXYusrCzMnDnTuM6qVavw/fffY8eOHQCAyspKnDt3zvj7zMxMpKSkwMfHB+Hh4caaxo8fj7CwMFRUVOC///0vdu7cie3btxu3W7hwISZOnIjw8HAUFBTg9ddfR3l5OaZNm9aq2onIshwtZ7p2csfYKH/8ll6AdXsz8caf+0pSB3UcW8nd1mzTmmyeOHEi3njjDYSHh6NPnz5ITk7GihUr8Pjjj5u8tpvlN1GbmmPtmTjv7NmzePHFF7Fnzx6Tf2w3smzZMvzzn/9sS2kWERPujTAfV2RfqcbGw9l48vYuUpdERGTXHC1n3J0UeGRIOP6zOwOf7Mm0y+bYhx9+iOLiYsyePbvJ78LCwuDq6trsH+mt/W/ZnMmTJ6O4uBhLly5Fbm4uoqOjsW3bNkRERBjXKSoqwvnz540/Hz16FHfccYfx5wULFgAApk2bhs8++wwAkJ+fjylTpiA3NxdqtRr9+vXD9u3bMW7cOON2OTk5ePjhh1FUVIROnTph6NChOHjwoMlzE5F0HC1nAOCJuC74Lb0A3yXl4Ln4nvBxU0ldElmQreRua7ZpTTZ/+OGHePnll/H3v/8dBQUFCA4OxtNPP41XXnnFuF1r8ptIEEWx1ddxXL58GSEhIdi/f7/J6cRvvPEGvvzyS5w6dcpkfZ1Oh6FDh2LGjBnGDvBrr72GzZs3t3itM9D8SEtYWBj2p2XB3cOzteWaxa9pefjw93MIVjtj1/N3QCm33ht8JicnY8iQIRg6dGirJxVti927d+Odd95BYmIicnNz8f3332PSpElmfx4isrzy8nKo1WqUlZUZRxGtgdQ5I8X7cbm0Brcv/wNavYitz45EdIi6Q5+/JUlJSYiJiUFiYiIGDRokdTlEZGOYM9aTM6IoYuKqvThxqRzPjeuBZ8d079Dnp9Zh7hK1jblzpk2dnrZOnFdRUYGjR49i9uzZxkkAly5ditTUVCgUCvz+++/NPo+TkxM8PT1NHlIZ3cMfXi5KXC6rxbbjuZLV0Rpz5szBwoULkZqaijb0PFutqqoK/fv3x6pVq8y+byIiwDFzJtjLBRP6Geaj+mRPhmR1EBE5AkfMGUEQ8GSc4QqYzw9cRG29/d8hmYiordrUHGvrxHmenp44fvy4ySSAM2fONN5G9bbbbru16juASiHDPX0bD1oyLdJ0Moevv/4a3t7emDVrFioqKpCRYf4DrPHjx+P111/H/fffb/Z9ExEBjpkzAPDESMNBy9Zjucgtq5G4GiIi++WoOXNP3yAEqZ1RVKnBlpTLUpdDRGR12nxh8c0mzlu8eDEuXbqEL774AjKZDNHR0Sbb+/v7w9nZuclya3ZP3yB8m5iD45fKsPdcEeK6d5K6JBNVVVV46aWX8PPPPyM0NBRqtRopKSno2rVrk3XffPNNvPnmmzfc388//4y4uDhLlUtEdEOOmDN9Q9W4LdIHhzKvYO3uDLw6sY/UJRER2S1HzBmlXIbpwztj2c+n8NGu83ggJhRymSB1WUREVqPNzbGbTZyXm5uLrKwssxcqJbWLEndHB2JL6mV8uOOc1TXH3njjDdx9992IiooCAPTu3RspKSl44IEHmqw7c+ZM/PWvf73h/kJCQixSJxFRazhizgDA7Du74dC6w/j6UBaeGd0V/h7OUpdERGSXHDVnHh0agTW7ziOjqAo/Hc/Fvf2DpS6JiMhqtGlCfqk0TrQmxYT8jYorNXjii6PQ6kX896mhGNrFV5I6rpeRkYEhQ4bgxIkTCAwMBAA89dRTyM3NxY8//mix5xUEgRPyE9kwa50oWSrW8H6Ioog/r96PlOxSPHV7F7x0T5QkdTTixMBEdCus4XPVmljL+/HBjrNYkXAGPQLcsX3u7ZDx7DGrwdwlahtJJ+R3ZL7uTojvY2g+fbDjrMTVXDV//nwUFxcjNDTUOEnoJ5980uLdc9588024u7vf8GGJO10SEdGNCYKAuQ13EPvywEUUV2pusgUREVHbTBveGR7OCpzJr8QvJ/NuvgERkYNo82WVjuyBQSH49WQe9p8vRuLFK4iJ8JG0noSEBOzbtw/JyclQKK7+pzxy5Agef/xxFBcXw9fX9Aw3XlZJRGS9RvfshL4hahy/VIZ1ezPx/N29pC6JiIjsiNpFiceGd8YHv5/DB7+fw93RgRAEnj1GRMTmWBv4ezhjTC9//JKWjw92nMPnjw+RrBatVou5c+di0aJFGDBggMnvGk8pTElJwZgxY0x+5+PjAx+f9jX1Kisrce7cOePPmZmZSElJgY+PD8LDw9u1TyIiukoQBDx7Zzc89WUivjhwEU/d3gVeriqpyyIiIjvy+MhIrNubifTccvyWXoBxvQOkLomISHK8rLKN/hITBpkA7DpTiMSLVySr48MPP0RxcTFmz57d5HdhYWFwdXVt8dLK9jp69CgGDhyIgQMHAjDc6WfgwIF45ZVXzPo8RESObFzvAPQK9EClRou1uzOkLoeIiOyMl6sKU4d3BgCsSDgDvd7qp6AmIrI4NsfaKFDtjHFRhtGVt34+BanuZzB//nzk5+fDzc2tye8EQUBVVRWee+45sz7n6NGjIYpik8dnn31m1uchInJkgiBgwbgeAID1+zKRX14rcUVERGRvnorrAg8nBdJzy/HjsctSl0NEJDk2x9rh4SHhUMllOHKhBL+fKpC6HCIisjPjegcgJsIbtfV6vG9FN4EhIiL74O2mwtOjugAA3v31DOq0eokrIiKSFptj7eDr7oSJ/YMBAMu3n4aOpyITEZEZCYKAFxom4994JBsZhZUSV0RERPbm8ZGR6OThhKwr1fjmcJbU5RARSYrNsXb6y6BQuDnJcTq/ApuTL0ldDhER2ZkhkT64s5c/dHoR7/56RupyiIjIzriqFJgzpjsA4MPfz6JKo5W4IiIi6bA51k7uzgo8GBMGwDCRZW29TuKKiIjI3jx/d08IAvDT8VykZpdKXQ4REdmZhwaHobOvK4oq6/DxHt4EhogcF5tjt+BP/YLg66bCpdIarNubKXU5RERkZ3oFeuLPA0IAAEu3pkl2ExgiIrJPSrkMC+/qCQD4z64M5JbVSFwREZE02By7BU4KOaY33AZ51e/nGCZERGR2i+7uCRelHIkXS/BDCu8oRkRE5jWhbxBiI7xRU6/Dsm2npC6HiEgSbI7dolE9OiEq0INhQkREFhGkdsHsO7sBAN7clo5KzglDRERmJAgCXru3DwQB2JJ6GYczr0hdEhFRh2Nz7BYJgoCnbu8KAQwTIiKyjBkjIxHh64qCCg3+/cc5qcshIiI7Ex2ixsNDwgEAr245CZ2el/ETkWNhc8wMuvm7464+gQAYJkREZH7OSjlentAbALBuTyYyi6okroiIiOzNwvieULsokZ5bjm8OZ0ldDhFRh2JzzEz+NjQCbk5ypOeW47P9F6Quh4iI7MyYKH+M7tkJdTo9XvnhBCfnJyIis/JxU+G5+B4AgOXbT6GgolbiioiIOg6bY2aidlFi2rDOAIB//XIa2VeqpS2IiIjsiiAIeG1iHzgpZNhztgjfJV2SuiQiIrIzjwwJR98QNcprtfjnljSpyyEi6jBsjpnRXX0C0SfYEzX1OizZzFF9IiIyr85+bpg31jCq/39b01BYoZG4IiIisicKuQxvPdAXcpmAn47n4teTeVKXRETUIdgcMyOZIODZO7pDKRew+0whNqdwVJ+IiMzrybhI9An2RFlNPZZu5ag+ERGZV59gNZ66vQsA4OUfTqC8tl7iioiILI/NMTML8XbBw4MNd3pZ+mMaiio5qk9EROajkMvw9gP9IJcJ+DH1Mn5Ly5e6JCIisjNzx3RHZ19X5Jdr8NbPp6Quh4jI4tgcs4A/DwxBpJ8bSqrrsXjTcV5eSUREZhUdosYTIyMBAIu/P45iDsQQEZEZOSvlWHZ/PwDA14eysPN0gcQVERFZFptjFqCQyzB/bHcoZAIS0vKx8Ui21CUREZGdmT+uB7r7u6OwQsOBGCIiMrthXX0xfXhnAMCib4/hSlWdtAUREVkQm2MWEunnjilDIwAAS7em4UJRlcQVERGRPXFWyrHyoQFQygX8mpaP/x3NkbokIiKyMy+O74VuDQMxL353jAMxRGS32ByzoEkDQ9A3RI3qOh3mbUyBVqeXuiQiIrIjfYLVeC6+JwDgtR9P4mIxB2KIiMh8nJVyrJzMgRgisn9sjlmQTBAwb2x3uKnkSMkuxYqEM1KXREREdubJuC64LdIH1XU6zPkmGRqtTuqSiIjIjkSHmA7EnCuokLgiIiLzY3PMwvw9nDHrjm4AgNU7z2NHOu8qRkRE5iOXCVgxeQC8XJVIzSnD61vTpS6JiIjszJNxXTC8qy+q63SYuSEJVRqt1CUREZkVm2MdIK57J/ypXxAAYP7GFGRfqZa4IiIisichXi54b/IAAMCXBy/ih5RL0hZERER2RS4T8MHDAxHg6YRzBZV46XveCIaI7AubYx3k8RGR6BnggfJaLZ75KhG19bzshYiIzOeOnv549k7DmcovfnccZ/J52QsREZmPn7sTVj0yCHKZgB9SLmPDwYtSl0REZDZsjnUQpVyG5+/uCQ9nBU5cKseS709wtIWIiMxq3tgeGNnNDzX1Ojz9ZSJKq+ukLomIiOzI4M4+WDy+FwBg6dY0HM68InFFRETm0a7m2OrVqxEZGQlnZ2fExMRgz549La67adMmjBs3Dp06dYKnpyeGDRuGX375pd0F2zJ/D2csiu8JmQB8l5SDj3ZlSF0SEZFVYs60j1wm4P2HBiDEywWZRVX4+1dJqOedkomImmDOtN+MkZGY0C8I9ToRT395FFnFnDKGiGxfm5tjGzduxLx587BkyRIkJycjLi4O48ePR1ZWVrPr7969G+PGjcO2bduQmJiIO+64AxMnTkRycvItF2+LBoZ748m4LgCA5b+cwvYTeRJXRERkXZgzt8bX3QmfTIuFm0qO/eeL8coPJ3mmMhHRNZgzt0YQBPzrL/3RL1SNkup6PP75EZTX1ktdFhHRLRHENv7FfNttt2HQoEFYs2aNcVlUVBQmTZqEZcuWtWofffr0weTJk/HKK6+0av3y8nKo1WrsT8uCu4dnW8q1Wh/tOo+fjufCRSnH/2YOQ3SIWuqSiMiBNH6ulpWVwdPTuj5XpcwZa3w/2mtHej6e+OIoRBH4x4QoPNEwMNNaSUlJiImJQWJiIgYNGmShKonIXlnz5ypzxjzyy2tx36p9yCuvRVx3P6yfPhhKOWftaS/mLlHbmPtztU2fXnV1dUhMTER8fLzJ8vj4eOzfv79V+9Dr9aioqICPj0+L62g0GpSXl5s87M2TcV0wMMwLNfU6TP/0MDKLqqQuiYhIcswZ8xkTFYAl90QBAF7/KZ13sCQiAnPGnAI8nfHJtFi4KOXYc7YIL3x7DHo9z1QmItvUpuZYUVERdDodAgICTJYHBAQgL691lwe+++67qKqqwl//+tcW11m2bBnUarXxERYW1pYybYJcJuCFu3uhi58biirrMGXdIeSX10pdFhGRpJgz5jVjZCSmD+8MAHju/6Xij9MF0hZERCQx5ox5RYeo8e9HB0IuE7Ap+RJe/ymdl/ITkU1q13mvgiCY/CyKYpNlzfnmm2/w2muvYePGjfD3929xvcWLF6OsrMz4yM7Obk+ZVs/NSYHX7u2DILUzckpqMHXdYZRV83p9IiLmjHkIgoBX/tQb9w0IhlYv4pkNiUi8yDuLERExZ8znzl4BeOcv/QAA6/dlYvXO8xJXRETUdm1qjvn5+UEulzcZVSkoKGgy+nK9jRs3YsaMGfh//+//YezYsTdc18nJCZ6eniYPe+XtqsLS+6Lh46rC6fwKTF1/CGU1bJARkWNizpifTCbgXw/2x+ienVBbr8f0T48gJbtU6rKIiCTBnLGM+weF4uU/9QYAvPPLaXyyJ0PiioiI2qZNzTGVSoWYmBgkJCSYLE9ISMDw4cNb3O6bb77B9OnT8fXXX2PChAntq9SOBXo645/39oGHswKpOWWYsu4QzyAjIofEnLEMpVyGNY/GYEhnH1TUajHlk0NIyiqRuiwiog7HnLGcGSMjMWdMdwCGuS7X7uYZZERkO9p8WeWCBQvwySefYP369UhPT8f8+fORlZWFmTNnAjCcQjx16lTj+t988w2mTp2Kd999F0OHDkVeXh7y8vJQVlZmvldhBzr7ueGNSX3h6azAsZwyPLruIEqr66Qui4iowzFnLMNFJcenjw3GkEgfVGi0mLruMC+xJCKHxJyxnPlju2NuQ4PszW2nsIaXWBKRjWhzc2zy5MlYuXIlli5digEDBmD37t3Ytm0bIiIiAAC5ubnIysoyrv+f//wHWq0Ws2bNQlBQkPExd+5c870KOxHp54Y3/9wXahclTlwqx8MfH0JBBSfpJyLHwpyxHDcnBT57bDCGdvFBZUODbP/5IqnLIiLqUMwZyxEEAfPH9cC8sYYG2dvbT2Hlb2c4ST8RWT1BtIFPqvLycqjVauxPy4K7h31frw8AWVeqsWTzcZRW1yPU2wVfPD4EXTq5S10WEdmRxs/VsrIyu58HpTUc7f2oqdNhxudHsP98MVRyGd79a39M7B9ssk5SUhJiYmKQmJiIQYMGSVQpEdkqR/tcvRlHfD8+3HEW7yacAQA8cls4/u++aMhlN7/pgaNi7hK1jbk/V9t1t0qyrHAfVyx/oJ/xLpYPrNmPZM4NQ0REZuKikmP99MEYHx2IOp0ez36TjHV7M6Uui4iI7MizY7pj6X19IAjA14eyMHNDImrrdVKXRUTULDbHrFSQ2gXLH+iHbv7uKKmux8MfH8T2E3k335CIiKgVnJVyrHpkEKYNM1xG9H9b07D0xzRodXqJKyMiInsxdVhnrHl0EFQKGRLS8vHIxwdRWKGRuiwioibYHLNiXq4qvDmpL2IivFFbr8fMDYlYkXAGer3VXwlLREQ2QC4T8Nq9ffDC3b0AAOv3ZeKxz47whjBERGQ2d0cH4asnboPaRYmkrFLcu2ovjuWUSl0WEZEJNsesnItKjpcn9Ma9DXPBfLDjLJ7ekIhKjVbiyoiIyB4IgoBnRnfF6kcHwUUpx56zRbh31T5cLKuXujQiIrITgzv74Pu/D0fXTm7ILavFgx8dwPfJOVKXRURkxOaYDZDLBDwZ1wXzxnSHUi4gIS0f967ai5OXeftoIiIyj3v6BmHT34cj1NsFWVeqsXhHMdz63Cl1WUREZCe6dHLH97NGYEwvf2i0eszfmIqXN5/gPGREZBXYHLMhY6IC8Nb9/eDrpkJGYRX+/O/9+GxfJm+NTEREZhEV5IkfZ4/EiG6+qNWK8PvTArx/qJRnKxMRkVl4Oivx8dRYzL6jGwDgy4MXMenf+3A2v0LiyojI0bE5ZmN6BHjg/YcGYkhnH9Tp9HjtxzQ8+cVRXKni/DBERHTrvN1U+OLx2zCphwtEvQ67LtZgwgd7kJpdKnVpRERkB2QyAQvv6onPHx8CP3cVTuVVYOKqvfjmcBYH/YlIMmyO2SC1ixL/mBCFp+K6QCET8Ft6AeLf24WfjuUyUIiI6JbJZQL+1N0F+V+/CC8nAReLq3H/mv1Yvv0UL38hIiKzGNWjE7bNjUNcdz/U1uuxeNNxTP/0CC6V1khdGhE5IDbHbJQgCJjYPxjvPtgf4T6uKKqsw6yvkzBzQyIKymulLo+IiOyA5lI65sa4Iq67H3R6Eat3nseED/Yg8eIVqUsjIiI74O/hjM8fG4KX7ukFlUKGXWcKEb9iF748eBF6PQf9iajjsDlm47p0csfKyQPw0OAwyGUCfjmZj7ENgaJjoBAR0S1yVQp4/q5eeOmeKHi7KnG+sAp/+egA/rH5OEp4ST8REd0imUzAU7d3xbY5cYiJ8EZVnQ4vbz6ByWsPIO1yudTlEZGDYHPMDijlMjx6WwTe+2t/dOvkjvJaLV7efAJ/+nAvDmUUS10eERHZgWFdfLH6kRiM6eUPUQQ2HMzCHe/u5GAMERGZRTd/d/y/p4fh1Ym94aKU48iFEvzpwz14efMJDsYQkcWxOWZHIv3c8a8H+2Pm7V3g7qRAem45Jq89iFlfJ+FCUZXU5RERkY1zd1Zg3tgeeHNSNDr7uqK0uh4vbz6BCR/swa4zhZz3koiIbolcJuCxEZH47blRmNAvCHrRcEfLO97difV7M6HRct5LIrIMNsfsjFwmYEK/YHz0txiMjw6EAOCnY7kYs2IXFm86hsuc4JKIiG5R31AvrJw80DgYcyqvAtPWH8bktQdx5ALnIyMiolsT4uWCfz8yCN88ORS9Aj1QWl2PpVvTcOe/dmHjkSxodXqpSyQiO8PmmJ1Suyjx99Hd8P5DAxAb4Q2dXsQ3h7Mx+p2d+OePJ5FbxiYZERG137WDMff1D4ZSLuBw5hU8+NEBTFt/GElZJVKXSERENm5YV19sfXYk3vhzNAI9nXGptAYvfHcc497bje+Tc1DPJhkRmQmbY3Yu0s8dr07sg7cf6IfoYE/U6fT4dN8F3L78Dyz8XyrO5ldIXSIREdkwtYsST8R1wdopsbirTyDkMgG7zhTi/tX78dePDuD3U/m84xgREbWbomF+5Z2LRuMfE6Lg46ZCZlEV5m9Mxeh3duLTfZmortNKXSYR2Tg2xxxE7yBPvPnnvlh6bx9EB3uiXifi28QcjHtvN2Z8dgS7zxTy4IWIiNrNz90Js+/ohjWPDsK4qAAoZAIOX7iCxz87irvf341vDmfx4IWIiNrNWSnHE3FdsPv5O7Dorp7wc1fhUmkN/vljGoa/9Tv+9ctpXOIUMkTUTgqpC6COIwgCBoZ7Y2C4N07nVeC7pBwczCjGjlMF2HGqABG+rnhkSDgejA2Dj5tK6nKJiMgGBaldMGdMdzx6Wzi2pF7GzyfycCa/Eos3HcebP6Xj/kEheHRoBHoEeEhdKhER2SB3JwVm3dENM0ZG4rukHHy8OwMXiqux6o9zWL3zHO7s5Y9Hh0bg9u6dIJcJUpdLRDaCzTEH1TPQAy/dE4VLJTXYevwyfj9VgIvF1Vj28ym8++sZxPcJwJ8HhuD2Hp2glPMEQyIiahtfdyc8NiISD8aG4deTedh+Mg+5ZbX4/MBFfH7gImIjvPHnQSGY0DcIXq4ckCEiorZxVsrx6G0ReGhwOH49mYcvD17E/vPF+C29AL+lFyDU2wX3DwzBfQND0LWTu9TlEpGVY3PMwYV4u+Dp27ti2rDO2H22ED8fz8O5wkpsPZaLrcdy4e2qxIR+QZg0IASDwr0h4+gLERG1gbuTAvcPCsWkgSFIzS7FzyfycCizGEcvluDoxRK8tuUkRvXwx6SBwRgbFQBnpVzqkomIyIbIZQLG9w3C+L5BOF9Yia8PZeHbxBzklNTgg9/P4YPfz6FfqBr3DQjBxH5B8Pd0lrpkIrJCbI4RAMPIS3zvQMT3DsS5gkr8cboAe84WoqS6HhsOZmHDwSwEeDphbFQAxvUOwLCuvnBS8ACGiIhaR3bNpf3FlRrsOlOIXWcKkVFUhd/S8/Fbej5clHLEdfdDfJ9A3NnLn5f4ExFRm3Tt5I6X/9Qbi+7qiV9O5uGHlMvYdaYQx3LKcCynDK//lIaBYV4Y1zsQ43oHoJs/zygjIgM2x6iJbv7u6ObvjsdHROJYTil2ni7EgYxi5Jdr8NWhLHx1KAvuTgqM6tEJo3t2wohufgj2cpG6bCIishG+7k64f1Ao7h8UiovFVcZGWUGFBr+m5ePXtHzIBCC2sw/G9PLHiG5+6B3kybOXiYioVZyVctw3IAT3DQhBcaUGPx3PxebkS0jKKjU+3t5+Cl383DCudwBGdvfD4M4+PHuZyIGxOUYtksuujvLP1ulxLKcMhzKLcSjzCq5U1eGn47n46XguAKCLnxuGd/PFyG5+GNrFl/PHEBFRq0T4umHqMDdMGRqBjKIqHMow5ExGURUOZ17B4cwrAABvVyWGd/XDiG5+GNHNF+E+rhAENsuIiOjGfN2dMHVYZ0wd1hm5ZTX4Lb0ACWn5OHC+CBlFVfjP7gz8Z3cGVAoZYiO8G3LGD9HBnlBw7mUih8HmGLWKUi5DTIQ3YiK8MXOUiHMFlTiceQUp2aU4W1CBjKIqZBRVYcPBLACGs89iwr0xKMILg8K90bWTO0f8iYioRYIgoGsnd3Tt5I5HbotAQXktDmVeQXJ2CU5cKkdJdb3JoEwnDyeTnIkOUXPEn4iIbihI7YIpQyMwZWgEKmrrsftMEX4/VYD954uQW1aL/eeLsf98Md755TRcVXL0D/Uy5sygcG9483J/IrvF5hi1mUwQ0CPAAz0CPPC3oRGo0mhx4nIZUrJLkZpdiuySGpwrqMS5gkpsPJoNAPB0VqB/mBd6B3mid7Anegd5ItLPjaMxRETULH9PZ0zsH4yJ/YOh1elxtqDSkDM5pTidV4HCCg22N9wFEwCUcgG9g9Xo05AxvYM90SvQA64q/qlDRERNeTgbbjw2oV8QRFFERlEV9p8rwt5zRThwvhjltVocyCjGgYxi4zZd/NwQHaI2Hs/0DvaEn7uThK+CiMyFfzHSLXNzUuC2SF/cFukLACirqcfpvHKk51bgVF45zhRUorxWiz1ni7DnbJFxOyeFDL0CPdA72NNwtoC/O7r6uSPE2wVynmVGREQNFHIZooI8ERXkiYeHhEOj1eFcQSVO5Rly5lRuBUpr6pHaMEjTSBCASD839A7yRM8AD3Tp5I6u/m7o7OvGs8yIiMjo2rOXpwzrDL1exNmCSiRllSDpYgkSs0qQUVhlvFpmS+pl47b+Hk4NAzKe6NLJrWE/bpxmhsjGsDlGZqd2UWJIpC+GNDTLtDo9LhRX41xBJTKKKpFZVIULxVWordcjNacMqTllJturFDJE+rqhq78bIv3cEObtilBvV4R6uyDIy5l3ySQicnBOCjn6BKvRJ1gNABBFEfnlGpzJr0Bmw4FLZlElSqrrDQczhVXYilzj9oIAhHq7oIufO7p0ckOEjyFnQrxdEOrtAg9npVQvjYiIrIBMJqBnoAd6Bnrg4SHhAICSqjqk5JQi7XI50nLLkX65HJnFVSio0KDgdCF2ni402YevmwpdOxlyprOfG0K8DBkT6u0KP3cV580ksjJsjpHFKeQy4x0wG+n0IvLKapFZXIXMoirklFTjUkkNLpXWoE6rx+n8CpzOr2iyL0EwjM40NsuCvVzg7+EEfw9nBHgavvp7OvGMACIiByIIAgLVzghUO+P2Hp2My0uq6ozNsuyGnMkprUaVRofsKzXIvlKDXWcKm+xP7aJsOIBxQYiXqyFfGjOmIXM8XRQ8sCEiciDebirc0dMfd/T0Ny6r0mhxKq8CaZfLcLagEucLK5FRWIXcsloUV9WhuOoKDl+40mRfTgpZw4BMwwkAns6oKamGc5dYZJTUI7SiFr5uTryahqgDsTlGkpDLBIR4uyDE2wUju/kZl+v0IgorNMgprUZOSQ0ul9YYRmMqNCgor4VGq0d+uQb55RokXixpcf8ezgrjAYyPuwrerkr4uKrg5aqCt5vS8NXVsNzLVQVPZx7kEBHZG283FbzdVBgU4W1cJooiymrqkdMwIJNTUoOCiloUlGuQX1GLilotymrqUVZTj5OXy1vct5NCZmyY+bkbMsWrIVcM3ysNz9+wTO2i5DybRER2xs1JYbxp2bWqNFpkFlXhfGElzhdWIftKtfFkgNyGY5rGM5uvFfDga1iYUAQk7IBcJsDXTWXMGq+GfPG+Jl+uLjN8zxMEiNqvXc2x1atX45133kFubi769OmDlStXIi4ursX1d+3ahQULFuDkyZMIDg7G888/j5kzZ7a7aLJfctnV0f/YCNPfiaKI8lot8strjc2ywkoNSqrqcKW6vuFrHeq0elTUalFRq8X56wLnRs/r4ayAu5PhYfzeWWn6s5MC7g3fOytlcFbK4aKUG7+6qORwVsjhrJJBJZex4UbUTswZshRBEODV0MiKDlE3+X11nRaF1wzKFFRocKUhXxpzpkqjg0arN5591loe12RI49er+aJsWCY3fu/amC8qQ94Ys6bheyeFjHeCJmon5gxZkpuTAtEh6mZzpk6rR15ZLXJKDCcD5JRUI79cg7OXCnAg+ST8wrujsk6ETi8aTxIAWh6suZazUgYPZ6Vp3jTzfePvXVWK67Kl4fhGdTVzePYaOYo2N8c2btyIefPmYfXq1RgxYgT+85//YPz48UhLS0N4eHiT9TMzM3HPPffgySefxIYNG7Bv3z78/e9/R6dOnfDAAw+Y5UWQYxAEAWoXw+h7jwCPZtcRRRHVdbqrBzFVdSivrUd5Q7OsorYeFbValDd+ramHRquHTi+itLoepdX1ZqtXJsCkeeaslMFJIYdKYWicKRUClHIZlHLZ1WXyq8ucFDLj90qFAFXDegqZDHIZIL/2qyBALjM8FDIBssavggCFvOGr7Oo6xsd12wmCAEEw3JFUQMNXGa5+L1z9KkCATDD8d2n8SmQOzBmSkqtKgQhfBSJ83VpcR6PVoaRxUKaqDmU19agwZs3Vr415U6XRAQAqNFpUaLRmrffagRoXpSFjTPND1pAfV/Plasa0kEMK01wxyReTXJFBJoNpLrW0nTFnTDNGZggUkzyRNeTJtbnDnCFzYs6QlFQKGcJ9XRHu62qyPCkpCTHz5mHltp3o2ac/SqvrUFJdjytVdSiprmv2WOban/UiUFuvR229BoUVGvPVK5fBSSkzORFAdU2GXM0PQ+Yo5YJpDsllUMmFhm2urqe45njk2uMV2XW50VyuNMmla/JIJrt6nGI8brk+T9C4/GoWCYBxXXJMgiiKYls2uO222zBo0CCsWbPGuCwqKgqTJk3CsmXLmqz/wgsvYMuWLUhPTzcumzlzJlJTU3HgwIFmn0Oj0UCjufoPuqysDOHh4Ug4fBJu7s03RYjaq06rR6VGi5o6HarrdKip16K6TofaOj2qtdcu16GmzvCortehXquHRqdHXb0OdY3fa/XQt+lflH259qBHEK4NHdMGW3ONtcZAMqzZ+H3jT80HlXEd47qm2za3vXD9L26wzvX7vX7fN63LuP/mX9MN3SSYW7OPG+2ivrYKv/7jfpSWlkKtbjqqKSUpcyY7Oxuenp5mfDW264/9hzFp/Dis//Yn9OrdV+pybJpOL6KqToeqxqyp1zbkiR419aY5U12vNeRPnQ51uoZ80epRV6+HRqdDnVaE1oGDRjDJk2saa8DVxpoAyGB6IARj7hi+b9zX1Z8a92/6wdlSzjS3fZOcueaHm+XMtfs2R840qaM5rTgAvNkazBnmDJlPSkoKRo0a1a7cNZwgoEdlnRa1ddqrmdJw7HL1OEaL6vrG/NFBozWcCV2n1aOu4XuNTkS9Vm+hV2kbrm2swTigc3Ug59osuv7YpzFzgBsfkxiXC02/b+nY4dptrz+mudE6NzpWuvrcLeTftcvaekxjazkjtoFGoxHlcrm4adMmk+Vz5swRb7/99ma3iYuLE+fMmWOybNOmTaJCoRDr6uqa3ebVV18VAfDBBx988GHhx/nz59sSAxbHnOGDDz74sK8Hc4YPPvjggw9LPsyVM226rLKoqAg6nQ4BAQEmywMCApCXl9fsNnl5ec2ur9VqUVRUhKCgoCbbLF68GAsWLDD+XFpaioiICGRlZVndyJMUysvLERYWxpGna/A9McX3wxTfj6YaR7B9fHykLsUEc8Z68N+NKb4fpvh+NMX3xBRzhjlzM/w3Y4rvR1N8T0zx/TBl7pxp14T8159yJ4riDa/NbW795pY3cnJygpOTU5PlarWa/xNcw9PTk+/HdfiemOL7YYrvR1MymXXePY85Yz3478YU3w9TfD+a4ntiijljijnTFP/NmOL70RTfE1N8P0yZK2fatBc/Pz/I5fImoyoFBQVNRlMaBQYGNru+QqGAr69vG8slIiJ7xpwhIiJLYs4QEVFz2tQcU6lUiImJQUJCgsnyhIQEDB8+vNlthg0b1mT9X3/9FbGxsVAqlW0sl4iI7BlzhoiILIk5Q0REzWnz+WcLFizAJ598gvXr1yM9PR3z589HVlYWZs6cCcBwff3UqVON68+cORMXL17EggULkJ6ejvXr12PdunVYuHBhq5/TyckJr776arOnJjsivh9N8T0xxffDFN+Ppqz5PWHOWAe+J6b4fpji+9EU3xNT1vx+MGesA98TU3w/muJ7Yorvhylzvx+C2HjBfBusXr0ay5cvR25uLqKjo/Hee+/h9ttvBwBMnz4dFy5cwM6dO43r79q1C/Pnz8fJkycRHByMF154wRg+RERE12POEBGRJTFniIjoWu1qjhEREREREREREdkD67x9DBERERERERERUQdgc4yIiIiIiIiIiBwWm2NEREREREREROSw2BwjIiIiIiIiIiKHZfXNsdWrVyMyMhLOzs6IiYnBnj17pC5JMsuWLcPgwYPh4eEBf39/TJo0CadPn5a6LKuxbNkyCIKAefPmSV2KpC5duoS//e1v8PX1haurKwYMGIDExESpy5KEVqvFP/7xD0RGRsLFxQVdunTB0qVLodfrpS6tQ+zevRsTJ05EcHAwBEHA5s2bTX4viiJee+01BAcHw8XFBaNHj8bJkyelKVZizBoD5syNMWcMmDNXOXrOAMya1mLOGDBnbow5Y8CcMeXoWdNROWPVzbGNGzdi3rx5WLJkCZKTkxEXF4fx48cjKytL6tIksWvXLsyaNQsHDx5EQkICtFot4uPjUVVVJXVpkjty5AjWrl2Lfv36SV2KpEpKSjBixAgolUr8/PPPSEtLw7vvvgsvLy+pS5PE22+/jY8++girVq1Ceno6li9fjnfeeQcffvih1KV1iKqqKvTv3x+rVq1q9vfLly/HihUrsGrVKhw5cgSBgYEYN24cKioqOrhSaTFrrmLOtIw5Y8CcMeXoOQMwa1qDOXMVc6ZlzBkD5kxTjp41HZYzohUbMmSIOHPmTJNlvXr1El988UWJKrIuBQUFIgBx165dUpciqYqKCrF79+5iQkKCOGrUKHHu3LlSlySZF154QRw5cqTUZViNCRMmiI8//rjJsvvvv1/829/+JlFF0gEgfv/998af9Xq9GBgYKL711lvGZbW1taJarRY/+ugjCSqUDrOmZcwZA+bMVcwZU8wZU8ya5jFnWsacMWDOXMWcaYpZc5Ulc8Zqzxyrq6tDYmIi4uPjTZbHx8dj//79ElVlXcrKygAAPj4+ElcirVmzZmHChAkYO3as1KVIbsuWLYiNjcWDDz4If39/DBw4EB9//LHUZUlm5MiR2LFjB86cOQMASE1Nxd69e3HPPfdIXJn0MjMzkZeXZ/IZ6+TkhFGjRjnUZyyz5saYMwbMmauYM6aYMzfGrGHO3AxzxoA5cxVzpilmTcvMmTMKcxdnLkVFRdDpdAgICDBZHhAQgLy8PImqsh6iKGLBggUYOXIkoqOjpS5HMv/973+RlJSEI0eOSF2KVcjIyMCaNWuwYMECvPTSSzh8+DDmzJkDJycnTJ06VeryOtwLL7yAsrIy9OrVC3K5HDqdDm+88QYefvhhqUuTXOPnaHOfsRcvXpSiJEkwa1rGnDFgzphizphiztwYs4Y5cyPMGQPmjCnmTFPMmpaZM2estjnWSBAEk59FUWyyzBHNnj0bx44dw969e6UuRTLZ2dmYO3cufv31Vzg7O0tdjlXQ6/WIjY3Fm2++CQAYOHAgTp48iTVr1jhkmGzcuBEbNmzA119/jT59+iAlJQXz5s1DcHAwpk2bJnV5VoGfsQZ8H5pizjBnmsOcMcWcaR1+xvI9aA5zhjnTHOZMU8yamzPHZ6zVNsf8/Pwgl8ubjKgUFBQ06Qo6mmeffRZbtmzB7t27ERoaKnU5kklMTERBQQFiYmKMy3Q6HXbv3o1Vq1ZBo9FALpdLWGHHCwoKQu/evU2WRUVF4bvvvpOoImktWrQIL774Ih566CEAQN++fXHx4kUsW7bM4YMkMDAQgGG0JSgoyLjc0T5jmTXNY84YMGeaYs6YYs7cGLOGOdMS5owBc6Yp5kxTzJqWmTNnrHbOMZVKhZiYGCQkJJgsT0hIwPDhwyWqSlqiKGL27NnYtGkTfv/9d0RGRkpdkqTGjBmD48ePIyUlxfiIjY3Fo48+ipSUFIcLEgAYMWJEk9thnzlzBhERERJVJK3q6mrIZKYfc3K53GFue3wjkZGRCAwMNPmMraurw65duxzqM5ZZY4o5Y4o50xRzxhRz5saYNcyZ6zFnTDFnmmLONMWsaZlZc+ZW7hRgaf/9739FpVIprlu3TkxLSxPnzZsnurm5iRcuXJC6NEk888wzolqtFnfu3Cnm5uYaH9XV1VKXZjUc/e4uhw8fFhUKhfjGG2+IZ8+eFb/66ivR1dVV3LBhg9SlSWLatGliSEiIuHXrVjEzM1PctGmT6OfnJz7//PNSl9YhKioqxOTkZDE5OVkEIK5YsUJMTk4WL168KIqiKL711luiWq0WN23aJB4/flx8+OGHxaCgILG8vFziyjsWs+Yq5szNMWeYM9dy9JwRRWZNazBnrmLO3BxzhjlzPUfPmo7KGatujomiKP773/8WIyIiRJVKJQ4aNMihb/MLoNnHp59+KnVpVsPRw0QURfHHH38Uo6OjRScnJ7FXr17i2rVrpS5JMuXl5eLcuXPF8PBw0dnZWezSpYu4ZMkSUaPRSF1ah/jjjz+a/cyYNm2aKIqGWx+/+uqrYmBgoOjk5CTefvvt4vHjx6UtWiLMGgPmzM0xZ5gz13L0nBFFZk1rMWcMmDM3x5xhzlzP0bOmo3JGEEVRbNu5ZkRERERERERERPbBauccIyIiIiIiIiIisjQ2x4iIiIiIiIiIyGGxOUZERERERERERA6LzTEiIiIiIiIiInJYbI4REREREREREZHDYnOMiIiIiIiIiIgcFptjRERERERERETksNgcIyIiIiIiIiIih8XmGBEREREREREROSw2x4iIiIiIiIiIyGGxOUZERERERERERA7r/wM0zPr5k8LAmQAAAABJRU5ErkJggg==", + "image/png": "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", "text/plain": [ "
" ] @@ -1417,7 +1302,7 @@ "ax.set_xlim(0, 10); ax.set_ylim(0, 1)\n", "ax.plot(x, y)\n", "ax.fill_between(x, y, alpha=0.2)\n", - "ax.text(2, 0.5, '$\\int h$ from 0 to $\\infty$')\n", + "ax.text(2, 0.5, r'$\\int h$ from 0 to $\\infty$')\n", "ax.text(2, 0.35, '$A=1$')\n", "\n", "ax = fig.add_subplot(1, 3, 2)\n", @@ -1425,7 +1310,7 @@ "ax.axvline(x[200], color='k', lw=1)\n", "ax.plot(x, y)\n", "ax.fill_between(x[200:], y[200:], alpha=0.2)\n", - "ax.text(2.5, 0.5, '$\\int h$ from 2 to $\\infty$')\n", + "ax.text(2.5, 0.5, r'$\\int h$ from 2 to $\\infty$')\n", "ax.text(2.5, 0.4, f'$A\\\\approx{np.exp(-2):.3}$')\n", "\n", "ax = fig.add_subplot(1, 3, 3)\n", @@ -1433,7 +1318,7 @@ "ax.axvline(x[400], color='k', lw=1)\n", "ax.plot(x, y)\n", "ax.fill_between(x[400:], y[400:], alpha=0.2)\n", - "ax.text(4.5, 0.5, '$\\int h$ from 4 to $\\infty$')\n", + "ax.text(4.5, 0.5, r'$\\int h$ from 4 to $\\infty$')\n", "ax.text(4.5, 0.4, f'$A \\\\approx{np.exp(-4):.3}$')\n", "\n", "plt.show()" @@ -1632,7 +1517,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1676,7 +1561,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAyMAAAIRCAYAAABZFmLXAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8ekN5oAAAACXBIWXMAAA9hAAAPYQGoP6dpAACIsklEQVR4nOzdeVzU1f7H8dfMMGwKCCIIgqiJ+45arokmZqZme5aZacuVbqmV3er+7rVuN291M7vXpSzL7GZ5u6VtpmKZa+77vouyCKjs+8z8/gApQg0B/cLwfj4e85j5nu+ZM5+ZOM28/W4mh8PhQERERERE5BozG12AiIiIiIjUTgojIiIiIiJiCIURERERERExhMKIiIiIiIgYQmFEREREREQMoTAiIiIiIiKGUBgRERERERFDuBhdQG1mt9uJj4/Hy8sLk8lkdDkiIiIiIlXC4XCQkZFBcHAwZvOlt38ojBgoPj6e0NBQo8sQEREREbkqTp06RUhIyCXXK4wYyMvLC4r/I3l7e1NQUMDy5cuJiorCarUaXZ5IjaM5JFI5mkMiFaf5U1p6ejqhoaElv3cvRWHEQBd2zfL29i4JI56ennh7e+uPWKQCNIdEKkdzSKTiNH8u7vcORdAB7CIiIiIiYgiFkUr69ttvadmyJeHh4bz//vtGlyMiIiIiUmNoN61KKCwsZNKkSaxcuRJvb2+6dOnC7bffjp+fn9GliYiIiIhUe9oyUgmbNm2ibdu2NGrUCC8vL2655RaWLVtmdFkiIiIiIjVCrQ4jq1evZujQoQQHB2MymVi8eHGZPrNmzaJp06a4u7sTERHBmjVrStbFx8fTqFGjkuWQkBDi4uKuWf0iIiIiIjVZrd5NKysri44dOzJmzBjuuOOOMusXLlzIhAkTmDVrFr169eLdd99l8ODB7Nu3j8aNG+NwOMo8RxcvFBGR2sRud2B3OLA5HDgcYCtetjuK1jmKL35WdA8OivqVenyhT/HX6oV1dkfp51Kqf+mx7MVPvui4v3q+/cJr/6YmfjPuBb/9qv/14m9/BzguufA7Yzp+3a9845f9CVLemq+grsvUUqrfFYxZ1a76+FfQ12YrZFeSidztcVgs5fuJfbnPtSqYTSbuiLj0NT6qg1odRgYPHszgwYMvuX7atGmMHTuWcePGATB9+nSWLVvG7NmzmTp1Ko0aNSq1JeT06dNcf/31lxwvLy+PvLy8kuX09HQoPhXchduFZRG5cppDYjS73UGBzU6+zU5+oZ18m6PovvDXbfYybQV2B4U2BzZ70WNb8XKhvajtwuOSm81e1KfkeQ4K7PayzyvuY3c4sNspvi/6QV4UHhzYitsdjqK+ObkW/rb7p5If+PbiPo4Lj4t/yNuKxxGRX7PwydG9RhdRwmoxMaxDoCGvXd7v4lodRi4nPz+frVu38qc//alUe1RUFOvXrwege/fu7Nmzh7i4OLy9vVmyZAl/+ctfLjnm1KlTeemll8q0L1++HE9Pz5LlmJiYKn0vIrWN5pD8lsMBhQ7ItUFuYdF9nh0KbCby7ZBvh4Li+3wb5NuL2gtslF7/q/6FF26OX+5tDmfYOm6C/PyrOLoDU/HLmErauGjbheWStt9bf7FxyzEmlxnj1+su+n4us/KyzyvnOFX12uUfp3TCrIrXr+mzoibv9GIxwZIlSwx57ezs7HL1Uxi5hJSUFGw2G4GBpdNkYGAgiYmJALi4uPDmm28SGRmJ3W5n8uTJ1K9f/5JjPv/880yaNKlk+cKVKaOiokouehgTE8PAgQN1sRyRCtAccl42u4P03ALScgpIzS4gNaeAtOL79NxCsvIKycwrJDPPRmZe8XLuL21Z+YUU2K79P+NbLSZcLWZcXcy4WsxYi+9dXcy4uvyyzmox42I2Fd/MWMwmXCzFyxYTFrMZq9lU1P6rNpdf9zObcLGYf+lT0t+M2Vy0u4bFbMJkAovJhNlk+qXdVNTusNvYtHEjPXv0wNXqgtlsKn5e0W7IRc/jl3ZTUfvl+pQEgpr8i06kHPQdVNqFPYB+j8LI7/jt/zwdDkeptmHDhjFs2LByjeXm5oabm1uZdqvVWuqP9rfLInJlNIeqv9wCG8kZeaRk5pGSmc/ZzF8en8vKLw4b+ZzPLiA1O5/03MIqe+06rhbqurtQx9UFD1cLHlYLHq4W3K3Fj4uXS9ZZLbgXP/YsvnezmnG3WnC1mHFzuRAufh00zFjNZszmmvUDvKCggIS90D7UV3NIpIL0HVSkvJ+Bwsgl+Pv7Y7FYSraCXJCUlFRma4mIiBTJK7RxJi2PhLQcEtNzSUjLJSE1hzPpF8JGUeDIzKtYuKjr5oKPh5V6nsU3D1e8PVzwcrdS183ll5v7L/dev1r2dHXBUsMCgoiIM1MYuQRXV1ciIiKIiYlhxIgRJe0xMTEMHz7c0NpERIySllPAqXPZxBbf4s7nkJCWQ0JaLolpuZzNKv+xBq4uZhrUdcO/riv+dd3wr+tG/bqu+NVxxdfTtSRw+Hi4Ft9bsVpq9RnpRUScTq0OI5mZmRw5cqRk+fjx4+zYsQM/Pz8aN27MpEmTGDVqFF27dqVHjx7MmTOH2NhYHn/8cUPrFhG5WhwOB2fS8zianMnJs0WB49fhIy3n98+O4m41E+TjQUNvd4LquRPk406gt3tR8PByo34dV/y93PByc9FxBCIitVytDiNbtmwhMjKyZPnCweWjR49m3rx53HPPPZw9e5aXX36ZhIQE2rVrx5IlSwgLCzOwahGRyiuw2Tl5NpujyZkcScrkaFImR5MzOZqc9bu7UPnXdaOxnwdh9evQqJ4HQfXcCfbxoKFPUfDw8bAqZIiISLnU6jDSr1+/373YzPjx4xk/fvw1q0lEpKolZeSyPyGDffHp7E8oup04m3XJs0tZzCYa+3nSpL4nYfXrEOrnSePiW6ifB56utfqrQ0REqpC+UUREnITD4eDk2Wx2nk5lX0J6SQBJycy7aH9PVwvXNahL84C6XNegTvF9XcLq18HVRcdmiIjI1acwIiJSQ53PymfH6VR2xKay41QqO0+nkppd9pgOkwma+dehdZA3bYK9aR3kTctALxp6u9e4U8+KiIhzURgxwMyZM5k5cyY2m83oUkSkhnA4HBxPyWLT8XNsOn6ObbHnOXG27NVtXV3MtAnypl0jb9oE+dA6yIuWDb20a5WIiFRL+nYyQHR0NNHR0aSnp+Pj42N0OSJSDdntDg4nZbLx+Fk2FgeQ5Iyyu1s19a9Dp9B6JbfWQd7axUpERGoMhRERkWoiLjWH1YeSWXM4mZ+PnuX8b3a5cnUx0ym0Htc39aNrEz86hvhQz9PVsHpFREQqS2FERMQg2fmFbDh2ltWHUlh9OJljyVml1ntYLUSE+XJ9Uz+6N/WjY2g93K0Ww+oVERGpagojIiLXUHxqDiv2nyFm3xk2HjtHvs1ess5iNtEptB59wxvQO9yfDiE+uuK4iIg4NYUREZGryOFwsC8hnRX7kojZn8ieuPRS60N8PejbogF9w/3pcZ0/Ph5Ww2oVERG51hRGRESqmMPhYOfpNL7dGc/3exKJS80pWWcyQURjXwa2CWRA60Cua1BHVysXEZFaS2FERKQKOBwO9idk8O2ueL7ZFc+pc78EEHermT7hDRjYJpD+rQLwr+tmaK0iIiLVhcKIiEglnEjJYvGOOL7ZGc/RXx2A7mG1cFObQG7tEETf8AZ4uOrAcxERkd9SGBERuUKZeYUs2ZXA/7aeZtOJcyXtri5mIls2YGjHYPq3CtCFBkVERH6HvilFRMrB4XCw8fg5Pt9ymu/3JJCdbwPAbILe4Q24rVMwA9sE4uWuA9BFRETKS2HEADNnzmTmzJnYbDajSxGR33E2M4+FW07x2aZTxJ7LLmlv6l+HOyNCuKNLCA193A2tUUREpKZSGDFAdHQ00dHRpKen4+PjY3Q5IvIbDoeDbbGpfPzzCZbsTiy5FkgdVwu3dgjmrq4hRIT56ixYIiIilaQwIiJSLDu/kK93xDP/55PsS/jleiAdQ3y4/4Ywbu0QpONAREREqpC+VUWk1ktKz2Xe+hN8sjGWtJwCANxczAztGMyoG8LoGFrP6BJFREScksKIiNRaBxMzeG/NMb7aEUeBzQFAYz9PRt0Qxp0RIfjWcTW6RBEREaemMCIitYrD4WDdkbPMWXOM1YeSS9q7hvnySN9m3NQ6EItZx4KIiIhcCwojIlIrOBwOVuxPYsaPh9l5Og2KT8t7c7uGjOvTjC6NfY0uUUREpNZRGBERp2a3O/h+TyL//vEwBxIzAHC3mrm3W2Me7tWUxvU9jS5RRESk1lIYERGnVGiz882ueGauPMqRpEwoPjXvgz2bMLZ3U/zruhldooiISK2nMCIiTsXugO/3JDL9x6McS84CwNvdhTG9mjKmVxPqeeqgdBERkepCYUREnILD4WDVoWTe3G3h9IZdANTztPJIn2aM6hGGt7vV6BJFRETkNxRGRKTG23T8HG8sO8DmE+cBE3XcLDzSpxljezfFSyFERESk2lIYEZEa69CZDF5dsp+fDhadotfNxUyvBoVMHd2PwHp1jC5PREREfofCiAFmzpzJzJkzsdlsRpciUiOlZObxVswhPt0Ui90BLmYT93QL5fG+Tdi29kf8dLFCERGRGkFhxADR0dFER0eTnp6Oj4+P0eWI1Bi5BTY+WHecWSuPkplXCMDNbRvy3OBWNPWvQ0FBgdElioiIyBVQGBGRas/hcPDNrgRe+/4Acak5ALRv5MOfh7Tm+mb1jS5PREREKkhhRESqtT1xafzlqz1si00FoKG3O5NvbsltnRphNpuMLk9EREQqQWFERKqltJwC3lx+kP9sOIndAZ6uFv5w43WM69MMD1eL0eWJiIhIFVAYEZFqxW538OX2OKYu2c/ZrHwAhnYM5sVbWtPQx93o8kRERKQKKYyISLWxLz6dv3y1hy0nzwPQPKAuLw9rS8/m/kaXJiIiIleBwoiIGC4rr5A3lx9i3vrjJbtkPTUgnDG9muLqYja6PBEREblKFEZExFArDybx50V7Ss6SNaRDEH8e0pogHw+jSxMREZGrTP/kWIVGjBiBr68vd955p9GliFR7ZzPzeOqz7Yz5cDNxqTmE+Hrw0cPdmTmyi4KIiIhILaEwUoWefPJJ5s+fb3QZItWaw+Hgy22nuWnaKr7aEY/ZBON6N2X5xL7c2KKB0eWJiIjINaTdtKpQZGQkP/30k9FliFRbp85l8+LiPaw+lAxAq4ZevHZHBzqG1jO6NBERETFAtdgyEhcXxwMPPED9+vXx9PSkU6dObN26tcrGX716NUOHDiU4OBiTycTixYsv2m/WrFk0bdoUd3d3IiIiWLNmTZXVIFKbORwOPtl4kkHTV7P6UDKuLmaeHdSSb/7YW0FERESkFjN8y8j58+fp1asXkZGRfP/99wQEBHD06FHq1bv4D5R169bRvXt3rFZrqfYDBw5Qr149GjZsWOY5WVlZdOzYkTFjxnDHHXdcdNyFCxcyYcIEZs2aRa9evXj33XcZPHgw+/bto3HjxgBERESQl5dX5rnLly8nODi4gp+AiHNLSMth8v92seZwCgDdm/jxjzva06xBXaNLExEREYMZHkZee+01QkND+fDDD0vamjRpctG+drud6OhowsPD+eyzz7BYiq7CfOjQISIjI5k4cSKTJ08u87zBgwczePDgy9Yxbdo0xo4dy7hx4wCYPn06y5YtY/bs2UydOhWgyrbWzJw5k5kzZ2Kz2apkPJHqqOjYkDimfLOXjNxC3FzMTL65FWN6NsFsNhldnoiIiFQDhu+m9fXXX9O1a1fuuusuAgIC6Ny5M++9995F+5rNZpYsWcL27dt58MEHsdvtHD16lP79+zNs2LCLBpHyyM/PZ+vWrURFRZVqj4qKYv369RUa83Kio6PZt28fmzdvrvKxRaqD5Iw8Hv14K09/vpOM3EI6hdZjyVN9GNu7qYKIiIiIlDB8y8ixY8eYPXs2kyZN4oUXXmDTpk08+eSTuLm58eCDD5bpHxwczI8//kjfvn0ZOXIkP//8MwMGDOCdd96pcA0pKSnYbDYCAwNLtQcGBpKYmFjucQYNGsS2bdvIysoiJCSERYsW0a1btwrXJVITfb87gRcW7eZ8dgFWi4kJN7Xgsb7NcLEY/m8fIiIiUs0YHkbsdjtdu3bl1VdfBaBz587s3buX2bNnXzSMADRu3Jj58+dz44030qxZM+bOnYvJVPl/bf3tGA6H44rGXbZsWaVrEKmpsvIKmfL1Xj7fehqANkHevHl3R1oHeRtdmoiIiFRThv9TZVBQEG3atCnV1rp1a2JjYy/5nDNnzvDoo48ydOhQsrOzmThxYqVq8Pf3x2KxlNkKkpSUVGZriYiUtfNUKkP+tYbPt57GZILoyOtYHN1LQUREREQuy/AtI7169eLgwYOl2g4dOkRYWNhF+6ekpDBgwABat27N559/zuHDh+nXrx9ubm7885//rFANrq6uREREEBMTw4gRI0raY2JiGD58eIXGFKkNbHYH764+yrTlhyi0Owj2ceetezpxfbP6RpcmIiIiNYDhYWTixIn07NmTV199lbvvvptNmzYxZ84c5syZU6av3W7n5ptvJiwsjIULF+Li4kLr1q1ZsWIFkZGRNGrU6KJbSTIzMzly5EjJ8vHjx9mxYwd+fn4lp+2dNGkSo0aNomvXrvTo0YM5c+YQGxvL448/fpU/AZGaKSEth4kLd7Dh2DkAhrQP4tUR7fHxtP7uc0VERESoDmGkW7duLFq0iOeff56XX36Zpk2bMn36dO6///4yfc1mM1OnTqVPnz64urqWtLdv354VK1ZQv/7F/zV2y5YtREZGlixPmjQJgNGjRzNv3jwA7rnnHs6ePcvLL79MQkIC7dq1Y8mSJZfcQiNSmy3dk8BzX+wmLacAT1cLU4a15a6IkCo5dktERERqD8PDCMCtt97KrbfeWq6+AwcOvGh7p06dLvmcfv364XA4fnfs8ePHM378+HLVIVIb5RbYeOW7ffxnQ9ExXR1CfHj73s409a9jdGkiIiJSA1WLMCIi1d+JlCyiF2xjb3w6JhM8fuN1TLypBa4uhp8HQ0RERGoohRER+V3f7UrguS92kZlXiF8dV6bf04m+LRoYXZaIiIjUcAojInJJeYU2/v7dfub/fBKA7k38+Nd9nWno4250aSIiIuIEFEZE5KJiz2YTvWAbu+PSABjf7zomDWyhK6mLiIhIlVEYEZEylu5J5Nn/7SQjtxBfTyvT7ulEZMsAo8sSERERJ6MwIiIlCm123lh+kHdXHQOga5gv/x7ZmSAfD6NLExERESekMCIiAJzNzOPJz7az7shZAB7t24xnB7XEqt2yRERE5CpRGBERdp1O5fGPtxKflounq4U37uzIkA5BRpclIiIiTk5hRKSW++/mU/z5qz3kF9pp5l+Hd0dFEB7oZXRZIiIiUgsojBhg5syZzJw5E5vNZnQpUovlFdp46Zt9LNhYdDX1gW0CefPujni7W40uTURERGoJhREDREdHEx0dTXp6Oj4+PkaXI7VQQloOf/jPNnacSsVkgqcHtmB8v+aYzSajSxMREZFaRGFEpJbZfOIcf/jPVlIy8/HxsPL2vZ3op9P2ioiIiAEURkRqkYWbY/nz4j0U2By0DvLm3QciaFzf0+iyREREpJZSGBGpBQptdv6+ZD8frjsBwJD2QbxxVwc8XfW/ABERETGOfomIOLm07AKe+HQbaw6nADBpYAv+2L85JpOODxERERFjKYyIOLGjyZmM+2gLx1Oy8LBaeOuejtzcTtcPERERkepBYUTESa06lMwTC7aRkVtIo3oevPdgV9oEextdloiIiEgJhRERJ+NwOJi79jivLtmP3QHdmvgy+4EI/Ou6GV2aiIiISCkKIyJOJK/Qxp8X7eHzracBuKdrKH+7rR2uLmajSxMREREpQ2FExEmkZufz6Mdb2XT8HGYT/HlIG8b0aqID1UVERKTaUhgRcQInUrJ4eN5mjqVkUdfNhZn3d+HGFg2MLktERETkshRGRGq4zSfO8ej8LZzPLqBRPQ8+eKgbLRt6GV2WiIiIyO9SGBGpwb7aEcezn+8i32anQ4gP74/uSoCXu9FliYiIiJSLwohIDeRwOPj3j0eYFnMIgEFtA5l+T2c8XC1GlyYiIiJSbjrFThUaMWIEvr6+3HnnnUaXIk4sr9DG05/vLAkij/Ztxuz7IxREREREpMZRGKlCTz75JPPnzze6DHFiqdn5PDh3E19ui8NiNvH3Ee144ZbWmM06Y5aIiIjUPBXaTSsrK4t//OMf/PDDDyQlJWG320utP3bsWFXVV6NERkby008/GV2GOKmTZ7MY86HOmCUiIiLOo0JbRsaNG8fcuXPp06cPTzzxBE899VSpW0VNnToVk8nEhAkTKjzGxaxevZqhQ4cSHByMyWRi8eLFF+03a9YsmjZtiru7OxEREaxZs6ZK6xCpqB2nUrl91nqOpWTRqJ4H//tDDwURERERqfEqtGXk+++/57vvvqNXr15VVsjmzZuZM2cOHTp0uGy/devW0b17d6xWa6n2AwcOUK9ePRo2bFjmOVlZWXTs2JExY8Zwxx13XHTchQsXMmHCBGbNmkWvXr149913GTx4MPv27aNx48YAREREkJeXV+a5y5cvJzg4+ArfsUj5/HjgDNGfbCenwEa7Rt58MLobAd46Y5aIiIjUfBXaMuLr64ufn1+VFZGZmcn999/Pe++9h6+v7yX72e12oqOjGTlyJDabraT90KFDREZGXvJ4jcGDB/PKK69w++23X3LsadOmMXbsWMaNG0fr1q2ZPn06oaGhzJ49u6TP1q1b2bNnT5mbgohcLZ9tiuWR+VvJKbDRt0UDPnu0h4KIiIiIOI0KhZG//e1v/OUvfyE7O7tKioiOjmbIkCHcdNNNl+1nNptZsmQJ27dv58EHH8Rut3P06FH69+/PsGHDmDx5coVePz8/n61btxIVFVWqPSoqivXr11dozMuZOXMmbdq0oVu3blU+tjgHh8PBWzGH+NOXu7HZHdzRJYS5o7tS101n4xYRERHnUaFfNm+++SZHjx4lMDCQJk2alNllatu2beUe67PPPmPbtm1s3ry5XP2Dg4P58ccf6du3LyNHjuTnn39mwIABvPPOO1f8Pi5ISUnBZrMRGBhYqj0wMJDExMRyjzNo0CC2bdtGVlYWISEhLFq06KKBIzo6mujoaNLT0/Hx8alw3eKcCm12Xly0h4VbTgHwx/7NmTSwBSaTzpglIiIizqVCYeS2226rkhc/deoUTz31FMuXL8fdvfy7njRu3Jj58+dz44030qxZM+bOnVslP9R+O4bD4biicZctW1bpGqR2y84v5IkF2/nxQBJmE/zttnbcf32Y0WWJiIiIXBUVCiN//etfq+TFt27dSlJSEhERESVtNpuN1atXM2PGDPLy8rBYyl7I7cyZMzz66KMMHTqUzZs3M3HiRP79739XuA5/f38sFkuZrSBJSUlltpaIXC0pmXmMnbeZnafTcLea+fd9XRjYRn9/IiIi4rwM3QF9wIAB7N69u1TbmDFjaNWqFc8999xFg0hKSgoDBgygdevWfP755xw+fJh+/frh5ubGP//5zwrV4erqSkREBDExMYwYMaKkPSYmhuHDh1doTJErcSIli9EfbuLk2Wx8Pa28P7obEWGXPpmDiIiIiDModxjx8/Pj0KFD+Pv74+vre9ndl86dO1euMb28vGjXrl2ptjp16lC/fv0y7RSfTevmm28mLCyMhQsX4uLiQuvWrVmxYgWRkZE0atSIiRMnlnleZmYmR44cKVk+fvw4O3bswM/Pr+S0vZMmTWLUqFF07dqVHj16MGfOHGJjY3n88cfL9V5EKmrnqVQenreZs1n5hPp58NGY7jRrUNfoskRERESuunKHkbfeegsvLy8Apk+ffjVruiSz2czUqVPp06cPrq6uJe3t27dnxYoV1K9f/6LP27JlC5GRkSXLkyZNAmD06NHMmzcPgHvuuYezZ8/y8ssvk5CQQLt27ViyZAlhYdpfX66eNYeTeezjrWTnF19D5KFuBHjp1L0iIiJSO5Q7jIwePfqij6vaTz/9dNn1AwcOvGh7p06dLvmcfv364XA4fve1x48fz/jx48tRpUjlfbsrnokLd1Bgc9An3J/ZD0To1L0iIiJSq1T6l09OTg4FBQWl2ry9vSs7rIhT+3jDSf7y1R4cDri1QxDT7u6Eq0uFLvsjIiIiUmNV6NdPVlYWTzzxBAEBAdStWxdfX99SNxG5OIfDwdsrDvN/i4uCyKgbwnj73s4KIiIiIlIrVegX0OTJk/nxxx+ZNWsWbm5uvP/++7z00ksEBwczf/78qq9SxAnY7Q6mfL2Xt1YcAuCpAeG8PLwtFrMuZigiIiK1U4V20/rmm2+YP38+/fr14+GHH6ZPnz40b96csLAwPvnkE+6///6qr1SkBssvtPPM5zv5emc8JhNMGdqW0T2bGF2WiIiIiKEqtGXk3LlzNG3aFIqPD7lwKt/evXuzevXqqq1QpIbLzi9k3PwtfL0zHhezien3dFIQEREREaloGGnWrBknTpwAoE2bNvz3v/+F4i0m9erVq9oKRWqw1Ox87n9/I6sPJeNhtfD+6K4M79TI6LJEREREqoUKhZExY8awc+dOAJ5//vmSY0cmTpzIs88+W9U1itRICWk53PXOz2yPTcXHw8p/xl1Pv5YBRpclIiIiUm1U6JiRX1/lPDIykgMHDrBlyxauu+46OnbsWJX1idRIx5IzGTV3E3GpOTT0dmf+2O60CPQyuiwRERGRauWKwkhOTg4//PADt956KxRvFcnLyytZv2HDBlq2bIm7u64gLbXXvvh0Rs3dyNmsfJr512H+2O6E+HoaXZaIiIhItXNFYWT+/Pl8++23JWFkxowZtG3bFg8PDwAOHDhAUFBQqS0nIrXJ1pPnGfPhJtJzC2kb7M1HD3fHv66b0WWJiIiIVEtXdMzIJ598wsMPP1yqbcGCBaxcuZKVK1fyxhtvlBzMLlLbrDuSwqi5G0nPLaRrmC8LHrlBQURERETkMq4ojBw6dIgWLVqULLu7u2M2/zJE9+7d2bdvX9VWKFIDxOw7w5gPN5Odb6NPuD/zx3bHx8NqdFkiIiIi1doV7aaVlpaGi8svT0lOTi613m63lzqGRKQ2+GpHHJP+uxOb3cGgtoH8677OuLlYjC5LREREpNq7oi0jISEh7Nmz55Lrd+3aRUhISFXUJVIjLNgYy4SFO7DZHdzeuREzR3ZREBEREREppysKI7fccgt/+ctfyM3NLbMuJyeHl156iSFDhlRlfTXKiBEj8PX15c477zS6FLkG3l11lBcW7cbhgFE3hPHPuzriYqnQpXtEREREaqUr+uX0wgsvcO7cOVq2bMkbb7zBV199xddff83rr79Oy5YtOX/+PC+88MLVq7aae/LJJ5k/f77RZchV5nA4eHP5QaZ+fwCAP/S7jpeHt8VsNhldmoiIiEiNckXHjAQGBrJ+/Xr+8Ic/8Kc//QmHwwGAyWRi4MCBzJo1i8DAwKtVa7UXGRnJTz/9ZHQZchXZ7Q5e/nYf89afAODZQS2JjmxudFkiIiIiNdIV71PStGlTli5dSnJyMhs2bGDDhg0kJyezdOlSmjVrdsUFzJ49mw4dOuDt7Y23tzc9evTg+++/v+JxLmf16tUMHTqU4OBgTCYTixcvvmi/WbNm0bRpU9zd3YmIiGDNmjVVWofUbDa7g+e+2FUSRF4e3lZBRERERKQSKryDu5+fH927d6d79+74+flVuICQkBD+8Y9/sGXLFrZs2UL//v0ZPnw4e/fuvWj/devWUVBQUKb9wIEDJCYmXvQ5WVlZdOzYkRkzZlyyjoULFzJhwgRefPFFtm/fTp8+fRg8eDCxsbElfSIiImjXrl2ZW3x8fIXeu9Qc+YV2nvx0O59vPY3ZBG/e1ZEHezQxuiwRERGRGu2KdtO6GoYOHVpq+e9//zuzZ89mw4YNtG3bttQ6u91OdHQ04eHhfPbZZ1gsRWctOnToEJGRkUycOJHJkyeXeY3BgwczePDgy9Yxbdo0xo4dy7hx4wCYPn06y5YtY/bs2UydOhWArVu3Vvr9AsycOZOZM2dis9mqZDy5unILbPzhP1tZeTAZq8XEv+/rzM3tgowuS0RERKTGq1an/rHZbHz22WdkZWXRo0ePMuvNZjNLlixh+/btPPjgg9jtdo4ePUr//v0ZNmzYRYNIeeTn57N161aioqJKtUdFRbF+/foKv59LiY6OZt++fWzevLnKx5aqlZ1fyLiPtrDyYDLuVjPvj+6mICIiIiJSRQzfMgKwe/duevToQW5uLnXr1mXRokW0adPmon2Dg4P58ccf6du3LyNHjuTnn39mwIABvPPOOxV+/ZSUFGw2W5mD7wMDAy+569fFDBo0iG3btpGVlUVISAiLFi2iW7duFa5LjJWZV8jDH25m04lzeLpa+OChbtzQrL7RZYmIiIg4jWoRRlq2bMmOHTtITU3liy++YPTo0axateqSgaRx48bMnz+fG2+8kWbNmjF37lxMpsqfVvW3Yzgcjisad9myZZWuQaqHtJwCHvpwE9tjU/Fyc2Hew92ICKv4sVEiIiIiUla12E3L1dWV5s2b07VrV6ZOnUrHjh15++23L9n/zJkzPProowwdOpTs7GwmTpxYqdf39/fHYrGU2QqSlJRUq09VXFudz8rn/vc3sD02FR8PK588cr2CiIiIiMhVUC3CyG85HA7y8vIuui4lJYUBAwbQunVrvvzyS3788Uf++9//8swzz1T49VxdXYmIiCAmJqZUe0xMDD179qzwuFLzpGTmcd97G9gTl45fHVc+feQGOoTUM7osEREREadk+G5aL7zwAoMHDyY0NJSMjAw+++wzfvrpJ5YuXVqmr91u5+abbyYsLIyFCxfi4uJC69atWbFiBZGRkTRq1OiiW0kyMzM5cuRIyfLx48fZsWMHfn5+NG7cGIBJkyYxatQounbtSo8ePZgzZw6xsbE8/vjjV/kTkOriTHouI9/bwNHkLBp4ubFg3PWEB3oZXZaIiIiI0zI8jJw5c4ZRo0aRkJCAj48PHTp0YOnSpQwcOLBMX7PZzNSpU+nTpw+urq4l7e3bt2fFihXUr3/xg4u3bNlCZGRkyfKkSZMAGD16NPPmzQPgnnvu4ezZs7z88sskJCTQrl07lixZQlhY2FV411LdxKfmMPK9DZw4m02QjzsLHrmBpv51jC5LRERExKkZHkbmzp17Rf0vFlIAOnXqdMnn9OvXD4fD8btjjx8/nvHjx19RPVLznTqXzX3vbeD0+RxCfD349JEbCPXzNLosEREREadneBgRMdKx5Ezuf38jCWm5NKnvyYJHbiC4nofRZYmIiIjUCgojUmsdPpPByPc3kpyRR/OAuiwYdz0B3u5GlyUiIiJSayiMSK20Lz6dB+Zu5FxWPq0aevGfcdfjX9fN6LJEREREahWFEal1dp1OZdTcTaTlFNC+kQ/zH+6Obx3XcjxTRERERKqSwojUKltPnuehDzaRkVdI58b1mDemOz4eVqPLEhEREamVFEak1thy4hyjP9hEVr6N7k39+OChbtR10xQQERERMYp+iUmtsOn4OR76cBPZ+TZ6Xlef90d3xdNVf/4iIiIiRtKvMXF6G46d5eF5m8nOt9G7uT/vPdgVD1eL0WWJiIiI1HoKI+LUfj5aFERyCmz0CS8KIu5WBRERERGR6kBhRJzW+iMpPPzRZnIL7NzYogHvjopQEBERERGpRsxGFyByNaw9nMKYeUVBJLKlgoiIiIhIdaQtI+J0Vh9K5pH5W8grtDOgVQCzHuiCm4uCiIiIiEh1ozAiTuWng0k8+vFW8gvt3NQ6kJn3d1YQEREREammFEbEaaw8kMRjH28l32Ynqk0gM0Z2wdVFeyKKiIiIVFcKI+IUfth/hj/8Zxv5Njs3t23Iv0d2xmpREBERERGpzhRGpMaL2XeG8Z9spcDm4Jb2DXn7XgURERERkZpAYURqtGV7E3liwTYKbA6GdAhi+j2dFEREREREagiFEamxlu5J4IkF2ym0OxjWMZhpd3fERUFEREREpMbQLzepkZbsTiC6OIjc1klBRERERKQm0pYRqXG+2RnPhIU7sNkd3N65EW/c1RGL2WR0WSIiIiJyhRRGpEb5akccExfuwO6AO7qE8PqdHRRERERERGoo7dciNcbXO+NLgshdEQoiIiIiIjWdtoxIjfDNzngmfLYduwPu6RrK1NvbY1YQEREREanRtGVEqr3vdiUw4VdbRBRERERERJyDwkgVGjFiBL6+vtx5551Gl+I0vt+dwJOfbcdmd3BnRAiv3dFBQURERETESSiMVKEnn3yS+fPnG12G01i6J4E/floURG7v0khBRERERMTJKIxUocjISLy8vIwuwykUXVm96DoiIzo34o07dfpeEREREWdjeBiZOnUq3bp1w8vLi4CAAG677TYOHjxYpa+xevVqhg4dSnBwMCaTicWLF1+036xZs2jatCnu7u5ERESwZs2aKq1Dyidm3xmiP9lGod3B8E7B/FPXERERERFxSoaHkVWrVhEdHc2GDRuIiYmhsLCQqKgosrKyLtp/3bp1FBQUlGk/cOAAiYmJF31OVlYWHTt2ZMaMGZesY+HChUyYMIEXX3yR7du306dPHwYPHkxsbGxJn4iICNq1a1fmFh8fX6H3LmX9sP8M4z/ZSqHdwdCOwbypICIiIiLitAw/te/SpUtLLX/44YcEBASwdetW+vbtW2qd3W4nOjqa8PBwPvvsMywWCwCHDh0iMjKSiRMnMnny5DKvMXjwYAYPHnzZOqZNm8bYsWMZN24cANOnT2fZsmXMnj2bqVOnArB169ZKv1+5tB8PnOEP/9lGgc3BkA5BvHV3R1wshudlEREREblKqt0vvbS0NAD8/PzKrDObzSxZsoTt27fz4IMPYrfbOXr0KP3792fYsGEXDSLlkZ+fz9atW4mKiirVHhUVxfr16yv4Ti5t5syZtGnThm7dulX52DXVyoNJPP7xNvJtdoa0D+LtezopiIiIiIg4uWr1a8/hcDBp0iR69+5Nu3btLtonODiYH3/8kXXr1jFy5Ej69+/PgAEDeOeddyr8uikpKdhsNgIDA0u1BwYGXnLXr4sZNGgQd911F0uWLCEkJITNmzdftF90dDT79u275Pra5qeDSTz28VbybXYGt2vI9HsVRERERERqA8N30/q1J554gl27drF27drL9mvcuDHz58/nxhtvpFmzZsydOxeTqfLHFfx2DIfDcUXjLlu2rNI11DarDyXz6MdbyS+0M6htIP+6rzNWBRERERGRWqHa/Or74x//yNdff83KlSsJCQm5bN8zZ87w6KOPMnToULKzs5k4cWKlXtvf3x+LxVJmK0hSUlKZrSVSddYeTuGR+VvIL7QT1SaQf9/XRUFEREREpBYx/Jefw+HgiSee4Msvv+THH3+kadOml+2fkpLCgAEDaN26dclz/vvf//LMM89UuAZXV1ciIiKIiYkp1R4TE0PPnj0rPK5c2rojKYz9aDN5hXZuah3IjJFdcHUx/M9RRERERK4hw3fTio6OZsGCBXz11Vd4eXmVbJ3w8fHBw8OjVF+73c7NN99MWFgYCxcuxMXFhdatW7NixQoiIyNp1KjRRbeSZGZmcuTIkZLl48ePs2PHDvz8/GjcuDEAkyZNYtSoUXTt2pUePXowZ84cYmNjefzxx6/6Z1DbrD/6SxAZ0CqAmfd3VhARERERqYUMDyOzZ88GoF+/fqXaP/zwQx566KFSbWazmalTp9KnTx9cXV1L2tu3b8+KFSuoX7/+RV9jy5YtREZGlixPmjQJgNGjRzNv3jwA7rnnHs6ePcvLL79MQkIC7dq1Y8mSJYSFhVXhu5Wfj57l4XmbyS2wE9myAbMe6IKbi8XoskRERETEAIaHEYfDcUX9Bw4ceNH2Tp06XfI5/fr1K9frjB8/nvHjx19RPVJ+G4/9EkT6tWzA7AciFEREREREajHtGyPXxKbj5xgzbzM5BTb6tmjAOw9E4G5VEBERERGpzRRG5KrbcuIcD324iex8G33C/ZkzSkFERERERBRG5CrbevI8oz8oCiK9m/vz3oNdFUREREREBBRG5GracSqV0R9sIivfRs/r6iuIiIiIiEgpCiNyVeyJS2PU3I1k5hVyQzM/5o7uhoergoiIiIiI/EJhRKrcvvh0Hpi7kYzcQro18VUQEREREZGLUhiRKnXoTAYPzN1IanYBnRvX48Mx3anjZvgZpEVERESkGlIYkSpzJCmTke9t5FxWPh1CfJg3pjt1FURERERE5BIURqRKHE/JYuR7G0jJzKNNkDfzH+6Oj4fV6LJEREREpBpTGJFKO3Uum5HvbSApI4+WgV78Z9z11PN0NbosEREREanmFEakUuJSc7h3zgYS0nJpHlCXTx65Hr86CiIiIiIi8vsURqTCEtNyuW/OBuJSc2jqX4cF467Hv66b0WWJiIiISA2hMCIVkpSey8j3NhB7LpvGfp4seOR6ArzdjS5LRERERGoQhRG5YimZeYx8fyPHUrJoVM+DBY9cT5CPh9FliYiIiEgNozAiV+RcVj4PvL+RI0mZBPm48+kjNxDi62l0WSIiIiJSAymMSLmlZhcFkQOJGQR4ubHgkRtoXF9BREREREQqRmFEyiU9t4AHP9jEvoR0/Ou6suCRG2jqX8foskRERESkBlMYkd+VmVfI6A82set0Gn51XPlk3A00D6hrdFkiIiIiUsMpjMhlZecXMubDTWyPTcXHw8p/xl5Py4ZeRpclIiIiIk5AYUQuKSffxth5W9h84jxe7i78Z+z1tAn2NrosEREREXESCiNyUbkFNh79eAs/HztLXTcX5j/cnfYhPkaXJSIiIiJORGFEysgrtPGH/2xlzeEUPF0tzBvTjc6NfY0uS0REREScjMKIlJJfaCf6k+2sPJiMu9XMBw91o2sTP6PLEhEREREnpDAiJQptdp76bDsr9p/BzcXM3NHduKFZfaPLEhEREREnpTAiANjsDib+dyff70nE1WLm3VER9Grub3RZIiIiIuLEFEYEm93Bs5/v5Jud8VgtJmY/0IV+LQOMLktEREREnJzCSC1ntzt44cvdfLk9DovZxL/v68KA1oFGlyUiIiIitYDCSC3mcDj4v6/2sHDLKcwmePveTtzcrqHRZYmIiIhILaEwUks5HA5e+mYfn2yMxWSCaXd34tYOwUaXJSIiIiK1iMJILfX51tPMW38CgNfv6MBtnRsZXZKIiIiI1DIuRhcgxritUyN+2H+GG1sEcFfXUKPLEREREZFaSGGklnJ1MfPOAxGYTCajSxERERGRWkq7adViCiIiIiIiYiSFERERERERMYTCiIiIiIiIGEJhREREREREDKEwIiIiIiIihlAYERERERERQ+jUvgZyOBwApKenA1BQUEB2djbp6elYrVaDqxOpeTSHRCpHc0ik4jR/Srvw+/bC791LURgxUEZGBgChobrooIiIiIg4n4yMDHx8fC653uT4vbgiV43dbic+Ph4vLy9MJhPp6emEhoZy6tQpvL29jS7vmuvWrRubN282uoxSrlVNVf06VTFeRce40ueVt395+mkOaQ5Vl/Gq2/wpb9/aPIc0f6rP/KnMGEbOodo8f7jI5+NwOMjIyCA4OBiz+dJHhmjLiIHMZjMhISFl2r29vWvlH7HFYql27/ta1VTVr1MV41V0jCt9Xnn7X8m4mkPVR22dQ9Vt/lxp39o4hzR/qs/8qcwY1WEO1cb5wyU+n8ttEblAB7BLtREdHW10CWVcq5qq+nWqYryKjnGlzytv/+r491HdVMfPqLbOoeo2fyoydm1THT+f2jp/KjOG5pBxKvr5aDetaiQ9PR0fHx/S0tJqZaIWqSzNIZHK0RwSqTjNn4rRlpFqxM3Njb/+9a+4ubkZXYpIjaQ5JFI5mkMiFaf5UzHaMiIiIiIiIobQlhERERERETGEwoiIiIiIiBhCYURERERERAyhMCIiIiIiIoZQGBEREREREUMojNRgI0aMwNfXlzvvvNPoUkSqvW+//ZaWLVsSHh7O+++/b3Q5IjWOvnNEKu7UqVP069ePNm3a0KFDBz7//HOjS6o2dGrfGmzlypVkZmby0Ucf8b///c/ockSqrcLCQtq0acPKlSvx9vamS5cubNy4ET8/P6NLE6kx9J0jUnEJCQmcOXOGTp06kZSURJcuXTh48CB16tQxujTDactIDRYZGYmXl5fRZYhUe5s2baJt27Y0atQILy8vbrnlFpYtW2Z0WSI1ir5zRCouKCiITp06ARAQEICfnx/nzp0zuqxqQWHkKlm9ejVDhw4lODgYk8nE4sWLy/SZNWsWTZs2xd3dnYiICNasWWNIrSLVXWXnU3x8PI0aNSpZDgkJIS4u7prVL2I0fSeJVE5VzqEtW7Zgt9sJDQ29BpVXfwojV0lWVhYdO3ZkxowZF12/cOFCJkyYwIsvvsj27dvp06cPgwcPJjY2tqRPREQE7dq1K3OLj4+/hu9ExHiVnU8X2xvVZDJd9bpFqouq+E4Sqc2qag6dPXuWBx98kDlz5lyjymsAh1x1gGPRokWl2rp37+54/PHHS7W1atXK8ac//emKxl65cqXjjjvuqJI6RWqCisyndevWOW677baSdU8++aTjk08+uUYVi1QvlflO0neOSMXnUG5urqNPnz6O+fPnX7NaawJtGTFAfn4+W7duJSoqqlR7VFQU69evN6wukZqoPPOpe/fu7Nmzh7i4ODIyMliyZAmDBg0yqGKR6kXfSSKVU5455HA4eOihh+jfvz+jRo0yqNLqycXoAmqjlJQUbDYbgYGBpdoDAwNJTEws9ziDBg1i27ZtZGVlERISwqJFi+jWrdtVqFik+irPfHJxceHNN98kMjISu93O5MmTqV+/vkEVi1Qv5f1O0neOyMWVZw6tW7eOhQsX0qFDh5LjTT7++GPat29vSM3VicKIgX67z7rD4bii/dh1NiCRX/zefBo2bBjDhg0zoDKRmuH35pC+c0Qu73JzqHfv3tjtdoMqq960m5YB/P39sVgsZbaCJCUllUnVInJ5mk8ilaM5JFI5mkOVozBiAFdXVyIiIoiJiSnVHhMTQ8+ePQ2rS6Qm0nwSqRzNIZHK0RyqHO2mdZVkZmZy5MiRkuXjx4+zY8cO/Pz8aNy4MZMmTWLUqFF07dqVHj16MGfOHGJjY3n88ccNrVukOtJ8EqkczSGRytEcuoqMPp2Xs1q5cqUDKHMbPXp0SZ+ZM2c6wsLCHK6uro4uXbo4Vq1aZWjNItWV5pNI5WgOiVSO5tDVY3Jc7GpgIiIiIiIiV5mOGREREREREUMojIiIiIiIiCEURkRERERExBAKIyIiIiIiYgiFERERERERMYTCiIiIiIiIGEJhREREREREDKEwIiIiIiIihlAYERERERERQyiMiIiIiIiIIRRGRERERETEEAojIiIiIiJiCIURERERERExhMKIiIiIiIgYQmFEREREREQM4WJ0AbWZ3W4nPj4eLy8vTCaT0eWIiIiIiFQJh8NBRkYGwcHBmM2X3v6hMGKg+Ph4QkNDjS5DREREROSqOHXqFCEhIZdcrzBiIC8vLyj+j+Tt7U1BQQHLly8nKioKq9VqdHkiNY7mkEjlaA6JVJzmT2np6emEhoaW/N69FIURA13YNcvb27skjHh6euLt7a0/YpEK0BwSqRzNIZGK0/y5uN87FEEHsIuIiIiIiCEURkRERERExBAKIyIiIiIiYgiFERERERERMYTCiIiIiIiIGEJhpJYqsNmZ/dNR1h1JIT23wOhyRERERKQW0ql9a6mDiRm8tvRAyXIz/zp0CPGhQ0g9Oob60CbIBw9Xi6E1ioiIiIhzUxippSxmE0M6BLHrdCqnzuVwLCWLYylZLN4RX7K+RaAXHYsDSqfQerRs6IXFfPlzRYuIiIiIlJfCyCUUFhYyZcoUPvnkExITEwkKCuKhhx7iz3/+M2Zz0d5tDoeDl156iTlz5nD+/Hmuv/56Zs6cSdu2bY0u/3e1DvJm5sguAJzLymfX6VR2nU5j1+lUdp5OIzkjj/0J6exPSOezzacAqOvmQufG9YgI8yUizJfOjX2p66Y/IRERERGpGP2SvITXXnuNd955h48++oi2bduyZcsWxowZg4+PD0899RQAr7/+OtOmTWPevHm0aNGCV155hYEDB3Lw4EG8vLyMfgvl5lfHlX4tA+jXMgCKQ1Ziei47T10IJ6nsPJVGZl4haw6nsOZwCgBmE7Rq6E3XJr4lASXE19PgdyMiIiIiNYXCyCX8/PPPDB8+nCFDhgDQpEkTPv30U7Zs2QLFP9inT5/Oiy++yO233w7ARx99RGBgIAsWLOCxxx4ztP7KMJlMBPl4EOTjwc3tGgJgszs4mJjB1pPn2HryPFtOnuf0+Rz2JaSzLyGd+T+fBCDE14MbmtWnR7P69LiuPsH1PAx+NyIiIiJSXSmMXELv3r155513OHToEC1atGDnzp2sXbuW6dOnA3D8+HESExOJiooqeY6bmxs33ngj69evv2gYycvLIy8vr2Q5PT0dgIKCgpLbheXqKLyBB+ENGnFv10YAnEnPZVtsasltX0IGp8/n8L+tp/nf1tMANPbz4IamfnRv6scNTX0J9HY3+F2IM6vuc0ikutMcEqk4zZ/Syvs5KIxcwnPPPUdaWhqtWrXCYrFgs9n4+9//zn333QdAYmIiAIGBgaWeFxgYyMmTJy865tSpU3nppZfKtC9fvhxPz192b4qJianid3N1dQY6N4a8RnAsw8ThNBNH0k3EZkLsuRxiz8Xx361xAAS4Owj3cdC6XtG9u07YJVdBTZtDItWN5pBIxWn+FMnOzi5XP4WRS1i4cCH/+c9/WLBgAW3btmXHjh1MmDCB4OBgRo8eXdLPZCp9dimHw1Gm7YLnn3+eSZMmlSynp6cTGhpKVFQU3t7eFBQUEBMTw8CBA7FarVfx3V0bGbmFbDl5no3Hz7Hh+Dn2JWSQlGsiKdfEujPgYjbRpXE9+jSvT59wf1o39MKss3VJJTjbHBK51jSHRCpO86e0C3sA/R6FkUt49tln+dOf/sS9994LQPv27Tl58iRTp05l9OjRNGxYdCzFhTNtXZCUlFRma8kFbm5uuLm5lWm3Wq2l/mh/u1xT+VmtRLXzIKpdMABp2QVsPH6WtUdSWH0omRNns9l04jybTpznzRVH8K/rSp/wBvRt4U/f8AbUr1v2sxIpD2eZQyJG0RwSqTjNnyLl/QwURi4hOzu75BS+F1gsFux2OwBNmzalYcOGxMTE0LlzZwDy8/NZtWoVr732miE1V3c+nlai2jYkqm1RkDt5NovVh5JZdSiF9UdTSMnMZ9H2OBZtj8NkgojGvtzUJpCbWgdyXYM6l9ziJCIiIiI1k8LIJQwdOpS///3vNG7cmLZt27J9+3amTZvGww8/DMW7Z02YMIFXX32V8PBwwsPDefXVV/H09GTkyJFGl18jhNWvw6gedRjVown5hXa2njzP6sPJrDqYzL6EdLYUn7XrH98foKl/HW5qHcBNrQOJCPPFxWIuxyuIiIiISHWmMHIJ//73v/m///s/xo8fT1JSEsHBwTz22GP85S9/KekzefJkcnJyGD9+fMlFD5cvX16jrjFSXbi6mOlxXdHpgJ+7uRXxqTn8cCCJFfvO8PPRsxxPyeK9Ncd5b81x6nlaiWwZwKC2gfRrGYC7VUfBi4iIiNRECiOX4OXlxfTp00tO5XsxJpOJKVOmMGXKlGtaW20QXM+DUTeEMeqGsKKLLR5KJmb/GX48kERqdkHJ7lyerhb6twpgSPsg+rUMwMNVwURERESkplAYkWqvrpsLg9sHMbh9EIU2O9tiU1m+N5Hv9yQSl5rDt7sS+HZXAh5WC/1bFwWTSAUTERERkWpPYURqFBeLme7FF1F8cUhrdp5OY8nuBL7blUBcag7f7Sp6fCGYjOjUiL4tGuDqomNMRERERKobhRGpsUwmE51C69EptB7PD27FrgvBZHcCp8//Ekx8Pa3c2iGYEV0a0Tm0ns7KJSIiIlJNKIyIUzCZTHQMrUfH0Hr8qTiYfLUjnq93xpOSmcfHG07y8YaThNX35LZOjbitcyOa+tcxumwRERGRWk1hRJzOr4PJC7e0Yt3RsyzeHseyvYmcPJvN2z8c5u0fDtMptB73dAvl1g5BeLnr4kQiIiIi15rCiDg1F4uZG1s04MYWDcjOLyRm3xm+3BbHmsPJ7DiVyo5Tqbz8zT5u7RDEPd1CiQjz1W5cIiIiIteIwojUGp6uLgzv1IjhnRqRnJHH4u1xfLY5lqPJWXy+9TSfbz3NdQ3qcE+3UG7vEoJ/XTejSxYRERFxagojUis18HLjkb7NGNenKdtiz/PZplN8uyuBo8lZvLrkAK8vPchNrQN54IYwejWvr60lIiIiIleBwojUaiaTiYgwPyLC/PjL0DZ8uyuBhZtPseNUKkv3JrJ0byLNGtThwRvCuD0iBG8dWyIiIiJSZRRGRIp5uVu5r3tj7uvemIOJGSzYeJIvtsVxLDmLKd/s4/VlBxnRuREP9mhCy4ZeRpcrIiIiUuPpSnAiF9GyoRcvDW/HhhcG8LfhbQkPqEt2vo1PNsYyaPpq7nn3Z5bsTqDAZje6VBEREZEaS1tGRC6jrpsLo3o04YEbwthw7Bzzfz7B8n1n2Hj8HBuPnyPYx50xvZpyb/dQnR5YRERE5Ao5TRhJS0tj0aJFrFmzhhMnTpCdnU2DBg3o3LkzgwYNomfPnkaXKDWYyWSix3X16XFdfRLScliwMZZPN8USn5bL35fs518/HObe7qGM6dWU4HoeRpcrIiIiUiPU+N20EhISeOSRRwgKCuLll18mKyuLTp06MWDAAEJCQli5ciUDBw6kTZs2LFy40OhyxQkE+XjwdFRL1j7Xn9fuaE/zgLpk5BXy3prj9Hl9JU9+up3dp9OMLlNERESk2qvxW0Y6duzIgw8+yKZNm2jXrt1F++Tk5LB48WKmTZvGqVOneOaZZ655neJ83K0W7unWmLsiQll1KJk5q4/x87GzfL0znq93xnNDMz/G92tOn3B/nRpYRERE5CJqfBjZu3cvDRo0uGwfDw8P7rvvPu677z6Sk5OvWW1SO5jNJiJbBRDZKoA9cWm8v+YY3+xKYMOxc2w4tokOIT5ERzZnYOtAzGaFEhEREZELavxuWr8XRCrbX+RKtGvkw/R7O7NmciRjejXB3Wpm1+k0Hvt4Kze/vZqvdsRRqDNwiYiIiIAzhJFf++ijj/juu+9KlidPnky9evXo2bMnJ0+eNLQ2qV2C63nw16FtWftcf8b3uw4vNxcOncnkqc92MGDaKj7bFEt+oUKJiIiI1G5OFUZeffVVPDyKzmT0888/M2PGDF5//XX8/f2ZOHGi0eVJLeRf143JN7di7Z/68/TAFvh6Wjl5Nps/fbmbfm+sZMHGWF2rRERERGotpwojp06donnz5gAsXryYO++8k0cffZSpU6eyZs0ao8uTWszHw8ofB4Sz9rn+/HlIawK83IhPy+WFRbvp/+ZPfL7llHbfEhERkVrHqcJI3bp1OXv2LADLly/npptuAsDd3Z2cnByDqxOBOm4ujOvTjNWTI/m/W9vgX9eVU+dyePZ/u4h6q+iYEpvdYXSZIiIiIteEU4WRgQMHMm7cOMaNG8ehQ4cYMmQIFJ9xq0mTJkaXJ1LC3WphbO+mrJ4cyfODW+HraeVYShZPfbaDm6evZsnuBOwKJSIiIuLknCqMzJw5kx49epCcnMwXX3xB/fr1Adi6dSv33Xef0eWJlOHp6sJjN17Hmuf680xUC7zdXTiclMn4T7YxfOY61h1JMbpEERERkaumxl9n5Nfq1avHjBkzyrS/9NJLhtQjUl513Vx4on84o3o0Ye7a48xdc4zdcWnc//5G+rZowJ9ubkWbYG+jyxQRERGpUk61ZQRgzZo1PPDAA/Ts2ZO4uDgAPv74Y9auXWt0aSK/y8fDyqSBLVg1OZKHejbBxWxi9aFkhvx7DZMW7uD0+WyjSxQRERGpMk4VRr744gsGDRqEh4cH27ZtIy8vD4CMjAxeffVVo8sTKTf/um5MGdaWH56+kVs7BOFwwJfb4+j/z1X8/bt9pGbnG12iiIiISKU5VRh55ZVXeOedd3jvvfewWq0l7T179mTbtm2G1iZSEWH16zBjZBe+iu5Fj2b1ybfZeW/Ncfq+vpK5a4/rGiUiIiJSozlVGDl48CB9+/Yt0+7t7U1qaqohNYlUhY6h9VjwyPXMG9ONVg29SM8t5G/f7mPQ9NWsPJBkdHkiIiIiFeJUYSQoKIgjR46UaV+7di3NmjUzpCaRqmIymejXMoDvnuzD1NvbU7+OK8eSsxgzbzOjP9jEkaQMo0sUERERuSJOFUYee+wxnnrqKTZu3IjJZCI+Pp5PPvmEZ555hvHjxxtdnkiVsJhN3Ne9MSuf7cejfZthtZhYdSiZQdPXMOXrvaRlFxhdooiIiEi5ONWpfSdPnkxaWhqRkZHk5ubSt29f3NzceOaZZ3jiiSeMLk+kSnm7W3nhltbc170xf/9uPyv2n2He+hMs3hHHpIEtGNm9MS4Wp/r3BhEREXEyTvdL5e9//zspKSls2rSJDRs2kJyczN/+9jejyxK5apr61+H90V35eGx3WgTWJTW7gL98tZdhM9ax9eR5o8sTERERuSSnCyMA8fHxnD17lvbt21O3bl0cDofRJYlcdX3CG7DkyT78bXhbfDys7EtI547Z65n8v52cy9KpgEVERKT6caowcvbsWQYMGECLFi245ZZbSEhIAGDcuHE8/fTTRpcnctW5WMyM6tGEH5++kbu7hgDw3y2nifznT3yy8SR2u4K5iIiIVB9OFUYmTpyI1WolNjYWT0/PkvZ77rmHpUuXGlqbyLVUv64br9/ZkS/+0INWDb1IyyngxUV7GDF7PbtPpxldnoiIiAg4WxhZvnw5r732GiEhIaXaw8PDOXnypGF1iRglIsyPb//Ym7/c2oa6bi7sPJXKsJlr+b/Fe3TWLRERETGcU4WRrKysUltELkhJScHNze2Kx4uLi+OBBx6gfv36eHp60qlTJ7Zu3Vqy3uFwMGXKFIKDg/Hw8KBfv37s3bu30u9DpCq5WMw83LspPz59I8M7BeNwwMcbTjJg2iqW7E7QMVUiIiJiGKcKI3379mX+/PklyyaTCbvdzhtvvEFkZOQVjXX+/Hl69eqF1Wrl+++/Z9++fbz55pvUq1evpM/rr7/OtGnTmDFjBps3b6Zhw4YMHDiQjAxdfE6qnwBvd96+tzMLHrmeZg3qkJKZx/hPtvHI/K0kpOUYXZ6IiIjUQk51nZE33niDfv36sWXLFvLz85k8eTJ79+7l3LlzrFu37orGeu211wgNDeXDDz8saWvSpEnJY4fDwfTp03nxxRe5/fbbAfjoo48IDAxkwYIFPPbYY1X4zkSqTs/r/FnyZB9mrTzC7FVHWbH/DBuOneW5m1ty//VhmM0mo0sUERGRWsKpwkibNm3YtWsXs2fPxmKxkJWVxe233050dDRBQUFXNNbXX3/NoEGDuOuuu1i1ahWNGjVi/PjxPPLIIwAcP36cxMREoqKiSp7j5ubGjTfeyPr16y8aRvLy8sjLyytZTk9PB6CgoKDkdmFZ5GqyAH+MbMagNg148at97DiVxv99tZdF2+N4ZXgbwgPqGl1ihWgOiVSO5pBIxWn+lFbez8HkcJIdxgsKCoiKiuLdd9+lRYsWlR7P3d0dgEmTJnHXXXexadMmJkyYwLvvvsuDDz7I+vXr6dWrF3FxcQQHB5c879FHH+XkyZMsW7aszJhTpkzhpZdeKtO+YMGCix7rInIt2B2wNtHEt7Fm8uwmLCYHAxs5GNjIjotT7cgpIiIi10p2djYjR44kLS0Nb2/vS/ZzmjAC0KBBA9avX094eHilx3J1daVr166sX7++pO3JJ59k8+bN/PzzzyVhJD4+vtRWl0ceeYRTp05d9FTCF9syEhoaSkpKCt7e3hQUFBATE8PAgQOxWq2Vfg8iVyIhLZe/frOPlQdTALiuQR2m3taWzo3r/e5zqwvNIZHK0RwSqTjNn9LS09Px9/f/3TDiVLtpPfjgg8ydO5d//OMflR4rKCiINm3alGpr3bo1X3zxBQANGzYEIDExsVQYSUpKIjAw8KJjurm5XfSsXlartdQf7W+XRa6Fxv5WPnioO9/tTmDK13s5mpzFve9vYlyfZkwa2AJ3q8XoEstNc0ikcjSHRCpO86dIeT8Dpwoj+fn5vP/++8TExNC1a1fq1KlTav20adPKPVavXr04ePBgqbZDhw4RFhYGQNOmTWnYsCExMTF07ty55PVXrVrFa6+9ViXvR+RaM5lM3NohmN7N/fnbt/v5Yttp5qw+xor9Z3jjzo5EhPkaXaKIiIg4EacKI3v27KFLly5QHBx+zWS6sjMETZw4kZ49e/Lqq69y9913s2nTJubMmcOcOXNKxpswYQKvvvoq4eHhhIeH8+qrr+Lp6cnIkSOr8F2JXHv1PF158+6O3NK+Ic9/uZtjyVnc9c76GrmVRERERKovpwojK1eurLKxunXrxqJFi3j++ed5+eWXadq0KdOnT+f+++8v6TN58mRycnIYP34858+f5/rrr2f58uV4eXlVWR0iRhrQOpCYiX689O1evtwWp60kIiIiUqWcKoxUtVtvvZVbb731kutNJhNTpkxhypQp17QukWvJx9PKtLs7cUu7IF5YpK0kIiIiUnWcKoyMGDHiortjmUwm3N3dad68OSNHjqRly5aG1CdSk93UJpBuTcpuJXnzro50bqytJCIiInLlnOoqAj4+Pvz4449s27atJJRs376dH3/8kcLCQhYuXEjHjh2v+GrsIlLkwlaS9x/sSoCXG8eSs7jznZ95K+YQBTa70eWJiIhIDeNUYaRhw4aMHDmSY8eO8cUXX/Dll19y9OhRHnjgAa677jr279/P6NGjee6554wuVaRGu6lNIMsn9mVYx2Bsdgdv/3CYO2ev52hyptGliYiISA3iVGFk7ty5TJgwAbP5l7dlNpv54x//yJw5czCZTDzxxBPs2bPH0DpFnEE9T1f+dV9n/nVfZ7zdXdh5Oo0h/1rD/J9P4ETXUhUREZGryKnCSGFhIQcOHCjTfuDAAWw2GwDu7u5XfJpfEbm0YR2DWTaxL72b+5NbYOcvX+1l9IebOZOea3RpIiIiUs05VRgZNWoUY8eO5a233mLt2rWsW7eOt956i7Fjx/Lggw8CsGrVKtq2bWt0qSJOJcjHg/kPd2fK0Da4uZhZfSiZQdNX892uBKNLExERkWrMqc6m9dZbbxEYGMjrr7/OmTNnAAgMDGTixIklx4lERUVx8803G1ypiPMxm0081KspvcP9mbhwJ7vj0ohesI2YfcG8NLwdPh5Wo0sUERGRasaptoxYLBZefPFFEhISSE1NJTU1lYSEBF544QUslqJrITRu3JiQkBCjSxVxWs0DvPhyfE+e7N8cswkW74jn5umr+fnoWaNLExERkWrGqcIIxceNrFixgk8//bTk2JD4+HgyM3WWH5FrxWoxMymqJf/7Q0+a1PckIS2Xke9v4PWlB3QKYBERESnhVGHk5MmTtG/fnuHDhxMdHU1ycjIAr7/+Os8884zR5YnUOl0a+/Ldk324t1soDgfM+ukod85ez4mULKNLExERkWrAqcLIU089RdeuXTl//jweHh4l7SNGjOCHH34wtDaR2qqOmwv/uKMDs+/vgo+HteQUwF9sPa1TAIuIiNRyThVG1q5dy5///GdcXV1LtYeFhREXF2dYXSICg9sH8f1Tfbi+qR9Z+Tae/nwnT322g/TcAqNLExEREYM4VRix2+0l1xP5tdOnT+Pl5WVITSLyi+B6Hix45AaeHdQSi9nE1zvjGTx9DVtPnjO6NBERETGAU4WRgQMHMn369JJlk8lEZmYmf/3rX7nlllsMrU1EiljMJqIjm/O/x3vQ2M+TuNQc7nrnZ95ecZhCHdwuIiJSqzhVGHnrrbdYtWoVbdq0ITc3l5EjR9KkSRPi4uJ47bXXjC5PRH6lc2NfvnuyN7d3boTdAW+tOMS9czZw+ny20aWJiIjINeJUFz0MDg5mx44dfPrpp2zbtg273c7YsWO5//77Sx3QLiLVg5e7lWn3dKJviwb8efEetpw8z+C31/DqiPYM7RhsdHkiIiJylTlVGAHw8PDg4Ycf5uGHHza6FBEpp9s6NyIizJcnP9vO9thU/vjpdlYfSual4W3xdHW6/02JiIhIsRr/Lf/111+Xu++wYcOuai0iUnGhfp58/lgP/vXDYWasPMLnW0+zLfY8M0Z2oXWQt9HliYiIyFVQ48PIbbfdVmrZZDKVuXbBhSuxX+xMWyJSfbgUX7m9x3X+TFi4naPJWQyfuY7/G9KaB24IK5nLIiIi4hxq/AHsdru95LZ8+XI6derE999/T2pqKmlpaXz//fd06dKFpUuXGl2qiJRTj+vq8/1TfenfKoD8Qjv/99Ve/vCfbaRl65okIiIizqTGbxn5tQkTJvDOO+/Qu3fvkrZBgwbh6enJo48+yv79+w2tT0TKz6+OK3NHd+WDdSf4x/f7Wbo3kd1xafzrvk5EhPkZXZ6IiIhUgRq/ZeTXjh49io+PT5l2Hx8fTpw4YUhNIlJxJpOJsb2b8uUfehFWv+iaJHe/u4GZK49gtzvKMYKIiIhUZ04VRrp168aECRNISEgoaUtMTOTpp5+me/fuhtYmIhXXPsSHb//Ym+GdgrHZHbyx7CAPfrCJpIxco0sTERGRSnCqMPLBBx+QlJREWFgYzZs3p3nz5jRu3JiEhATmzp1rdHkiUgle7lam39OJN+7sgIfVwtojKdzy9hpWHUo2ujQRERGpIKc6ZqR58+bs2rWLmJgYDhw4gMPhoE2bNtx00006C4+IEzCZTNzVNZTOjX15YsE2DiRmMPqDTTx2YzOeiWppdHkiIiJyhZwqjFD8YyUqKoqoqCijSxGRq6R5QF0WR/fi79/t5+MNJ3l31TE2HjvHtLvaGV2aiIiIXIEav5vWZ599Vu6+p06dYt26dVe1HhG5NtytFv52WzveeaAL3u4u7DiVyrCZG9h+VltBRUREaooaH0Zmz55Nq1ateO211y566t60tDSWLFnCyJEjiYiI4Ny5c4bUKSJXx83tgljyVB+6NK5HZl4h8w5Z+PNX+8jJ10VORUREqrsaH0ZWrVrFP//5T3788UfatWuHt7c34eHhtG/fnpCQEOrXr8/YsWNp0qQJe/bsYejQoUaXLCJVLMTXk4WP9eDxvk0x4WDhltMMn7mWQ2cyjC5NRERELsMpjhm59dZbufXWWzl79ixr167lxIkT5OTk4O/vT+fOnencuTNmc43PXSJyGVaLmacHhmNOPsJ/T3lw6Ewmw2as5a9D23Jvt1CdxEJERKQacoowckH9+vUZPny40WWIiIFa1nPwzbAeTP5yL2sOp/D8l7tZeySFqbe3x9vdanR5IiIi8ivaXCAiTse/rhsfjenOnwa3wsVs4rtdCQz51xq2x543ujQRERH5FYUREXFKZrOJx2+8jv8+3oMQXw9Oncvhrnd+ZvZPR7HbHUaXJyIiIgojIuLsujT25bsn+zCkfRCFdgevLT3Agx9sIik91+jSREREaj2FERFxej4eVmaM7Mxrd7TH3Wpm7ZEUbn57DSsPJBldmoiISK3mVGHk5ZdfJjs7u0x7Tk4OL7/8siE1iUj1YDKZuKdbY779Y29aNfTiXFY+Y+Zt5m/f7iOvUNckERERMYJThZGXXnqJzMzMMu3Z2dm89NJLFR536tSpmEwmJkyYUNLmcDiYMmUKwcHBeHh40K9fP/bu3Vvh1xCRa6N5gBeLo3vxUM8mAMxde5zbZ63nWHLZ/3eIiIjI1eVUYcThcFz0WgI7d+7Ez8+vQmNu3ryZOXPm0KFDh1Ltr7/+OtOmTWPGjBls3ryZhg0bMnDgQDIydJE1kerO3WphyrC2vP9gV3w9reyNT+fWf6/l8y2ncDh0cLuIiMi14hTXGfH19cVkMmEymWjRokWpQGKz2cjMzOTxxx+/4nEzMzO5//77ee+993jllVdK2h0OB9OnT+fFF1/k9ttvB+Cjjz4iMDCQBQsW8Nhjj110vLy8PPLy8kqW09PTASgoKCi5XVgWkSt3pXPoxnA/vo7uwbP/282G4+d59n+7WHUwiZeHtcHL3Sn+9yhyRfQ9JFJxmj+llfdzMDmc4J8BP/roIxwOBw8//DDTp0/Hx8enZJ2rqytNmjShR48eVzzu6NGj8fPz46233qJfv3506tSJ6dOnc+zYMa677jq2bdtG586dS/oPHz6cevXq8dFHH110vClTplx0d7EFCxbg6el5xfWJSNWwO2BFnInvT5mxY6K+m4MHw2008TK6MhERkZopOzubkSNHkpaWhre39yX71fh/+uvSpQs//PADvr6+fPTRRzz88MPUrVu30uN+9tlnbNu2jc2bN5dZl5iYCEBgYGCp9sDAQE6ePHnJMZ9//nkmTZpUspyenk5oaChRUVF4e3tTUFBATEwMAwcOxGrVlaJFrlRl5tCtwOjYVCZ+vou41Fz+vc/KhAHNeaR3E8zmsrt/ijgjfQ+JVJzmT2kX9gD6PTU+jOzfv5+srCx8fX1ZvXo1OTk5lQ4jp06d4qmnnmL58uW4u7tfst9vj0+51DErF7i5ueHm5lam3Wq1lvqj/e2yiFyZis6h7tc1YMlTfXlx0W6+3ZXAP2MO8/Pxc7x1dycCvC/9/wIRZ6PvIZGK0/wpUt7PoMaHkU6dOjFmzBh69+6Nw+HgjTfeuGQY+ctf/lKuMbdu3UpSUhIRERElbTabjdWrVzNjxgwOHjwIxVtIgoKCSvokJSWV2VoiIjWLj4eVf9/Xmb7hDfjr13tZd+QsN7+9hjfv6khkqwCjyxMREXEqNT6MzJs3j7/+9a98++23mEwmvv/+e1xcyr4tk8lU7jAyYMAAdu/eXaptzJgxtGrViueee45mzZrRsGFDYmJiSo4Zyc/PZ9WqVbz22mtV9M5ExCgmk4m7u4XSJcyXP366nf0J6YyZt5mHejbhT4Nb4W61GF2iiIiIU6jxYaRly5Z89tlnAJjNZn744QcCAir3r5deXl60a9euVFudOnWoX79+SfuECRN49dVXCQ8PJzw8nFdffRVPT09GjhxZqdcWkeqjeUBdFo3vyT++P8C89SeYt/4Ea4+kMP2eTrRr5FOOEURERORyanwY+TW73X7NXmvy5Mnk5OQwfvx4zp8/z/XXX8/y5cvx8tLpd0ScyYVrkvRr2YBn/7eLI0mZjJi1jkkDW/Jo32ZYdHC7iIhIhdX4MPL1118zePBgrFYrX3/99WX7Dhs2rMKv89NPP5VaNplMTJkyhSlTplR4TBGpOfq1DGDZhL786YtdLN93hteWHmDlwSSm3d2REF+dmltERKQianwYue2220hMTCQgIIDbbrvtkv1MJhM2m+2a1iYizsWvjivvjorg8y2nmfLNXjYdP8fg6Wv4223tuK1zI6PLExERqXHMRhdQWXa7veQYEbvdfsmbgoiIVIULB7d//1QfOjeuR0ZeIRMW7uDJT7eTlq2r7oqIiFyJGh9GRESMEFa/Dp8/1oNJA1tgMZv4emc8g99ezfqjKUaXJiIiUmPU+N20fuuHH37ghx9+ICkpqcwB7R988IFhdYmI83GxmHlyQDh9wv2ZuHAHJ85mc//7G3mkTzOejmqBm4tOASwiInI5TrVl5KWXXiIqKooffviBlJQUzp8/X+omInI1dG7sy3dP9uG+7qE4HDBn9TGGz1jHnrg0o0sTERGp1pxqy8g777zDvHnzGDVqlNGliEgtU8fNham3d6B/q0D+9MUuDiRmcNvMdTzRvznRkc2xWpzq335ERESqhFN9O+bn59OzZ0+jyxCRWmxgm0CWTezL4HYNKbQ7mL7iMCNmreNgYobRpYmIiFQ7ThVGxo0bx4IFC4wuQ0RqOf+6bsy6vwtv39sJHw8re+LSGfrvtcz66QiFtmt3cVYREZHqrsbvpjVp0qSSx3a7nTlz5rBixQo6dOiA1Wot1XfatGkGVCgitZHJZGJ4p0b0aFafFxbtZsX+JF5fepDle8/wz7s60jygrtElioiIGK7Gh5Ht27eXWu7UqRMAe/bsKdVuMpmuaV0iIgAB3u6892BXvtgWx0vf7GXHqVSG/GsNzw5qyZheTbGY9f8mERGpvWp8GFm5cqXRJYiIXJbJZOLOiBB6Na/Pc1/sZvWhZF75bj/L9iby2h0daNZAW0lERKR2cqpjRn4rPT2dxYsXc+DAAaNLEREhyMeDj8Z0Y+rt7anjamHzifPc/PYaZv10hAIdSyIiIrWQU4WRu+++mxkzZgCQk5ND165dufvuu2nfvj1ffPGF0eWJiGAymbive2OWTexLn3B/8gvtvL70ILfN1HVJRESk9nGqMLJ69Wr69OkDwKJFi3A4HKSmpvKvf/2LV155xejyRERKhPh6Mv/h7rx5V0fqeVrZG5/O8Jnr+Mf3B8gtsBldnoiIyDXhVGEkLS0NPz8/AJYuXcodd9yBp6cnQ4YM4fDhw0aXJyJSislk4o6IEGIm3sitHYKw2R28s+oog99ew4ZjZ40uT0RE5KpzqjASGhrKzz//TFZWFkuXLiUqKgqA8+fP4+7ubnR5IiIX1cDLjRkju/Deg10J9HbjeEoW987ZwPNf7iY9t8Do8kRERK4apwojEyZM4P777yckJITg4GD69esHxbtvtW/f3ujyREQua2CbQGIm3cjI6xsD8OmmWAZOW8WS3Qk4HA6jyxMREalyThVGxo8fz4YNG/jggw9Yu3YtZnPR22vWrJmOGRGRGsHb3cqrI9rz2aM30KS+J2fS8xj/yTYe+nAzJ89mGV2eiIhIlXKqMAIQERHBiBEjqFv3l/P2DxkyhF69ehlal4jIlbihWX2WTujLkwPCcbWYWXUomai3VvPvHw6TV6gD3EVExDk4XRgREXEW7lYLkwa2YOmEPvRqXp+8Qjtvxhxi8NtrWH8kxejyREREKk1hRESkmmvWoC7/GXs9b9/biQZebhxLzmLk+xuZ8Nl2kjPyjC5PRESkwhRGRERqAJPJxPBOjfjh6RsZ3SMMkwkW74in/5s/8eG647qCu4iI1EgKIyIiNYi3u5WXhrfjq+hetG/kQ0ZuIS99s49b3l7D2sPadUtERGoWpwsja9as4YEHHqBHjx7ExcUB8PHHH7N27VqjSxMRqTIdQuqxOLoXr45oj18dVw4nZfLA3I08On8LsWezjS5PRESkXJwqjHzxxRcMGjQIDw8Ptm/fTl5e0b7UGRkZvPrqq0aXJyJSpSxmEyOvb8zKp/sxplcTLGYTy/ed4aa3VvHGsgNk5RUaXaKIiMhlOVUYeeWVV3jnnXd47733sFqtJe09e/Zk27ZthtYmInK1+Hha+evQtnz/VB96N/cnv9DOzJVHGfDmKhZvj9MFE0VEpNpyqjBy8OBB+vbtW6bd29ub1NRUQ2oSEblWWgR68fHY7rw7KoJQPw8S03OZsHAHt89ez5YT54wuT0REpAynCiNBQUEcOXKkTPvatWtp1qyZITWJiFxLJpOJQW0bEjPxRp4d1BJPVwvbY1O5852feezjLRxNzjS6RBERkRJOFUYee+wxnnrqKTZu3IjJZCI+Pp5PPvmEZ555hvHjxxtdnojINeNutRAd2ZyfnunHfd1DMZtg2d4zRL21mv9bvIeUTF2fREREjOdidAFVafLkyaSlpREZGUlubi59+/bFzc2NZ555hieeeMLo8kRErrkAb3em3t6Bh3s15R/fH+CHA0l8vOEki7bH8fiNzRjbuxkerhajyxQRkVrKqbaMAPz9738nJSWFTZs2sWHDBpKTk/nb3/5mdFkiIoYKD/Ri7kPd+PSRG2jfyIfMvEL+ufwQ/f65koWbYynURRNFRMQAThdGADw9PenatSutWrVixYoV7N+/3+iSRESqhR7X1eer6F68fW8nGtXz4Ex6Hs99sZuBb63mqx1x2O0685aIiFw7ThVG7r77bmbMmAFATk4O3bp14+6776ZDhw588cUXRpcnIlItmM0mhndqxA9P38ifh7TGr44rx1OyeOqzHQx+ew3L9ibqdMAiInJNOFUYWb16NX369AFg0aJF2O12UlNT+de//sUrr7xidHkiItWKu9XCuD7NWD05kmeiWuDl7sLBMxk89vFWhs9cx6pDyQolIiJyVTlVGElLS8PPzw+ApUuXcscdd+Dp6cmQIUM4fPiw0eWJiFRLdd1ceKJ/OGsn9+eJyOZ4ulrYdTqN0R9s4p53N7D+SIpCiYiIXBVOFUZCQ0P5+eefycrKYunSpURFRQFw/vx53N3dr2isqVOn0q1bN7y8vAgICOC2227j4MGDpfo4HA6mTJlCcHAwHh4e9OvXj71791bpexIRuVZ8PK08M6glayZHMq53U1xdzGw6cY6R72/kznd+ZuXBJIUSERGpUk4VRiZMmMD9999PSEgIwcHB9OvXD4p332rfvv0VjbVq1Sqio6PZsGEDMTExFBYWEhUVRVZWVkmf119/nWnTpjFjxgw2b95Mw4YNGThwIBkZGVX+3kRErpX6dd34861tWP1sJKN7hOHqYmbryfOM+XAzw2asY9neRB3oLiIiVcKprjMyfvx4rr/+emJjYxk4cCBmc1HWatas2RUfM7J06dJSyx9++CEBAQFs3bqVvn374nA4mD59Oi+++CK33347AB999BGBgYEsWLCAxx57rArfmYjItdfQx52XhrcjOrI57605xn82xLI7Lo3HPt5Kq4ZePNG/OYPbBWExm4wuVUREaiinCiMAERERRERElGobMmRIpcdNS0sDKDkm5fjx4yQmJpbsCgbg5ubGjTfeyPr16y8aRvLy8sjL++Wqx+np6QAUFBSU3C4si8iV0xy6Onw9LEyOCmdsrzDmrT/JxxtjOZCYwRMLttPM/yAP92rCbR2DcLPq4ok1neaQSMVp/pRW3s/B5HCyHYBPnz7N119/TWxsLPn5+aXWTZs2rUJjOhwOhg8fzvnz51mzZg0A69evp1evXsTFxREcHFzS99FHH+XkyZMsW7aszDhTpkzhpZdeKtO+YMECPD09K1SbiMi1ll0IqxJMrEowk2Mr2iriZXXQt6GdXoEO6liNrlBERIyWnZ3NyJEjSUtLw9vb+5L9nGrLyA8//MCwYcNo2rQpBw8epF27dpw4cQKHw0GXLl0qPO4TTzzBrl27WLt2bZl1JlPp3RMcDkeZtguef/55Jk2aVLKcnp5OaGgoUVFReHt7U1BQQExMDAMHDsRq1be5yJXSHLp27gQycgv5fOtp5v0cS0JaLt+dsrDyjIU7uzRiTM8wQnw9jC5TrpDmkEjFaf6UdmEPoN/jVGHk+eef5+mnn+bll1/Gy8uLL774goCAAO6//35uvvnmCo35xz/+ka+//prVq1cTEhJS0t6wYUMAEhMTCQoKKmlPSkoiMDDwomO5ubnh5uZWpt1qtZb6o/3tsohcGc2ha8PPauWxfuE83Oc6vt0Vz7urjnEgMYP5G2L5ZNMpbmkfxLjeTekYWs/oUuUKaQ6JVJzmT5HyfgZOdTat/fv3M3r0aABcXFzIycmhbt26vPzyy7z22mtXNJbD4eCJJ57gyy+/5Mcff6Rp06al1jdt2pSGDRsSExNT0pafn8+qVavo2bNnFb0jEZHqz2oxM6JzCN8/1Yf5D3end3N/bHYH3+yMZ/jMddw2cx2Lt8eRX2g3ulQREalmnCqM1KlTp+QA8eDgYI4ePVqyLiUl5YrGio6O5j//+Q8LFizAy8uLxMREEhMTycnJgeLdsyZMmMCrr77KokWL2LNnDw899BCenp6MHDmyit+ZiEj1ZzKZ6NuiAf8Zdz3fPdmbEZ0bYbWY2HEqlQkLd9DzHz8yLeYQZ9JzjS5VRESqCafaTeuGG25g3bp1tGnThiFDhvD000+ze/duvvzyS2644YYrGmv27NkAJdcqueDDDz/koYceAmDy5Mnk5OQwfvx4zp8/z/XXX8/y5cvx8vKqwnclIlLztA324a17OvHCLa35dFMsn2w8yZn0PP71w2FmrTzC4PZBPNQzjC6NfS95nJ2IiDg/pwoj06ZNIzMzE4rPXJWZmcnChQtp3rw5b7311hWNVZ6TjJlMJqZMmcKUKVMqXLOIiDNr4OXGkwPC+UO/61i2N5GP1p9g84nzfLMznm92xtMmyJv7uocyvHMjvN21j7WISG3jVGGkWbNmJY89PT2ZNWuWofWIiEgRq8XMrR2CubVDMHvj05i//iSLd8SxLyGd//tqL39fsp8h7YO5r3soEWHaWiIiUls4VRi5ID8/n6SkJOz20gdLNm7c2LCaRESkSNtgH167swPP39KKL7fF8dnmWA6dyeSLbaf5YttpwgPqck+3UO7oEoJvHVejyxURkavIqcLIoUOHGDt2LOvXry/VfuHaHzabzbDaRESktHqerjzcuyljejVhW2wqn22K5dtdCRxOyuSV7/bz+tKDDGrXkDu6NKJ3c39cLE51zhUREXG2MDJmzBhcXFz49ttvCQoK0mZ+EZEawGQyERHmS0SYL/83tA1f74jns82x7IlLLzm2xL+uG7d1CmZEl0a0CfLW/99FRJyEU4WRHTt2sHXrVlq1amV0KSIiUgHe7lYeuCGMB24IY09cGv/bepqvd8aTkpnH+2uP8/7a47QM9OL2Lo0Y3qkRDX3cjS5ZREQqwanCSJs2ba74eiIiIlI9tWvkQ7tGPrw4pDWrDibz5fbTrNiXxMEzGUz9/gD/WHqAXtf5M6xjMIPaNsTHU2fjEhGpaWp8GElPTy95/NprrzF58mReffVV2rdvX+Yy9N7e3gZUKCIilWG1mLmpTSA3tQkkLbuA73YnsGj7aTafOM/aIymsPZLCi4t307u5P0M6BDOwTSA+HgomIiI1QY0PI/Xq1Su177DD4WDAgAGl+ugAdhER5+DjaWXk9Y0ZeX1jYs9m89WOOL7bncCBxAxWHkxm5cFkrBYTfcMbMKRDEDe1CdT1S0REqrEaH0ZWrlxpdAkiImKAxvU9+eOAcP44IJwjSRl8tyuR73bHc+hMJj8cSOKHA0m4Wsz0DvdnYJtABrQOIMBLx5iIiFQnNT6M3HjjjUaXICIiBmse4MVTN3nx1E3hHDqTwXe7Evh2VzxHk7P48UASPx5IwmSCzqH1GNimIVFtA7muQV2jyxYRqfVqfBgByM7O5tlnn2Xx4sUUFBRw00038a9//Qt/f3+jSxMRkWusRaAXLQZ6MeGmcA6dySRmXyLL951h1+k0tsWmsi02ldeWHqBZgzoMbBNIVJtAOoX6YjHrdMEiIteaU4SRv/71r8ybN4/7778fd3d3Pv30U/7whz/w+eefG12aiIgYxGQy0bKhFy0bevFE/3AS03KJ2X+GmH1n+PloCseSs3h31THeXXUMX08rfcIb0K9lA/qEN6CBl5vR5YuI1ApOEUa+/PJL5s6dy7333gvAAw88QK9evbDZbFgsFqPLExGRaqChjzujbghj1A1hpOcWsOpgMjH7zrDyYBLnswv4emc8X++MB6B9Ix9ubNGAG1s2oHNoPV39XUTkKnGKMHLq1Cn69OlTsty9e3dcXFyIj48nNDTU0NpERKT68Xa3MrRjMEM7BlNos7P9VCo/HUxi1aFk9sSlszsujd1xacxYeQQvdxf6hPvTq7k/Pa/zp0l9T10BXkSkijhFGLHZbLi6upZqc3FxobCw0LCaRESkZnCxmOnWxI9uTfx4dlArkjJyWXMohZ8OJbPmcDKp2QUs2Z3Ikt2JAAT5uNOjWX16XFefns39aVTPw+i3ICJSYzlFGHE4HDz00EO4uf2yj29ubi6PP/44derUKWn78ssvDapQRERqigAvd+6ICOGOiBBsdge7Tqey+lAK64+msD02lYS0XL7cHseX2+MACKvvSc/r6tPjOn9uaOpHgLdOHywiUl5OEUZGjx5dpu2BBx4wpBYREXEeFrOJzo196dzYl6duCie3wMbWk+dZfzSF9UfPsut0GifPZnPybDafbjoFxeEkIsy3eGuLL9c1qKvdukRELsEpwsiHH35odAkiIlILuFst9GpedPwIQEZuAZtPnGP9kbOsP3qW/YnpJeHky21FW058Pa1EhPnStTictGvkg5uLTq4iIoKzhBEREREjeLlb6d8qkP6tAgFIzy1g28nzbD15ns0nzrHjVCrnswtYsT+JFfuTAHB1MdM22JuOIfXoGOpDx5B6NKlfB7OucyIitZDCiIiISBXxdrfSr2UA/VoGAJBfaGdvfFpJONly4jxns/LZHpvK9tjUXz3PhY6h9YoDSj06hvjo2BMRqRUURkRERK4SVxdzyTEn4/o0w+FwcOJsNrtOp7LjVCo7T6WyNz6d9NxC1hxOYc3hlJLnBvm40zbYhzbB3rQN9qZNkDchvh46/kREnIrCiIiIyDViMplo6l+Hpv51GN6pEQAFNjsHEzPYeboonOw8lcbhpAwS0nJJSMtlxf4zJc/3cnehTZA3bYrDSdtgH5oH1MXVRRdlFJGaSWFERETEQFaLmXaNfGjXyIf7rw8DICuvkD1xaexLSGdffDr7EtI5dCaDjNxCNh4/x8bj5371fBPNA7xoGViX8EAvwgPq0iLQi1A/Tyw6DkVEqjmFERERkWqmjpsL1zerz/XN6pe05RfaOZKU+auAksa+4l289ieksz8hvdQYbi5mmgfUJTygKKS0KA4qCikiUp0ojIiIiNQAri7mot2zgr0hoqjN4XAQl5rD/oQMDp3J4PCZDA6dyeRociZ5hXb2xqezN750SHG3mmnqX5em/p7Fu4zVLdl1rK7VmPcmIrWXwoiIiEgNZTKZCPH1JMTXk4FtAkvabXYHp85lFwWUpMySkHIkOZPcAvtFt6QA+Hi4UM9iYWX2bpoFeJWElLD6nni5K6mISNVTGBEREXEyFrOJJv51aOJfh6i2v7Tb7A5iz2VzPCWTY8lZnDibxfGULI4nZxGflktaTiFpmDi5MwFIKDVmPU8rob6ehPp5EOrrSYifJ6G+HsVhyAN3qy7kKCJXTmFERESklrCYfzmbV/9Wpdfl5Ns4eiaNL2LW4te4JbHnc4uCSkoWZ7PySc0uIDU7jd1xaRcdO8DLjdDigBLq50lwPQ8a+rgT7ONBUD13vNxcdFpiESlDYURERETwcLXQsqEXneo7uOXGZlitv+yWlZlXyOnz2Zw6l8Opc9mcKn5c1JZNVr6NpIw8kjLy2Hry/EXHr+NqIaieB0E+7sW34sf1PAj2caehj7t2BROphRRGRERE5LLqurnQqqE3rRp6l1nncDg4n11QKqScOp9NYlou8ak5JKbnkppdQFa+jSNJmRxJyrzk69RxtdDAy40AL3caeLvRoK4bASX37gR4udHAyw0/T1fMOiOYiFNQGBEREZEKM5lM+NVxxa+OKx1D6120T3Z+IQlpub8ElLRc4tNySUjLKWlLzy0kK99G1tlsTpzNvuxrWswm/Ou6FoUWLzcCvNxKarhwq1/HDb+6rtSv46rjWUSqMYURERERuao8XV24rkFdrmtQ95J9svIKScrIIzkjj6SMXJLS80jOzPvVfS7JGXmcy87HZndwJj2PM+l55Xp9D6ulKKDULQ4rnsX3xWHF19MVHw8r9UrurQowIteIwoiIiIgYro6bC03dXGjqX+ey/Qpsds5m5peEluTiY1XOZeWX3M5m5XMuq6itwOYgp8BGXGoOcak55a7H1cVcFEw8rPhcuHn+8rhe8XI9D1e8i9u83V2o6+6Ch9Wig/VFyklhRERERGoMq8VMw+ID3sHnsn0dDgeZeYW/BJTMfM5l/yq0ZBaHluwC0nMKSCu+2ewO8gvtJBdvqblSZlPRcTZe7la83F2o61YUUrzcrcXtLmXuL6yr6+6Cl5sLnm5FocaiY2PEySmMiIiIiFMymUzFgcBKWP3Lb3G54EKAuRBM0rJ/CSmpvwospdvzScsuIDOvELsD7A5Izy0kPbew0u/BzcVMneJgUsfNgoerC3VcLXi6/vLYw9VCHVeX4nsLnhceu1nwsLpQx62ov5uLBXerBXerGXerBavFXOn6RCpLYURERESk2K8DTIjvlT3X4SjaJSwzt5CMvEIycgvJzC0kM6+AjNzi5byiW9FyUYDJzC3bZncUjZlXaCevMP+qvFeL2YSbS1EwcS++d7sQVlx+CS0XAsxvw8yF57hbLbi6mHG1mIvuXcxYLWbcih+7WsxYf7XerXi9tvoICiOVN2vWLN544w0SEhJo27Yt06dPp0+fPkaXJSIiIteYyWTC09UFT1cXAioxjsPhIK/QTna+jez8wuJ7G9l5RY+z8gvJybeRlW8j59fr8wuL22xk5RWSU1B8n28ju8BGboGN3AJ7yevY7I6S5xrBYjaVBJSy4cX0q3BjwdVi+mWdxYyLxYzVYsLFXHRvMZuK2i7cW0y4lHpsxsViKnqu2VQShn7b5vKrMX89novFhNVc/ByzSaeWrkIKI5WwcOFCJkyYwKxZs+jVqxfvvvsugwcPZt++fTRu3Njo8kRERKQGMplMJVsc/Oq4VunYF4JOXoGd3MJfAkruhbBS+Mvji/XJK1lftC6v+HFeoY18W9GxNvmFNvJtdgoKHeTb7MVtdvJt9lK12OwOcuw2cgqMCUOVYTKBxVQUSlzMpuLHYCuw8Mrun7AUB5dSt1/1/+3zXMxmzGYTFhPFz6X4eWYsJn7pbzZhNv0yhsVkwmIpvjebMJkuPC76O3K1mHmkbzOjP67LUhiphGnTpjF27FjGjRsHwPTp01m2bBmzZ89m6tSpZfrn5eWRl/fLgXDp6ekAFBQUlNwuLIvIldMcEqkczaHawQJ4WsHTagGPa3cKY4fDQYHtl4BSYLMXP3YUPS60l15XeLG+dgpsRf0L7Q4KbQ4K7UVthXY7NnvRa/y2vWj5N8+78Nhe9LjgV+MV2hzFy/aSXeZKvxcodBQdIFR6JzoTmZlXZ7e6inB1MfNQj1BDXru8/x8xORyOi3zE8nvy8/Px9PTk888/Z8SIESXtTz31FDt27GDVqlVlnjNlyhReeumlMu0LFizA09PzqtcsIiIiIlfmwkkJbMU3+69vv1rvoGi946LrTdiLQ0xJHy4+VkmfS6wv6mPCRtnXcvz63lF0Zrd7r7OX411WvezsbEaOHElaWhre3t6X7KctIxWUkpKCzWYjMDCwVHtgYCCJiYkXfc7zzz/PpEmTSpbT09MJDQ0lKioKb29vCgoKiImJYeDAgVit1qv+HkScjeaQSOVoDolUnOZPaRf2APo9CiOV9NuLGjkcjkte6MjNzQ03N7cy7VartdQf7W+XReTKaA6JVI7mkEjFaf4UKe9noBNMV5C/vz8Wi6XMVpCkpKQyW0tERERERKQshZEKcnV1JSIigpiYmFLtMTEx9OzZ07C6RERERERqCu2mVQmTJk1i1KhRdO3alR49ejBnzhxiY2N5/PHHjS5NRERERKTaUxiphHvuuYezZ8/y8ssvk5CQQLt27ViyZAlhYWFGlyYiIiIiUu0pjFTS+PHjGT9+vNFliIiIiIjUOAojBrpwiZdfX/wwOzub9PR0nYVBpAI0h0QqR3NIpOI0f0q78Pv29y5pqDBioIyMDABCQ425MqaIiIiIyNWUkZGBj4/PJdfrCuwGstvtxMfH4+XlhclkKrkI4qlTpy57pUpn1a1bNzZv3mx0GaVcq5qq+nWqYryKjnGlzytv//L00xzSHKou41W3+VPevrV5Dmn+VJ/5U5kxjJxDtXn+cJHPx+FwkJGRQXBwMGbzpU/gqy0jBjKbzYSEhJRp9/b2rpV/xBaLpdq972tVU1W/TlWMV9ExrvR55e1/JeNqDlUftXUOVbf5c6V9a+Mc0vypPvOnMmNUhzlUG+cPl/h8LrdF5AJdZ0SqjejoaKNLKONa1VTVr1MV41V0jCt9Xnn7V8e/j+qmOn5GtXUOVbf5U5Gxa5vq+PnU1vlTmTE0h4xT0c9Hu2lVI+np6fj4+JCWllYrE7VIZWkOiVSO5pBIxWn+VIy2jFQjbm5u/PWvf8XNzc3oUkRqJM0hkcrRHBKpOM2fitGWERERERERMYS2jIiIiIiIiCEURkRERERExBAKIyIiIiIiYgiFERERERERMYTCiIiIiIiIGEJhpAYbMWIEvr6+3HnnnUaXIlLtffvtt7Rs2ZLw8HDef/99o8sRqXH0nSNScadOnaJfv360adOGDh068PnnnxtdUrWhU/vWYCtXriQzM5OPPvqI//3vf0aXI1JtFRYW0qZNG1auXIm3tzddunRh48aN+Pn5GV2aSI2h7xyRiktISODMmTN06tSJpKQkunTpwsGDB6lTp47RpRlOW0ZqsMjISLy8vIwuQ6Ta27RpE23btqVRo0Z4eXlxyy23sGzZMqPLEqlR9J0jUnFBQUF06tQJgICAAPz8/Dh37pzRZVULCiNXyerVqxk6dCjBwcGYTCYWL15cps+sWbNo2rQp7u7uREREsGbNGkNqFanuKjuf4uPjadSoUclySEgIcXFx16x+EaPpO0mkcqpyDm3ZsgW73U5oaOg1qLz6Uxi5SrKysujYsSMzZsy46PqFCxcyYcIEXnzxRbZv306fPn0YPHgwsbGxJX0iIiJo165dmVt8fPw1fCcixqvsfLrY3qgmk+mq1y1SXVTFd5JIbVZVc+js2bM8+OCDzJkz5xpVXgM45KoDHIsWLSrV1r17d8fjjz9eqq1Vq1aOP/3pT1c09sqVKx133HFHldQpUhNUZD6tW7fOcdttt5Wse/LJJx2ffPLJNapYpHqpzHeSvnNEKj6HcnNzHX369HHMnz//mtVaE2jLiAHy8/PZunUrUVFRpdqjoqJYv369YXWJ1ETlmU/du3dnz549xMXFkZGRwZIlSxg0aJBBFYtUL/pOEqmc8swhh8PBQw89RP/+/Rk1apRBlVZPLkYXUBulpKRgs9kIDAws1R4YGEhiYmK5xxk0aBDbtm0jKyuLkJAQFi1aRLdu3a5CxSLVV3nmk4uLC2+++SaRkZHY7XYmT55M/fr1DapYpHop73eSvnNELq48c2jdunUsXLiQDh06lBxv8vHHH9O+fXtDaq5OFEYM9Nt91h0OxxXtx66zAYn84vfm07Bhwxg2bJgBlYnUDL83h/SdI3J5l5tDvXv3xm63G1RZ9abdtAzg7++PxWIpsxUkKSmpTKoWkcvTfBKpHM0hkcrRHKochREDuLq6EhERQUxMTKn2mJgYevbsaVhdIjWR5pNI5WgOiVSO5lDlaDetqyQzM5MjR46ULB8/fpwdO3bg5+dH48aNmTRpEqNGjaJr16706NGDOXPmEBsby+OPP25o3SLVkeaTSOVoDolUjubQVWT06byc1cqVKx1Amdvo0aNL+sycOdMRFhbmcHV1dXTp0sWxatUqQ2sWqa40n0QqR3NIpHI0h64ek+NiVwMTERERERG5ynTMiIiIiIiIGEJhREREREREDKEwIiIiIiIihlAYERERERERQyiMiIiIiIiIIRRGRERERETEEAojIiIiIiJiCIURERERERExhMKIiIiIiIgYQmFEREQM1aRJE6ZPn35Vxl63bh3t2/9/e3ceElX3xgH8Oy65pLZvmFkWTlPpWEguBWYpVhRJGiWJmhrZXjJUYougJQamVG8JLjNNWCQVldpimkZmIRbTYtIfZgtlZDURbeLoef95vb/fzSytt3cCvx8YuOec5577nPvXPHPuzHjA2toaoaGhv+UaRET081iMEBH1UTU1NbC0tMTcuXPNncpvk5iYCC8vLzQ1NUGn05k7HSIi+gqLESKiPqqgoADr169HdXU1nj59au50flp7ezs6Ojq+OdbY2IjZs2dj9OjRGDhwYJdxIQRMJtN/kCUREX0LixEioj7o48ePKCoqwurVq7FgwYIuuwZVVVVQKBSoqKiAt7c37O3t4e/vj4cPH8ri0tLSMHz4cDg6OiI+Ph7btm2Dl5eXND5r1ixs2rRJdk5oaChiYmK6zW3fvn3w8PBA//794eLigjVr1uDDhw/SuE6nw8CBA1FSUoJJkybBxsYGT548kc3x+PFjKBQKvHnzBrGxsVAoFNDpdNK6Ll26BG9vb9jY2ODatWsQQmDv3r1wc3ODnZ0d1Go1Tp48KZvz/PnzcHd3h52dHQIDA6HT6aBQKPDu3TsAQEpKimztAJCdnY2xY8fK+rRaLVQqFWxtbTFx4kQcOnSoS96nT59GYGAg7O3toVarcePGDdkc169fR0BAAOzt7TFo0CCEhITAaDRCr9djyJAhaG1tlcWHhYUhKiqq23tORGQuLEaIiPqgEydOQKlUQqlUIjIyElqtFkKILnHJycnIzMxEXV0drKysEBsbK40VFhZi9+7dyMjIwK1btzBmzBgcPnz4l3OzsLDA/v37cf/+fRw5cgRXrlzBli1bZDGfPn1Ceno68vLyUF9fj+HDh8vGXVxc0NzcDCcnJ2RnZ6O5uRlLly6Vxrds2YL09HQ0NDTA09MT27dvh1arxeHDh1FfX4/NmzcjMjISV69eBQA8e/YMixcvxvz582EwGKTCq7dyc3ORnJyM3bt3o6GhAXv27MGOHTtw5MgRWVxycjI0Gg0MBgPc3d0REREh7eAYDAbMmTMHkydPxo0bN1BdXY2FCxeivb0dS5YsQXt7O86dOyfN9fr1a5SUlGDFihW9zpeI6LcTRETU5/j7+4vs7GwhhBBtbW1i6NCh4vLly9J4ZWWlACDKy8ulvtLSUgFAfP78WQghhI+Pj1i7dq1s3hkzZgi1Wi21AwICxMaNG2UxixYtEtHR0VLb1dVVZGVldZtrUVGRGDJkiNTWarUCgDAYDD9c54ABA4RWq+2yrjNnzkh9Hz58ELa2tqKmpkZ2blxcnIiIiBBCCJGUlCRUKpXo6OiQxrdu3SoACKPRKIQQYteuXbK1CyFEVlaWcHV1ldouLi7i2LFjspjU1FTh5+cnhBCiqalJABB5eXnSeH19vQAgGhoahBBCREREiBkzZnS75tWrV4t58+ZJ7ezsbOHm5ibLnYjoT8GdESKiPubhw4eora3FsmXLAABWVlZYunQpCgoKusR6enpKx6NGjQIAvHr1Sppn+vTpsviv2z+jsrISwcHBcHZ2hqOjI6KiovDmzRt8/PhRiunXr58st97y9vaWjh88eIAvX74gODgYDg4O0kuv16OxsREA0NDQAF9fXygUCuk8Pz+/Xl2zpaUFz549Q1xcnOw6aWlp0nU6fe++d+6MdGflypUoKyvD8+fPgX8eC4uJiZHlTkT0p7AydwJERPTfys/Ph8lkgrOzs9QnhIC1tTWMRiMGDRok9VtbW0vHnW9m///L4l+/wf36US8LC4sufW1tbd3m9uTJE8yfPx8JCQlITU3F4MGDUV1djbi4ONl5dnZ2v/Tmun///tJx53pKS0tl9wQAbGxsvrmub/nRWjuvk5ubCx8fH1mcpaWlrP29+25nZ/fdPKZOnQq1Wg29Xo+QkBDcu3cPxcXFP8yfiMgcuDNCRNSHmEwm6PV6ZGZmwmAwSK87d+7A1dUVhYWFPZ5LqVSitrZW1ldXVydrDxs2DM3NzVK7vb0d9+/f73bOuro6mEwmZGZmwtfXF+7u7njx4kWv1thbnV+Cf/r0KSZMmCB7ubi4SDE3b96Unfd1e9iwYXj58qWsIDEYDNLxiBEj4OzsjEePHnW5zrhx43qcr6enJyoqKr4bEx8fD61Wi4KCAgQFBUnrICL603BnhIioDykpKYHRaERcXBwGDBggGwsPD0d+fj7WrVvXo7nWr1+PlStXwtvbG/7+/jhx4gTu3r0LNzc3KWb27NlITExEaWkpxo8fj6ysLOnXp75l/PjxMJlMOHDgABYuXIjr168jJyfnF1b8Y46OjtBoNNi8eTM6Ojowc+ZMvH//HjU1NXBwcEB0dDQSEhKQmZmJxMRErFq1Crdu3eryC2SzZs1CS0sL9u7di/DwcFy8eBEXLlyAk5OTFJOSkoINGzbAyckJ8+bNQ2trK+rq6mA0GpGYmNijfJOSkuDh4YE1a9YgISEB/fr1Q2VlJZYsWYKhQ4cCAJYvXw6NRoPc3Fzo9fp/+Y4REf17uDNCRNSH5OfnIygoqEshgn9+/tVgMOD27ds9mmv58uVISkqCRqPBtGnT0NTUhJiYGNja2koxsbGxiI6ORlRUFAICAjBu3DgEBgZ2O6eXlxf27duHjIwMTJkyBYWFhUhPT//J1fZcamoqdu7cifT0dKhUKoSEhKC4uFjasRgzZgxOnTqF4uJiqNVq5OTkYM+ePbI5VCoVDh06hL/++gtqtRq1tbXQaDSymPj4eOTl5UGn08HDwwMBAQHQ6XS92hlxd3dHWVkZ7ty5g+nTp8PPzw9nz56FldX/Pl90cnJCWFgYHBwc+M/zRPRHU4iePAhLRETUA8HBwRg5ciSOHj1q7lR+u6qqKgQGBsJoNH7zDxXNLTg4GCqVCvv37zd3KkRE3eJjWkRE9FM+ffqEnJwchISEwNLSEsePH0d5eTkuX75s7tT6tLdv36KsrAxXrlzBwYMHzZ0OEdF3sRghIqKfolAocP78eaSlpaG1tRVKpRKnTp1CUFCQuVPr06ZNmwaj0YiMjAwolUpzp0NE9F18TIuIiIiIiMyCX2AnIiIiIiKzYDFCRERERERmwWKEiIiIiIjMgsUIERERERGZBYsRIiIiIiIyCxYjRERERERkFixGiIiIiIjILFiMEBERERGRWfwN0UvGu8kyW8EAAAAASUVORK5CYII=", "text/plain": [ "
" ] @@ -1719,7 +1604,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1787,9 +1672,11 @@ } ], "source": [ + "import math\n", + "\n", "def revbes(n):\n", " \"\"\" Returns the coefficients for a reverse Bessel polynomial. \"\"\"\n", - " f = np.math.factorial\n", + " f = math.factorial\n", " return [int(f(n + k) / (f(n - k) * f(k) * 2**k))\n", " for k in range(n + 1)]\n", " \n", @@ -1820,7 +1707,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1894,7 +1781,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1933,7 +1820,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -1978,7 +1865,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAABMkAAAFfCAYAAABUV5ttAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjkuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8ekN5oAAAACXBIWXMAAA9hAAAPYQGoP6dpAAEAAElEQVR4nOydd3hUZdqH7+npCSmQQkLvvYmgqCCK4lqwrK59XXvb1XXX8q1rX3vvvXcRLKCoqIiASpfeIRAI6T2Zer4/zpxpmXLOmQlF3vu6cs1kyjPv1Pc5T/k9BkmSJAQCgUAgEAgEAoFAIBAIBIJDGOP+XoBAIBAIBAKBQCAQCAQCgUCwvxFBMoFAIBAIBAKBQCAQCAQCwSGPCJIJBAKBQCAQCAQCgUAgEAgOeUSQTCAQCAQCgUAgEAgEAoFAcMgjgmQCgUAgEAgEAoFAIBAIBIJDHhEkEwgEAoFAIBAIBAKBQCAQHPKIIJlAIBAIBAKBQCAQCAQCgeCQx7y/F5BoPB4Pu3fvJj09HYPBsL+XIxAIBAKBQCAQCAQCgUAg2I9IkkRjYyOFhYUYjZHrxf5wQbLdu3dTXFy8v5chEAgEAoFAIBAIBAKBQCA4gNi5cyddu3aNeP0fLkiWnp4O3ieekZGxv5cjEAgEAoFAIBAIBAKBQCDYjzQ0NFBcXOyLGUXiDxckU1osMzIyRJBMIBAIBAKBQCAQCAQCgUAAATGjSAjhfoFAIBAIBAKBQCAQCAQCwSGPCJIJBAKBQCAQCAQCgUAgEAgOeUSQTCAQCAQCgUAgEAgEAoFAcMjzh9MkEwgEAoFAIBAcuLhcLhwOx/5ehkAgEAgEgj8QVqsVszn+EJcIkgkEAoFAIBAIOhxJkigtLaWqqmp/L0UgEAgEAsEfkNzcXEpKSmKK80dDBMkEAoFAIBAIBB2OEiArKioiLS0No1GofggEAoFAIIgfj8dDU1MTZWVlAHTr1k23LREkEwgEAoFAIBB0KC6Xyxcgy8/P39/LEQgEAoFA8AcjLS0NgLKyMoqKinS3XooUnkAgEAgEAoGgQ1E0yBQHViAQCAQCgSDRKH5GPNqnHRoku//++xkzZgzp6el07tyZ0047jQ0bNsS837x58xg1ahRJSUn07NmTF154oSOXKRAIBAKBQCDYB4gWS4FAIBAIBB1FIvyMDvVU5s2bxzXXXMMvv/zCt99+i8vl4vjjj6e5uTnifbZt28bUqVOZMGECy5cv57bbbuP6669n+vTpHblUgUAgEAgEAoFAIBAIBALBIUyHBsm+/vprLr74YgYNGsSwYcN4/fXXKS0tZenSpRHv88ILL1BSUsITTzzBgAEDuPTSS7nkkkt45JFHwt7ebrfT0NAQ9CcQ/KHZOAfe/wvsWbm/VyIQCAQCgSAK27dvx2AwsGLFCtX3eeONN8jKytrv6xBop3v37jzxxBP7exkCgUAgiIN9WvNeX18PQHZ2dsTbLFq0iOOPPz7osilTprBkyRKcTme7299///1kZmb6/oqLiztg5QLBAYLLDp9eDhtmw2fX7O/VCAQCgUBwSLBz507+9re/UVhYiNVqpVu3bvz973+nuro66v2Ki4vZs2cPgwcPVv1YZ599Nhs3bkzAqgVqufjiizEYDDzwwANBl8+cORODwaDazuLFi7n88ss7YIUCPezLoOXFF1/Maaed1iG2169fz+GHH05SUhLDhw9vF/T+8ccfMRgM1NXVdcjjC+KnI5IfWujIz4gkSVx++eVkZ2f7PpfHHHMM//jHP3y3OdgSCPssSCZJEjfeeCNHHnlkVEehvLycLl26BF3WpUsX31SkUG699Vbq6+t9fzt37uyQ9QsEuti5GOY9DPbGxNjbsQDavD9u5augqSIxdut2wo8PyKcCgUAgEAh8bN26ldGjR7Nx40bef/99Nm/ezAsvvMDcuXMZN24cNTU1Ye/ncDgwmUzk5+drmrCVnJxM586dE/gMBGpISkriwQcfpLa2VreNvLw8UlJSErouATz//PMMHTqUjIwMMjIyGDduHF999dX+XtY+44477iA1NZUNGzYwd+7cmMH3/R2Q+aNTVlbG+eefT05ODikpKQwfPjyoU+5gCwjFy9dff80bb7zBl19+6ftcfvrpp9xzzz0R72MwGJg5c+Y+XacW9lmQ7Nprr+X333/n/fffj3nb0IyNJElhLwew2Wy+H0zlTyA4IHC0wLtnwA/3wle3JMZm+arg/3f+lhi7n1wCP94P750N3u+bQCAQCAQCuOaaa7BarXzzzTccffTRlJSUcOKJJ/Ldd99RVlbG//3f/4H3wOjee+/l4osvJjMzk8suuyxsm+Pnn39Onz59SE5OZuLEibz55ptBGf7QA9w777yT4cOH8/bbb9O9e3cyMzM555xzaGz0J+C+/vprjjzySLKyssjJyeFPf/oTW7Zs2aev08HO5MmTyc/P5/777494m+nTpzNo0CBsNhvdu3fn0UcfDbo+9OD4zjvvpKSkBJvNRmFhIddffz0Ad999N0OGDGlnf9SoUfz3v/9N6PP6I9C1a1ceeOABlixZwpIlS5g0aRKnnnoqa9as2edrCdfZlAgkScLlcoW9bsuWLRx55JF069aNnJwcXcF3PbjdbjweT4c+xsFGbW0tRxxxBBaLha+++oq1a9fy6KOPHjBByXgmOuq1vWXLFgoKChg/frzvc5mdnU16enqHrUWho76P+yRIdt111/H555/zww8/0LVr16i3zc/Pp7y8POiyiooKzGYzOTk5HbxSgSCBbP4W2uQWY9Z9Ae7wG58mylcH/1+5Pn6bDbthlzfYVrEGqkSLh0AgEAg6FkmSaHG49sufpCEZVFNTw5w5c7j66qtJTk4Oui4/P5/zzjuPDz/80Gfz4YcfZvDgwSxdupTbb7+9nb3t27dz5plnctppp7FixQquuOIKX5AtGlu2bGHmzJl8+eWXfPnll8ybNy+oNbC5uZkbb7yRxYsXM3fuXIxGI9OmTdv/B7iSBI7mff+nI+FnMpn43//+x9NPP82uXbvaXb906VL+/Oc/c84557Bq1SruvPNObr/9dt54442w9j755BMef/xxXnzxRTZt2sTMmTN9gbFLLrmEtWvXsnjxYt/tf//9d5YvX87FF1+see16kSSJFmfLfvnT8j08+eSTmTp1Kn379qVv377cd999pKWl8csvv/huU1FRwcknn0xycjI9evTg3XffjWnX4/Fw991307VrV2w2G8OHD+frr7/2Xa8EuT/66COOOeYYkpKSeOedd3C73dx4442+oPS///3vds9HkiQeeughevbsSXJyMsOGDeOTTz7xXa+0v82ZM4fRo0djs9mYP39+uzUaDAaWLl3K3XffjcFg4M4774yqMfjjjz/y17/+lfr6egwGg+8+eIMc//73vykqKiI1NZWxY8fy448/+u6rBOi//PJLBg4ciM1mY8eOHareo3iRJAlPS8t++dPyWXzwwQcpLi7m9ddf57DDDqN79+4ce+yx9OrVC4BjjjmGHTt2cMMNN/he/0DmzJnDgAEDSEtL44QTTmDPnj1RH2/evHkcdthh2Gw2CgoKuOWWW4KCqccccwzXXnstN954I7m5uRx33HEAzJ49m759+/qSMdu3b29ne+HChRx11FEkJydTXFzM9ddfHzRgMVziJ5SLL76Y6667jtLSUgwGA927d/etK7DdMhDlNtOmTQu6D8AXX3zBqFGjSEpKomfPntx1111Bz9dgMPDCCy9w6qmnkpqayr333hv19dNLh4afJUniuuuuY8aMGfz444/06NEj5n3GjRvHF198EXTZN998w+jRo7FYLB24WoEgwZQt85+310PNFsjrF59NJShWMBz2rIDabfHZA9ixMPj/smXxr1MgEAgEgii0Ot0M/O+c/fLYa++eQopVnQu8adMmJEliwIABYa8fMGAAtbW1VFZWAjBp0iRuuukm3/WhByYvvPAC/fr14+GHHwagX79+rF69mvvuuy/qOjweD2+88YYvM3/BBRcwd+5c3/3OOOOMoNu/+uqrdO7cmbVr12rSQ0s4zhb4X+G+f9zbdoM1VfPdpk2bxvDhw7njjjt49dVXg6577LHHOPbYY33Bz759+7J27VoefvjhsIGt0tJS8vPzmTx5MhaLhZKSEg477DDwVkZNmTKF119/nTFjxgDw+uuvc/TRR9OzZ0+dT1o7ra5Wxr43dp89XiC/nvsrKRbtralut5uPP/6Y5uZmxo0b57v84osvZufOnXz//fdYrVauv/56Kiqiy5I8+eSTPProo7z44ouMGDGC1157jVNOOYU1a9bQp08f3+1uvvlmHn30UV5//XVsNhuPPvoor732Gq+++ioDBw7k0UcfZcaMGUyaNMl3n//85z98+umnPP/88/Tp04effvqJ888/n7y8PI4++mjf7f7973/zyCOP0LNnz7DVSHv27GHy5MmccMIJ3HTTTaSlpYWVIFIYP348TzzxBP/973/ZsGEDAGlpaQD89a9/Zfv27XzwwQcUFhYyY8YMTjjhBFatWuV7vi0tLdx///288sor5OTk7LPWb6m1lQ0jR+2Txwql37KlGFS2SX/++edMmTKFs846i3nz5lFUVMTVV1/tCyB9+umnDBs2jMsvv7xdUKmlpYVHHnmEt99+G6PRyPnnn89NN90UMaBbVlbG1KlTufjii3nrrbdYv349l112GUlJSb7AJ8Cbb77JVVddxYIFC5AkiZ07d3L66adz5ZVXctVVV7FkyRL++c9/BtletWoVU6ZM4Z577uHVV1+lsrKSa6+9lmuvvZbXX3/dd7uHH36Y22+/nf/85z9h1/jkk0/Sq1cvXnrpJRYvXozJZIr5Gi5evJjOnTvz+uuvc8IJJ/juM2fOHM4//3yeeuopJkyYwJYtW3waj3fccYfv/nfccQf3338/jz/+uKrH00OHVpJdc801vPPOO7z33nukp6dTXl5OeXk5ra2tvtvceuutXHjhhb7/r7zySnbs2MGNN97IunXrfD9AgQ6HQHBQULE2+P+9qyPdUj313sxmT+/mWtM+K6CZ0MqxRKxTIBAIBIJDgFBJkNGjR0e9/YYNG3xBEQUlcBKN7t27B7WuFBQUBAUAtmzZwrnnnkvPnj3JyMjwJaZLS0s1PiPBgw8+yJtvvsnatcF+3Lp16zjiiCOCLjviiCPYtGkTbre7nZ2zzjqL1tZWevbsyWWXXcaMGTOCKiIuu+wy3n//fdra2nA6nbz77rtccsklHfjMDm5WrVpFWloaNpuNK6+8khkzZjBw4EAANm7cyFdffcUrr7zCuHHjGDVqFK+++mrQMWc4HnnkEW6++WbOOecc+vXrx4MPPsjw4cPb6Un94x//4PTTT6dHjx4UFhbyxBNPcOutt3LGGWcwYMAAXnjhBTIzM323b25u5rHHHuO1115jypQp9OzZk4svvpjzzz+fF198Mcj23XffzXHHHUevXr3Cdk0p7WtpaWnk5+f7Al6RsFqtZGZmYjAYyM/P991ny5YtvP/++3z88cdMmDCBXr16cdNNN3HkkUcGBUWcTifPPfcc48ePp1+/fqSmag82/5HZunWrL/A5Z84crrzySq6//nreeust8A4oNJlMpKen+15/BafTyQsvvMDo0aMZOXIk1157LXPnzo34WM899xzFxcU888wz9O/fn9NOO4277rqLRx99NKhKuHfv3jz00EP069eP/v378/zzz9OzZ08ef/xx+vXrx3nnndcukP/www9z7rnn8o9//IM+ffowfvx4nnrqKd566y3a2tp8t1MSP71796Z3797t1piZmUl6erqvBTgvLy/ma6jcJisrK+g+9913H7fccgsXXXQRPXv25LjjjuOee+5p950599xzueSSS+jZsyfdunWL+Xh66NBKsueffx685XaBvP766743as+ePUEbeI8ePZg9ezY33HADzz77LIWFhTz11FPtMmQCwQFPxTr5NLevHIiq3BCfPWcrtHrFgbtPgAVPJqaSrGqTfJrdS652q94cv02BQCAQCKKQbDGx9u4p++2x1dK7d28MBgNr164NO7lu/fr1dOrUidzcXICYB5SSJEXU3o1GaDeFwWAIOkg6+eSTKS4u5uWXX6awsBCPx8PgwYM7VJ9GFZYUuaprfzyuTo466iimTJnCbbfdFnRgqfW9Ky4uZsOGDXz77bd89913XH311Tz88MPMmzcPi8XCySefjM1mY8aMGdhsNux2+z4/3kk2J/Prub/u08cMfGwt9OvXjxUrVlBXV8f06dO56KKLmDdvHgMHDmTdunWYzeagIHX//v2j6kQ1NDSwe/fusIHPlStXBl0WaLe+vp49e/YEVbEpj618HtauXUtbW5uv9U3B4XAwYsSIiLY7kmXLliFJEn379g263G63BwXnrFYrQ4cO3SdrCsSQnEy/ZUtV3LJjHlstHo+H0aNH87///Q+AESNGsGbNGp5//vmgwp9wpKSk+NoyCZPsCGXdunWMGzcu6HfniCOOoKmpiV27dlFSUgJhPkPr1q3j8MMPD7pf4OcVb/v45s2bg6rYJEnC4/Gwbds2X/X0vvp8KmtavHhxUGW12+2mra2NlpYW31CUfbGmDm+3jEW4Pv6jjz6aZcuWhb29QNBhuF3gdoA1AVOJ3M6Aqq+JcpAs3smRDV4n05IKXbytE43l4HGDMY5S02pvkKz3sfDbFqhLYNbZ3iS3O2gYny4QCASCPz4Gg0F1y+P+JCcnh+OOO47nnnuOG264IUiXrLy8nHfffZcLL7ww7HCpcPTv35/Zs2cHXbZkyZK41lhdXc26det48cUXmTBhAgA///xzXDYThsGgq+1xf/PAAw8wfPjwoIDCwIED272uCxcupG/fvhFbfpKTkznllFM45ZRTuOaaa+jfvz+rVq1i5MiRmM1mLrroIl8L3znnnLPPJ2MaDAZdLY/7A6vV6qtkGT16NIsXL+bJJ5/kxRdfjDrkLRbhAp+hl2mtplIC2LNmzaKoqCjoOpvNFpdtvXg8HkwmE0uXLm33eQ2sTktOTtb1OsaLwWBQ3fK4PykoKPBVMCoMGDCA6dOnx7xvuGRHtHhJtMB84OWhnyE1MRiPx8MVV1zhGyYSiBJ8C2e7I/F4PNx1112cfvrp7a5LSkrap2s68L0TgWBf4HbCq8fL1V7nfgA9jorPXtNeQAKjBYpGypfVxxkkU4JumUWQmgsYQHJDSw2kxS5tjWm3+5Hw20tyME+S4g9sLXsbvrgehp4N016Iz5ZAIBAIBPuJZ555hvHjxzNlyhTuvfdeevTowZo1a/jXv/5FUVFRTD2xQK644goee+wxbr75Zv72t7+xYsUKX8JY74Fpp06dyMnJ4aWXXqKgoIDS0lJuuSVBU7UPUYYMGcJ5553H008/7bvsn//8J2PGjOGee+7h7LPPZtGiRTzzzDM899xzYW288cYbuN1uxo4dS0pKCm+//TbJyclB7UGXXnqpr2JjwYIF++CZ/XGQJAm73Q7eIIXL5WLJkiW+9uUNGzb4JsaGIyMjg8LCQn7++WeOOsrv9y9cuDBqC3RmZiYFBQX88ssvvvu5XC6WLl3KyJGyz6+I3peWlgbpj+0rrFZruxbgESNG4Ha7qaio8AXTBdo54ogjfFpvChs3bgz6Xod7/fUwcOBApk+fHhQsW7hwIenp6e2Cr6H3mzlzZtBlgUMuAEaOHMmaNWvCtlDuCywWS7vXaOTIkWzYsGG/rSmQfTLdUiA44Nn6I+xeBs5muY0xXpSqr4wCyPJG4+MNkjWUeW0WgckCKd7S6Ka9+m0626C1Vj7f1esQOBr9l+lFkmDeQyB5YOX7UJOAtlCBQCAQCPYDffr0YcmSJfTq1Yuzzz6bXr16cfnllzNx4kQWLVpEdna2als9evTgk08+4dNPP2Xo0KE8//zzvumWoRUmajEajXzwwQcsXbqUwYMHc8MNN/gGAwj0c8899wRVZIwcOZKPPvqIDz74gMGDB/Pf//6Xu+++O+I0yqysLF5++WWOOOIIhg4dyty5c/niiy+CWtsULaB+/foxduz+EdA/GLjtttuYP38+27dvZ9WqVfzf//0fP/74I+eddx54WzFPOOEELrvsMn799VeWLl3KpZde2m4ibSj/+te/ePDBB/nwww/ZsGEDt9xyCytWrODvf/971Pv9/e9/54EHHmDGjBmsX7+eq6++Oiggl56ezk033cQNN9zAm2++yZYtW1i+fDnPPvssb775ZoJelch0796dpqYm5s6dS1VVFS0tLfTt25fzzjuPCy+8kE8//ZRt27axePFiHnzwwXbVrYLI3HDDDfzyyy/873//Y/Pmzbz33nu89NJLXHPNNb7bdO/enZ9++omysrKoQxZicfXVV7Nz506uu+461q9fz2effcYdd9zBjTfeiNEYOYxz5ZVXsmXLFm688UY2bNjAe++916577+abb2bRokVcc801rFixgk2bNvH5559z3XXX6V6vFrp3787cuXMpLy+ntlY+7vzvf//LW2+9xZ133smaNWtYt24dH374YcShAR2K9Aejvr5eAqT6+vr9vRTBwcTsmyXpjgz5754ukuR2xWdv1XTZ1qtTJKm2VD5/V44kud36bc5/TLbz6RXy/8+Nl//f9J1+mzXbvM+5syR5PJL0UC/5/z2/67cpSZJUucn/et6RIUlL3ojPnkAgEAgOapqbm6UlS5ZIzc3N+3spBxz33nuv1LVr1/29DMF+wOPxSH379pUeffTR/b2UA5pLLrlE6tatm2S1WqW8vDzp2GOPlb755pug2+zZs0c66aSTJJvNJpWUlEhvvfWW1K1bN+nxxx+PaNftdkt33XWXVFRUJFksFmnYsGHSV1995bt+27ZtEiAtX7486H5Op1P6+9//LmVkZEhZWVnSjTfeKF144YXSqaee6ruNx+ORnnzySalfv36SxWKR8vLypClTpkjz5s2TJEmSfvjhBwmQamtrYz7/YcOGSXfccUfEdYWzdeWVV0o5OTkS4Luvw+GQ/vvf/0rdu3eXLBaLlJ+fL02bNk36/XfZ73/99delzMzMmOs51Pniiy+kwYMHSzabTerfv7/00ksvBV2/aNEiaejQoZLNZpOUcEu413bGjBlSrHDMjz/+KI0ZM0ayWq1Sfn6+dPPNN0tOp9N3/dFHHy39/e9/D7vG3r17SzabTZowYYL02muvtfuM/Pbbb9Jxxx0npaWlSampqdLQoUOl++67z3d9rO+PwuOPPy5169Yt6LLQdYXa+vzzz6XevXtLZrM56L5ff/21NH78eCk5OVnKyMiQDjvssKDXF5BmzJgRdT3R/A21sSKDpKZp9SCioaGBzMxM6uvrycjI2N/LERwsvHkKbJvn//+axZDXN9o9orPwGfjm/2DwGXDaC3Cvtx3yX1shtf3kGlV88x9Y+DSMuxam3AdvT4Mt38v2h/9Fn83SX+C1KdCpO/x9JTx/hDzd8vxPZY0yvaz9DD4KEK887AqY+pB+ewKBQCA4qGlpaWHdunUMGDBgn+suHWg899xzjBkzhpycHBYsWMB1113Htddey7333ru/lybYh1RUVPD2229zxx13sHPnTjp16rS/lyQQCAQHPdH8DbWxIqFJJhAAVK4P/n/v6viCZI175NP0AjBbISkL2uqguVJ/kKzFO9lSabNM6yKfxtNuGbhO8GqdIa8zHio3Bv+/d0189gQCgUAg+IOwadMm7r33XmpqaigpKeGf//wnt9566/5elmAf06VLF3Jzc3nppZdEgEwgEAgOIESQTCBoq/cHmvpNhQ2zoW5HfDabvf3naZ3l09Q8f5CM/vpstlTLp74gmdd2XEGycvk0Pd+7Tq/NeINkVd4gWaJeT4FAIBAI/iA8/vjjPP744/t7GYL9zB+smUcgEAj+MAjhfoGg3iuIn5wNneUJQ9TFKbIfKaDVXJE4m8ppPCL7SoBNqUpL9baFNsWxToDa7fJpD+9En4bd4HbFZ1MgEAgEAoFAIBAIBIIORATJBALfJMrCxE2iDA1o+doY9U84aWcz2Vuar7Rh6rLpvW+ydzJXWl786ySgQq1wOBgtILn9rZ0CgUAgEAgEAoFAIBAcgIggmUDQGBAkyyyWz9eVxmezNST4pFRoxdPG2C5I5rUdTyWZct+U0HXGUUnm8fgDYhlFkFkkn6/fpd+mQCAQCP4QeDye/b0EgUAgEAgEf1AUP8NgMOi2ITTJBILASrIMb0BHqYTSS6jIfrxaX26nrJ1GmEqyRATJFFuJ0CRrqQaPUz6fng8ZXeX2y4Yy/TYFAoFAcFCTlJSE0Whk27ZtFBUVYbPZ4nJgBQKBQCAQCBQkScJut1NWVobRaMRms+m2JYJkgoMPjwfm3AYNu+DUZyEpMz57SvAmvdBfSdVWBy6HPJlSKy4H2Bvk80qFlnKqt41RCWYZjJCcJZ/3BckS0G6prC8RgTelMi81D0yWxLSaKtRuh9n/krXOxl8bvz2BQCAQ7BOMRiMDBw5k+/btbNu2bX8vRyAQCAQCwR+QtLQ0+vbti9Gov2lSBMkEBx8bv4Zfn5fPdx4IE2+Lz17ghMfkTmAwyRpazZX+VkEtKEErgxGSQgJaSjWYVpQAU3InMJqCbbbWyoFDPT8EoW2hSgCuVec6CXw9C+TTtARNzAT47k7Y9I381+9EyOkVv02BQCAQ7BNsNht9+/bF6XTicu2HYS4eN7x7FrRUQVInOH86mOJwhbcvhDm3QG5fOOMVmP84rJ0BIy6Awy7TZ/Pr22DHzzDhnzDwVPmy104EZzP8+R3oVKLd5mfXQvnvMPku6DVRvuyrW6B0IUy4CQaeot3m0jdhyavQ/yQ4+mb5ss//DnuWw6T/Qp/J2m0CvHOmLPlw2gtgSYaPLwJbOlw8S589hbJl8OU/5PNDzobx18RnTyAQCAQHHGazGYvFEnelugiSCQ4+tnzvP7/5u/iDZIrWV2quHGhKzYOmcv1BskAxfCVwpQTLWuviW6MSzCIgSCZ5wNGovaJOkiJXktnr5YMJJSCnhUgTM+MNkrmdsOlb//+bvoGcq+KzKRAIBIJ9isFgwGq1YrXqqNSOl71rYc8i+Xw90LhVHjCjF/teqN8EuSWQkgJJFvn/hi3y/3qoWy/bSEnx23DWQH0peBr12a1eJdtMS/ff3+SSL2vdrc9m0w75/mb89ze0ypfZ9+qzKUlQ/pss2dCpixwkq98kX2ezyNXpetky229r3Ycw+V/6bQkEAoHgD40Q7hccfJQt9Z/f8zs42+Kz104/LM6gjk9gPzCg5Q2StekMkintm4GBMEsSWLxOqJ4Jl84WcNu968tub19v1VtHTPYEqN4Mjib//3tWxmdPIBAIBIcWu5cF/79rcXz2WkP9B2W/S8CQnqCkmHdv1utDtIXxIeKVbGgNSbIRkBDU7es0+jVNU3K81f3eQxXlddHL7uX+89WbwNEcnz2BQCAQ/GERQTLBwYXHDXtXB/zvhJqt8dlsCWk5TPMGyZp0TngMDRKRgEoyuzc4ZEsPvjweDTHleZusYE31nreANU2/TcLonCWqkqxyQ/D/e9fEZ08gEAgEhxahyZXK9fHZC02KKcNv9PoPRPAhfHu9Th/CEcaH8MkrxLnXJ4dJCOpdpxJ4MyeBNUWuZldeh3h9iKoQH6IizvdeIBAIBH9YRJBMcHDRWA5uBxjN0GWIfFntdv323E65tZAETqIM1fkiwHF0NIJbhw6Lo1E+taUFX648hp5MsG+dnSCwbzveTHBodjlRQbKqjfJp18Pk0+rNcmuGQCAQCARqqN0hnxaO9P4fh/9AmEr0eDU4JckftEpUhZbH4w+SWQODZF77LTqDZIE+ROg6dUtLhPGfEjF1u7nKH3wsGiWfVm/Sb08gEAgEf2hEkExwcFG/Uz7NKPSLttfGMSXLl0E1+ANZSrtEi872QLs3oJWU4b8s3jZGxaY1pJJMsavHZjhnlARkghWHW7GbkqB2S6ViUBEddrbEN4VTIBAIBIcWig/RY4J8WhPnlE1fa6Q3UKQEy/S2BjqaZZ1RAFuADxHPvhwoUxCYaIvHfyBM1TgJkJYI18KZqlSSxeFDKO9zRhHk9ZfPK58FgUAgEAhCEEEywcFFXal8mtUNOnWXz8fj5PoCRVl+kfpEtUZaA5xRk8Uf4NLjPPraLUMqyZRAnKI3ooVw2Wo6oJJMOXhwNMqVe3pp2C2fZvf0Z5brd+m3JxAIBIJDB0mCOiVIdpR8WlcqyzjoxbePegM5vv2uSd9+pwS0DEZZtF4hnn3ZZ9MktzH6bHqDZHYd/gMBzz05TMVb3Em2gOq0eGQlFBq9/kNGEWQWy+eF/yAQCASCCIggmeDgQgmSZRZDltfRadyj315Ygdw4g0SOCAGtRGSCQzXJlEyzUmmmBeU+gdnqeNdJmAq1RAwDIOB9Ti+AzK7yeeHkCgQCgUANbXV+6YLisXIgyuOMr0IpVJMs7qrxgLbIQBmEePTDAjVNA236/AcdQTJJCl81rwS09PpPHaHpCtDg9R8yhP8gEAgEgtiIIJng4EJxarKKIa2LfL5pr357oZOpSIBAruJwWkOrvpTgWxxObqhNJWimx8m1R9I5i1PMN7SSzGT2V9ElxMkthMwi+bxwcgUCgUCgBmW/SMmV905FCiAeHyJUk8xoAps3UKZnv1P28nb7chx+iW+vD02yKdXtOvwHZytI3gq8QL8k0f4DCUhcElBJli78B4FAIBDERgTJBAcXysSotC6Qli+fb4zHwQ3JApOIEeaRJlHGkQ31Oc6hmmRxtFs6IgTe4nn+QaLDgYHHOF/TtgZ/BUB6gf+9b45jgphAIBAIDh2UYFi6d/9IVxJtOvcRSYowqEcJkukIFHXEvqzsne1sBlSSaR2CE6hzFmi3I4T7E1JJprRbFso+BHFOIBUIBALBHxoRJBMcXCjTjVJz/VOkmvbqn3IYmgUmgaPW2zmkikhuR7RbxlNJluBgnsc7vTORTq7SamnLkDPsiZqYKRAIBIJDA6Wt0jeJMs5q9MD9LqjyKY6Ww0j6o3HtyzH8B48LXG0abQYE3owBhxLKc3c269Nk66hKssBKdKWCsLVG37RxgUAgEPzhEUEywcGFMnEyNc8fJHPb9WtdhRthHq8mV0c6uRHbLXVokkUKvMWTsVaCjpYUsAQIBMfr5CoHMcpBTWqCJmYKBAKB4NCgOcB/IDBIVq7PnrLfmZPDi+wfMJVkEXwSa5o82Rsd1eixkoHo9HU6qpIs0IdIyfY/b71TSAUCgUDwh6ZDg2Q//fQTJ598MoWFhRgMBmbOnBn19j/++CMGg6Hd3/r16ztymYKOpmoTVG9JjK1mpT0yV3ZKFe0P3ZngMOL1ikOmdxpjxKovZZJUPCL7karT9AgER2jB8FWnNbW/TyzCBR0D16lXpyS04i/RlWR710KjzgMlgUAgEHQM9ibYsTC+CZQKviSbN8niq0bX2XYXTrieeBNiESq8kxLgP4Tu9Uaj/mr0SD6J0QSWVPm8Q8daoyUu46kkC9SfNZr8vkQifIi2eihbqr+jQSAQCAQHHB0aJGtubmbYsGE888wzmu63YcMG9uzZ4/vr06dPh61R0MHs+R2eOxyeGweVG+Kz5bKD3RsMUpzc9HjbJcJkWOOeTqU4pBFEcuOp+mpnM552ywjZ5XjWGWtiZqImXvkqyRLg4G74Cp4fJ39GlWCcQCAQCPYvkgTvngWvnwhz74rfXqBcA/i1LfX6D5GqqeJpt4yYZAvYlz0ebTYjBd6C7GoNkkV47qFr1Uq4wGO8lWQeT3ut1EQl2pxt8OLR8PIkWPJafLYEAoFAcMDQoUGyE088kXvvvZfTTz9d0/06d+5Mfn6+789kMnXYGgUdzLI3Zb0Ltx2WvRWfLSVQYjD5nSbF4dEb3Ajn5JrM/iCPHqcsUmtDQoJPHSDcH80Z17zOGC2cep1cXxbYe/Dhc3AT0G655HX/Y6yeHr89gUAgEMRPxTooXSif/+3l+PWjAivRSYD/ECnRFE+7ZSxpBSRZ70sLkfZ64vAhotn0+RA6qtHD+RBxD/6pA8kbWFQCmImSbNg2D2q3yecXvxKfLYFAIBAcMByQmmQjRoygoKCAY489lh9++CHqbe12Ow0NDUF/ggOIXUv853f+Gp+tQNFdRSjWJ7Kvs40vlpMbj/BuovTDJKljA28dUfEWSY9Nr3ZcqE6J4uDaG+QKQ714PLB9vv//nb/ptyUQCASCxFEW4D84W6BibXz2Qtstff6D3iRbhD00nnbLSHuoOQmMZvm81r05UrslcVSjq6pOi6dqPszETGcLuBzabSr+gzUdzFb5vJJoa4kzSLZ1nv98xTr9Po5AIBAIDigOqCBZQUEBL730EtOnT+fTTz+lX79+HHvssfz0008R73P//feTmZnp+ysuLt6naxZEwWWHvWv8/+9ZGZ+uiK9VIs9/WYc7uRqDby6HXDVHAgNarjb/BK2I+mHxTLeMsE6HnixwpFHz8bZbhmiSJWXJFYXEKbxbXyo73gq7l+u3JRAIBILEUbYs+P94f59DfYh4J1lHHNITz3RL714euocaDPp9iEjrJI5KsqiBN53rdLv8+3Fg8C1eCYxwEzN9mmRxBskqAzWTJdnPFQgEAsFBzwEVJOvXrx+XXXYZI0eOZNy4cTz33HOcdNJJPPLIIxHvc+utt1JfX+/727lz5z5dsyAKNdvA4/SOCLeA2wENZfrtKYGS1Bz/ZR1VSaY3ExwYWEqUflhgy0K74JPXptsha2PoWWu0ijfd2iehmmRxHoz4NMm8Tq7BEDAMIA4x3wqvg6sMVajbkRiBaIFAIBDEh6JjquwfNVvjs+dLtijtlp2CL9dKrEmU8bRbJrJCy9EBAa1IFW9BNnW2cBLy/I0mv0+ha+p2iP9AgoYBEBAkU3yImm3x2RMIBALBAcEBFSQLx+GHH86mTZsiXm+z2cjIyAj6Exwg1HsDlp26y3/E6UAozkzQ1KM4g2QRA0VxtiCYk2RtsyCbCXBwjSFf2cB16w2+RdIk06N9EnEKZ5wOaWtIJRkJaOEEqPb+tvQ6JiCQu1u/PYFAIBAkBsWH6HmMfFobh//gdvn3SMVvUE6dzfra9iPKNSj+Q4LaDRXiTbSFJq8SYjNcME/n81dub7SA2RZ8XTy6pqGV6IH24vEfHM3+xG/f4+XT2u367QkEAoHggOGAD5ItX76cgoKC/b0MgR7qSuXTzGLI7iGfj8fJVQIsgY6ekhlsSXAlmV4nL6qDm6bPZrQpUkaTv2JNS7uE2wWuVu+6QpzceLRPYg4D0NHCSRhNMhIQeAOo9zq4Wd0gq0Q+L5xcgUAg2L+4Xf6ERY+j5NN4kmyBQSAliGXLBIPXDdalHxahQqsjpk4HPo7e4FMi2y0jSVWQiOq0KDYdOgKPrWH8h3h04xSUz6c1HQqGy+eF/yAQCAR/CMwqbqObpqYmNm/e7Pt/27ZtrFixguzsbEpKSrj11lspKyvjrbfkqYdPPPEE3bt3Z9CgQTgcDt555x2mT5/O9Oli4txBSf0u+TSrWBafJyBwpgcl4xeoTxFPJZnH46+USpR4vZpWCa1aX9EcXLxOrqNRm0Me6GhG0j5prU1cQC8eIV8iZIIT4eQ27pFPMwqhUzeo2SK3XDJBv02BQCAQxEfjHpDcclVR8Vj5skT4D5YUMFnk80ajnGxprZH/0rtosxmrGjuu4TcJnBrZIdVpUfySjtBOi+c19bVbhqskS4T/UCD7D3glGwQCgUBw0NOhQbIlS5YwceJE3/833ngjABdddBFvvPEGe/bsobTU7/Q4HA5uuukmysrKSE5OZtCgQcyaNYupU6d25DIFHYXSKpFZLLexATTu1W/PFyTL8l8WT5AsSP8iQUEdhwrH0dUmC/wrU5bUrjOc04zi5JZpc3IVZ9RkC78O3UGyhvBr1VtFB+B2gt373gdqiijB0kQ4uekF8h9AUxyfUYFAIBDEj89/KPL/NrfVyW2Roa14agiXZMPrQ7TWxOdDREsKSZKceFJLpKnToXa1EC15p7weCW231FvxpvgP4dpC46hGbwkj3J8ITdOGQP+hUD7fVKHfnkAgEAgOGDo0SHbMMccgKRVEYXjjjTeC/v/3v//Nv//9745ckmBforSyZRaBwzuxKJ4AhNIOEFRJ5nV69Ey3VBxcg0luMQwkXmc0nIMbeJmjCczZ7W8T1mYU0V0CHVINzmM00V3iyC7Hard027UFCAnRDAkMkMajUaIQ6OSmdZbPCydXIBAI9i+K/5DRVQ5kmaxysq1pr781XguRgmQp2XIFsR7x/ohyDd79zuOSk2KWZPU2VQni6223DOeX6PAfiFWdFqdwfyLlKghIogbp2SaikszbbhnkP+zVHhgVCAQCwQHHAa9JJjiIafGO1k7tDOn58vmmcv32orVbttT4WzrVEujghjo0ep28aC0IJrPc6qHVbjQHF8CaKp86NIjsxwy8xemMh9oNDRBqsul9rSypwcMQ4hXul6Tgdok0b6uNqCQTCASC/YviP6Tlyfuz7/dZZxIjWiUZcSbaQvc7Syrg9SkStYei0y+RpOjTLfX4D8TwdZQkWyKlJeJptww3dTtQuF+r76jQ6PVnMwKCZG5H/BMzBQKBQLDfEUEyQcfRrATJcv0ORELaLQODZF5Hx+MEZ6s2e1GFZztAuB+djl4sm8rlWgRtYwXeEt3WoTdASBTHOV5NkZZq+XMDkJYvKskEAoHgQEHxH1Jy5VMlSNaoM9FmD1OJTpxTDiPto0ajvj3U5fBLU0QLPmmx6WwFyRPFpuI/6Axo7au20LiGIYTxIRTf0e3Q7jsqKML96YVyC7Dy2RI+hEAgEBz0iCCZoGPwuP0l7ik5chACb3bY49ZnM1yQzJoWR8a2I9oaYrUx6rAbzXEMvFxLJjiWzpneKVrRWkV0T+aKsNZ4NUWUKrKUXLn9U1SSCQQCwYFBS0CSDeL/fY5USZakMyFGB7QcBgaqEhV88tk0eCvcQrDq9HVUDRjQqeka7fXUGswjwlqtabLUBnEk2pSArdIpIXwIgUAg+MMggmSCjqGlBvCWsCdny46uwShnNJsr9dn0ObkBJfMGQ/z6WVEdXL1OXgIrtGLphyntElo0RSJNoYxnnYG3jyq8m6D2k3g1RZSJV8pBWKqoJBMIBIIDgtCJhOkJCpKF7k3KvtSmp0IpwYk25bbmpGBpgUTYtKbJFW6h6G637EjttAT6D0TwIQyG+BNtoT5EvC3BAoFAIDhgEEEyQcegOA/JnWRnz2jyi+wr12lBkqK0S3gdKq1ObodWkiWwQkt53pECb1Yd7RLRtD+IQ/tElZ6KToHgdpVkcQr3K0LNyucyLc+7vgZwtumzKRAIBIL4aQ4JkqV6f5/1+A9EqSSLp40v0Ym2mJOs9fgPsfZ6Hf6DyyEP4SHBScZoPpneSvQguyHBt3gTba0hPoTyGRVBMoFAIDjoEUEygR9JgnVfwO7l8dtSWiUUB5cQkX2tuNr8Wh0RnVyNmiJqSvsT2W6IToc8ZgunDic32nMPWqcWB7/ZXz0YNfCoNZipjIUPOXCIV7g/dOKVLVOudiTOiVcKm7+DnYvjtyMQCAQHA1Wb4PePwe2K31Zou2U8/gMq2i217iMeT+JbDjuiwlutpqmrTf37FuhrRNMPczRpk9dQlWTT6JMFDi6IqGuqw4fwePwJOuWzmaJMW6/Vbi+Uup3w+0fgssdvSyAQCASaEUEygZ+V78OH58Orx0PNtvhshYruEqcDoTgxBmN7ByruSrIoTp6zWaOT14FObszqNB3tluHaGuJdp8HoF+mP1yZR3iflYEd3kMx7sJXidXCNxoDqtDid3C0/wDtnwKuTRaBMIBD88XE0w2tT4NNLYd4D8dvztVsqQTLFf0hwkMzm/V9r8sYZ0J6YsEqyWFVfepJssaZjBzyW2kRbUFuoJcw6dU6zjhp01Kkd52wJGFyQQB+irS5AUqRT8Gm8/oPHA2+fBp9eBl/eGJ8tgUAgEOhCBMkEfla8J5+6HbB2Zny2QrPAxDlqPdDBNRiCr+sQTbKAy3Q5uQnUJIsVeNPTbhmz4i2edaa3f4/02iRKdllpP9UayFRoUSrJsv2XJcrJ/f0j//lVH0W7pUAgEBz8bPrGH9ha+aFcwaMXj9tfMaZUo8f72xyzkkxnks1gBEty++v1VE4H7qHhiGvwT4S93mwFk1U+r9aHiFWdZrb5bepqDU3kxEzv7cMl7/S0ryoon0NruvwakkD/oWwpVG+Wz6+eLuQfBAKBYD8ggmQCGY8Hdq/w/1/6a3z2fA5uYAAiAZVkoQ4uHaR/YbaByabdbrR2gaC1JlA/TI/wbsx16qlOi9AW6bOpczpVpOyy3my1Qmi7JQl0cksX+s/vWhKfLYFAIDjQKVvmP19f6p8erIfWWn+VjuJDKKctCQ6SxSutEDEppKPySa1WqC5phQj7MjqG/8RaJ3or3DsiSBblfdJbnUZH+w+L/OddrVC5Lj57AoFAINCMCJIJZGq2+p0pgIo18dkL124Zj6ZItCCZ3kxwTF2uDsjaxtWCkcDWyJgDBhRnPIFTOHU7uRGCb2YbGC36bBLYbpngSjJHC9Tu8P9fsTYxGj0CgUBwoLJnRfD/e9fqt6VUpCVl+dv54qlEJ8A/UFrqFfRWoqsefpNA/TDFptuhXqsq1l5P4H6vMtGmxqYurVQV1f26/YcoLbF6kmwtIXINBHxG49U0rVwf/P+e3+OzJxAIBALNiCCZQKZqo3yaVSKf1pVqHwkeiOIkJAc4pClxBCAijW8nHic31iSpDnRytQwZUN1uqeH9itkWGscUrVjrTNT7ZDDoq3hT6KhMcNUGuQoiORvMybIgcn2pfnsCgUBwoFPp9SEyvT5EPNUvrWH8B+W32dEkT1fUii/RFuJD6E6ydYD+aKwkW+BjqV2vmqovX0BL5VpVVafpaTeNslZfgNCu7f2PpnMWz8TMjqwkq/B+dzKK5FPFPxcIBALBPkMEyQQy9Tvl04JhfiHb+l367YUL7HRUu2WHO7l6JlEmUmRfbbulDuH+hLaFxgo66mxt6IgWDAIywYnWJKveIp/m9YPMrvL5up367QkEAsGBjMsOTeXy+Z5Hyafx/OaFC8IkZQHedjmtv88eT0BFUUiQTPnfbdc2SVBt1bgWvyRW8Mlo8utqqQ5oxdjr0dNuGeO50wE+ROBrosnX6YCJmQRUNHaEpmmN14foPVk+rRf+g0AgEOxrRJBMIKNswpklkFUsn0+Ekxvo7MTjQKiaeqRxQlFMJ1djhZokxZ5EqbW83+2UnXcSrR8WK/Cmp1WiA9pPiNWCobOKkA5st2zYLZ9mFAVXZgoEAsEfkYYy+dScBAXD5fPxHNiH25uNRn9lmdbfZ2ezX+MsmralpoBWR1aSRWtj1Bh80qIfprrdMkbVeODjqfUhPG7/xNBwQUKT2R8gTFTiMhFJtrD+Q50cmNWDo9mfFO42Xj4V/oNAIBDsc0SQTCCjVI1ldvVXv8TTIqY4W4FOlE94V4emSLTKJ9sB0i7hCHDEExXQCnzsWPphzmb1jpnaYJ6rTb2els8Zj6WdprE1MlolmZ5gHt7Kgo5ql1AEqzMK/AFnkQkWCAR/VAL9h6xu8vm4kmxh/AcCq9E1+hDRJlEaTfqkAKLtS+hM4MTS9UTHnhdrXw58PK3VaVF1zjT6OoHPJ6YEhp6BQomuJAvjP/j07iTtSVuFBq//YE2DzgPl86ISXSAQCPY5IkgmkFGc3KzixLSIhcsEKw6EHlHTaM5jUge08aEnSBZjJDyBArkaHUdzkl/AuJ3NVP95p0bh3Vj6YWhwnGO2cCZYk4w4nFx7A0jegGK4dgk9gVwFpZIsvRAyE1CVKRAIBAcyviBZcYKSbBH2e18lmUYfQvUkygOkkkxNhZbW4FPUdkudlWSqhPs1+g9GszyUJ9o6dQUew+nZJrjd0mz1r1GvD9Go+A8BSbaWKnC26rMnEAgEAl2IIJlApt7bLpFRBBmF8vmmvfrthXPM9GqHBdlLbX+d3pY7tU6uVoHcSI44OgJFatoaLMlyYA6VjrPHEzsTbLaCyRq8BrVrjdluqbWSLMJ0S+JwcpUssDkZLEn+y5PiaN9UCKwkS+sin2+u1G9PIBAIDmQU/yEzwH9oqwdnmz57kfa9uPf6MP4DOn0T1ZOstdiMstcpaBXEV6MfprfqK2rgTePeHPieR/Sf9FSSqdAk0zPdMlwlGXFKQBBQSZZR4J3u6vXDhA8hEAgE+xQRJBPIWlrKBpzWGVLz5PPxbMrhqn+UgQDOZvUtfKH2wmlV6A2+xXRy9bY1qBSzlSQNa4ziNBsM2ka4O1W0hYauVQ2xAm++NWoIaAXqvEUdC6/RyY00CELvcIFAFCc3vTDgu1Sh355AIBAcyCi+Qmpn+TfVaAm+XCuR9pIO2+s7oJJMz5AeNcEnrYEdNa2RHe3raFpntLZQHT5E1GEAcUy3jORD6O1sUGgMqEQ3GPw+RJMIkgkEAsG+RATJBPJm7nHK51NyAjZlnQf2khQwpSlMJRl6MsGKRkm4SjIdGVtVgvg62y3VtDVIblnvK6ZNFc4ogRMuVazVp89iitwWGrhWrY6zGj02NQFCvJpoHm9ANerQBq0HTd61JoVOOtMxkSwQjyegkqwQ0pQgWZU+ewKBQHCg01Itn6bmBh/Y600ORGo71DukJ5r/gM7ffbWV02677G+osqlmaqRWXVPvc4o0MRMdE7JVDRjogMBbXNPBo1Wi66gk6ygfIrCSDBKTtBYIBAKBZkSQTCDrHQBYUuWgSWpn+X+9B/bOVr/eU6DDY7LI7W3E0S4RVnzV66S42sDlUGdPiyC+ZsdRhZOHSscsWgVdID6HVEUlWeBrGamtAR1BwlgCwVoDhIS8Rokc4R6prSXeLHBbnT/gnNYl2MFVGxgUCASCgwnFh0jJkU/jTQ5E2u+Vqp1ED+nR02bviLE3B+4tHZFoS6hforPqS806NfsPCQy8EUu43/u+Oxq1T6Nsi+BDxFuNrsicpOXLp6IaXSAQCPYLIkgmgGZvFlhxcFNzvZfrPLAPdGAsIZlb3e0S0XQlMtrfTu0aTbbIgvh6K8miOXlGo/81UeOQq8muojHDqiazjA4nN9ZkLq0BQgLXmia/dpFsatUUiRTQ8wVcW9Vn/wNRKipsGbKum+Lguh3+9gyBQCD4I6H87qV4fYd4q186TJOsA0T2I+13Jos8cEeLXS0VWokMvGmu+lKhndaRlfh6WljDCventb+dWiL6EDo6GwJpCfHH05SktagkEwgEgn2JCJIJAlollCCZcmBv17fRBzq4oYEN3U5uhJHwACaz3xnVOkkpoW0NKkT20agpoibwhsZ2CTXPHT2Oc4znHxgg1DpqPpEHN4G3b5cF1pH9D0SZaKWI+VqS/cFI4eQKBII/Ir5Em3fSn1KNrleyIdK+p7eVLdaep1Vkng6o+grU34wafNJQqeSyywmaWDZ9/kOCpmOjp+JNjc6ZxomZxPBLzEnyNE0t6wTwuP2TxEODZPEO/1EGAqR4fQglaS00yQQCgWCfIoJkgvatEtYUv0Ohp10imvOot5JMbZXS/mwXUBvQ0hJ8U+OM0kGBN616HZqy4Fod5xgtLVoDWj7R3RAH12QBS4rXpg4nVxkLnxIwFj5NaIoIBII/KJIUrEkWeKq33TLSvqc3ABEtyYbeNr4EJ9ocKgfqaAk+xZIr8F3XAVXzmv0HFe2WWttCieGXGAw6qwgDPn+R2i31apL5Em0hAWfhPwgEAsE+RQTJDmZqd8j6X/GiOLJKqwRxOrnRnMe4K8kiCe9q0ORCrf5FgF6FKpsqA1qanFwVbQ1oDBJqXmcCNUUSracSdyVZuBaMOIR3Qx1cAqrKlAxxPLTWioyyQCCIn7YGaNgdvx1Hk38ITkpINXpLgjXJ9AYgfIOEIvgPVo3+Q5DNBLUcKs/ZYIw+UEdT4M37uOZkueI+ls1E6pzprkRPtCZZjAo1XYE35XVNkmUVgtYYpyZZaKItkf6DxwPVW4Q+qkAgEKhABMkOVlZ+AE8OhVeP16edFEioBgJAUpZ82lan3Z6aSjItDoTHE1sk1yey3wE6HZozoSqrvrQ4zjEDWlraLaMI2YZdp9pWEQ3Cu/u7kixa8DEeJzdcJZnyXWrV8V0KpLkKnh4tf+93/hafLYFAcOjSWgfPjoUnhsC2n+KzpfgP5mT/PpQc529ezEoyrb/3MSqftO5LqAwUafFLAoNE0QbqaKlwVyutoKXdMrDVMOrETJ3DANQk2fS0xUYaKKRHQyySaL9eewqOFv9QI8UfT47DFw9l9k3w9EiYdWP8tgQCgeAPjgiSHawsekY+Lf8dts+Pz1aoJhmBTq6O7FXUkdtKJliDiLmzxX8+ViWZ5tbAaEEyrS0IGjXJNDm5aqdbamm3jOA0KmhxSAMnmqpq61DpQDqiVHzRwZVkepzcsJVkCXJyV38qV2Y4W2DxK/HZEggEhy5rZkDjbvC44NcX47MVOviHwMSAzuqXSAmXjqpE15pkQ22iTcterzbJpqHCXU11Nxor0QN9DFWTKBvVVS51hE6sJMXWStXVbhnFf4hnQraSZDNa/OtNVJLN3ghLXpXPL3ktMZVpAoFA8AemQ4NkP/30EyeffDKFhYUYDAZmzpwZ8z7z5s1j1KhRJCUl0bNnT1544YWOXOLBiaMZylf7/9+WoCBZWCc30ZVk3hHuekatR2tD0NrGp0WTzOOUBXBjoTagpWWtsbTYfDY1ZG1V65xpaQtVHEJD5AMRrTYD7SZauD9aJjgRTm5KuHbLOJ3crT/4z+9YGJ8tgUBw6LJrsf/89p/ja7+KlmTTkxiQpCiVZF7/Qa+maSxNMrXBF7fLX/GTqMpptVXjHWLT+xyczXLlfjSUxzWawWyLfDvlMSVPcKIzol0NmmSqOwYCdN4SWY0etRI9AXINKdn+akLFf4g3yVb6a/D/OxdHuqVAIBAIOjpI1tzczLBhw3jmmWdU3X7btm1MnTqVCRMmsHz5cm677Tauv/56pk+f3pHLPPgoX+Xf+AEq1sZnTzl4VzZj4tyY1WiSaXEgAh3mSG0IHdEaGehYammNVC3cr6UFI4HtEmoDb1raLQOfu6pWkQQNA1Dsue3a2o6V1zVUuD/wsbRUOyqEqySLp3U5kMDvef1O/2MJBAKBFnav8J9vq4tPm6wtiv+gJzHgagPJLZ+PpEnmaJTb/tQSK1ikOckWsHcnWmQ/kUk21ZVkAYktZwwfwqHCH/PZ9F6vRT9NjSaZVj8vWoI1Lp2zaO2WcSTZwlWit9bFF8wOPU4o/12/LYFAIDgEiKLkGT8nnngiJ554ourbv/DCC5SUlPDEE08AMGDAAJYsWcIjjzzCGWecEfY+drsdu91f5dPQoHOizMHE3jXyqS1DzmjtjTNIFs6BjKfdUpUmmY5KsqjOqBIk0qpJFsUhM5nlSYfOFtnhSc2NfFt0TKIMcPQa25zsbbCzt6GN8vo2qpvtNLa5+EtFJYXAUwv2snTxb3gkCbdHwiNJeCSwmowkWYxMbK7hPGBd6W6++nYjuWlWslPlv87pNrp2SiHJYvI+rtrAmxY9lQZtzz1RY+FDA5mBFVyq7IZzcjODb6MF3/j2CE6uXhwt8qAOAEuqfCBTuR66jddvUyAQHHq4XfJvB97feEejfACdWaTPXrj9JDAxIEnRgynt7AXsDZaQquTApIa90f/bqtZmxH0ktf1jq7FnsrYXbg9ES+u+5uSVf39yuj1UNtopb2ijoqGNvQ126lud9Nq5kZOAVVUeHnv9N9wSeLz+g9sjYTBAksVEstnIM5gw4ebpr5Zj7VRETpqNnDQruak2CrKSyEm1YjAY1AfelMmR9gbvc+sS/fZqEpda/Qc1yTvfe6+jkkypbAxao45uCYWWKJqmklt+PrFe90go33nFp61Yp8+OQCAQHCJ0aJBMK4sWLeL4448PumzKlCm8+uqrOJ1OLBZLu/vcf//93HXXXftwlQcA9Tvl016TYO1MaNglV9GY2r8+qgjn9MTTbhnN2dFTiu4LaEVp49MqEqta0DZNdigS0Bppd7nZtLcJU43EAGDB2u38b+N8dlS30GR3hb3PadZ6MML8HW0sliJPNUw22jnPCrU1NTw1d1PY2+Sl2yjulMx/W3cyHFhTI5FS1UxJdgomYxgHUkvWVqt2mladt0h2zVb5QMXtkF9/1UEyb5WYLZyTG0cm2FdJFlBVEa8+D0D1Zrl6NCUHugySxbbrSkWQTCAQaKNxj3zAbbRA9yNh41dQu12/vXAV1Erwyu2Q989oe3c7ewFBN2NIs4PZBiabXDlsb1AfJIs5HVtn8EVHQiwiMZJXkiRRVtfKttI2JiBX3J334gK2VLext7EtbJHR30w7OckCWxsM/FAdfSpyk81GpqGFGb9uYKvUfu9LsZoo7pTC8cnr+CdQ57axrbSWvl3SSbVFOJSwpsnvU6L0VzVXoivJOzUtnBoqyTpKuL81jP9gSfb7OK11+oNkVRvl075TZE3CulJ9dgQCgeAQ4YAKkpWXl9OlS3C2qUuXLrhcLqqqqigoKGh3n1tvvZUbb/RPamloaKC4uHifrHe/Ub9LPi0aCRtmy5tnw27o1E2fvXBBqHjaLaM5kHqEdxUHV9W4cZUj3LU4uc0VGlsj03G5Pazd08DKXfWs3lXPqrJ6Nu5txOWR+KupljssUFNbzRqn/3VIt5npkplEfkYSuWlWMpItdFntBCdcPHEwZ+cMwmgAk9GA0SD/Od0eWp1ucndXwQrokQnn9SqhptlBdbODmmYHe+vbaLS7qGy0U9lop8FSCyZ45ddKZiz6kWSLib5d0hhclMnIkk6M7NaJ7jkpGLQI92vVTkvkWHhrmuxc6hnhHlWTLA4nN1wlWTztlko7VGZXyCyRz9ft1G9PIBAcmihJtswiyCoJvkwP9jD+gzVN1qzyuOQDey1BsnD2AknKgOZKnYk2Fe2Wairf1CbZ9Eyy9t6nvL6NZaW1rCqrZ7X3r7bFiQUXm5Lkm67atosG5DWYjQa6ZCTRJcNG5/QkOqVaOLo6Gcqgf0kBD40YilnxHYwGjAb5qbY53bQ53Zh+TAd7C38ZnsNaiqhqslPT7KCqyU5Fo50Wh5sNexvpbtwDVtjSYOCM52RtzJLsFAYUpDOipBMjSzoxtGumXLluS4PGBE7yDtVOCw2ihqIm8KZrsqlK4X6tVZQtYSrRDQY50dZc4fUhdB7fKD5EtyPkIFk833mBQCA4BDiggmSAXM4dgORNj4VermCz2bDZooiH/hFRgmRZJfJBc81WecPTGyQL1yYY13RLFe2WmjTJVLQHatUUUT1JSp0D5XB5MLQ2YgFunbWNz3dX0+xor5nSKcVC57RcaIBheSZenjyaHrmpFGQmhc/Grm4F4KTRfSC7a+QFbO8FK6AgycV904YEXSVJEvWtTnbWtFJa00Lf7wzQADk52dhqjbQ63azcVc/KXfW8+6ucXcxOtXJq50ruAJytDZglKeJ3UH59VLZg6J5CGsPJba1R/95Lkv/zF02TTE8lmS/DHGA3EdOpGr0ObnohZHmd5HqRCRYIBBpR/IfMYv9vSTwB93DBDeXAvqVKPrDX0soZU2TfGyTTksSItY8o+5LkkSc1W1NirFFF8gb1fokkSTTU15IJLNjZxq0P/UBpTXuxe7PRQM+8TjjrLVhw8sBJPcjv1ofiTinkpFoxhlaEf5MEZdCvpIB+o2MEV5ZmgX0vlx2WBz2GB11ld7kpq21lZ20r5lVbYRVYUjLItdqoarJTWtNCaU0Lc9bs9a1zUGEGz7WaKQLsLfXE9NJVCfcHfCYcTeH377A2E+g7olK4X/LISdtYPmaQXaXCPeR5JXuDZHp9CI8bGsvl88WHyaeN5fJAqmjDFwQCgeAQ5oAKkuXn51NeXh50WUVFBWazmZycnIj3O+RQHNrMYvmvZqt+J9ftlFsXSGC7ZbSqIl2VZGoyjFrb+FRokgVeH2a9e+pb+X59BT+sr2TB5ipWGBvAAPO2t9JMKhlJZoaXdGJIUQZDijIZXJRJUVYyhrUt8DGUpHkoGRhFp8Pj8YvohstYBq0zcvDJYDCQlWIlK8XKkK6ZMF8WuP/PtMO4tfvRbK9uZv2eRlbsrGVZaR2ryuqpaXbww/YW7rBBW3MDE++by5G9cziyTx7H9MsjNy3EsVKtc9YBwUyrxqCWszVAGDqck6sjkIvXEQ33fiWkkmyPfJpRIH/nQbRLCAQC7dSH+A/EW0kW4Tc62Rsk0+pDxKrS0irZIEmxJRsCtc8cTbGDZKorySLvy21ON79uq+GH9RV8v76CixvWcYkZVla4KXW1YDTAgIIMhnaVfYchRZn07ZIuV2g9lAEt1UztmwZdOrV/XN86o1Q8RVprmL3ZZjbRMy+NnnlpUGuDVTC0RxFLzp5MVZOdDeWNrC6rZ1mp7ENUNtpZuauebRYDRSa49f1FlBXnMKFPLkf1zWNIUWZw0s3jUTf4yGzzVyiqCZKpsanVdyRGQM+SAgaT7GPYGzQGyZT1hjyveIf/NFfK6zEYofMgMCeDq1UOmOf00mdTIBAI/uAcUEGycePG8cUXXwRd9s033zB69OiwemSHJG6Xv6oko0iuJCOg0kQrgY5BUCVZAqZbhq0k0yGKrqbdUnMbn8Z2CUcTkiSxZncDX63ew9x1Fawv9z8HCy5sSbKu2LUnDGd43x70z09vn9kluuMcROBzSaQgfoDjaDIa6JWXRq+8NE4aKrcz211u1u5uYO3GTfAzpNJGdVMrM1fsZuaK3RgNMLpbNscP6sKUQfkUZ6d0XCWZFidX9XuvHFwZ2gtDEzgEQkNmOfT2kQLOWtsvFAIrydLz5fNN0TVmBAKBoB2+SrIA/0EJwushUsLJN+FSYzV6rCqtwHY2NQROy4y0jxqN8nWOJtluWucYa1Qr1xCcFKxrcTBnTTnfrt3Lgs3VtDr91ebpljYABvUo4vUjxzC6WyfSkyL4vdY0aKmOvUep9XOInmgLwhEceMtNs5Hb28YRveXBRpIksau2lWWltXT6PhsaIElq5ddtNfy6rYZHvtlIYWYSxw/K5/hBXTisezZmp0pfx2CQr2+rS4jOm3xdHJpk4YJ0yhrt9erlPxQi+VHxDv9RWi3TusgDqdK7yDqEzZUiSCYQCAQR6NAgWVNTE5s3b/b9v23bNlasWEF2djYlJSXceuutlJWV8dZbbwFw5ZVX8swzz3DjjTdy2WWXsWjRIl599VXef//9jlzmwUVrjVzGjUF25JSJi81V+uwpjkHolKbAdkutB/bRxNa1an+gQqOEOIIvMZ1c+fofV23lrh/msa3K7/QYDDCiOItJ/TszubsF5I8x504YJDsikVA7NVJZo9EcuyRey+saQ+fLZjYxoqQTI/IHwc9gNEh8cPFQftrRyryNlawua+C37TX8tr2Ge2etY2BBBnellzIGNaPmNUzMVLFW+TqdY+FtGeE1TbSKOPvsRni/lO+Sx6ldxFohsJJMOYBrrtBuRyAQHNo0eX830vMD/IdK/QH8SIEYvdUvMSdRatxDAgMVUYf/eINkan73tQz+ARob6rju9d/4eVMVLo9fYT8/I4mJ/fOY2K8zk1Zlwno4enAP6BcjSKdWEkCtnxNoM9brGuO5GwwGirNT5OTZ1iL4HW46uoAB6YOYv6mKnzdXsbu+jTcWbueNhdvplGLh9N5GbgckgwmDJTn2OtvqVE7dVlFJp0uTLIysQqhNe712yYZIXRjxVpI1ev2HdK+uc2pnOUjWJHwIgUAgiESHBsmWLFnCxIkTff8rAvsXXXQRb7zxBnv27KG01N8y1KNHD2bPns0NN9zAs88+S2FhIU899RRnnHFGRy7z4EIJhqVkg9Ekb3Z4nVw9RKr6SgqcTqVCo0ONTUK1P1QGDNQ4eprb+KI7ehWNbUxfWkbX9Y2cDKzYvJNtrmZsZiMT+3VmyuAuHN23M9mp3sBi7Q751JwcPUAW+JixHKjALGisgxe1r6skqddjs6TI5fmSh7GFVsb278a/pvSnrK6Vb9aUM2dNOb9tq2HtngZWVZYxxgwz1tTjyCvlxCEFZITLgmvWJFNRoaa3kiySTV8lWRxZ4MD3y5rmb7/QKmKtoDi5GYWQmiefb6mWWzyNJu32BALBoUlLtXyakuP3H9x2+fcrVvtaOCLtz3qrX2JqkuncQywp0X8rbWnQpNJujGo3l9vDDxsqWbCglDuBtuZ6fqyRfbQBBRlMHZzPpAGdGViQ4W87XK5UzKuYXqjW31G716Mh2aRWWiHgcbPNDi4Y150LxnWnzenm501VzFlTznfr9lLb4uTHVWXcboNGKYlnv17P6SO60i8/0v6soT2ywzTJYgTfEuFDBJKoSrKMQvlU8SH0HjcIBALBIUCHBsmOOeYYn/B+ON544412lx199NEsW7asI5d1cNOiBMm8GWBls9ObEYqUubKmydVqeIMqWoJk0TTJAgIv2JsSHyRTXUnW3sl1uj38uKGSDxfv5IcNFbg9EreYzWCGgdlGnpg4nMkDu5AWTmBf7XRHNIyFj6RPEQ61r2tg60ksZ9xgkF8fe32QA1mUlcxfj+jBX4/oQU2zg2/XltP5ew+0wsY6A89PX8Xtn63hhEH5nDe2hMN6ZPsPBLQ4pGoDelo1yaK1StABDq7BEJD91lidphCYCVa++5IHWmogLU+fTYFAcOjRHOBDWFP8FVTNlfqCZJESTjaNbZGx7CloDWoov+OxfA0tbfYR1rilsomPluzk02VlVDba6Wpo4U4bpBtaufG4vkwdUkDvzhGeV7TkYiiqfYjEaJIFoUYCIXSdATaTLCYmD+zC5IFdcLk9LN5ey/JfvoNN0CAl8+K8rbw4byuDCjM457ASpo0oCva5tARJNWmSJUi4n8DPqFYfwms3NPiqt7pdQRHtVyrJ0kSQTCAQCGJxQGmSCVTgc3C9gwx8m53OdstI7WxGo+xY2evljTs9isB8O5tRnD2fXkODd8NXYVdNa4PPwVPhkEtSkM3y+jbe/mU7Hy3ZRWWj3XezkSVZHJHZDTbB8b1TYESUCV1aHFzlNm67PDjBJFdctbnaqLPX+f/KFtKQnoY91Yp91Ss43A4cbgd2tx2DwYDJYMJoMGIymDAZTSRn55DmaCVt22zSsnuRakmlU1InOid3JtWSKgeqAh3BcHpcocRoG8hOtXL2mBLYlgxrYdzAbny3N41NFU18vnI3n6/cTZ/OaZw3toRpI7uSqcUhVRvQ01xJFqM6zaryACSUaNUFtgyvjoqOiZketz+DnJIjVyomZ8ut182VIkgmEAjUE1hJBnLLpRIk06NPFOl3L0nHkJ4gewmqJFPbcqgl2RJg0+n28PXqct5atJ3F2/36azmpVk4f0g9WQBIOrj+mR/Qqc02JtuBqqhZnC3tb9lJnr6O2rZZ6ez219loaDPW0ZXfCvm0G9vIfsLvtODwODBgwGozB/kPLRtI6ZZFavYy0te+QZk0jOymb3ORc8pLzyE7KxmQ06axOC/+amk1GxvXKYZyhC2yCzMxOHJfbhR83VLBmdwO3z1zNA7PXcdqIIs4b242BhRnagqSaNMkSJNxPHLqmkaawxjNxm3DfeREkEwgEgliIINnBhrLZpYZudgmuJMO7MStBMrUETWSMkmWzN2iYRKlkgtWUzDfH1lYJCL7c9NkWZq5b4dMJyUm1cvrIIv48upg+XdLhl99hkxYx28hrdHqc7GzYyZbajezKTGePycye769jT2sVe5r30OAI8zrnZgMOWPZk9McHyEgGkmH5Y+2uSjYnk5ecR64ljcK8HEo8Bkq2f0W3jG4UpxeTacsMb1NjW8dRg3rwzblHsaqsnvd/K2Xm8t1sqmjizi/W8sDX6zlvcAq3g/wZidUqGPj5iBbQ05q1jaUnEujgatHpieY465mgpdBaJ1d0EiCGndbZGySrAAZqtykQCA49PG6/kL6iRxavPlHESrLIk6HV2YuRxFCtSaZxEqWafcS7xoW77Nz44A+UN8ii+0YDTOzXmbNGFzOpf2esOGGFso5G/+93WJuRkyySJFHdVs3Wuq1sqd/CDlc5ezrnsmfb++zZ/i519ghteElAUjrsmR/7OQFkZUDzBlj8YLurjAYj2UnZFNobKcnLoaRmOSVbZ1GSXkL3zO6kh00OqayA8j739IwsXr5wNLXNDj5dXsa7v+5ga2Uz7/5ayru/ljKyJItnzGYKUbmXapFrsGvY72NWo+to4Yy2Xi0tpuForZFPfUEyb5u10CQTCASCiIgg2cFGc4R2S736RFH1w3Rkr9RMZLSlQaOWdgkV2UDF0fG4wGUHS1LYm7ncHr5dtokTvf9PX1OHhJHDemRz8fjuTB7QBas5QMxdq/aHNR1Jktjbspe11WtZW72WzXWb2Vq/lZ0NO3FJ8gRMsr3O8u4FQWbMBjOZtkyybFlkuhxkVmwgKbUztt6TsZlsWE1WrEYrEhIeyYNbcuORPLg8LlpXf0yzo4mmohE0mcw0OZuoaa2h0dlIq6uV0sZSSoFlad4g0PxbfI+bnZRNv0796J/dn37Z8mm3jG6YVeun+Q9sDAYDQ7tmMbRrFrdOHcDM5WW8+0spG/Y28s7yGm73vjW/bShlTP/uwaPgI7ymYQX2FXTrnEVq5/G+PpI76mdJk914MsGKg2vL9FUdkpoHlevFhEuBQKCe1tr2Afd4q0oiVWrpbbdMuCaZ2koydXv9xr2N2LfuYggwe2MT5e42ctNsnDe2hHPHltAlI3C/sMlDkdwOeR0qgmRuawrbajezqmoVa6rXsKl2E1vqt1Bvrw++fWoKOGr8/1pSyU7Kln0HWyadbJ3IXPkhSW4ntrFXk5SWL/sPJllHtZ3/sO0nmrd8R2NOT5qLR9PobKSmtYbK1kpq2mrwSB6qWquoAn5PS4Xyn+Q/L0VpRT4fom92XwZmDyTfkooBbf4DQKdUK387sgeXHNGdRVureffXUuasLmdZaR0LLXbONMHijTsZMMwVXv4i5DWNGiRT3nfJLSdQYw0OcDnkLgBUfEZ1SzYk6Luk0KIEybLl08CBHQKBQCAIiwiSHWwommTKJheoT9Ra679cLcomnqgDe8XBNBgjOxua9cOirDHUprKGkMBGm9PNJ0t38cK8LRjrtnOiDZolG2eOKuHiI7ozqDBCJZWK16DF2cKKqtWsyMpkjbmWNR9NpLqtOuxtU8wp9MjsQcmulRTamykcfyP5BSMpSC2gS2oX0i3p/qDRby/D6ptg4BFwxD2Rn7vC73OhYjsceyn0O8F3caurlaqWKipbK6nYMZ9dCx5hZ1o2O4pHsLNhJxWtFdS01bBozyIW7Vnku1+SKYnBVhjRKZPhlSsY1uPIyBVnEbL1GUkWLhzXnQsO78aSHbW8+tNWXFuMmA0ern9zPrlFu7hsQk9OGlKA2RQSCFPj4BJ4cKN2YmasSoWAqrUwnyVdduMJkvkc3IADLMXZba0Jfx+BQCAIRalET8oKCLjHMSHb7ZIDCySwRSzhmmTaJllH8kuWl9by3I9b+HbtXt6y1IIJsjtl8/ixw5g6pACbOUKC0pYuv+4R1tvoaGR5xXKWpMDvmZ1Z++3FtLrb2t3OgIGu6V3pldmL7vXlFG5bSEGPSRQc8x8K0wrbV3K5XTD3Wfn8kMv83QeRcJhgySeQmwtHPxx0lcvjoratlorWCso+vpDS1gpKB5xIKQ5KG0qpbK2krKmMsqYyvt/5ve9+nS0ZDM/LYYSjnOFVq+mX3Q+LMcxAnwjvkcFgYHyvXMb3yqWisY33fi3FtSAVJPhl/Q7+dv9czju8GxeP7x4SnPSiJUiG972PFSQLfB9jVaNrkWwIDL4lut1SqR5VgrRKRVmL8B8EAoEgEiJIdrARWklmMnu1wxrktizNQbJoOko6NmZf1jY9ctm6Zg0pxWaUljujSRavd7bI6/W+Ds12F+/+uoOX52/z6Y2NS3GBB5LTMnn4rGHRHzuM49zkaGJZxTKW7F3C0vKlrK1eK1eIdcoEqRnamjEZTPTK6sXAnIH07dSXXpm96JnVky4pXeQg2JPDoHY3dBkHXcdGeN7RJ2hFXGvI65psTqY4o5jijGKor4T6BkjtBSfIgzNanC1srd/K+pr1rK9Zz8bajWyo2UCLq4UlwJKsTNj6AWz9gF6ZvRhbMJbxheMZkz+GFEtK8FojOKMGg4Ex3bMZ0z0b9/0ZYK8j2+JgdVkDf/9gBY9/u5FrJ/XhtOGF/mCZ6jYZjRpiMSaTBX2WHE3qv1NR2y3jEN5VAmHJ2f7LkuKcdhXI8ndh93KYeJs/+CYQCA4MPG744X/y79LRN8c3zTZU05SAA+c2Hb8l0SrH9R7YJ7qSTLVwf/tkiyRJLNxSzbM/bGbhFjnAaDBA1xQ32OGGk0ZgGNA1tt2W6iD9sCV7l7CkfAmLyxeztmYtHskDGYpWaRvJ5mQG5gxkcM5g+uf0p3dWb7pndCfJ7A0ELXgKfv9GllfI7hfheQcGc+Kbbmk2mslLySMvJY9BLa3Q0ABDroSikQDUtdXJfkPtBp8Psbl2MxXOBr5JS+UbGmDWX0g2JzOyy0jGF4xnXOE4emf19mqlxpBAADqnJ/GPyX1xeQbAwq8oTHbR0Oji+R+38OrP2/jLmGKuOqY3+ZkBwTI1AVKjUZZzcDZ73/sYGp/K59mcFFljTk+7ZdB3KTRIFme7ZWglmTItU893PpSabTDvIRh6FvSaFL89gUCQWLbOg5Xvw4R/Qm6f/b2agwoRJDvYCBXgxHvAbG/Qt+FFy9om6SjxVqHNpVlfQY0mmXK9N7DRZHfx+s/beOXnbdS3OgEozEzi8qN68pcumfAOGFWNWk9HAja46vl51SvM3zWflZUrcSuC8l4KTKmMrK9gSN5wBh3zX/pl9yPZHCUjqUZXRYtAbqDNaK9rGCHbFEsKg3MHMzh3sO8yj+Rhe/12ls+5keWVK1mRXcgOZwNb6rewpX4L761/D7PRzPC84YwvHM8EdzP9AIOKgJ4pKR3sdbx/0WDe3JHD6wu2sb26hZs+XsnT32/imom9mTaiCIvmSrIEBl2tqd7PkoZ2iWjBN99nXqM+D4Hf+YAAVqKc3LpS+OwauQXL44STVWjfCQSCfcfqT2H+I/L5Tj1g+F/02/JpmgYE/pPjCLgrv7kmK5itwdfpnm4ZSxRda+Wwyn00INkiSRI/b67i0W82smKn/LqYjQZOG1HElUf3oufH90AlGFROeNxpNvHTtlnMX/8ai8sX4/A4gm5SklbEmD0bGNZmZ/Bfv6dnpz6ySH5EmyoChcrzNlrAbFOxTpWva5iK6aykLA4rOIzDCg7zXdbqamX12umsnHsry9OyWJGWQYOjgQVlC1hQJstM5CXnMa5wHBNq93KkwUCaCl/HnCw/7umDMsnoO5oX521hyY5a3ly0g/d/28k5hxVz1TG9KMhMVl+NbkuTg2RaJmZG9R90tFv6gm/J7YNv8STZJMn/vVcSbYlMsn19C2z8GtbOhJs2qpt6KhAI9g3ONvjkr/JvQPVmuPS7/b2igwoRJDvY8E25C2i9Ss6E+oCSai1Ey7TpEd5Vo/+huV1ChSYZXqelGb5YvJE7VpRT0yw7oj1yU7nqmF6cNrxI1hvbuEm+fRSHrNXVyoKyBczb9BkLigupNBMknl+cXszoLqMZnT+a0V1GU7joBdj8JPQeAJ2Hx35OajKDWkato7JCT6XTaDQY6ZnVk55pvTlj3Q8w8G9Uj7uKZRXLWLR7EQt3L6SsqUzOiO9dwlN5aRRlJTFxwzsc6z6FEZ1HRHbyve9jprGN64/tw9+O7MHbv+zgpZ+2sqO6hX9/8jvPfL+Zh/pu53BUvO96p53FCuQ2V2ocCx+tkiwOTZGWDqwkWz/Lr1G0fhb86Qn1gwoEAkHHs2GW//z6L+MMkoWpJPP9lujwH9RomrZpnW4Zw4fQK9egxn8AqmtruPqlX/h1m/y7azMb+cthJVx2VE+KspJD1hh+H5UkibU1a5mzfQ7zklvYWlwE2z71XV+UVsTYgrGM7jKaMfljyHfY4cmhcoAkp3/s56QmyabVf1Az1VmSVPtjyeZkxuSPYkx9AzgteC5dyea6zSzaLcs6LC1fSmVrJZ9v+ZzPAUu3roxtWMakjR8zsXgiuckRKri9z8fgaOK4gV2YPKAzi7ZU88TcTfy2rYa3Fu3gg992cvaYYu6yN2JU8xpY04C9KidmqvFxdUy37Cj/wdnib+MMrSRz28HZGrvFNNqaN3/nf5wtP8DAU/TZEggEiWfXYn+QfNdiaNwL6V3296oOGkSQ7GBDEW9VHFsC2iX0HDBHqyTTszGrCUBoCWpIUmyNEsDp9tDospENTF+0nhrPcHrkpvKPyX3409BCTMaAA/8IFT9NjiZ+2vUT35V+x/xd82lTdEHMZpI9EmNLJnJk0ZEc2fVIitKKghegVhxYQU2LoFabag4e9Abe7I3kJOdwXLfjOK7bcQDsbNjJwt0L+XnXT/yy80fKLGbe2TKDd7bMoJOtExNLJjK1x1RGdxkdHDALef9TbWauPLoXF47rxjveYFlpTQtfLN7I4RaocFjJk6TIAv9WjVnWjgjkorLdUkvQTaE1pFWCBFaS7V7uP99cCQ27IbMo2j0EAsG+ZM/K8Of1EC7gnoh2y0QOK4m132uVa1CpSVbWYqIIWLZpJ786a7CajJx3eAlXH9ObvPSQSqww1WmSJLGhdgNfb/uaOdvnsKtpl3yFAUySxIi0Eo7qfxZHdT2Knpk9g/ezpjXRn3MoWvwH1TZVvK7OFln/FpU+REDXgNFgpG+nvvTt1JeLBl2E3W1necVyFpYt5Id177Pd08bPbeX8vOhu7ll0D8PyhnFijxM5occJZCdlh7Epr9NgMDC+dy7je+fKwbLvNvLrthre+WUb9yTJt2kkiair1eKTOlQkGn1BMh2VZLG+Sx5P9EFGoSjfeaPF/9pZ02XdYMkjHzfoDZLtXSsPy1LYs0IEyQSCA4k9K4L/L/8d0o/bX6s56BBBsoONNm+QLFC7IZ5McLSsYFyaZAkKQLjs8tShCDYlSWL2qnIemrOehxphrBGKUjw8OGUIZ4zs2l4MnmDnscXZwtzSuXyz/RsW7F6A0+P03aworYhJhUcwYd7TjGqzY73g0fYtJQpq9bMU1LwGalpXA1FVSZa4wFtxRjFnZ5zN2V0n0bqgDwuTk/h+3CX8uGsetfZaPt30KZ9u+pTOKZ2Z2mMqJ/U8iX6d+mGI8NxTrGYuP6oXFxzenbd/2U7LD7NBgvmlbXz44i/cfGJ/RnULMx1Mq16HJidXS5CsAw4YCdQTCVf9EWeQrGJd8P/lq0SQTCA4UGirh5qt/v/rd8rf+eSsaPeKTLgkSTztlmHa930oPopD44F9R1WSRdhHd9a08ODX6zGuLuMpK6QZ2vjLYcVcN6kPhVlhggeSFLTG3U27+WzzZ8zaNosdDTt8N0syJXFU16OYvGcz4zfNI/P4a2HwX8OvUXOSTU0lukZNUzWvq+86Q2yNt8B1uh2yMH2A/2Qz2Ti84HAOLzicGzcvZeuWr/l+5JnMdTewuno1KypXsKJyBQ8tfojxheP5U88/cUzxMaRE8XPG9cphXK9xLNpSzbNfLwfv8MbjnlnGpZPsXDCuW/gBC1oSbWreq3iGXoXzS3yPJcltoVpaGlsD/AclMGs0QlKmfMzQVg8ZBertBVKxNvj/8lX67AgEgo4hNLG2dzX0EUEytYgg2cGEx+PfdJMCpgzGU1WS6HYJNQEILQ5EkJhpsFO2cmcd985ay+LtcnDQmSSLyN85pQTLmJKIJt32Bn5NTuJzz16+/+gYWl2tvuu6Z3TnuG7HMbnbZAZkD8DgccOcR/xrMUcQNtft5KppjYwsZhuEGk0yrcG8wAOdiOtsIFmSONZl5tgJ/8PpcbJ071K+3vY13+z4hoqWCt5Y8wZvrHmDXpm9OMXUwqlGIzkR1plsNXH5Ub1oa+0Ci6DFkMJv22s44/mFTBnUhZtP6E/PvID1BwbdJCl2u6AqJzcOTZFET7f0CfcHtlgnoJLM44aqjfL5gmHyZhp4QC4QCPYvNdvk09TOYDRD425ZV6TraH32wgXy40qyqfAflNslqdjHPJ7Y1c7K5a5WeYJjJPF0hQiBvMY2J8/+sIXXFmzD4fJwrEkWfB+Zb2Hc6UMj23PZaZPcfJeawsxF/8dve5cheVvWbSYbE4omMKX7FI7qepQ82Obz68AjqQtoJTLJplaPS0HN6xr4fqtpy7eGfAYi+k+N9HS66Fl0LJcO/TPlzeV8u+Nbvtz6JWur1zK/bD7zy+aTbE7muOwhnGWzMszeSKQVjOuVw+HnD4DHwY2R8lYD985axxsLt3PT8f04ZVghxsDuAi3ViarkGvRUkkUZXmBJBoNJThjbm7QFyUJF+xWSsrxBsjh8iMr18mn+ULlCRfgPAsGBRfUW+bRguFxVVrlxf6/ooEIEyQ4mHE3+UvegIFkc7ZaJbpdIdCWZchtLim+q1576Vh7+egOfLi8DIMli5IqjenF4TTdYtwyLuyWsqQ01G/hiyxfM3voRlfmdwS33aXfL6MbUHlM5vtvx9MrqFdwGYTLLU4xcbbITE2n6n8/B0ar/ESUAqTfwFtVx1rhONcG8kM+QxWjxZYhvG3sb88vmM2vrLObtnMeW+i08DjxdUsSxpV9wZvEQDss/DKOhfZVBkkd2MKeN7ceatmI+WrKTOWv28v36Cv56RA+um9Sb9CSLf40el1x5aAkzCj7KesMSj5MbdlJsHNOpWrwHrykJ1iRr2it/rg0m6HakHCSr36nfnkAgSCzK9zGrWG6XatwtD9vQGyQLF9SKp90yWvWs2Sav2eOUf/fUBMmcAb+3sSrJ8D6fWFV1Ic/Z5fbw4ZKdPPbNRqq9uqXje+Vw+4jD4EuwRfAfANbXrOejNW/xdXERjSYj7F0KwNj8sZza+1QmlUwi1RJSYaVlSI/qSdYd0G6p5nXV6j+YzLLOmqtVfo6R/KeQ9yg/NZ8LBl7ABQMvYGv9VmZvnc2srbPY1bSLzyt+4/PCfHq7mjhz3bv8qeefyLRltjNp8O7dxqR0Hpg6lMe/28iu2lb+8eEK3li4nbtOGcSw4qzg566mOlGTj6sjyRbOrsEgv+Ztdd7baaj8CjcdG2+irTZOH6LWWznZ8xg5SFa/S12iUiAQ7BvqvW3/3Y+Ug2TCx9eECJIdTCitliZrcCCgw4R3DwBNsoBJhK0ONy/M28KLP22hzSkHC08fUcS/TugnTzL6vL3j2OJsYfa22Xy88WPWVvtLwzPdbk5I78Upx9zHkNwhkfWu8DqErjZ1gSKtmmRR2y21Orle51yVzpnGFgw1Geswz91qsnJsybEcW3IsDY4Gvt3+LdMXP84qVz1zmrcx55vLKEkv4Yy+ZzCt9zQ6JQVUS3nXmpbRiQdOGsqlE3rwv9nr+X59BS/9tJVPl5Xx7xP6cebwAnwhNkdT7CCZFic3Ue0SairyIuHTIUxQ9ahCwx75ND0fsnvI5+tK9dsTCASJRXFwM4vBZIGdv/gv00M43SPlt8TVpl3EO9q+ZzDIgbGWavW/o8pvs8EYeR1mm1xV53GpDJIpwv2p/Lypiru/XMPGvfLj9MxL5f+mDmBS/84YlLaUkL3O6XYyt3Qu769/n2UVy+QLTUaKXG5OHXUdp/Q+pb1GaSAdoh/m9x8khwN3QwPuxkaktjY8rW1Iba14fv8daVcSBoMTvv8BjAYMRiMGqxVjaqr/LyUFY2oqBrPVH9SMGCTTuE7ltq5WlVVv7e32zOzJtSOu5Zrh17CyciXTf3+Nr3fOZbPZyAO/PcBjSx7j+O7Hc07/cxiWN6ydTYMtg3MOK+HU4UW8tmAbz/2wmRU76zj12QX8eXRX/jWlP3maKsk0THDXJdcQpYLSFyTTgNIJkhQSSExKgA/RuFs+LT5MbsF1tcnapmmd9dsUCASJwdkGzRXy+ZJxsOgZESTTiAiSHUzYI2x28RwwRz2wP3AqyVoMyRz32DzK6uTWyNHdOnH7nwb6M4EEZ2zXVa/jk42fMGvbLJq92Wmz0cwxXY/h5JoKJqz6EssxF0JelLaKwPU2V6rT+tKqH6am3VKrflgiA29qKqBiOXdeMqwZnNH3DM4oXc36357mk95j+dJVTWljKY8vfZznVjzHyb1O5vwB59Mrq1e7rHXvzum8dvEYflhfwT1frmVrVTP//uR33v1lB5+akzEp2erUCFOx2r0G0TTJDqB2y3Btt4qD62xpp/WiGsXBTS+QD8IRQTKB4ICizuvQZnaVg2QQn5MbbipjPCLesVr6bOneIJlKyYbA9UVKXBkM8u9zW52mRNvTC8p5dMuvAGSlWPjHsX047/BuWBTd0pBgVkVLBZ9s/ISPN35MVas8FdRsMDO5y2jOXP4ZY0yZGIdfFfvxtUyyDtnrJY8H1549OHbuwlm2C8fOnTh3leHcXYZ7cx7uNiPuD4fL1TthyQY2wftXR1+jyYQ5OxuTOwezzYG5/n7Mxb2xdC3CWlyMpbgYS34+Bq3JQAL8pzh9CIPBwPDOwxk+5t/8a+FbzE7L4OPeY9hYu5Evt37Jl1u/ZGjeUC4YcAGTu03GHCL9kWw1cc3E3pw5qisPfrWeT5eX8dGSXXy1qpx3S9wMReX+rCbRGNd0y0gDK3RMmyfKdzQeLUIFJdGWVQIZhdBQJv9miSCZQLD/aZC7rbCkyJIqAPVl2od/HMKIINnBRFuYihIC2y31VJKpEN7VsimrybJpCBhUVleTB2xvMFDmaKUoK5nbpg5g6pD8dtVfrWYbX6Wl8vHeuaz+cobv8m4Z3Tizz5mc0vsUeUrS9EvlKxIqkqt13LqW4JNKTTI1r6tWnRI1Y+F1BN76O5z8x9qdG6d9zJztc3h//fusq5EDm59s/IQjCo/gfPtejgAMIWud2L8zR/TO5Y2F23hq7mZW7qqnxmYlz9BKQ30tGUpVVDhCRJcjP2897ZYdJNwfLvAc+BvQVqfPKVUc3IwC+SCcgE1VIBDsf5SAmFJJBnFWkoX5jTIavfpENfJviRYR71i/pVoP7GMFCgLtttXFDEI43R5aG+rIAH7Y2ozRABeO684Nk/uSmWIJvrH3N3+TZOeNn/+P2Vtn45LkyX25ybn8ue+fObPvmeRVboZFH0F2IvXDmvC4DLSVuWh7+x3sGzfQtnEjjk2b8bREav9U1i+3txnT0jAmJWFITpZP7VUYmsshLR8ps6usi+Z243HY8TS34GlpwdPcDC4XuN24KitxYcROEuz5Hvg++OHMZiw5GViN2SR1s2PL/xxbnz5Ye/bEaLOFW6CMFskGNRXu1jQyPBLnNNRz9onvsrpuEx9s+ICvtn3F75W/86/Kf5Gfms9fsodzhtFAZshns0tGEo+dPZzzDu/GXV+s4fdd9czd2sJQC5RXVZEf6/G1dEto8R/UavFpCbwRZb3xVpK5nXLwEyC9UPYhGsqgYRcwSp9NgUCQOOoDkmzpBbK0iscpS63oHdZxiCGCZAcT4SZbEoc+UWDAIOGaZGqqdCJv9naXm1fmb2Pj97/xpAmaSeKqY3px3aTepFiDP7blzeV8sP4DPtnzBfV5OeBuwGw0M7lkMmf1PYsx+WOCA2qaq75UtJ1q1g9r7/C4m5pwlpbi3LsXV0UlrmUeXK2ZuP/7MJ42F57mZjwtzbKDa7fL71/gn0HC6OyM0VaN4fuzMSYnY0xPw5ydgym7k3z6exVmhxVrvQOz243BFGbSU9h1Rhful2+rccCAo5EUSwrT+kzjtN6nsaxiGW+vfZvvS79nwe4FLAB6FhXw1/oNnORxYjH6D2qsZiOXH9WL00YU8fDXG2halUSeoZ5/vP0zJ53UmdNHFoVvoQ0aYa8mSKbys+92yi0lkV4HrRPZAgkX2DSawJYpt2K26gyS+SrJCv33b6lRJ4YtEAg6ngbvdzSj0KfJSdNe/fYiBbWSvUEyrT5ErL1Uq2SD2kolFUmm37bVcPvM1bzX2gAGKCnozD1nHsmgwvb6VZIksbRuE693yeOnlGTY8jkAIzqP4Nz+53JsybFYlCClY4W6NSpE8KOcu3fTsnQZrcuX0zp/Nm278kFaAiwJup3BYsFSVISla1e5sqtrVyyFhZi+vhqTsRHzNV9j6jECgznkN3vWP2HxK3DUJTDp/8IuTZIkuV2zrg5XVRXuNy/CVb4L14CLcDnTcJTtwrlzF85du5AcDpx7a3CSRPOeWvjlZtmIyYS1WzeSBg0iecgQkocOwTZggD9wFktqQJK0Je8CXneDo5kheUMYkjeEG0bdwEcbPuLDDR9S3lzO481f80JxEWcY27iouZz81ODw16hunZh59RF8snQXZV/NAQ8sWreDnz9ayf+dNIDs1AjV2aq6Jbz+Q5ipnhGJpfemN9HWUZVkjeVygNZokSdnpubJlyuBM4FAsH8J9B9MZvk72lQugmQaEEdCBxORtAWSdWqSueyyrgcxplPZG9WLcSYgy7ZwSxX/mbmarZXNnGlqBRMM7F7AmBP6+24jSRIrK1fy7rp3+XbHt7glNwBFThdnJ3XllDM+ICc5J/oatbYxRnJOgoKNsZ08V20t9nW7aVuXin1VGY5vz8exYwfuqqqQW3ptbf5J3ToBN2ZolqDm9yi3yoXv/wvmu7Hk52Pp2hVrcVesvXph690HW5/emDt3loNMgVngSJ+BBEz2NBgMjOoyilFdRrGzcSfvrXuPGWvfYavVwu3bPuH5ioX8dfBfmdZnGjaTP2vdOT2Jh88aRsueXKjZi6etkX9+vJJPlu7ivmmDg6dghjwmoQLLQWtUAnkqM8GBn41o3yVXqxxQM1na3yYcLge47V4boQe23iCZ3kxwYCVZcrasKYIkHyyLdgmBYP/TIg+XITXPHySL5yBU+T2LVFWi1YdQXUmmUZMsZiVZZB+ittnBfbPX8clSueIuNakNgMfOPwJjdrDv5JE8/FD6A6+teY3fK3+HlGQMksTkoqP46/CrGJI3JMwa9U2NdDfU0/L99zT/vIDmhQtxbN8eckMD5qwUkkYejq1vH5L69cPWty/Wbt3aB8AA1qdCQy2kmCDc9SpeS4PBgMFmw9ilC5YuXaBvJ0jZBKcdCQNO9t1O8nhwVVTgmPUYjh/exm4eiN1VSNumTXjq63Fs3Ypj61YavvhCvoPZTFK/fiQNHUJKq4sUjxFLpASRo1ned2Ks1UfgMABHI6TKfl5uci5XD7+avw35G7O3zuadpU+y0V7NO9TxwacncmqvU/nb4L9RnFHsM2U0GvjzmGJa3cPga0ijjenLdvH9+r3cNnUAZ47q2j7ZpmrwT8gQhEhTPQOJNbxBj04qgQOFElxJ1qhomhbI1ai+IFmoHysQCPYLgf6DctpULr6jGhBBsoOJSO2Wyv9aN8/ASq5oB/Yep7qpgWgVRQ92mhranPxv1jo+WCyXiOam2bhwQA6sgtQ0eUN3up18s+Mb3l33LquqVvnuOyZ/DOcn9+Do7x/B1KMPRAqQEcdo9EiVb4FOXqimiMNB6+o1tCxZQuvKlbStW4trt9e5IBNoA5b6bm/KzsaSn485OwPz7rmYkz2Ypj2AKSPDJ7BrTE3FYEsCg+zk4v2TqncgvfVnPCTjOf0tPK0teBobcVVX466uwVVTg3v5bJzNHpxtSeBy4dwlZ4lbfgl+SsaMDGy9e5PUpwdJ25NJznZidbRisKUk7vWM8HktTi/m5sNu5poFb/ER9byV343dzbu579f7ePH3F7lo4EX8ud+fSbH415KSngU1cO7wbH5ZZWTR1mpOeGI+V0/sxVXH9MJm9h5gBh7URevJ19puqdg12cJnjQNfm2hTviLZJYzzrEz00qpRohBYSWYyy9nglipoqhBBMoHgQMDn5ObKYvUATZX6J8hFOhDX60Oo0STTYldrJVnA76MkScxeVc4dn6+mqsmBwQDnji4kaZUTAGPAdE2P5OG7Hd/x/Mrn2Vy3WTZptHJqYyMX1VTT7eR/Qk6v+NborRZr/OpXGufm0FJdBZ5r/FeaTCQNHEjyiOGkOBaT3Pwjlml3w/jrYtoFFTIQWjVNCZ/AAjAYjXJCrXs6qb1b4LBRMPUhJEnCVVmJfcMGWletou33VbT+/jvumhra1qyhbc0a5BBMPpZfniXlqA2kjhlDymGHYSkoCF6nwShr56haZ7p3YmZ7n8xmssnV6Xu2sGjRw7xU1Iel7nqmb5rOjM0zOLHHiVw6+FJ6d+rtu09yqvz5P7yrlf6t6awvb+Rfn/zO9GW7uG/aEHoFJtvUTCI1WWRfwG2XfQg1+70a4X70aJJFkO1I0jGYKxBflYr3fVR8hqYKffYEAkFiUYJhKV6d5rQ82ItfzF8QExEkO5jwBclCNjtl83M2g8ftzzjHQnH2zMnh26usaf7qEnuDuiCZqkqy9ID1ygKC363dy//NXMXeBrlq5ryxJfz7hP5kLpEnTrVak/lk7du8seYNKlrkL7jVaGVqz6mcP+B8+mX3g/Wzg9cQa41xVD4FEeDkSUYrrcuW0/zzz77AmGS3t7uLpbALSabt2PJsWM97DGtJN6zdu2FK9742VZvhmU/lQMj556tbZ34m5DoBJxxzVPvPgSTBPW+Dx4l0/SpcdgvOsjIcu3bhLC3FvnkL9k2bcJSW4mlooHXZMlqXLQNkzTvjTxNIGjSYpCGDSRk5kpRRozBlZemYwqlucEOavZFL2ho599wX+bTmd15f8zrlzeU8uvRRXln9ChcNvIjzBpwnB8u8No/vnco3xx7Nfz5bzU8bK3niu018sXI3D581jJElnTQchKmYFBpIrINFkyUg+92kPkimOMSWlPbf0Xh0zvAebBPg3KbmyUEy0S4hEOx/XA7/9z8lR55qjbca1dGsbcIgMeQV9B6A+yZHJqjdUm3CJSRAtLehjdtnruabtXIrap/OaTxwxlBGdTaAkkuzpuGRPMwtncvzK59nU+0mANIsafyl/184d8C55D53pKzRpUorNPxzdmzfTsOcb2j89lvaVq9WFgyApVsJaUccQer48aSMHevf79//C2zwaBfEJ8o+GmnQU1w2g98fg8GApXNnLJ07kzZhAniDla7du2ldtYrW5Sto+e5T2nY34Kyop/6T6dR/Mh2U1+LICaQO60mqy4AxNcqwhlBsafKBXhQfwuBoYnxrG+NzjmTZyD/z8qqX+bnsZ2ZtncWsrbM4rttxXDP8GnlIkPf5pBva+OK6I3nt5208/t1Gftlaw4lPzOf6Y3tzxdG95CEPkaox272WqdBqV68hFjPgrHyXNEo2RLKrR3M4kOYw/gOi3VIgOGBQkmwp3qIR8R3VjAiSHUzYI1SShVapxBqJ7rttjOCG0SjbtjfIdtVUl6jJsgU4gjW1Ndz5zU4+XylnpXrkpvLA6UMY21P+Uje31vJBZjpvNSymZvEC8JbVn93vbM7qe1ZwS6UagdjA661R2u3CrTeCM+HaW0bz9mSa9qbTfMSRuOvrg643depEyuhRJI8cRdKggSQNGIDJUQFPj5Rb/k46KcwaY+hTRFsnXic39HPisstVgYAhJQtLdgaWggJSRo8OupnH4cCxbRv2jRtpW7OW1tmv0FZtxNPcQstvv9Hy22/UvPoaGAzY+vYlJbeZFJJIsZvV/aCETBELS4BOSVJyDucOOJez+p7Fl1u/5NXVr7KjYQdPLX+Kd9a9w6VDLuXP1hT5MMTeRElOCm/+dQxf/r6Hu75Yy5bKZs58fiGXTejJjf0a5NvFdHA1iuSqObizpXmz31o0/qIN1ogzSNZaI58qAbu0PKhcJzZQgeBAQHFwDV5hfaO30sbZIgcItAbJguQVQva+JJ0HzLESJMpvVJvW6Zbqfp8lexMfLS7l3lnraGxzYTYauHpib66Z6K0e9g458Bgt/FA2n+dWPsfG2o3gDY6dP/B8zh9wPplKVa6WCdEBa3TV1tLw1VfUf/YZbSsDpA4MBlKGDSLdsIC0bgasD8wJb1NrNTYakneabMbYU1QMVjAYDLKGWlERGSecACMbcc97lpa8M2lx9aPlt8W0rV2Lc0cptTvepfZdMBjzSSkwkFrwBmkTJmDt2TO8pqiCGo3PgOc/sstInu/yPGur1/LKqlf4bsd3fLvjW+aWzuWkHidxVe5hFHvtWUxGrji6F1OHFPCfmauZt7GSR77ZyNdrynnkrGH0V/sZtaXJe6xWyYaI3yW97ZYR7MbrP7R4/Ydkr/8gDsAFggMLXyV6SJBMVHuqRgTJDiYitVuabf7SbnuD+iCZms1eCZK11Ue+TTib0Rx4sw3JaMbgcfGXZ79jQ0s6RgNcdlRPbpjclySLiXp7Pe+tf493ymfTkN0JJAdFaUVcOuRSTul1ClZTmJY2lRVKmidR+oRn/XZdNTU0fvMtDV99Rcvi38DjnTBKPcaMDNKOPIKUsYeTMnpUeIevSdZJCaymC0KPg2u2yS05HpfsPIZ+TmLpZnkxWq2ynki/fmSefDKYXkFqLMd+wnu07WmjdcVKWpYuxbF1K/YNG7BvgFqyYcG72F5eStqEI0mdMIGUESMwWMJob/nepyjOmbM1QGBffg0sJgvT+kzjlF6nMHvbbJ5b8Ry7mnbx0OKHeNNg44r0VE6zN2DxOuonDytkQp9c7vpiLTOWl/HiT1tpWLWO+2M8f3mNGtst1WjpWNNkB1JLJjhaC4baoHA4JMnv5Iosk0Bw4KE4uMnZ/v0hNRfqSuU2iuye2uxFk1fQWvGlEEtewXcgrtJ/0KhJNvO3DdxcKZeKDe2ayYNnDGVAQUClvaOZRUk2Hs/NZd2P/wAg1ZLK+QPO54KBF/iDYyF21QRfJHMqTd99R93MmTTN+wmccgIKk4nUceNIP/440idNwpwMPOxt3YxU6a+1uh0VQ3X0+BBqq9M0Bt5MVon0Pumk/+lf4B1S1PLLLzTN/5mm77/FVVlDcxk0P/AgFQ88iKWkhPTJk0mfPJnk4cMwhPpHqgYKtf8sDcwZyGPHPMam2k08u+JZ5pbO5YutX/DVttmcltOJK1xNvumWxdkpvPHXMXy2Yjd3fL6G1WUNnPz0zyxLq5XVYmMm2lT6owq+yfARBiBpteezG8GHiGeYEIFJNuE/CAQHJL5KMm+7pdAN1IwIkh1M+KZbhimft6VDi13fJEo1rZGqK2piO3vVzQ6SSCaVRlytDfTPL+ShM4cytGsWNW01vLjqbd5f/z7NTjlA0d3h5LKiSZx4wpNBEw4jrjXapu/x6G63dNfX0vDxxzR+9RXNv/4Gbrf/JllO0nqnknbj6yQPHRpeaDeMTVCqvkIcIz0OrsEgP6e2uvDvl0/ANT26HleYtRqaK0gqyiZp/DiyzjgDAFdVFS1LltDy9t20bK7AXm/Bvn499vXrqX75FYypqaSMO5y0IyeQdtQELIWFwc9dTRYYQ7uqB5PRxMm9TuaEHicwc/NMXlz5Intb9nJ3bg6v75rJNVuHcGKPEzEajGSlWHn87OFMHVLAbTNW0VRfC1bY3mQk3+kmyRKhNdkXJFP7uVcx4dOmIjjYzm6Uz0E8mWBHk6+qUGSCBYIDkBavI5ua678stbMcJNOTCVZ+Jywp7QM1en9LHDH2Ka0tYir2ZkmSWFftYSBQV1uDzWzkn8f35ZIjemA2+fe1ddXreOKXu1lY0AW8wbHzBpzHhQMvbB8cU1ARiHBWVlG3Oo26r7/BVTfT/1QHDiDr1FPJOOkkzLkB75mzLfj5hWt/VF6fUD8gGla1VV8dUZ2mZZ3tbZrS0nxBMOnsUThevpim1j40OwfTsngxztJSal57jZrXXsOUm0v6pEmkHzeZ1LFjMVitmivJQunTqQ9PTHyCNVVreHrF0ywoW8AnGel8Lkmcvfghrhh6BZm2TAwGA6eNKGJ8rxxum7Ga79bJw4EwwNYGAz2Loj1vnZINEQPOOoNaEdstE1RJliL8B4HggMSnSeYNZCvdYEKTTDUiSHYwEWm6JUqQrErbhqc4uGpaI9VszG5nwCS+8DbnrtvLzdNXMdNtI9XQyGWHdeb0k4+k3lHNw4sf5uONH9PqagWgd1Zvrmh2cdy2nzANHS6Pmo6GL7ARZRqnM6AySEW7iuTx0LK5hrpFWTR+sgTJtdh3XdLgwWSceALpva1Yf7gWunaHkSNj2gTAnAQGE0hu+T1LRJBMuX1bXfj3S6/NCFOVzLm5ZJxwAhm7HoE+lbhOfInmilSaf55P0/yfcdfU0PTdXJq+mys/7IABpE8+lvQJY7FJYFAGQpht7R8zMPsZoe3CYrRwVt+zOKXXKXz85eW8XL2YnTRzy/xbeHvt29w0+iZG58utpMcN7MKY7p345u1FsAc21cElT87n4bOGMapbp/bGY0xgbYcakWSriiBuO7tRMvd6dYQIcHDNSWD1iiUne18HrRPuwvHtf2HFe/CnJ2DAn+K3JxAcDFRtgrenQU5vOPej8EM81BKqJ0LAAalSxaGFaAEovQfMMSvJNFa/xGg5q2hs45bpq+i/qZGBFuiW7mHO346ie64/kbKrcRfPrHiGWVtnAWCWJM5xWrj87K/olBTmtz6QCHudJEm0/Porte9/QOO3P4InA2jBlJ1N5rTTyDz1VJL69g1v02yTfRePM3yFNyr3j1A6ot0yVpBQaxV+0DrDf7YMjiZsmS5sIwvJueAVPM3NNM3/mca5c2n68UfcVVXUffQRdR99hDEtjbRJE8nMdJLqke8bERX+7aDcQbww+QWWbv+Op7++iqXJSby99m1mbp7JFUOv4C/9/4LVZKVzRhIvXziKz5aXkfq5HPS88J21nD0pnauO6RUUnPVh1eBDBMhLRHxtrSqq58IRmBwNJFFyDUqSTfEf2uq1aSOHY/dyeO9s6H4knP6KtqSuQHCwIkkw8yrY9C2c8y6UHB6fvcDBPwR8V1t0+A+HKCJIdjARqd0SnRuelkoyNXajtPM12V3c++Va3+RKV0oKeODofhIPL7mfTzd9isPjAG9J/OVDL2di8USM754Ve42hjyl55HY9a7hJjN7nHGOSknNvBXXTP6H+0xk4d+0CUgAJW5/eZJx8ChknTMFaUiLfeOUH3jVqrPqypcnvadiqrzgDWuEcKRV6ImFR2dZh7lxA5tijyDz5T0geD21r18kBs5/m07piBfZ167CvW0fV089gSetMelEb6b8uJHnc0e3bKTS0ddhMNs7PG83pKz7jnb7jedVTzZrqNfx1zl+ZVDyJG0bdQPfM7mSlWPnzkE6wB9yWVLZWNXPWCwu5dlIfrpvUWxblVQg8WAjXDhuKmoMHrdVpseyGaQNWTaiDS8BI+FadI+EVmiphwZPy+W/+D/qfpG8Sn0BwsPHzE1C/U/7bMBsGnabfVrMSJAv4jvoC2Tq+o9H2e92VZCo1yTRPt2z/e/fVqj3cNmMVtS1OuluSAZjYPQWDN0BW11bHi7+/yIcbPsTprZKdmjOca1fMorjLCIgVIKN9UE9yOKifNZua117DvmmT72bJuXY6/fkM0q+8D6M1RiBU2etbaxNb9RUtoOV2yvqXaKz6Uq1JlsgWzmCbxtRUMk6YQsYJU5AcDpp/W0zjd9/SNPd7XJWVNHz+BQ2AyZpP+p6vyWAkKWNGYzCZotqNxqjC8bxeXsHC5CQe7TeOTfVbeGTJI7y//n3+MeofTOk2Ra4qG5IDn8syEHVuG49+u5HvN1TwxNnD6ZYTovMXmLSNRaBeYMSqTB2VZJIUWbIhaICWjqBWaCVZoMxLW7364UThWPAkNO2F1dNhxAXQa6J+WwLBwcLuZbDyffn8D/fBRV/ot+V2ygUTBCTafIHsOH38Q4h9Ep5/7rnn6NGjB0lJSYwaNYr58+dHvO2PP/6IwWBo97d+/fp9sdQDm2ibvm+Eu4aqEp9DGkXAXo32Q6g9kzUog754ew0nPvkTHyzeicEAl03ogaEgmztzs5m65C4+2PABDo+DYXnDeO7Y5/jgpA84tuRYjAajNpH9IOH6CNm7wGx6yIG7JEm0LF9O2Y3/ZPOxx1L11NM4d+3CmJJEVq9mup+TQY/PPyf38sv8ATLiqfqK0oqiR/uDGI5UPNVpkWxGsGswGkkePIjcK6+k+3vv0mfBzxTcdx9pEydisFpxNpmp2ZDGjr9dzaajjqb87ntoWbYMyeMJfiy1mXVrGimSxOVkMuv0Wfy5758xGox8v/N7pn02jft/vZ/atlrf+z9xSE9OG16IR4Kn5m7irBcWsb0q4DMT+HlztsR+fLXC/Wh0cqPZjVD1oIpQB5cAJzfeDXTLXP/52u1ye5hAcCiwPcC32fJ9fLZC9UQIDGTrqPaMWknm3YvUCuzjnb7pdnhtRtifteoehQnk1bc6ufHDFVz17jJqW5wMKMjg0slDwVuF5PK4eG/de5w04yTeWfcOTo+TwwsO58M/fciDJSdT7HJrHtLjrq+l+tVX2Xzc8ey59VbsmzZhSEkh6y/n0OOSrnSfXE3mxLGxA2Q+u1FkKzxuf4W7roBWlL0ejdVpGgNa6tYZQ+8uiq9jsFpJO/IICu68k97zfqTbe+/R6fzzMaXbcDuM1M3fSOnFF7P5mImU/+9/8jRxSfLa1TB125KMwWDkiNY2Pp70LHePv5u85DzKmsr417x/cf5X57OiYkXQa33Pn8eSbjOzvLSOqU/O56MlO/2PjcZKMjXvl54km7NV7lYgSpBMq02F0ESbySIPoiJOH8LjgU3f+f/f+oN+WwLBwcT2n/3ndyyUv7968fkIBn9wLDlBifBDiA6vJPvwww/5xz/+wXPPPccRRxzBiy++yIknnsjatWspCQw0hLBhwwYyMvwOQ15eXkcv9cAnWtY2nkqyWML9au2G2LO73Dz+7SZe/GkLkgRFWcnc9KcsFte+w6lVNbitaSC5OSz/MK4YegVj8se0F7iPNWI+EKNR3qSdzd6gXpjPTJi2Bo/DQeNXX1Hz9jsBI9shefQoOp11FukDsjC+dwp0yg5fEaM3oKWq6kuD00wMJ1dPq0Qsm6hbq7lTJ7LOOJ2sM06X2yn+NZzGLQ6aqnJxV1VR+9571L73HubCAjKnTiVjYIbckql6uIL/c5qbnMvt427n3AHn8uiSR5lfNp/31r/HF1u+4MqkEv4C2FIzeGLaCCb278x/Zq5mxc46pj41n//+aSBnjynGYEmRNxck+TMYy9FWU6WnZmBBJLuJnm7pm0wVUF2RqEqyvauD/y9fBZ26xWdTIDjQaamBuh3+/8tXxWkvTLtlPIHsqPqGOqZbBg0CiKVJprWSTP69W7i5in9+vJI99W0YDXDVMb34+7F9sW6UDwB+cVbz4BdnsbluM3i1pm4afRPjC8fLdrb94l2Hun3E2WaiZkUGdTM+xmOXK3tMeblkX3Ahnc45G1NGBrx0DLToFNkP9zoEXqalyjtau71i05ykreW3QyZmxu8/4E28pYwcQcrIEXQZBy0fP0FD63Aa1jfiqqyk9q23qX3rbazdu5M5bRqZNY1Y1K7VYJA/w/Z6TI5WpvWZxpTuU3hzzZu8vuZ1fq/8nQu+uoATCo/knyYT+cYkpo0sYUyPXG78aCW/bavh35/8zvfrKrj/9CF0SrVqk2xwBOzzkarW9QjtR9F2lQd+WeVAt70xfIdKNCIl2pzN8fkQdTuCfaR4f0cFgoOF3Sv85z0uqFwPhSP02fIN/unkrxJVfPy2enUdMoKOD5I99thj/O1vf+PSSy8F4IknnmDOnDk8//zz3H///RHv17lzZ7KyVE5pPFSIFjDSOmodFaK7aDwQDwjiba1s4voPlrO6TF7PlBEekvK+5I6l3yEhZ9uObGnl8oEXMuLo/8a2qUVk39kceb0Ba3Q3NlL73vvUvP027ipZ4NBgtZJx8p/IPv98kgYMkG9bvjr4vqHoDWhF0+rQXfUVzWYHVKd5PJrXakxNJaNfKhmdK5AueJfmMg8Ns2bT+N13uHbvofqVV6kGrOl5ZAy3kzF5G7YePaIbDRPI65XVi+cmP8ei3Yt4dMmjbKjdwMPONXxalM+t7mbGAqcOL2J092z++dEKftlawy2frmLu+goeOH0IOdY0+TviaAK6RH98NZ8BNYMl2tmN1m6pw55Ca7RKMpWT6CJRuSH4/71rhC6Z4I9PaHC4cn18jmg4eYV42i0TrUkWGIgxRXAlteomen/LXJZUHvt6Pc/PkxNs3XJSeOzPwxjVTf692ulp45HOuXxvqoG6GrJsWVw34jpO73M6ZqO5nb1YlWTOigqqX3mFuvfnIDnTABfWXr3IueSvZJx8cnDFmJYKJd/roGKvN9nC63NGIlryqiOSbB53gP8Un3B/+LWq90sMyRmk5jtIHdKd/JefpennBTTMnk3j3Lk4tm+n8vHHqcRAan42mf2WkH5aX4zJydGN2tLkKaxevzjFksJVw6/izL5n8uyKZ5mxeQZf7/6ZeV0LuLzFw4VuB107pfD+ZYfz0k9beezbDXy9ppxlpbU8ctYwjtIi3K9Gk07rEC1CEqPhErzWNNkX0Jpoczv93+lQyYaGsvgqySpDuob2rtFvSyA4mAgNCO9dqz9IFtZ/UGIqkvxbl6xCguAQp0ODZA6Hg6VLl3LLLbcEXX788cezcOHCqPcdMWIEbW1tDBw4kP/85z9MnBi+J91ut2O3233/NzToELE+GJCkDnByVQSgtGSvvOurdyfxp6d/psXhJitrD/0H/MbCukUgy5ExqXgSl9dUM2jbFzAkhrOlpiU0EFuGrGUQ0SFrwtVmpOZXido3JuFpkm9nzs+n01/+Qtafz8LcKeSHoyN0Oojx2uq2GcWRitdxDneg42yWf3C1rtV70GDwtJF21GTSjjoKT1sbTfN+omH2bJq+n4ujEarmV1N14lSShw0jc9ppZJx4IqbMcJp8kV/LcYXj+PBPHzJj8wyeXHQfW6xwacV3TJl3EzeNvomirHzeu/RwXvl5Kw/P2cC3a/eyvLSOBZZkbDRq0+OLqkmmY4S7KuH+eCrJwmiSxdtuqTi5vY6VWy8Dq2sEgj8qSltxj6PltglnCzSVQ0ahPnvhKsfjardUWvoS5D+oSWAFVhFFGqYTxuZ/vtrOB3vkVs5zxhRz+58Gkmoz0+Js4eVVL/Pm6jdwpqZgkuCcgedx1bCrwk+s9CUWw/sPzr3e4NiHHyI55MdLznGQM3UoabdOb6+VqfZ5hxItwBF3QmwfSSsEVQ4mKECIzrUG7KUGq5X0SRNJnzQRd1MzjXPmUD9zJi2LF9NcnkTzXY9gfPQFMk48kcxp00geMbx9xwKR/bG8lDzuHH8nZ/c7m/t/upXlDVt4Ms3IjM+mcfNhN3NU16O46pheTOiTy98/WM6WymYufO03Xu/ZwkRUVo5r9R/UfJdQkRi1pXuDZBoTbUGtXAHFDIlo5wr1H5r2ym1nlhhBToHgYEaS/D6E8tmv2arfXrhkjtkma3E7W+TvqAiSxaRDa+2qqqpwu9106RJchdGlSxfKy8vD3qegoICXXnqJ6dOn8+mnn9KvXz+OPfZYfvrpp7C3v//++8nMzPT9FRcXd8hz2e84W2VBeiI4fPE4uQkS7m9tkiPXm+rBbtpCQb+3cRc8yZq6RRgwcEL3E/jk5E94ctKTDEopCF5DxDVGceyjrrd9QMexaxd7nvuAzZ93oXpxM56mJmx9elP40IP0/vYbcq+4vH2ALNCmq03OoIWiZzIVB6HjHG2dRrNcUaCWMJOajElJZEw5nq5PPkGfxy6gcGwtqX2zwWSideVKyu+8i00TjmLXDTfQ9NNPSC5XgL3oASiT0cSZfc/kS3MvzmloxIiBOdvncMrMU3j595dxSU4uP6oXM685gj6d06hqsrOrWS5RdrVpqKJUdcCocmImsVqk4phu6askC9fK5S3F1oPHDfVl8vkeR8mnQpNMcChQv0s+7dQdMoqCL9ODb18J+O7H1W7ZQUk2Nf6DxyXvn7FMtsi/ZcvLXWQkmXnuvJE8cMZQUqwmvt3xLSfPPJlXVr2CU3JxeGsrn1S3cMtht4QPkBH5d9m5t4Lye+9jy3HHUfv220gOB8kjRlB861/oNrmK9O7m8AGyoOetI6iT0KrxDvAfokpAeB/HaNFY8RYoEB9mX9HVwhn+82pKSyXrjNPp9vIz9PrTXnIHNWIpKsTT1ETdxx+z49xz2Tr1JKpffwNXbUigOUZb6ICcAbw58Cr+V1FFrmSgtLGUa+Zew7Vzr2Vnw04GF2Xy5XUTuHCcLC0wf4f8eW9qVFGZreYzpaxPGUylhli+qZ42awKTbFnBgv+JSLQpv5mFI/zrjud3VCA4GGiuBLddDjwrUy3j+dxHmu4bT6LtEGSfNKSGZm0kSQqfyQH69evHZZddxsiRIxk3bhzPPfccJ510Eo888kjY2996663U19f7/nbu3Nkhz2G/49u4DeGnMmrV/kCrJln0TXTlzjoem7WUX5Js/K/QRUr3F2gyrsFkMHFKr1OYedpMHj76Yfpl9wt+zGgZLI/bL5quut2yvfPk2FXG7tv+jy1TTqDum8VIHgPJXVPp+tyz9PjsMzJPOQWDxRLZZuBjd4STm0ibqlowEphdDrSpZYJhDO0Tk9FOZo9WSq46kj7zfqTzLTdj69sXyeGg8auv2Xn5FWyeOIm9Dz8sTx1T2XqY6Wjl/6pr+WjAFYzsPJJWVytPLX+KaZ9NY0HZAgYVZvLFdUdy/uEltCAfCDzw2VJ21cYQ79eSCdYVyI4SJNMluut1YgOzwMrmKXm0j5lXaK6ShYINRigaJV8mgmSCQ4E6r++RVQyZXb2XxfHZD5fEiqvdMsoBc5LXf3Db5Ul7muxF+c2zpHq1HaP/7rU4XPz7k5V4vAmJnkX5zP77BKYOKWBX4y6umXsNN/54IxUtFRSlFfHkYbfzUnklvVtiHNyHBMnc9fVUPPooW44/ntp33pGDYyNHUvLaq3R7713SxgyTt7FIshUej76Ww46QVrBG8x86ePCPpr0+hkB8B03MtKa5yRvWRq9vvqHkzTfJnDYNQ0oKjm3bqHjwQTYfdTRl/7yJ5l9/kwX3VfikBmcTJze38IVUxMWDLsZsMDNv1zxO/exUnl3xLEaTi7tPHczrF4/xJbOXbNzJzOVl0Z+PGk1TS0ByXO2eHyv4FqvKLxJKECwpRBInEZVkDXvk04wCyPLqVgsfQvBHp97rP6QXQHbP4Mv0ECmJJSZcaqJD2y1zc3MxmUztqsYqKiraVZdF4/DDD+edd94Je53NZsNm05DVOlgJbDsMl+HUU1WiRZMswqbs8Ui89NMWHlvwOQU5P/BBchfAhdlo5tRep/K3IX+jOD1MdZ8tSsbSt77AaYPaK8mc5eVUvfACdZ9MB2/VUeqgruR0XkHKcUdhmDRJnU2zVdYLcdvl1yF0tHXc7ZbhnFxv9lG3zlkUZ1RrxVuHBt5iT7wy5+aSc/HFZF90EfZ166ibOZOGL77EVVlJzauvUfPqayQN7E9WSgoZ3ZoxRWtF8H7e+mX14Y0xVzNr2yweW/IYpY2lXPndlZzY40T+Pebf3HvaEKp35UHVdsorq5j65HwePmsYUwblR1hv/N8lzXbjabcM13prSZKrAV1tspOrVcgXoHG3fJrWxb/RN5TpGzEvEBxMKA5tZrEcKCuNt5IsTBIrnixwtMqv0ESQmkohNZVkRqNs2+FtW0/r3O4ma3bXc937y9lR2cBDSXLL49MXTUBKsfDKqld4ceWLtLnbMBvNXDL4Ei4bchlJSiWN2yFP2YwkTu/1ITyGJGpfeYWql17G45XkSB4xgrzrryPl8MP9SdtYv6lB8gJaWg69v7NhB+p0pKapTmkFj1MOlgZ+DvTu9WabXGnuccnPPylkTb69PkGTPQn2HwwmE6ljDyN17GF0+b//o2HWLOo++oi2NWtomDWLhlmzsHbvTlZfJ5mpRszRfFLv46XZMvjn6H8yrc80Hvj1ARbtWcQLK1/gq21fcfvhtzOx/1hGTB0BsyBJauUfH65g4ZYq7jxlECnWMIddal4D33epKeJ3qb3dGO+ZXh9CuX3oe5mISjLFh0gvlINkFWtFkEzwx0dJsmV29SfZ4gmSRepuERMuNdGhQTKr1cqoUaP49ttvmTZtmu/yb7/9llNPPVW1neXLl1NQUNBBqzxIiFX1paeSTM3kyCibaEVjK5d+/CabHTOxdS2jBrB5PJyR1JW/nvIm+akRAgqorCRT1mcwqS/vt2XgajVS9cYc6uY/7dMZSR0/nrzrryO5+guY/1v7zT2m3TRoscfIsGq12RHtllHK5zt0GEACBYIJv1aDwUDSwIHkDxxIl5tuomn+fOpmzKDpx3m0rV1POVnsXeEhU7qVrHPOJWnIkPYVqwEHdgaDgT/1/BMTiyfy7IpneXfdu3y17St+LvuZG0bdwBlZnaAK+mUb+bLKxRVvL+Wicd24deoAkiwhAR9NlWQ6gmTRWqTcjvYHNGrthq43KUvWUWqrA3RMpFSywOkFcqAMg3xw1FKtzqkXCA5WlIBYZnFi2i3DVpLFMZ0qWku40RRwAN4Aqbkq7KmcPG1L9wfJApAkiXd+2cE9X67D4fbQOx3wqhksb9jEvXMfYGu9rMkyJn8M/zn8P/TM9AbeDQG/v44mMIckrpTHaG2kbksKVXPewVUrP39bn978P3vnHR9Fvb3/97Zseq/0qiCoiKigYkWaijQbir2LDdtVr/1evfaGvaBiL0iTYsUCNhCsiHRCCgnpfdv8/pid3clmZnbK5vsT2Of14rVJ2JzM7s7M5/mc85zn5F03k9Rjj+m4PkTjUabtBTq53TKyMGQ1phSjXZLM5Fpvs4mvv7U2dq9f98TM9jEdqSlknX4aWaefRsvvf1D73nvUL1qEZ+tWKrZCpb2AtJKPyLxiEMmHHaowbb39NdQnow/Pn/A8n277lP/9+D+21W/jok8uYkLfCVyfNRSA3ulgq4L3Vu1gzfZaZk0byr6Facpxo70H0jWqW0kmfWZq+waTanS1cyHWSrK04B6icaf5eHHEsTtA4gpyJXp9qfnhP2pFrFh5D+8l6PR2y5kzZ/LSSy/xyiuvsG7dOq677jq2b9/OZZddBsF2yXPOOSf0/Mcff5x58+axYcMG/vjjD2655RY+/PBDZsyY0dmH+s9GFAPaTvcUkcX1B/zM+vE9Rr07gS2Op3EkleCyJXJu6j4s3VHKLZlDtBNk6DQxl28SdMj7fTU17PykmI2L8qn5/HcEj4fkQw6h5xtz6PHKyyQNGfLPao3sDP+wRI0JhaYTWp3x2q0NQ7AlJJB2/PF0nzWL/l9/Rf7NN5GQ7kPw2amdO5+tp53OlomTqH7zTfzyYR4KG8UUVwo3HXITb534FgOzB9LgaeCe7+7hPGEHG10uLh9RwCVHiZuz177bxpRnV7JlV4SvmC4lmRnjfo3WnnbqD7MkN+J4rZJcqQqc3kWceCepLpsqzcWLI47dBdJGLq0wmCC2eN6HCmOyazQxYjqVmXjRNsx6OYQeT1P5/8viNrb5uPqdtdw+/w88/gCjBhbwwQX7U2O3c1teHhd8dgmb6zaTnZjNfUfex8ujXw4nyAAcLlHdrXK8giBQ/+mnbH52HeU/ZeKracTZpYii+++n97x5pB13rLLlRzSPJqsth5prvUmFd8DXsUXW7Lpsd4Tfg0gOYTYmel+/GY+3aEoyda6TNHgQRffcTb+vv6bwnrtJ7JaBELBR/+NGtp93HpvHn0j163PwN8jOL4VryGazMbrXaOZPnM/p+56ODRsLNi3glLUPMS81hXy3hzcvOoz8NDcbKhqZMOtb3v5xu9jiGfkeRE04Gyy0RWsNNmMBgcbxWt2A+33QVCF+ndYFUoKFtTh/iGNPR2Ow4y61MHzeB3zmryU1O4SQZUPck0wPOj1Jdvrpp/P4449zzz33MGTIEL7++msWL15Mz56iSqGsrIzt28NSWo/Hww033MABBxzAyJEj+fbbb/n444+ZPHlyZx/qPxvRkmSJJgw49ZiNy8iIN+Bl3oZ5HPPOeJ5fdy+CqxxbIJEpfc7l89M+4YakPuT6A/ra+fQs9jqnSAU8Hqpemc2mMWOp/nITgt9OUs9Mesx+hR6vv0bysGEdY5qeGtkZ/mExjCm1yCkmySwm8zrFINg8yZXgzM4m5/zz6XNKCz2P20XG2GOxud20rV/Pznv/w4ajjqb0X7fQ/PPPCK3qG7tBOYN468S3uOmQm0hyJrEm0MipXQt5pnIFM0f3Zvb5h5CdksAfpfWc9OQ3LPq1NPzLBq8l3dDylrE7wj4lRo131a4DqyQ3pCQLJsmlxb6xwly8OOLYHeBtDV9TyTlhJVbTLnPx5NOs5fcqVyI4g1PejCayo3mIGVWj697Yt1errC9vYMKsb1n4SylOu43bT9qPF6YP5budX3BKtyIWpCZhw8Zp+5zGgokLOLnvySoJLeU1pOW339l21tmUXHU1niovjgQ/+RecQt8lS8icNBGbQ6PtW54olCcxQq9ZIXGpB525hqLwmVlJaIU4RMT5ZSVmrLmO/JyyOAzAkZpC1mmn0fvm0fQaXUnm4b2wB73Ldt53HxuOPoayu+6i9e+/NX390hLS+PfwfzNn/Bz2ydqHWl8Tt+flcGFiG0W5DSy+ZiRH75NHmy/ALXN/45p31tLUFhw+pHcYhNEJ2brbLQ3yB7XjtVpka6oQPVFtDvEemhrnD3HsJWiqEh9TckX7AOk+bDZBrDb0Lt5uaQid2m4p4YorruCKK65Q/L9XX3213fc33XQTN9100//FYe1eiOYfZklJpu2j5AHmOdp4ae5JlDWJiQHBl0xv91hemHwtRWlZEfF0JMn0LPYhQqqcGBQEgYalS6l45FG8O0Spqrt7Dvm9/yZlzEhsI0YoxKxv//f1ojOMd9U+M1+b2EJnJqYawY3Jcf4fTeFsF1e/6s2WmEpyfgPJl1xCwd33U7dgIbXvv0/b339TN28edfPmkZCeTFZfyGgFpa2S0+5k+n7TGdVjFPctnM5yz05erPuNZQumcNfhd7H46pFc884afthSzYy31rB6Ww23nNCHBD2fl1sj0aoEQYiuMnCniT45ZivBMVeSydotCS74lfFKcBx7OJqDyTC7S7wHS5u7JpObO29z2PtKyVOkocV4IluvkkzNtD4SegtOsnXuw9U7uG3eb7R6AxRlJDJr2kF0zfVwzZfXsHzHcnA46O+DOyfM4cC8A7XjJqSKbdzB1+XduZPKRx+jbv58AGyJiWQP9pPTowzHqSeCHu9a6VgFvzhBMCFiSJJZQ/wEjWSEadWXXSySeJuCa0qe9ZgEOURd8f+NkszXFpzoZlJJhiC+/sjfVfPM0oyZRlK2l6RR/ch/8gPqFsyn5s238GzaRO0771L7zrsk98kiqzCRtJEpqOkID8w7kHdOeoc3Vj3BM3/M5qcEO1MWTOGyAy/jhXPOY/aKYh5atp4Fv5TyZ1k9z509lH561YRGeb7u6ZYx4g+xLLLZHbJiQ5w/xLGHQ+IQ0jmfki/egxsrIG9f4/HULJri7ZaG8H8y3TKOGCDW7ZaCoD3tCmj2NjNn+yeM696Fe7NSKGsqJeBLxVs5jmsHzGbBWXeFE2REmZ5l5ng1PE+a16xh2xlnUnLdTLw7duDMz6fovvvo/d/zSO3Shi2qV4VJ/7BIkuf3gq+l/XOsxpS/J0ZjJmm0W7ZG8adQQ2cY90dLkraa2IzIYjoyMsiefja958+j1ztvkzFlMrbERDz1LnauyWDD+MmU3nYbLb//oRiqKLWIJ/OP4bGdleTb3Wxv2M4Fyy7gpXUP88K5g7nimL4AzF6xlUtf/jL8i3o9yZRUCpHwtYqbNa24pj1FVK5Vo5XqSEjKGSlJkBpvl4hjL4B03ifniG14KcGEhdnzPrQm2Dqu+WY3ttHUroY34PqU3tL/f/TDX1z//i+0egOM7J/LwquOYHPb50yaP4nlO5bjtDm4sqaWd9tSoyfIZMcbqK+i8umn2TR2XChBlnHKKfRdtpT8g7w4EgT9a16CfBpnLH09tQpNJhNvWnGtxJQKbZGFkmj+VprHqbKuyI/byLG6ksQJypExQnEt+Jy1NeJITSF72jT6LFpIj1dfJW30aHA4aN5cQ8nKbDbeNpfKp5/GW6GcBHfZXZw/8Gw+KinjyOYWvAEvT615iulLzubY/X28d+lwCtLdbKxoZMKsFZRJcaLxUsNKsmjTLaMMQFCNq5LUM9oOGolQoiB4/4y3W8axtyDEIaQkmUUOoWaHkGiSP+yliCfJdhfoNe73NIqT5KLB2yLKmul4EdV76nnh1xcY++FYHvz1GSqcTvJ9PgLlY8iovJN3Tr+Vi47YT8MUXQcxMeJJJtskeIqL2XHtdWw7cxotv/yCLTmZ3Ktm0HfpEjInT8ImJYn0eIoYgdrxym80sZoaKSW4XCnGJwLK2y3liRhBCFcOkrKUf1cN0nvlbRY9I+QwPWremieZcsyOBM1ms5E0ZAhd/vtf+i9+j8JhtbgzvQitbdR9OJetU6ey5dTTqJ37EYHW1nbhbIlpjGpuYV7KEKbuMxWAd9e/y2mLpjLygBpePGcYaYlONu4QvQT8jiTtz0s6PsEvJsCiIfTe2NqPf1d8zQYWPL83/Pcj31+zpFlCS7X4mBT0Iot1u2XDTn0Jxjji0IOGnR3vaWagVAUm6Pvh9xqPJ09odTCXNzuRTq8nmVElmfa614ioxvprayk2G1w3ah/umVLITSuu4J7v7qHR28gBuQfw/qAZXFZbj0vnPV9wpVC3NYlNl97DrqdmIbS0kHTQQfR67126PPA/XAUF+ocLSLDZtJOQnTL8xmThDq3kk0n/UTQsG6SkWcgXzwDUvK+k4zTKdWw2mQVGjH3OPO35Q8rww+j25BP0+/wzckbm40j046ttYtdTs9h43PGUzJxJ8+rV7T3GgvG6+fw8s7OS+4bfRXpCOuuq13HGojP4vuZt5s0Yzog+OTR7/GwoFhVUPrV1XoLRJFQ0fmaGP6DR2WK2aCehOcgfJC/TULtljJJkrXXgaY5NrDji8DSHz1mriOQQqVYLbSr2Cmb5w16KeJJsd4FCwqgdIqcS6Y0HoQ14dWs1T/z8BGM+GMNTa56ipq2GJFs+/66sYUlxKaOzj+Pjq45jSHcVkqTTQ0w8XgOeZO40/HV17HzgQTaPP5GGpUvBbifz1Kn0XbqEvCuvxJ4cbIvQO50qVkkd6XtnovoYetWYKu9BKJllgoxKBFcItP+MvS3hFk6jJFf+eaolCf8PpltajelwCWT1a6b3BA8933qL9JNPxuZy0frbb5Tdeisbjj6GnQ88iGfbtmA88bpI87Rw54g7eXH0i3RN7UppUymXfHoJK2qf5d3LhnBArkjuq3xunlm+kUBAJYkjJ8B6SK5c7aU23caM8a6WUtGsR4mESJIby3aJL++DR/aBRddZjxVHHL++L55Pr0+wnniV/ESSc8THpKyw0sWML5lW+5VZkhtan6N5kum89nV4ki39vZwF68R4eQkeZp9/MJlFKzlt0VR+Kv+JJGcSNx1yE6+Pe51+jpSo8SS0rF3L1rd3Ufp9Fr6qOlxdutD10Ufo+dabJB1wgPgkua+bkQKWlr+rWZP9zphkjcb9v8UKh1BRo1vhJdH4k9Fp43SCBUYUTuoqLCT/iDT6n7yTLtecStLQoeDzUb94CdvOOpstEydR8/774WKbS+SkNuDkriOZP3E+o3qMwif4eO6X57hy+TncMjGVy4/pS6pN7EZ4ZHkp5XUaBbRYe5KZVY6r8T6rG/AORbYgf2irE30fraByPTy2PzxxgFgciSMOK2itg6cPhUcGQOka6/EiOURnKcniSTJDiCfJdhdE8/9wusGR0P65WpAR3PKWCh748QHGfDCGl357iUZvIz3T+pDVdC4Vf17DmIYACcAjp/QlK0UjEWTGk8zfpl5p9zQh+KF6VR2bRo+hevZsBK+XlCOOoPdHcym6915c+fntfyfaJt+I2k0xbgwNctWmRraYVHwRTNZJ54Gc5EoE1+YwfqxOtzjyHq0kWQw9ycy2sEa7+Qern7bEVJKHHkTXhx6k31fLybt+Jq4uXQjU1VE9WxwAsf3Ci2j4pUQUWwbVCMOLhjN3wlzO2PcMAD7c8CFXfTONyUeJlckGIYkHl67nkjmrqWtROKclDxnZsWhC18RME5VbeWLX4YqIZ1GKrUZyrVbbfG3w7WPi16tnmzdEjyMOCSseFx+3rYDiH6zFiqwC2+3htgkzvmRaRTEzJFdtEIBiXKOT8zren/wBgQeX/sVlb6ym2i96gY0YnMALG2by8KqHafW3cljRYXw44UOm7zcdh92hS5nmLSuj5IYb2XrGmbSWtGBzBsibMoI+iz8mffz49up2ebu6WnFRCVrvbzRvWDVoDW2JiddXxLG2WlB9daaSLFb8QSsmsmM3VGTT4Rna1oDNARnHHU6vt96k90dzyTx1KrbERNrWr6f89jvYeMyxVDz6mNiKKTvG3KRcHj3mUR4++mGyE7PZULOBc5aejTtvKT0zxST92soAJz31DSs3qaxvRpVf0fYNplWpKglyiT8odR3oQWSRLTEzzD2tTuNbNVtMtjVVwpo51mLFEcdvH4jejf42+P5Za7G8LaK3InI1eowsGzrYNcSTZEYQT5LtLojmSYbBk9/TyFank7uyMxg3dxxvrHuDVn8rg3IGcfG+97D9t8vZvn0gualJJKaKpMgebSMerVKtdKwqxysIAvXf/8GmJfns/HgL/ro63P370f3FF+jx8ksk7qtiZNgZbXxotQvEgOB6IiZpSWTADBm12ZQ9RUIEN8PY6HopZjT/tFh6kpn1ZIuqTuuoKnBmZ5N78cX0/fQTuj33LClHHwU2G00rVrDj4XfYuCifXV+X4asUF6pkVzK3Db+NV8a8Qve07lQ0V3Dtn89wW242zqxMEpx2Plu3k5Of+pY/ShV84Yy0S+h5b81MzNQizmbbL0Bs85bOMznJJQYmoTt+CishAYp/tBYvjr0bzdWw8/fw99tWWosX6SeC7Bows7nTslcwqvgiwl4hVr6mKiS8ttnD+a/+xDPLNwGwb88uvJ6exrmN3/Hbrt9Ic6Vx9+F38+IJL9I9rbssnvr0zUBTE5VPPsmmceOpX7QIbDYyhhXR98QKcscMxJ6Y2PH4JM6k9ZqVoPU+WPU09TR0nMYYEw4Rcf+XzjkzhTa1JFlMlGQxTJJpraVmPidD3Q3icxMHDqTo3nvp/9Vy8m+6CVfXrvhra6l64QU2Hj+KHd+k0rzLhdAaLNDZbIzpNYaPTvmIcb3H4Rf8vPz7y5yf4eEXdwJ52dnsavRw9ks/8OzyTQotnAaLYp2mJFPhEO26DkxwiMgim5zPWuUQm74If739e2ux4ohj67fhr2PFH+yu8D0ryQJ/QKOzK54kM4R4kmx3QZRJj6CvEiwIAmsq1nDN6oeY0K2ID5Mc+AI+hhUM4/lRzzMy5V4em59AfYufA7tnsvCqI0lMieLzFTpGA60IDld4lH3Exdry229smz6dkue/xNvoxJHmpvCeu+n90UekjhypHVfrBhAImK8Eq5HRWJjuCoH2hN4KGUWF5FqNqUbOzFRsiXKuhnxKkjsqnTRjRiG5GkoFm8NB2jHH0OP55+n7yTJyLroQR3oKvmYnld/Ws+HY40TvkVWrEASBQwoPCakgbMCCtFTOz2rljlPtdMtKYnt1M1OeXcn8tSXt/5ARUqrnelLzpNEVVylJZsFTpLUuPJFP2pzFatx0xbr235esthYvjr0bOyMGdlg9nyKVZMgSxGbOfa1EthnjXQV7hQ4wei9RIOF/ltZz8qxv+frvShJddu6aXMSbmWt4KCeLNgIc3uVw5p4yl8n9J3f0NFW4PwuBAHULFrBp3Hh2PfMsQmsrycOG0euD9+ly9nBcSYHoBTFXsjG/K60pnzpaTDVjQlgxIMHMkJrIuPJjDQTC31uxbIilkkzt3DLLH9A7UMjIdEsjE9fbf/6OjAxyLjifvp8so9usp0g+9FDw+2nYYmfbZ3lsvfRm6ubPJ+ARCz3Zidk8eNSDPHHsE+Qm5bLFaeOcogJ6HbqdiQcVEBDggaASs7FNpsiS+L9uT7Jo0y1NGu2rcQhnAjjc5mKioCRDfh+1oCTztUHVxvD3cf4Qh1XIi2x1xdZ8d+X8QVoXkyye92qJbOmeqHeK9V6OeJJsd4Eebw2NMeP+gJ9Pt33K2UvO5pwl5/BF1S8INhtH+V28Pu51njr2Rd5Y7uahZX8jCHDGId1579LhFGUk6SPPZvw/Irw/vCUlYivFqafRsmo1Nped3EEN9L1nMlmnnYbN6YweU7oh+FrB52n/f/Ljj1V7oKUWhBRlKbkVPxFUPEWsHCfy1x9xbpmNKyekkdXSzpqYqXMaW0L37uTfcAP93nmSLsNrSCog7D1y9nS2TJpM7Qcf4PbZRD+d3mfQy+Ol0ubngbU3cMzhXzNy33RavQGueWct/1n0Jz5/xJAMPQQyWqsEctJswpNMUaViocokEVx3eji5GQuCC1D5l/goXS/Vm63Fi2PvhpQkcwYVSFbPJ6UWeelrMwoIPdeoEZKrx9/QrJIseE+bv7aEyc+uoLi6he7ZSVw5YRfPbLiM1c2lJAUC3E4uz416jsKUQu14wdfc8uuvbDtzGqU33YyvogJXt250feIJesx5naRBg8L8Qe190KO+V4IuJZlRy4JE0epAKa6l1kiF+2ubrFgRy3ZLK4W2BBX+YOW1x/pzMuiTqwSbw0HaqFH0fP01es+fR8YgNzaHQOuGbZTe/C82Hnc8lU/NCinTj+txHPMmzOPkxiYCNhuzt3zEjqT/ce24NBIcdpb9sZNJT69gy66m9scYq+mWlj3JNAptZjhEpJKMGBXaqjaJrdfSNdhSbZ2TxLH3wtsSTrpKSWErHCLEH+TnfVb7/zMCQQgLQtRaotvq40OwdCCeJNtdoCcBpbA4NXubefuvtznpo5OYuXwmv1b+SoI9gSk5Q5m/o5SnbUVk2vdh0tMrWPxbOS6Hjfsm7c//phyA2+lQjdsBvjYIBCteek1tg3H91TupeOSR9q0UEyfSd+Yw8vZvwJFhoGVAXjmMPF7pPbQ7w5sj3XGjeH+YIY42m0xSK/NsstJuSWcpyWI8ZCBEroT2Kjqs+Jzp8yTTG9eemk1GrxZ6jW+l99wPw94jf/1F2b9vZ+PRx1DxyCMMqoX3Sss5yyVu/uZv/pCqjPs59Qjxenjp2y2cO/tHqps8xtolpA2FnsS4KSWZQpXdzCAACSGCK08UxKjdsnK9+LjPWPGxZou1eHHs3ZAIbf8TxMeardYIo3Svld+zrWzutPy5zGxCdfEHg22cwfupz5nCfxb9yTXvrKXVG2D4Pk72PfBdXvjzQVp8LQxN68WHJWWc5rF3VI8pHKO3xUbpzf9i62mnhyZY582cSZ+PF5E+ZnQ4RtT7vQnTfqL4Mpr1NFWzLPB7w8dppjUyWYM/uJKNDxMC9fY2K16paspxKwXBWA9DkNZSX4uyn1YgYOicStx3X7qc1IV+E3aSd9ZonAUF+HftYtfTT7PhuOMpvflmWn7/gwy7k/sqq3hsZyVZ7kzW16zn9e3XMn3sZvLSXGyoaGTCrG/5cr3c46wp2p/X1zkhxfM2i3YJeqEV14plQ3Pw3E2WnWOxsGzYFeQPXQ4KTx6u2Wo+Xhx7N2q3ix1A7nTocZj4s2oLnDTEHzLCP7Ny3svtFdSM+5X2XnF0QDxJtrvAE1FJUoKMNJQ2lvL46scZ/eFo7vvhPnY07iDDncGlB1zKsqnLuKvoWPp4fezyupgw61s2VDSSn+bmnUtGMO2wHu3j6vE9khMVnaRUcKZSvSGZTef9i6oXX0LweEg+7DB6f/gBXf53P66kIFExUgl2OENThTqQfXk13agvl5qpqxXiiIpvTczaLZU8ySwqyeSfsyCYf/2upPD0t1iZ+cZISRaOJ5nsN5K4336i98jyL8m/8QZcXbrgr6uj6sWX2Hjzm1R9k8lVlV15YdTzFCQXUNxQzCc1dzDhmLUkJwis2FjFhFnf0iAkah9ju+PV0TJipl1Cswpswbi/WZrOo9Aq4Wu1Np2qbof42OcY8TFOcOOwgvpgG3SPw8X7kLcZGi1MPAuRXNm1akVFqelJZiJJpmeojhF/Q0EIPe+ajzby0rdbAIFxw0vZnnQ3P5SvJMGewI3DbmT2kOvp7vNHvecFGuvY9Ucqm257j7r58wHEYtmSJeRecjF2t7v9L3Rakkxj+E+sPEglyAtZ8g2SXij51ljlJEpFNl9beJhOLI37rSjJYj0MQX5tKJ2r8jZZvYXghBSc7gC5Jw6j32ef0vXRR0g66CDweqmbv4CtU6ey9exzqd+eyPGNrcyd8BHHdD8Gb8DLe5ufo98BrzO4p5eGVh8XvPoTi9Y3tH99WtDTORHtNStBEHRaNljgELFWkkn8IasXZPcWv7aS1Ihj74Z0PqV3hazg+WSlcKvEH0LnvYK3cTRo2Su4ktRVzXF0gI7+tTj+EdDROiAkpPBDopu3N7/H8t8eIhDMJHdP6845+53DhL4TSA4mkAKtDdiBFcVtNHh9HNwzi2fPGkp+uoLCKtrESIz5fwiCQOOXy6mYU4OnOhNoIqFPH/JvvIHUY44JV4o1jHw14U4TNz2qJvsxHDduVfUlkQH59L/OILlWE29KlWBvizjZBROv32YTP9e2OvE9TZO14HTGxEyiqDO04vk9YuuuMwFHZiY5F15I9nnn0fjll1TPeYPmH36gYUcSDc/+QMHnNcw581ye6fob80qW8OXOd+g7ZDXVW6ewowK+amrmJLte43497ZZWPMk6qd1STnDd6YBNrFq11oJLpdVKC4IADeXi1z1GiI8tNeL5bWZjGUccUpIsqxekd4O67VCzrf19yAiUKsFWVJSaSjILnmQGleiqkE2O/GprCymJNg446HO+rRXNjAfnDOa/R/6XPpl9oHSNZlxBEGj45FMqnvoNb1064CXpwAMpuO1Wkg44QON4o7wPRqZt641rxYNUSaUr8Qd3ujHfNAnJCvzBSuIJFf4QSlDYTPInNSWZNGAghj5nmOR6TrdonB0Iqvsij0k6dps9XIiNBpnyy+ZykT5+POnjx9Py229Uz5lD/ZKltPz6ByVk40wOkNV1Lo+deg+LenzF/378H79X/0JS+t8cPmQaK9f24/XVVZzkhkBbQ3SFg57OCakNWPCLr0/PeuprDXeNaA4WsaBGVyq0WVGS1ZeJj+lF4nVW/EO80BaHedSXio8ZXUUOASJ/MAtpXVFSkrXViSpPI+uDlr2CpGpurQ0+r8j8ce8FiCvJdhdoJIyavE28/dfbTGz9k4uLCviicQsBIcDwouE8fuzjLJy4kDMGnBFKkDW0elm4aoP4u0IiZw/vwdsXD1dOkKFz0dNZtW354w+2n3c+O664Ak+1F4fbT8G5x9Nn/jzSjj22fTtGrD1FLJnsq/ifWE0+KbZLdCLJNRtTSUknvXabw+J0KrXPySAZj7mSTL3KGvIeee1Vel93KJl9m7C5HLT9/Te1d9/H2bd9zcsbj6ZfczpbGzbQkvcI++67itqAqIb4+vctYZ8yNRgy7jcgm9acbim7doy2nykRXLtdedqqEbTWhlUMOX3D54UVo9Q49m7UBZNk6V3EjRNAY7n5eEok14qniFaByMx0Sz2FBwNJsgU/bQh9nVlUQfa+T/F77bc4bU5mDJnBnPFzxASZ/DUoxG1dv57t555HyTXX4K3z4kzy0+XaM+n5ztvaCbJ2x7sbeJKBsoea1XU5dI7JlWQWEk9EKbIlZqh72mlBLaFlpSCoZg0QCJjnelqFNjnH1duJEFKjtz/GpP33p+uDD9Lv88/InT4Jh9uPr9lO5WOPsfHYYznstdW8v9/DHFJ4CC2+Fn5re5kDh31Ak0vkDDU1NWyrirLm6+mcsNmMcwj5exNLX1NPs5iAoxOUZA3BJFlakfiPOH+IwwKkIlt6V5FDYJE/aBXZoKM/ZDRE24+b4RB7KeJKst0FCoRvXdU6PtzwIYs2L6IpKAVPDgQ4JbUvZ5zweJikyrC5spGLX1/FaTW7wAlD+3dn2sT9tf+2HrPQKFVbb2kpFY8/Tv2ChQDYEhLIHlFITs4POEb2A5fCFEM9LaaKx6uWJLNAcOUmzIIQJh2xIrnNMWy3DMVUqC6bVpIpVcFlxNlo+ypaLRgmk2S6Pcl0nk8Ol2jK6W8Tz0V58keGxCyBokPqyL/+Bmq3plHz5pt4S0pIe/9z7rPb2bx/DnMGVfFHj/eZ2zeDo0sc/LmtlBdf/YmnzjyIzGQV3xg956vGBlQVmq0Swfcm4BVbbFwGvPuUfJkIno+tteYrwZKKLClLlIqn5ouLe+NOyO1vLmYcey98HmgKbpAyuonnExY2TYKg7Slipt3y/4snWfR13ucPcN/iv/h05SrGuuHh7FzqMp4DD/TL7Mf9I+9nQPYA9eMNrp2+6moqn3yS2vfeh0AAm9tNzoGQ02Mr9tFH6VtPohH9EGf6h7RbKiW0QuuySUWskpLMqhJd+j1fq5i4SEi2PkxIbahUZxj3e5tkgwuMFtrSxM9HS51m5HwKtR4qJ6Bc+fnknTmGnNanqa/pQ01pH1r//JPa9z+A9z/gzuGH8ctxk7mHhWxuWk3KPn+xrDSJI5qaOW7WCp488yCO3idP+W/rVdMlpIn3L73tkSGv1DTlhKlZX1PpHhpZdLUyAEWCPElG8N5ipb0+jr0bUrulnD80xMCuQX6tOlziteRpFO9JKvsPRURTUevpDosD4kmy3QjBRbveDov/eoe5G+ayrnpd6L97pffiTEceE9bMJXXoCaCQIPv670pmvPUz9a0+8pK9EIABPbtE/9t6SLkKCfc3NFD1wgtUv/Y6QnD8dfrJJ5N/7TW4fnkCfvxeg+RKMY1WglXIs9n2C2RkNOAT40b6fllNaCkpycyS3JRc8VEaKxyLmEr+H60WY6pVbTvbk8zQWPgUaGnTlSB2ZOeSc9w0ss89h8avvqLmjTdoWvkdfX6p5M5foDjPzpKDazlrv0JG1lbwzYZKTp71LS9MH8bAIoVj0jNowOi0KyJIbofXG6GeM5Ikkz63yI1JUibUWKgES9J2qQKcWiBOFoqT3DjMQNowOdyQnCOeT8iSsUbhbZYNrVHwFDHVbqmR4OksTzLp2D2Niu0ddS1eZrz1M99s2EU/dynTuhSy3i0m+M8ccCYzD55JolJbV+hvCghNddR8OJ/KWU8TaBCPP23cWApuuAHXe2OhTtBvr5AYReGuNt3LSlyzPmegMqQnVkqyGLZbutPAkSDaDDTvgoQeMYgpW+uVioxWJmaqeZqaGtCkkeDRM226wzFK06e1/XztDsg8MJuMpz6g5eefqZ7zBg2ffkrz9z/Q//sfmNOzG4sOFninTzk3FOQxsaGR+tJ6zp/9IzeNHcClR/XpOBRDL48y6msaTeGu1lqrO25a+yR5LCZky5NkkqF5XEkWh1lInDS9C6QGLRoseZoqKNEJnvueRuMcIlqBKNraGUcI8STZbgDB52WVU2BuVg6fLp1OW0BMNrnsLo7vcTyT+09meNFwbN8/C8KHHU58QRCYvWIr//n4TwICDO2RyfjsVPhLJ9nTQ8ojFmTB46Hm3ffY9fTT+GvFCzz50EPJv+kmkgYPEp+7PpqniAVPMpSqlgrZer1wJYEzSWz7aq7q2EJmljwqVoIt+pwlB5NkTbIkWcgQ1WxCS+E9tdrWEY3kxtqTzEwbZ0KquAHRakWIOF6bw0HacceRdtxxtG3cSPWbb1I3bz7dK1u4ZCk0LIdPhpbQZ9CbbK6awuRnVvLY6UMYO7hQOa7W+W/EbDsUV4Ps2x3h6lVbfTjhqiuuyudm1VNESl6EkmQWlT+RaKkx7wkUx/8dmnYZOx/VIBUPUvLEzZhVkitXQMgLOpbaLfUoyQxUgY14kknPlxH2zZWNXPTaKjbvaiQ55yeqCxawU0ggOwD3nvA0R3U7Sj2uKxkBO40lLiqmno5n63bxzw0cSOGtt5B8yCHBv2lQ6Ssdb2t9+8SLBNOeZCp8x9cWbgczqlBCvtZXhX9mtdAkJd7aqb4srss2m8ghGkrFay6zR+z4g+AXk8rSdWKF6+gpshke0KRRdAptZA0W2YjSyig7XpvNRvLBB5N88MF4S0upfuNNat9/n8C2HZy0DUanuvl4fw9LD06hYMAzVGw+lf8tgT9K63lo6gEkuhwKcaOc/0Z9TaMlC82qVNSUb1bbLQWhvSeZVNCIVZHNG/RoM1N8j+P/Dm0NYuLclWQ9VohD5IeLbC3VIe9iw1BSohO839bvMJ4gjlZgt+I9vJchniT7h0MQBM5YfCZ/FgUvxICHfpn9mNJ/Cif1OYlMOblQGInt8QW4Y/7vvPNTMQBThnbjvsmDcb/3VPB3dNzY9cing39TcKXQsOwTKh59BO82kQwrmvIT5UKVT9AxSkjVPNRi4R9WXyK2RkrKV8tKsojpVN6W8BSl5BxzMVOC8ns5GW+qDP5fvrmY0rEotXVYqVgTQ++4aGTPDMnVM85cI6nn7tePojvvJP+666idO5eal58jrbKOKSsFJvywlpX7/cX7XSdw2Rt+Zp6wD1cd16/j4IqYK8l0jIX3NBpfQNXaUayS3HatEoRJSSxI7u8fwocXQ68jYPp8c347cXQ+vvgvfP0gHHYZjHvAWqzmCO+8UNLVbJJMVgVWVECYUZJpJMil+5ffIyZunO6Oz+kQT4cqW25cLjPxlhToDd46snp9hC/pd7wCHNHcwn/sheRqJciA1nXr2PlVHs1lDmA7juxs8q69hswpU7A55Jt6gyqtdomXFjFBJIdZb0s1JXo783ozkygVrBWstjG608SNX8AncoiEZGhSmDJsFCmyJBky/pBqkj8kpMrUadXh89BKkjCqXYMVn1SldkuTRTaiTHpUWY9dXbpQcNON5F5xBXVz51I9Zw4UFzPpOzj5Bz8r9itn8SHP8HfaCSz85Ri2VTXxwvRhFGYkasbtANNKshhvwNWS5FaLbC014QFTqYViIoMYFdlaauHFY8VWu/M/hi4HWY8ZR+xR+Te8dLy4pl30mfkBPRJCHCJHvHdJ62ZThdiCaRRK0y2xwJ2jraXxJJluxHcE/3DYbDYGZfQlORBgSkMzb45/k7kT5nL2fme3T5DRcUNf1djG2S/9wDs/FWO3wW3jB/LwqQfgdjqMtQ3oMe5va6R5l4ttr28TTXi3bceRk0PhXXfRZ8H8jqb8RFSCI+FtDk3PMq4oUrkBWG5t6IR2iUjjfomMOhLMT+5LyQnHkszXJbJrVokRSpLFsAquRnLNtoZKn7u3WWwXioQpkqujEhy6ltTPU0d6OjnnnUffp2bS9YhqAl0TcPnh6N9ambX0Pe7/6T6+fuMjZry1mhZP8Nh1TbeUJcYDUQYBSNDdLmEySRb5/loludK5mxpM/oaSZDEgud8+Jt5ntnwNJautx4sj9vC2wIonxK9/eC6cBDAL6R4m3dOsJl1Vq8AR06mMQEsFJV+z9V6jeu4ltL/2BUHglW+3cN7sH2myryOj35P4kn7HZXdxU7exPLOzktwE9TXKW15O6b9uYcuUqTSXObDZBXJOP4m+y5aSddpp7RNkvjZxk6H2mpWQkBr2F4qlyb7a/S/UcphuLpmuNKTHahujzdaRl0h+e2YLYihYNoSKbCr+V3qOM8QhgjG9LTKj9hh6knVG4g2zSjIdpvhRzlNHagrZ50yn79IldH3qSZLy/TgDcPTvAg/M9nHvsqUcE3ic38s3M2HWt6wtrm3/GqKd/3oSeUrHG+sNuNr7YLXIJvEHd4ZoH5EWvN+31YnnoBX8OQ+qN4uF7R9esBYrjs7DTy+J/L+uGNa+ZT1es6wQYbdb9yVTGvwj/95wu6VOTzKlvXcc7RBPku0GuLLvFL7cXsJdjT4OyDugY7JJgkzmvK6sngmzVvDj1mrS3E5ePu8QLpb7FhghkFHUNK1//UXxox+x7bM8WrY3YEtMJPeKy+m7bBlZZ5yOzakiWNQy3pUuXptD/7htCWrT9CwrySIM8X1t4al7VtslpJiNMsWXGTN8ZO2WvlaRnHmawuo0syRX0efMYluHWgXTbFyNaZRgleRqeZLpr1zbktJJ797KoNOzKHhjNtsO6UbABkNKqrn3u9lMePxaHrr6AUp31hibbgnhzzgadLdLmPUUiawEW/Q/CJ0PwWtMOoetJsmadkH5b+Hvt3xlLV4cnYOdf4SVAADbv7MWr0OSLHg+ydvTjUAtSSZPfMRyOpXUEo2Blia9RbHgte9truOWub9xz6JfceUtJrnny/jtdfTJ6MNbJ77F9Kz9RfKocG/yNzZR8fjjbBo7jrp580AQSN/HRZ/xFeSfeyKONIX7TrSJeUqw2bQLeGbu90RMd5QXHmJVZFM02TcZEwXLBquqLxQsG6wW2eQxpetPeu02u3FLDbSKbFZaOLUmm5oosulRaenk4jaHg/QTTqDXRCe9RleSfvzhCA47g7cL3LygjBc//x/D17/G9KeXM39ticx7VN81H3MlmRF1OxrJNz1Fei1E8kl3uuhHiexaMYuNn4e/3vK1tVhxdB62rwx/vfUba7E8zWIhHhmHkDip2fMpWqGt05Rk8SRZNMSTZLsBcmwOkgVBRxVYXEyaG+qY8uxKSmpb6JWTzEdXHs6x+0YQJkNKMuVFz7N1KyUzr2fLxEk0/rYDbAIZw3vSd9lS8q6+GkdqFMN9rcVPnngwmixSmiJFLFRfwRuiVLFt135hwqMEhQ2/VAVONZnMIqh+cgb77pt3hQmuM9FcCwKd1G6p2i5hshLsdIutJ0RrlzBhvKtG+ATBmPeNjDRnDxvO2DmfUj77Dj4ZnkhzAvRoqOLU5XMoHn0CO39ow9dq1z63nIliIhkjJDcK2TfdLqGSfLO6IEvXm7TJlDaFVqZdAVT8qf19HP8MlP/a/vudv1uLF5kkU0peGEGbSjLGmRDejBneMEZpjzR6jeq9RwXj/m/+Kt795WeSez9LQo64+Tttn9N456R3xOmVCiRc8PmoeeddNo0ZQ9VzzyO0tpI07GB6vfcuXScUkJDqV79HSSoWV7Ixb8DQ+6CQhDSrJJPWMyHQ/p5lucgWwR+IgZIMBQ7RaFH1hcKmz6pdA7L7tqQEDb32DHPKPNUiW2cpySRPWzP8QYcSXW/chFSSsr10veFc+n/+OQnnnE5LkoMuNQKX/7iKl5f8m7V33M0PP64Pxo023dKgZUO0qZmmlWRR+IOvBfw+YzGRXW/S+WezWfOLlEPOGcx4R8XR+fB5oOKv8PflFvmDdD7ZXeFzMznCNsco1CbDy4fpGEHIrkGNP8SN+/Ui7km2OyAaYQ5CSEjBBjQ31tLs8XNEvxyenjaUzGQFI0FDG/v2i563rIxdzzxL7dy54BfbSNKHFJLb5RfcJ58LBQX6XpeeUetmDHLVkmTSjciqf5i0mZJUVZLk1gzSgz5LngbxNVttayBIAlJyRWlxU1V4HLpkVG0GEsH3NIpGpa5EmXmlyeqymkLRbCXYZhMJX2ttx0XF7w1Xf4z4yUSrsnpbjLUFKxDS44efyaD9j+Xuz24m45NVjFsVIL+uiepf3dT8XkC68Cw5l12Ju3fvjvGk19xWZ5zkRq0yGVS/qG1KEwxWqiMRqSRLilB0mkVlcBNhc4if4c4/rMWLo3Mgqf2ciaI61urn1CFJFjyffC3i9WzU2Fe+2Y+EOw2a24yR0UAgrArVUms0lOmPq7Mo1mxLIglY3foDqb1/B7uXTHcmdx1+F8f3OL5jPHcqgiDQ9PXX7HzoITwbN4l/pmdP0Yf0+ONF9fovUbwdjfqRSdBU/khJDYO2Ba5EMVnnbRbvPaEppSobGb1QGtIjFbDM+o8i82psKBOLNrHgECkR9gpSAs5SzAglWei1m+QP0rnibxPXd4dL/N6Kwl2PJ5kRG4yQHYIOTzLdXnzhY3T1LqTvrXfhuWomS5+9mdSPvqKoxs9pf39DYAOU9MgkrXsrmiw61tMtpddhtJVLrYjZbqBIg/HkZyR/kL5uLG+fsDYKnweqt4hfS76AO/8U/U3j+Odg13qxlV/yRGyqsDYESM4fpP2U0pRhvQj41QvXsU44h+LGk2R6EVeS7Q6INs4VaPH4uXOZaM6fRgvnjujJq+cfqpwgw6CSLLjQe+tb2XnffWwaM5ba998Hv5/Uo4+m90dz6XpqP9zpfmMTXrRuAFYmUSp5fxCDqq2af5iV6qo7LUykGsplZNRCTGSku6lSdpwWWiUSM8IqrRBxtkjGlaokgmCtBUUtqSU/x2I5nSoU1wauKMpJjeMrTClk1smvsM/l1zPzCjePTrSzpQiEgI26+R+zefyJ7LjmWlp+V0gQ6BkuIKGd8k3DuF/hGKMitNFVU5KZXJAjjdbVkuBGsetv8XHAieJjzbawh18c/xzUbBUf+40SH2u3W4vXHGFsnpgRVmOaOafUWiUw2Wosvx+qJrINxtWhqvrkj3KW7/QwMz+XTYVrwO7lsKLD+HDCh+0TZLJ4zTva2DZ9OsWXXoZn4yYcmZkU3HYbfRYuIG3UqLC9Q7QWrGgeKmrQen8tFdo0/EdjMaQn5BUqKcctrPfpsiRZS03Y281KQivUbikpyWLRbhnhSdZkMfEmP5fln39ne5LF2tNU7xRKjWNMSEtnwk3PkvPRm7x8dj5/9AC7APXbkil5aAnrT59Gw2efISj5lhr1JNNr16A3XrS4DpdYIMEkh2iOUKITIw5RvVksriWkQa8jxZ/VbjMfL47OgcQfCgZDWhfxayufU2SRDYUBbEagtTeR7jVGE87R9vdx437diCvJdgeEkmTKm/DyulYufn0V20rquCcR3DYvd5+4DzhUcqCBgKEkmbe6karVGdRuSkYIzAEgedgw8mZeR/LQoeKT1pqoBGtWgaNIurUQtd3SpCG+qn+YBeII4qSVqgaoL5X5iVgguFLMsiBxljYqVhJvkvFu406R5GZ0tV6xVkrGeFvC/kOWSG6kmW9wI+tMClecDcVT2dzJN5961ITyeILQTtnnsDu4YPAFHFZ0GP9KvYGbB+5gQHGAk77O5tDtu2hYtoyGZctIOXwEOZdcQvJhh4mbUCPtEnoMskMTM3V6nCEl36JUgk17ikSQXOm8aK0V72VmVZy1YlGBXkfCugWikqi5yvr1HEdsUVciPvY8Av5aFIMkmWwyFVL7Tab42bfUQHoXY/G0FEZmzn3pOrbZ1VVtRo13NdZ7QRB4ZvkmHv1mMYXd6qh3JuPEztUHX8u5g87Fbut4fbVuLaPy62waS0UfP5vbTdbZZ5F76aU40hXW7JCaVOV4rSrJlN4HK1MOk7I6tk9pKQb1QErKCn7xnEnKlCWfLKz3kpJMzh8kk3LTMYPT3xrKxHtsLH3OIpVkZrmOlDzxtYrnd2TLU2d5kpmajq3H01TneaVxjAcWHkS/mxdz/zH38/qKeZz4Y4Aj1gnwyxp2zLiKhF69yL7wAjImTMDuDraCGy2KRVO+6RlWoBVX6Xp1p4mfsxkOIZ0PyTFOkknrUFYvyOgufl23w3y8ODoHEn/I6CbeMxpKxc+u68Hm4kUWbbHY3SDfm0ROqrasJIt7kllFPEm2O8Cjviit2V7DJXNWU9nQRm5SeqizDk8jOFVGgMsNvjWqV54dJVS98AK1H30EXjFBl7T/fuReM5OUIw5vP0DAqGQcGdnwtYrSZadM9WbGP0pCKKsfa+N+KUkmkbwYtDUQrARXbRCVZPWl4s8k4msWoUW7ODwBzMxoYjlCSTLp9ceoEqzk+2JzGN8woUFKzaoKoibJDJ6noetNENt5FBLfg3IG8e7hD/DwBxN4v3saf51VS5fiIs74MZ/hm36naeV3NK38jsT99yfn4otIC7ZZ6yK58sU2Ksk1oCTzNIXbeiPvKVaSZIFAR48Z6VHyDDJ7PTcEr7XMnuKEw8ad4vUST5L9cyAIUC8lyUaIjy3V4rlk1l9RrRLcXGWS5GooTEKVYAOty3JFpuqQHqMT6ZRJc6vXzw3v/8wnZa+T1OMr6m0CvTxe/tdzIoMGn98hjKe4mMonn6J+0QoQEsFuI3PqqeReeQUuLZuFaPcADY6jCbW47ZL2ZtToCp5FVj04nW5RbextEs81Z2L4GK3cc0LtlnL+UGg+HjL+UFsstqUFvOKanGohbsiTLJgci0ULp5Q8kSdJO8uTzEzhVq0lVDGuXk8ybXVaiiuF/xz5H5ZtXMHdJ1bw5rF2xv5k44Q1NlK3bqX89juofPJJsqefQ9YZp+NwG1zvo3Eeo+2bobhRkmRNlSaTZFKRTXY+JMcgSdZQJj6mF0Xw7Tj+UagPJi4zuov34OIfrBXaIotsWPQkCxXZlPiDRX9gVSWZyWt0L0S83XJ3gLQYRhDcj9bs4PQXvqeyoY19C9L46Kqjw5MgtTLEbfJKdcfJkW2bt1B6621sGjuW2vfeA6+X5MIAPY7dRc9Z95J65BEdJ2yaSUIkqMjlsTCZCtli2FYvEhMQfbSkceNmSa5URW0MjvmNRXUVwhJgqcIBkNnDWkwpIVZbHK5uxSJJRtB41+8NLwhmX7+SR4vcP8KMf5oayTW7YYq2mBgluK5k8brTigkk+73cUVXDEw0Cqc50SrtX8sikv5lx2nhaT5qMze2m9bffKLn6Gja/WkXt5iSEZh0bcflmVM0g20j7Ziiuxj3FSpKstTacfJOua2mziVWSWy4+tiO5MagEe1tg2W3w08vWY+2O2Pg5zL9SbF+1Crm/YO6+4Xt3rYXNiGKSzMKmSavd0pSSTM9UW4PtlgqkubyulUkvzOeL+jtw5y7HZhOYktSDd0vLGUT7zbyvspLye+5h07jx1C9cCAKkdW+hzwMXUnTP3doJMnR4O5otYqi9D75W0SMIA/dmOZSUAVaLbERwCIk/2F3WjPvTO5E/tNZCxbrw33FYqKuHPMliaFeh2BbbSZ5kVqZjo1ONbiRmlKTWmDY/c0vK6N2lL28fB1fMCPDKEV1pysjGX7mLykcfZeOxx7Hz7a/xNtv1K79CCXeV9yEyMagXWmrSBBOcRELofIixkkziD2lFkBljJdmfC+Dj681PXN6d0VwtvvZf34tNvND+p6ss+W8lSabFH0wU2bS8DkPrm1F/v2gTaOOeZHoRT5LtDogw7vcHBP635C+ue/cXPL4Aowbm8+EVh9M9O1mfdFpOmIOJCEEQaP7pJ4ovv4LN48dTN3cu+HykHHEEPd98g56TEkkp8GCL6iligJA6nOpJPbOTqYi42UhVxdYYTKIMJbOCFaSQksqi8kTyFKkvix3JzZRVtupklRQrkHuKSIu3zW6uYtsuXlX4Z1Z9X9QSPGaTrno9yfSeU3rbI4PXw3H2NOZP+oiheYdgs3up6LWEab028939s8i5/DLs6el4qr2U/ZjFxqseo+addwh4PBpxdSg2QmbDBqpM8us1Mrlp1qMEGYlNSG2vNLVKcv2+sJIhrUgkUMik+VawchZ8Nws+ngnFP1qPtzvB0wTvnw9r3oCFV1uPJ30eSdmQkBxWzUiFCqMQBJlHjVxZoOJjqQfRFBAYJKN6Wg+NxJXbKwR/b832Gsa/8ijFSf/FkbSDZGcajx7zKHfljxQnaQef76upoeKRR9k4egw1b70tcoIjj6TXed3odkQN7l499b2maIn3aD6JqnFV2kZCyiKbOUWy0v3FqpIMeUKrrL0S3exAHSKUZJLXjlX+kJge5lHbvxcfY1VkC3mSxcCuQolDdJYnmZlCmzNBTIKig0Po5SZ6VSBtDRT6/bwy9CauOugq2twOlh61kwsvsPHeuJNw9u1LoKmJ6gXfsHFRAaULy2nbuDH634/moabmFRc1roZCzcqmXul+H5MkWXAfkFYUvq5jwR8ayuGDC+Cnl+CTf1uPt7vhqwfE1z73Etil43yMBukzSe9qnT+goky04kmmZQvQaUqyeLulXsSTZLsDZCd8Q6uXS15fxXNfiVOkrjimLy9MH0aqO1jh03NRyeIJPh/1ixez9bTT2Tb9HBq//BJsNlKPO45e775Dj5dfIvngg3V4iphtl1DJlFtplXA4wwRPuqG1WBw3jqyFobUOPM0yPxGLSjKJ0Jb/Fj5eqwmtjGDMuh1QF0y8WSW5qUG1QEN5mOAm56orkqJBIrgtNeKEF1CeRGQEagkes+eTEU8yozH1XKPuNPKT85k97iWuHnItNhw4037n4dKbub1LIb0++4z8cb1xJvrxVTVQftfdbBo9huq33lJOluk5XjNSbOn9jTTtJ+KeZNQYX6kKTAxIblOFqFCzOcRzWLqGm2NQuV3/cfjrvxZZj7c7YeuK8FTBLV93bHk3ilBLSzCJKfkXSfcfo1CbRhvpN2kEWqbzVjzJtJRkRnyE5PYKCam8s3o9Zy2YgTf7bWwOD/vnDGX+xLmc0POE0PH6aqqpePQxNh0/iqoXX0RoaSHpwAPp8dpr9HjpRZKyfe2PIxqivQ8x5w8GvSIjoaRQioWSTOIQ9WWx9R+1u8TJbVJCy2qSDBmH2P5d8PsY8gdipMSPbOHEIofQUjyaLbTFWo2uZ2Km7O85EjO45IBLeHP8G+S4uxBIrOP9A5dx1vh94f6HSN5/XwjYqFvXxuaTTqb4sstpXr0aQW2tjna8Dhc4gt5KZgttkbCiRtf0JLOwPoWUZIWx5Q8bPg37xv61OMyN9xb8JfEnAdYvth5PziGke22jSf6Aynka6ooxwUe1BvN1lidZpDdyHKr4P0mSPfPMM/Tu3ZvExEQOPvhgvvnmG83nf/XVVxx88MEkJibSp08fnnvuuf+Lw/znIrjQ1PrdTHl2JZ//VUGC084TZwzhprEDsNtlVUg9F1VbI742G1V/JLBp9BhKZl5P62+/YXO7yTz9dPp8/DHdn3mapAMPVIgbw2SB1vFa8SRDYRMdC4KbmBFWvjWUhf0/UqO0mkRD3gDxcftK8TE5x1ybqRxZwQp/XXFYnZbb31pMiSTX7ZB5n1h47aHEh9DxczJbrVcjpKaVZJ2QJNPjARKxabTb7Fx84IW8feKbZDq7YHfVs6Lpv4x9/17cR/Wj78k7KTj9EJwFBfjKy9l5z71isuzNNwm0tcni6lBsmPEk04or/SzgC7c860XI/yFiwyNdx2aTZKEqcKG4iU6xmHyR4G2Fsl/C35eusRZvd4P8tQsB2Pm7tXiSQkRSm0ibEUkFaBRqkyOtJF21qrZmFBA6plkbIs/Ba1Ow2bl+yVLuXXMRjrRfseHgsv1nMGf8KxSmiMkbX5uDil/T2PTQKqpeeIFAczPu/QbS7Zmn6fnO26Qcdmj716x7Il+Ujb3Z9V5tumWbhenYdKKSLE02iTJW/MHhgpx+4tfbVoiPWb2sxUTGIbYG+XqORf4gJbrb6sVCY+j1W/A5i2zhlE/HNvM5qa19fl842azXYL9DTAUlmd8nepNi4Fw1oCSTP3//vP35eMpcjukyHptNoCX1E06reI7frz6XXqMqSesZAJuNxuXL2XbW2Ww7cxoNX3zRMVmmp2vETKFNK24skmRK7ZZmiiISGmT+wRJ/aK4WP1MrKP4h/HVbXXg6496Apqr2vm6x4E9yDiHxhyaT/AEVJaUl/qCxhzAzHRsdHMIKJ9/L0OlJsnfffZdrr72W2267jTVr1jBy5EjGjRvH9u3KPcFbtmxh/PjxjBw5kjVr1nDrrbdy9dVX8+GHH3b2of5zETzhX/qxgr93NpKf5ua9S0dwypCuHZ+r0dokCAIta9dS+sALbJxfSMVKD97SUhxZWeTOmEG/L7+g6O67cPfprR5X6WI1s9BHi9uq0aetB5HyV6U+cqOw2WRTn8rDN/NMi6ovKUkmoWCwtXgEq7Ny8/+UfOttofIkmfTaMyxUrB3OMJGVPh8rfiJoeZKZ3DRFrQJLcc0oyXQkySLiDsodxLLTPmJ43jhsNoFdziVMrvyBHW4n2SO60feTZRTc/u9wsuze/4jJsjeCyTI94+ZNKck04rpSwsMjjC72aqoAq0oyeRUY2WbLqgdI9SYxOSSh/PfYVeqqNolj52OJhp1Qsjp28crWtv++PEZJMum+nWqR5ErnnyulvcLIyvmk1R5pqt1Sj9rTQLuEpwkf8HhmNstq7sLuqiXVUcDr417nyqGX4rA78NfWUvH442y67jmq/kwj4AngHjiQbk/PoveHH5J23HERg3oMTqPUqySLFX8w63EmQcmIORYcQp4kC62hFvkDQN6+7b8v3N96zKID239fsJ+1eO7U8HVWvSVcqLDCnyLbLdtNx7bgSaamRMdKoU1jYiYGriU9RSy/T5zWTPtrKsWVwlMnPMAdh96HXUiCxG38a8N/+KyXiy4ja+nz8cdknnoqNpeLlrVr2XHFlWyZOIn6JUsQ/EFFU6iAF+tCWycryeTnQ0w9yQqD9wtbsOBrIfEGsGtD++/Lf7MWT4KnCbZ9J7bfxwoBf1A9HiNvq/Jf2n9vtcjmaQ7vTZNzZJ6QFoqhoaKYXIkePJ88DcZ8+JAntDoO8Qqd9/42cTK9HsjsElQ5hPxeo/Oza/HsZYrGIDo9Sfboo49y4YUXctFFFzFw4EAef/xxunfvzrPPPqv4/Oeee44ePXrw+OOPM3DgQC666CIuuOACHn74YcXnt7W1UV9f3+7fnoYd5eKGYJfHxQHdMlgw40iGdFchAAqLib+hgZp332PL5ClsPeNM6pavQgjYcBe4Kbz3Hvp9+QV5M67Ema0yDZMo6hf5z/RWliOPN3KEe6yUZB1MYq22NgS9B6o2hBdYy15f2eEqK0DRAdbiheIMCX8dC9IsNzcPeafFyucsRhNDoyrJjFaBY+xJRpRrqUPcjud/siuZF8c/yA1D/ostkEyZu5mpXQuZvfMPbAkJZJ91Fn0//YSCO27HWViIb+dOdv7nP2w6YTTVH38ldptpHa/edg45tBZlu9288W7I/yHi3iR9jkamBsohKZEkFUeslGS7/hYfpUR3S7U1Ii6h8m94ZjjMOgSKf7Iej+Bn8fxIePE4WPt2bGJWiTYAdDko+L1FTxEpaSndJ6RkplmSq6aASjRpkIv6YB3xZya8P6L5icjj6tiErtnxJ+cWFfBKZjI2m8CQrFF8dvp8huQfEEqObTx+FFXPPU+gpQ13ppduJ6fRe+6HpB1/fMchPehUkygdb2cp0SP5g5bPix5E8odAINxKZYVDhNotS8PDJ2LRGilf3xPSIEuh0GkU0jWs9DfMQiq0Ff8oFhMcCdbsKtT4gzPRnBddyHTeI05clyBdv84k5QmVmjE1OIR03jsT23tuakFPEUu+diu8D6cOPJkFE+eSZd8XHB5uzcvlX1kpNOanU3TvPfT9/DNyLr4Ie3IybevXU3LdTDafdDK1H81DaDGSxI+RF6OZYUIgfobSvUrebinxB7OeTIGArF24QLQcCQ22ihWHCF5vVtdQCe9Oh9ljYdE1sYkH8Nmd8Op4mDMpNsXASP5Qvdlau6l0X7C7xHNSund7GsSEuhkotTKa9eEjynlvIpnVbtK82j3QACf3BwT+fmw8Gx88mtay9fqOYQ9CpybJPB4Pq1evZvTo0e1+Pnr0aFauXKn4O999912H548ZM4ZVq1bh9XbM0N5///1kZGSE/nXvHoOq3D8IbT4/u2rETdaAHkW8d+kICjMS1X8heLEKLXU0fvMNJdffwIYjR1J+5520rVuHze0mY+Qgep1QSe9LB5J16qnYEzXiRcRVvKCknznc4uQ5I1DbnJitLEuIrBTFwiQWWQvC5q/Ex8RM662RAPuOC3/df7TWM/Wj73GxjSkR3IbSsATcKsGPJLmNFj+n3cKTTE9LdPS45x44gfdOep/u3mxa7HYeZTOTP7icutY67AkJZE+bRt9PllF41504i4rwVVSw87XP2bQ4n7o/m8OV4UhYUpKpTdMxWQlWmxxoduqPhMjkW6ySZBKhLTwgHDMWY+F/fUfcuAV8sOZ16/EIen9IBrarXrEeTxDCr7XnEeKj1deu1m5pWkmmQkil8yky2aIHoaSWUiXYRLtEND8R9F9Pj3//NuetuYtfE92k+AWu2O9O5kx4jISaJnY++BAbjzteTI41NeEeMIBud15F7zGVpHXzKCfHiKhU61aSRSHkRts3Q3H/j/hDa214WqYVDiElr6q3xE6JDrDv+PDX/Y4377sqR/dDw95SOf1i08IpFdoka4mM7taOVavIZmU6NhFrvpVp61rrqRX+oFXEasfJlZNvPTO78cW0dzgi60wcgsCS1BRGvz+JL7f+gCs/n/zrr6ffF5+TO2OGOCBoyxbKbrmFTR/nUbMxmYBNg+sbVZIF/LJ2VoX32OzwH3kRTR7Xyv2eYAeBpBiX7hXSem/WCoBgUl7iJn2PER9jwR9qi2HT5+LXP88xxu3U4PfBqtni1zt+gp1/WI8pvdZuh4LdKd5zJcWeGcjVvzabyCMdwevBqmWD/F7hcIWteIwWbrX4g90hS2bpPFfbTZpPUn+eDg5R3+rlwtd+Irf2F/b3/cZPmy2c27spOjVJtmvXLvx+PwUR48ELCgooL1c+8cvLyxWf7/P52LWrYyvMLbfcQl1dXehfcXEMbij/ILidDrpM/i+fDX6Qc08/nUSXukm6IAi07gqwc206G69/jeKLL6H+448R2tpI6NOH/Jtuot/yL+ly3lEk5XixJRrxUdKoiOtp4VJDooq3kNVKcIdJStJmy6KSTPL12vCJ+BiLKjDAEdeKG8sRM6DXyNjEPOhsGHASDDwZhk63Hi+1QFxghABsCSYJY5UkkxQjoYmhJqvLqu0SJttv5H4iSjJ1M3FDlWVzSjI5BuT14KMh53NNdS12ATY2r2DUu6fwXYmoNrInJJB1xhn0XbaUgjtux5GeiLfJSel7f7Fl4iRlzxFTrRIaxv1YSJKpvb9mvRokRLZghJJkFtstpUlKmd1l48ZjsCZtkfl4bv9B65n6sWNV+OuS1aKfmhW01oXPmR7DxUerrz1EcoPJzNQYeZLFSkkW8IfbOWLVbmlESaYSt8HTwBkfXcXL6+8jYPcytLWVdz2ZXFh4GGV33sWm40dR/coroufYgAF0fepJUTl23NFibkHr2vc2hzeIetd83e2WBtd7NW9Cq0r0DutS8NGdYbwQKEdu0DussRzKfhW/ztQ5IVQLBfuJHKLXSDjuduvxCBYmTnxYVKSf+GhsYkr3xI1fiI8xK7IFPx/pvmCW5zmcolqMiHPVStJVc2KmBSW6CbuGSDgdTp6bcCuvllfR1evDY6/h6uUXc9fXj+IL+HBkZpI340r6ffE5edfPxJGVibfJSfmqTDadOJHq1+cQaFFQ5BgttMnfa0VFrsn1Xs5L5AOmpHieBnPth9L9xpUMrqDIIBaWDfVB/pCcG7ZhiQV/kLwKQVQZlf2i8WSd2PV3+3N6m7LwxRCk15rVUzYx1MLrj2yRt9lkhTaTBVG1IpbZwq3uSZQ6z/1QIVBh0rxSXJW1fltVE5OfWcny9ZWkInLDkYNiUCjZzeD8v/gjkRVJQRDUq5Qqz1f6OYDb7cbttkBadgPk73cUo/Y7SvH/BEGg9c8/aVj2CQ3LluHZtg1IBVpwZGSQftJJZEw8hcTBg8Pvn9EqMHL1S4yqYRKUvD+IgdG+3DuMGLZb5u4jPkrvYb5Fnw4Jmd3h/BhMcpEjIRnOeDN28ex28fWWrQ1XS6y+/pRIJZnUBmcySabm9yWZ+Zr1JEMQN4eRC6MV437Ndgn916g7KYOL6urp7+rJFW4nrQlVXPLphZw94HxmHjoDl90VUpZlJq+m+q13qNqQQ9uGDey44kqSDjyQvJkzw6bc0mvxtYrVQoeOZSLaQADTSTKVza5am5VeNEdMvJIIblu9mCxy6VDXKiHkU1IkXtOlP4vtyVYgCFD5V/j7qg2i10ZCsrW4clNcwQ+71nf0ITIC6XUm50Bu0COprlg8fjOqDuSDG3LaP5qdSqbmp2NWWeBpPzmyA8y0W+opOmn4Gq4uX8MVn15Pc6ASQbBzhG9/Hl23hNrtmWx6cmxoU5g0dCi5l15CylFHhbmBzsE/IFWqdZ6D0vvtbRITi5ETkU0nyVT4Q0uM+EObNMk6Rkr0xAzRqL6xPKycifQTM4sT7o5NHDmGniP+ixUKBomPbTHiD6EkmWSrYZE/ELzufC0RSjJpGEBnJclMeJpqFtmMKTOH2BL5oKSMkwrGUJX0Jx9umc2qih94bswjdEvrhiM1ldyLLyZ77HBqbxpD1fo00cbhvvvY9fzz5FxwPllnnIE9JUX/McohPc+RoJyEtlpkU+MPBBNlRm04mhWGAcRCjS7xh/Qimb1JDJJkFevaf1/+K/Q6wlrMSP/RilgoyYIcIqOb6Hdcu11MnElFN6OQ7gspMh/J5Gyo32HeBsOjwiES08X7ulkOoXatutNE/0bd7ZY6RSsa7ZYrN+3iijd/prbZS7c0BwleaZq1gtptD0enKslyc3NxOBwdVGMVFRUd1GISCgsLFZ/vdDrJybFgmLoHQfB4aPrhR3Y++BCbThjN1ilTqXrhBTzbtmFzOkjt2kLX6fvT/5uvKbz93yTtv7+y6a4R5ZfWIqU1nSMapEVGPmVGEKyN8SbCIJcYJsnyB7b/XiJ9ewvkm2hXinXvkw4kN0aeZJHkTGkMuB64ksOm81qeIjEnuQbiBhfro53w5MjXoeEQsAm8sf4VTps/neKGMMmy00rufo30u/9Mci65BFtSEi2//ML2c89l+4UX0fL7H+0XQr3tDVHbLU16irSqtMmarSxLiLy/uNPFTT8WfM6QT82MIcmtLxWTLDaH+FkLAes+JfLEm3StRRJpo2hHcIOt2W315ltiUagEqymP9SKqkszkFCmbQ3lzZyaukjFwJJS8RwN+Hl/1NOctPY/mQCUBTxYXNl/Cvz+vZfvSfOr/bIRAgJSRI+n5xhx6vfUmqUcf3Z4bSPcmX6u6AbE8ga83+dluU6qULDDZDi/dz32tYjJLglX+4E4PJwAby2PHH4jgEFm9zavddkdEJuELLQ4pkrdbCoLMrsHC56SUgFaakKg7npYnmQnFYwyVZPKYqYLAolHX0jNwCYLfzbamP5nw0RQWbVoUeprd7iF73yb6TnNSeNdduLp2xV9VRcVDD7Nx1AlUvfyKqCwz6kEa7XjNepq2qbTJuhLDbXeWhgHI7i/S160mCzggmxpf1H5QllVIa710jPKim1lIfCFW/IEIDpEZA/6kNGzFymR0QYi9kiyk/FJJQBnlunqH6qjs6ed8v41zXv6R2mYvB3bL4KOLZd7WZnwed3N0apIsISGBgw8+mE8//bTdzz/99FMOP/xwxd8ZMWJEh+d/8sknDBs2DJfLoGHmHgRvWRk1775H8YwZ/D3icLafey7Vr7yCd8cObImJpI0ZQ5dHHqb/rEvpPrKG9H2SsSWoGIGqZcK1oLXJtSJFV5oy42kM+3+YISUoKcliYLpLkNTKWwSsVmN2N3Q/NPx1j+HWvU9CPg7lEWaoZpVkKslcNQP4aLDZolSCzZDc2HiSheOFSfPxA3qw8IynyGi4AMGfyMb6P5g0byoLNy1sd7yO7FzyZ15Hv0+WkTVtGrhcNK1YwdapUym59Xa8Le5QTF2Ipn4xo6hB495iNp6EyE2P3S4bBmCB5IaSZIWySYwWWzirgtOusvuE272tJt5aasLnc5+g90mt8sRp3ZBaRdK7iio36TOzMklKaqNKDip4rEyRQocnmdlWCbdKwsiSgbVG1TaiXai0sZQzF53Ly388B/jZ/48+vLakkLFPzqJxzSZAIG1wLr0+/IAeL75A8rBhKnF1GBCH1HgGCLPTLZonq8U1E1N6vj2odJVzCKtJsshJ1qF1KQZJMul6Q+bdt7cgf7/26sOeyvsA3ZD4g69VLG7ESklGRIEopGg1wUdD8bSKbEbsGiSld4uo9FaC0aRzMGaqrYV551zJSTkP42vuiVdo5pZvb+Gmr/5Fo6cxdLz2pDSyzjidvkuXUHT//ST07Im/poaKhx5i4wmjqf5+p0jh9SrJOsvTVM/ETDNq9FDRVZ4kk5IvVviDbGKmNFTI26w+OEovpGEA/ceIj7Fo4ZQ4SJ9jxceabdbiBfxh/pTeVcafYsEf5Ekyac9p4nPytYqqexTWqkSzanSd7ZZ642p5nCnFDV4jXn+A2+f9zu3zfscXEJg4pAvvXjqCPHfwHuNI0D9cZA9Cp0+3nDlzJi+99BKvvPIK69at47rrrmP79u1cdtllEPQUO+ecsJz7sssuY9u2bcycOZN169bxyiuv8PLLL3PDDTd09qH+Y7Ht3PPYeOxxlN95J42ffU6gqQlHdjYZp0yg6+OPs8/KFXR74nEyTjwRR2bwZqCnXcKMkixWknEJoXZLmZJMWoAcbm3jQS1EKskag4uPFfJEkDwfdrn4dY8RUHRQtN/YszBwgjjh0+aAwy61Hk+a6llXEmGObHIzElqoIhZAK5smabGJVYI45kqy9vF65aaw+KIZHGC7G19zL9oCzdz67a3c/NXNNEjvSzCuMy+Pwjtup++SxaRPOBmA+gUL2bQom4pf0vDX6PR+ijbtLrSpN2gYq/Y+mCUkEkJJU9n5kGiR5Pp94Xbh9C7WTeYlSNXljG7hBL3VhJZEcFPyICdGibfIQkTIo8Xk6w/4ZZsRSUkma4sxo/hTVZIF43qbjSXfohJc2Xmvd0qXHhN72f8t3TSfifMm8/eutRz1i52HX0zn9gV/k/Lnb+B0knF4X/qMr6DbeYeQNCiK8tnhUvZkMnp8kbDZ1BUw7arzBjmEzSZruVTgEGaTZMg4RH1peMCFlUmMEg44TTwuZyIMu8B6vN0JzgQ49GLx631PtD4MICE5/BnXl8g8yawkyYL3Avk6YIk/aBhvW7FrQGM9NWqpEuI4jTgddv53yjHcefAsvLtGIQg2lmz9mEnzp7B21x/tjtfmcpE5aSJ9Pl5E0f334+reHf+uXeyc9webFhVQ/dlvBNraov99tTb40GuWihgx4g/tYppRkmnwB0tFNklJ1kX8TKR7sZVhAIIA9cF9kJSUtsofkCXaeo4QHxvLwafjs1ZDc3V4KmNybowGISgoyayo0eXnX4dCm8nCrW5PMp1x9e7vZUmymiYP57z8I3O+34bNBjeN3ZfHTh8ieqBLCdq9sNWS/wtPstNPP52qqiruueceysrKGDx4MIsXL6ZnT9GstKysjO3bwxds7969Wbx4Mddddx1PP/00Xbp04cknn2TKlCmdfaj/WDgL8sFuJ+mAA0g5aiSpI48icdB+2JRUPHoqLnozzYpxNRZ6M1JMJSWZnJCY9bJJDxLc1jrRtF+6WUoSZisYcQX0GyWaS8ZiitTuhMR0uGKl+L7GZNpV8POoLwlv0pNzzZsjS4tha13YT8vvC2+ozVSCEzNEAhBrkhurdgmFSnV6oos5547j/iU9ef3Pl0nI+5zFWxezVrDzgDuBIRFxE7p1o+uDD5J9zrlUPPAAzT/9RNW6NGrPvJy8a64j89RTsTk1lotOM+5X8ySLcbslwUpwjQWS21Qhkjyboz3JszoxU0qSpXcJn9+Wk2QKbQ2xMtkPTaLME0e4m339rbIJYtJ163CKn31bvbiJNeoRpbZWRSqo9N4norU2RLYZ6vG+kRvvqsGZSKPDxf1ZaXz++e2MXisw9icbOU0ewIMtOZnMqVPIOf98XL88Bd9/Y8BkP+jJpKokM8EfCL4XLTUd4/raIOANP8cokrLEay+WSjLkhbby8LURC/6Q3gVmrBKTsRJP2Zsw6m444IywKtYq0ruJn3ddSfi+Jpl+m4GST65ZJTqyBLxSQccMf5BUmQGveE9R8t0z0W4J7TnEGYf2om/+7Vzy7kA82W9QTinnrnueyzPTuTghFbmroM3pJHPSRDJOOpG6+fPZ9dgDeKsa2TnvT6q+G0PuZZeSMWUKdrXuFt1KMoMJCDW7Bisx0eAPxEpJViDufVLzxLW+aRdkm7Q2aa0V7+cA3Q8TH616hSLjEEVDxIS/r1XkKmaPU+IPSVniOm/VYB+1dksLbbGSutSV0nHvZ9XXVLULwyDX1dspFjz/q6urmPTMCrZVNZOS4OCJMw5i1H4yOywzHuZ7EP5PdvhXXHEFW7dupa2tjdWrV3PUUWET+ldffZXly5e3e/7RRx/Nzz//TFtbG1u2bAmpzvZW5F9zDf1XfEuvd94m74orSNp/sHKCDJ29+3p7ltvF1TLuN1kFRsWTLBYEV+4pUrIq/DOjBp1qyNvH2pSr3RlJWbFJkCFPkpVCzVbxaysTrxIzwx5i0nkkV5wkmjByDpHcCOWKIJibwtpJnmR4m9upVRx2G/8+cRD3HTcTb/HlBDzZlNoCnFtUwDNlX+ELdGzVSBo8iB6vv0a3E1NISPPhr22g/O572DzhFBq+/LLjJMzQ8Ua5B5j2FFGZbhkiDibURIKg3D6TqKAgMIKQkipXJFBSAsdKuyERLRixUpKFNv3dY+d9EtnaYLUSLF2/CamiwkmClUqwmuLR7Aj3aFVWp9u4940O491fdv3Kpcn5ZH+fxLNP+zlreYCcJj+OvDzyZs6k/5dfUHjrrbiKimSkOUaTKM2SZuk+FelzKP87Zoh4shaHMGnXgNyyoUyWVO5uPp4cKbl7Z4KMoPqvYL/217QVhO5fxeFCmxUOkRwxTAiLnFSNP2DSrgEdhTajSTIVTnJIr2wWXnI23Zr/jbduCAEEns7K5AJbGaWNpR3C2FwuMqdOpe9DF1I4rBZnugvfzp2U330Pm8aOpea99xC8CkrdaOpUud2LGgdRgtb7K30uZpJkzQpJ01goyUIcIpggChXaLKipJBVZYibk9BX5sa/VWvLJ1xbuzsns2f4aNIsO/EFSols4TonLyXm/FU8yLZWW2fMpqieZyemWOpVki1dvYFtVM92ykph7xRHtE2To4Dh7OPYyGczuCVfXrjizdC7OemTJZoz2tS5UK+PWJYLbWhsexdysIGU2CpstXAne/p34GIsqcByxRWqB6Ckj+GHHT+LPrBBchzO8CEokV6oCuzP0TWqMhFprXzsFhJER7tqjl9v9n55NY5T2i6kHd+Otc04nseJGjm/wErDZeHb7Es5fej4ljSUdnm+z2UgbmEWfcRUUXHwKjsxMPJs3s+PyK9h+/gW0rl/f8Rh0G/ebbZdQ8yQzSJoJLvrS5xbLdolQJTR4T5Nau5t3mRszL0E+DCAWPh0gTnciuOmXWp7rO256DCFUtZUpybDgyaaW0Eqy8DlpFYjM+JLpao00O8K9Y0xfwMcbH9zJ6ouncetLAuNXCSR6oalrL4ruv4/+n39G7iUX48jI0BVP83jV7k9m7RXU3oeQCjXVnDJbsd0yBhxCUiPVl0BdMCmdGaMkWRyxQ0bw/lW9OdwWG+skmSVPMo37iloRKBpCCWcVryo9E3LbHaP6PapbVjJzLzuOo7Ou4YiyvqQEAvwcaGLKgqks2bJEMZwtJZOsfs30vawXBf/+N868PHylZZTfcSebxo2nbv58BL+s/Vyvcb/gFxM8eqFlhxELT7JYK8lCa6g0dTsWEzMln68uYmJaOr+ttDFKXMGZJB5r6F5pgUNEqr5iwXWUOIQVTzItXm7a11RKQsWoC0PH3kEQBH4o8Yjh/U0c1jubBTOOZN9ChWOIJ8ni2KOgR0JsRkmmmSSLgXG/EAirQmKhJINg1QTY+Ln4GE+S/fNgd4j+CwBbV4iPVgguCiRXyWTVCNQqwWYVEErTs+Twe0VVGOhTPrYzxVaOeXDPLBZeeTz/qarnfxW7sPkTWFu5T5iKdgAAxABJREFUlqkLprJ48+KOv+BOxWaH7OMPpO+nn5Bz0YXYXC6av/+eLZMmU37PPfhqZJW4aKQ8VKk2oCQLBKJ7kgkB46a27TwPZSbSVkluS8RGSkoWBXyxGQYg9zmzQnCRJa5S88JE3NvUfkKg4ZhqJNfksaqtU1YqwVpJLTM+d3qS2WaNd2XHKPj9bF30Hl+cOIKD//0eI/4KYBfAXdiG95rzOfizxWROmqQ8rCdaO0ckEqJwCLNKMtUkmQVPUxQsGwKB8DVshUNkB/lDxbr2voBx/LMgfSbbgvwhIdXa566oJLNwPnWGkiw0MVOtJVqKq7NzIoq6PcXt5NmzDuaCnB68X1JGYUsSjd4Gbvr6Jm779jaavE2K8eyBZrLPPou+n35CwS3/wpGTg3fHDkpv/hdbJk6i4fPPRXW63iQZBgttWu+vJU8yhWnpsVCSRbb1xjJJJokGrK7LRPAHm03WGmlhSFFTxJAeKWZzlX4/z0goqapi4UkWK/4gCDKldzQlWWw8yVq9fq5/7xcWrhefNzDbxpwLDyM7RW3QXzxJFseeBD2y5Ggm21px/W3g87T/Pysk1+kW+7uRVeusJjUk5O4jPpb/2v77OP5ZyAt+LqU/i4/ZfazFiyS5VpWJqkkymQ+XEQWEW5uQmkq+RYsJdMlIJEVo5sSmZlxbzsff3JNGbyM3f3Mzt35zqzi9KvLvehpxpKWRf8MN9FmyhLQxYyAQoOatt9k8dhw1b78tVoSjGvdrtGurwdMYNnKNjOtKFr2/MEFy5SoTuSeHZSVZxHnmTAjHtEJy65UmZlpUkkm/n5InvreOYOt4swWSG/Iki2i3NHusagktK5XgWCvJ9BScQsosHeep3xdWSiSkEWhupubtt/n1hGNoueFOum9pxGeHz/v2wT8uhT7HVHHA0QOwaXnLGE1qRatcm7VXUFOTWk2SSTxBuv7a6sL3DSW/Jr2Q1qXKv8REtyslXNCJ45+D3H3Fx9I14mN2b2teS4pJMgscQjNJZrLAHOt2Sx1Kb7vdxqFdXHT3+ZlQuh9tlceDYGPBpgVMXTCVXyt/VY1nT0wk+9xz6ffpJ+TNnIk9PZ22DRvYceUMtp1xJk2/bxKfr3aPstvD+wQjhTYtpV6iSeUPKueD1SKbknduyLIgFvyhKHYxpTW9g2rcin9YZJExR2wNFQLtW+mNQGnti4UnmZLqywx/8LWGfVejTobXyZ01jrGioZUzX/yeuWtKaLaJQyEG5dpJcGrsX+KeZHHsUZAuKC1ZshlSKr/gIjfiZqZdyRG56YmVkiwyKVYQZbJXHP9/ULh/xPcHWIvXQUlmwXQXjcXP7OYumpJMiutM1D9yWcszUIKnCVtw83j+kSNp3nYJbZWjQLCxcPNCTl14apjoKmyUE7p1pdsTj9Pj1Vdx9++Pv66O8rvvYcvkKTTv8LQ/DrXXbGS6pfS37S7xvZDDZjNvvKt2f7GsJFOoLisNJjGCQCDcQpRWFCajnkaLqi9Z1dZms05yBaGjp4iVZBYaJvuWPMm0pp2ZGC6hp8pqRLEQvD68TQ52znqRv48+hvK77yGhdBeNiTB3WCbnjb+KHybfwb5DerT7HVUY3jBHuZfEut3STNFODjX+4Eqx5hua2TOcPAbIH7j3DerZHdDZ/AGL7ZZaChOrHCJWLdF61+dg3KnD9yPbczLN2y4FXxY7GndwzpJzeOHXF/AH/LJ20Pbx7MnJ5F5yMf0+/YSciy/GlphIyy+/sP2pr9m+PJuWco/SXw2+FhOWDZrTLU0OE0KFQ0jrkr8NvC3GY8qTNlJcq/wBuZIs6LEYC5+zZpn/qvzRipIsMqbDGb52zL5+pYJOp3mSmVCSyc9lV4yUZCrK8d9L6jhl1grWbK8lPdHJRceJ90lbtPM/xHHiSbI49gS4UsLG5Uonv98bTp4ZWZgdTtloeJVkgdmLSKoES8kMJVNMM+h2SPvvi4ZYixdH50BOau0ucTNiBSEj5wglmRmCiw4lmdnNordJ2avKVBJbR5VVIqw2OzNGH8AzZx2CvW40zdsuxe7PDhHd5395Hn+oatuRkKYMP4zeH82l4N//xp6RQdv69Wz7IpeSlZl4a1RaH00RXNn7q6QMMENK0EiSxUpJ1m6SksXEW2utWPAgmNByp4UThrGY+hQrkutpBL8nfJxYJKNoXAdWNg5ayi9T7ZY6vH+iJcWDEASB5h+/Z8eKLDYuyqd69msIDQ2UZ8LsUQ4uPH0UL3a7hVOOPobZ5x2CK1ln8s2wkizKkA09r1kxbpRig1n+EOlJZvV+L8HugK5Dw993ifOHfyQyurW/l1vleZGDIDzN4emAVqZb+tvAG1G4NjP4Bx3XqOnEeJR7SfAeVpSfx4IZRzA4dwgNm67GV38gfsHPU2ue4sJPLqQs0KIZz5GRQf71M+n7yTKypp0JdhtN5YlsvX8RO669jrbNWzr+kplCm9b7a3YaISocwp0WVrebWe+b5d65QfsMK/6bobgRa30s1OihAQN5sYupNInSSkGsXSujgpKspcaEn20neZoqTcsMxdXhYSyHAsdZ9GspU59bSVldK33yUpg/40j26x30ctR5zcfbLePYM2C3a0+Sk//MdCU44mIN+TWYbG1IikhqSMqJ1AL139GD/IHh1r2s3taTL3F0DvoeF9749zseEpKj/YY2QpXgIOmQpvCYPZ/UkmRmFY/yBVZp4TPTgqEnCRXajIpJp/H7F/HBZYdTkDCAuo1XQ+NB+AU/s9bO4oL6VZQ5HKrxbE6n6DWydAmZk08CBOq3J7NpwmR2Pfssgba2iNdscKFHB9E3a5Sq1oIRK0+yWE68kk94dCZE+H9YUH2F2iViNIlSIs3OpPD1a1VJpkZIrWwctFTPZiam6qmyRtmABjweaufNY+uUqWy79DoaipNAsPF7TxsPTLVz/cX5fJB3BS2N43hgyhDuOHk/nA75Oh/l/Nc77Urn8ZpuEVPb5IYmkJmcPB1ZFAnxh3xz8eQYcKLy13H8c2Czwb7Bz8Zmh33HWosnV5IJQvh8ciaZUzsmpIUL1zHjEDqHa+gdCKBbSRZOOuWnJ/LuJcOZdGA/WkrOoKX0VBwksnrnaqZ8eyNLU5LFeBqJCFd+PoV33EHfK/YhvWcz2KBh6VI2n3wypf/+N96ysvCTLSnJNIz7jfKHdh5qsrg2m4wrmlibQp6mCuo0K8MAIif9xqTdUmWSdSx8zqQiGxbXem+zcitjSPHnMa74i7WSzKMjAWXWuN+dSiAg8Ogn65nx1hpavQGO3iePeVceQe/cFP3JNz3HuAfDxKi3OP7xcKeJGXStJJkz0fgIbneaeBOMjNuqMGbXCKTkRUMwmSFt1KwmyWw2mDobfngODr3Emk9FHJ2HpEyY8hL8vQyO+Zf1eJHtEqHzyeSmSTVJZjI57HSLFUch6OUVSWRNKcl0kFwF5dvgrhnMm3EEl81Zzc/bTyMhcx9SuyzgZ88upnQt4o7GLWhtOZxZWRRdeyFZTa9QvjaHlp0tVD7xJLUfzqXgtltJO/bY4N+MUvnWOl41om81SdZhGECslGRK7ZYWk2TyxFtKrjhtz2xCy9MUVhNL5NZqu6X02lNkBNfq+6lGSK1sHDSVZNJ13lmeZO2vTV9lJTXvvEvNu+/i3xXcICQ4WbOPhzcOc1Gcb8PeNIy6TSeRk5TOsxcdzKG9ZeeBnknWmFCShTbgMVKpSFAj+60Wi2wd+EOMimwg8oaGcjFWn2Otx4ujc3D8HWIequ/xsRv842sVN9py/mCGQ9rt4hrWWif+Swuel4Jgnjv/f/AkU4qb6HLw6GkHsm9hGg8stVHX3Iu8Ph/Q4N3Cjfm5rGho5JaWGpKjqDoTUjx0HVFLzjX/onLhbzR++SV1H3xI/YKFZE2bRs6ll+CMdl9SPF6J8yh5kmW0f45e+FpFj0KUVM6ZYrLLipIsSYE/xGIYQHLE1O2YtltanGSNipIsFv6jRLQyutPEZLoQEN9XI0V5JWVaKK4ZJZmOoTo6leghBK/RZlsSV89ZzWfrxPXw4pG9+de4gTjswXtYgs7kW7zdMo49DlqZZyv+H2obXatKsvSgoWQHkhuDSnCXITDpufZtE3H88zDwZDhlVmymh4WSZMEFWzqvUgvNxVNLkpkluDabNik108apJwmlknTIT0vk7UuGM2Vodzy1B1GzYQbdAtk0OOzc2PIXt6+4nWavhv+Vp5HELB89JybQ5eGHcRYU4N2xgx2XX0HxFVfi2VHSPomnV+IeTbFi1lOkVYU4h6qWBpREcigpyay2SzQrVJclsmu2jVG6LpyJ4eqgZLZvluS2Bo9Ffi1IBNfTKLb5G4Vacsdo+4GEQEBscUbl2rJCcjUrwe0VXy2//0HpzTez4bjj2fX00/h37cJZUED59FFceaWL+09OoCbXhqf0TOq2T2W/gnzmXXlE+wQZOs//gD88KTdWrVemk2RR+IPZIluajD8IgvWiiBxON4z5LxxxdbzI9k9GWgGc8jQMnmw9VkJK2IuuaVdYiZ5mkj9AeMqk/N7iaQonW4xy52hFMbU1zmw8CQr3ZJvNxmVH9+Wlc4aRbMunYv1FJDWegE0QmJeWyqlLpvH7rt+14wbvCYn9+9P92Wfo+dZbJA8bhuDxUP3qq2wadQKV3zfh99r+/3uShZ5vU/fLNMMhIpNZxEDdDtAcUWiLhc9ZpOpL4txW2i2ViiWW/Edl56q8lbGdn61BDqE1tEa61jyN+qdx6mllNKkk+9fCLXy2bicJTjsPTT2A207cL5wgi4yrxcnj0y3j2OOgtWG2MkkqdBOQxfW1hf0aLJPcUvHmIm3iYlEJjmPvQ0hZEEy2/tOUZERRa2hVP6PG09FuqXDtu50OHj71AG4bPxB8Oey74VAuqanDJsC8jfM4deGp6kQ3eLy2xAwyTjqRvos/JueiC8HppPGLL9h80knsev19kTcEfOI9Qw+i+bWYab9A432wMhIeFSWZFZJHJ7VwNkkV29zwxt9qxVrpPZW3z5mqBEf7nAwqAJSmt8phyZNMYz11pyMEoP7HDWyddhZbp06lbv4C8HpJGjKEtPvv5IlbB3B1t+VUJnoZ1tLKXcUJtNUdyIQDu/Dh5YfTPVuh2q0nMR7tNSser87plkYry2obE6tKMil54W8Tr7NYKsni2Ptgs4XPncadsUm6KrXhSV87EsRpzUagtfYJgvFCm96kgQaHOH5gAR9deQQ9stOoKD6eZ8tqKPT52N5YwvTF03npt5dEU38dcZOHHkSPOa/T/cUXcO83kEBTE7u+2smmRflULfymo52DEuTvg5Ia3ahCR4Kcl0T6SFkZBqCkJJPzB6P+WRIi2zhj0cIZqSST+IOvtaPvnl4onVtWioxaXpdmuZ6WKlt+juk22ZfiafMHkDyMoyff2prFv/13LRSmJ/L+pSM4dVh3hbjS3xTCiTDNY4wnyeLYU6BVabdikhsyupQlC0I3WptxjxIJ8kpw0y5RBmuzt2/diSMOvUgPGlLW7xAf/2meZEQhuWYS2VI8rcUuSlybzcbFR/XhlXMPQXClc1VtHbeVJ5Dtzmd7w3Z1ohtxT7GnpJB/ww30mfcRyYceitDaSuXTz7NlaT6NZW4DBqRR3ofQsAKN16wYV2UDIfdyNENIFdslLBLSZqVR8xZbOEMEN0ZtDaioFO2OsILCin9Yh2q9RYJrs4MrqeP/mzGGjlJl9dfWsuvT9WxclE/JG7/S8vPP4HSSfvLJ9Hr/Pf7+3/mc2fY0X5WvwGVP4NDGA3m5vIIkXxK3jR/IE2cMISnBofy39SjqpM/F5tA/6VF3K5fB9d4dpdhgtsjmdIeVDPWlsVWSxbF3IiPIIep2yJToFpKuShyiRaa+NapS1CqyeZrEjS8GOITB6ZZqcfcpSGP+lUcwok8O+7Q6+KCkjH2d++MTfDzx8xNc9MlFlDeV64prs9lIHTmS3h98QNfHHiUhLxl/m4OKd1awacxYat5/H8HnUz9WrbZIOoE/yH9mpCVUQqjdUIE/BLxhRbAReFvDvxc5MdNKC6e80Ia0Fki+exY5hKLJfmf5jxq16tCI6XSLg8cwkHjV5UkWxcM4iEBA4MnPN+BvEc+9vl0LWHjVkRzYXWVddSWJXIhohbZ4u2Ucexq0DCnNqFQkKMl0Qwt9hvnx6OldxMf6snAVODlX3GTFEYdRSOdTS424uErnqNl2Cbl3hTx5YsWLT4uUGjXdRWdVVKc30bED8vnXKQcDcGBzG6V/XM7AtJEhonve0vPYVr9NdrzKEnR3v370eO1Vujz0EI68XDwNToq/ymHHDbfgLVcgypGIthk3MwxAK67eypoSAoGw4btSu4Bp436tFgyT6jRpo5YYw+NUNdkPXjuxHLcufU5Gp5K1yaq2SptSU8bQyq+7beNGyu68iw3HHEvlByvxNTtxJDvIufwy+n3+Oan/uY27a9/k+q+up7atlh6p/aHkWrqU5mAH9uvZhYuP6oNNa/OsR7Ug3yjo3YhHVZKZnMin1uZjVUkGkBa85zeUxZVkcVhHiJOWyM4nC+2WSn6HVpToeopsNod+hVqC+jTrEHS2bmelJDDnwkOxJ6aRERAQfh9Kz8D5JDmSWLVzFZMXTGbhpoUIci6lkdCw2e2kjxtHn1tGU3RILc7MJHzl5ZTffgebTzqZ+iVLELSmhGNr70klIZTQMpok64QWTlTW5YRUaxMzJf5gs4eLFPLCnVl1WmsE17Hbw+e4meP0tYmJQFRM9mM9ydrs0IZoHNptsNCmx5PM6RbVpqifV41tPi5/czWPf/oXyTZRZfnY9CPJS9MojLVrO9WTJIsryeLYU6BlyKfVUx0NklxXUjcQK4IbJB8NZVBXLH4t+ZTFEYdRJGaEF7HiH8VHZ6I5xReyZIoQaL/4dTbJNaUk0/Ik058gL8oXVRh5CR683iR+/HE8ByZeQoozhbWVa5m6YCpvrnuTgBCQHa8CwbXZyDj5JPouWUL2oADYBBq+/JZN40+k6qWXEDweHccbTUkWo3ZLV1KYkJpVKUXGtTwxU8G432pCK0TGZe2QVo9TbV2JRSVYzZPM8Gekfp6Kf8eECkBGIIVAgIbly9l+wYVsPulkat99F6G1FXevIooOraHfZV3Jv+YaVvk3MXnBZD7e/DF2m53Dc07n75/Po7o2m95p4mYvNztH++/Kj1eL6MsTg3qh1YrSbrKbwcqyxB9aqtv/3KqSjEgOEVQQS4mzOOIwCkmNXlcSG06aqNCFEeLOJniJniKbW6UYoATpWvZ7wKeyJsvvB1G4idNhJydLXLOynR5+X78vrp3X0zd9IA2eBm799lau/fJadrXsEv+eNEhGI64tOYPMvs30vekI8v91M46sLDxbt1Jy3Uy2TJ1K49dft0+8yX3ZlAr4If5gUDneWUkypbg2m7WWQ3m3g/QeSPdZwW/uOP2+8BrZjkNYUKjJeXCCAn+yNMm6E/zoVDmpQa+zUBdGlASUxvFu2dXEpKdXsOyPnWQ6wtduQrKOIruShVIk9CTy9mDEk2R7IrT8Bax4kiUpGEa3WFjoJUgVuoAXdvwkfp3V23y8OPZu2Gxhkrv1G/Exq5d542VXUlhGrdYuYRQJGkktU9MtdSzORuIGF+1sl4cbx+yLzWbj2zV9yKm7jYPyDqXV38r/fvwfF39yMSWNZVHjOlJTKTg6md5jKkka1B+huZmKhx9h86TJNH3/g8rx6k2SmWyXiFTq6a2sKUEiZHanmJCV8E+cmKllkGtaSdYJE0OjKf78bfr97dDhpWVGSeZpxO+1Ub3wKzaPG8+Oyy6naeVKsNtJO2EUPV5/jd5P3UJmnxbaAo3c/8P9XPLpJVQ0V9AjrQcjku5g2bcH4fU7OHH/IqYPzWl/LFrQ4yOk1XKiBq17k69V3FxhgkNI/MHb3N63xkqyQIKUwKjaJCbKALLjHCIOk5AGCNXvgOot4tdWOGm0dkuj0FVkM+FpikbRSYrrSNDXuh28j9wxugfdspIoqUxl/c/nckLhuTjtTr4o/oJJ8yexdNMC5eNQiWcXWsg57zz6fvoJuVfNwJ6SQtuf6yi+5FK2TZ9O888/B49XJ38QAuEknR5ovb9WkmRqSR0rHmJKFhCupPBgClPrsqwoE6tCm7TWOJPA4ZTFtzLJuhOSmbqVZHpN9qUiW5S1VKVT5Mv1FUyY9S0bKhrJT3Pz2tkDxf+wOw1do7rU6PF2yzj2GGjdAMxWgZFt1JSUZFaqwM4EyAiO7d7wmfgYJ7hxWIFEcjcvFx+tEFybTbldwoqKUmuDKxFpU0oyHf5Eeq794IJo8zRx5bH9mH3eIWQkufhju50/fj6ds/peR5IziR/Lf2Ry6QLeT0tB0LHQJ2b66Hn/DIruvx9HdjaeTZvYft55lFx/A96KiJHkbQrVSqXXHMtqoOkKo4xIyJOxlpVkWj5nVtstlQiuyZhqXped4SkiP88MJrQU44XiGmuV8Gzfzs7vYOOCAnY+/gKebduwp6WRff759P3kE7o99RQphx6KLTGDte4ETkuo562/3gJgQu+puMqvZ+nqRGw2uHnsAGZNOwiXr7n9sWjBSLulEYKrNfUqWguTFhIzZK1DMg5hdTo2QHYf8XHj5+KjO8Na0i2OvRsSf6jaHFYmWuGkiQrTLTtt8I8J/uCQFXfU7idG7yXB+2z3FIGFM45kZP9cWrww98uBHJ/+X/bJ2pfatlpu/P5ubsjLoSYhuX2CRCWedM93pKaSd+WV9P3sU7LPPx9bQgItq1azbdpZbL/0Ulr//DP4eyrvg/z+FauJmXqSDqpxVdYnS0oyhSKbXJ1mZr2X+IMrBRyu8M8ttUaq7EutqNO0zlerPC8ah4ilJxkdPdQEQeDpLzdywas/0dDqY2iPTBZddST75zrCx6FHFBBvt4yKeJJsT4TW5lFLghoN0kZNMpgkRgQXIG9f8XHnb+JjXEkWhxXkDRAfy38VH60mXZWmU1lRUYY25AoqKFOVYB2LsxElWUT7xTH75rNwxpEMKEyjqtHLS4sLmdb1cYbmD6VZ8HFPbg6X161SNuUNxRT/rs3TSOakifRdspisadPAbqf+44/ZPG481a+9FjbjjTbdUus91IKeJJlR4121mJ2hJLPscyYVNmRJMimmt1m93UYL0Qi+FeIcSXIdzvAGx4inSDQDWh3DL4RAgMZvV1B82eVsGjOW6vXJBLx2Enr1pOCO2+m//EsKbr6JhG6ikrXV18rDWz7inKICtjogPymfawY/yLKvj2Dt9hbSE53MPu8QLj+mr+g/ZkT5pSdJbCQxHoobPIcDvo4KC/lnYtSD1GYL3yslDuHziFO7sFhoy43gD9kWlMNxxCHxh4o/ROWkMzE2nmTyYoEVBaXWvcpst0i0IoHRuLJ4WSkJvHr+oVx+TF8APvhOwLXzWs4deDEOm51lqSlMLMrhi+1fGD4+Z1YWBTffRN9PlpF56qngcND01ddsueq/lKzMxNOiMKSFoI+Wy4Rlg1YS0lK7ZXAtiyw2xlpJZjVmqwJ/wOokSjVP0xh4kimtfYlmJ2Rb5xCK8aKtz7K1vr7VyyVzVvPQsvUIApx5aA/evmQ4+emJxu2UjKjR40myOPYYaG30zJruItuotasCW5CMyyElySTkD7QWL469G4WD239v9XxKjkgQBwLKRqt6oaX8MpUk00H2DLVbdmy/6JGTzNwrDmfCgV3wBQQeX1pDdt01XO/qTkJAYEVrGZPnT2bBpgXtvUFCMdu/ZkdGBoV33E6v998j8cADCDQ1sfP+/7Fl8hSaV6/W4f9gYhohndQuodZuKJE8s6PRFVVfVtstlYz7ZfFjOolSIs51HX8nGrRIrpnPSa+fiLe5w6h1f2Mj1XPeYPOJJ1F80UU0Ll8OgkBKYSvdj66iz6KFZE+bhj0lTCR/3vkzUxdO5bUtixBsNk5ubGFC7iPc/6HArsY2BhSmsWDGkRyzr2wKYygJpSeRHTx/fS2iT4wSPCqJRi3InxtJnq3wBxTU6PJzTU0xqgeR/CEvzh/isICs3u1N7/MGmB9MRZQCsxW7Bq12SyODf9DRbm506Fek8stu4+axA3jmrKEkJzj4flMdH30xmAf7XEM/j4dqu41rvryGW7+5lbo2hfUiyj3fVVhI0b330PfjRaSPHw9A/fZkNr1cTtntdygPCzJqtE40/mBy8jIaAgYryScl/oBFhZZaTCuJN7XikBX+oKkkM/E5CUJ0kYlRTqpWCIxE8O+VVlQy4alv+fTPnSQ47Px30mDun7w/bmdQQWZ0vY9WaPPLCmXxdss49hho3QDMjm9HvtDH2LgfoOvQ8Nd2FxQdaC1eHHs3Cvdv/323Q63Fk0ZdN+0SH9vqwmPWTbVLaCxOpoz79cimDWzAVdovkhOcPHHGEP594kAcdhsfrS1j4BYv75eWsX9SIQ3eBm779jau/uJqdjbtjDhGZRKeNGgQvd5+m8J778GRmUnb33+z7ayzKf24Al+rPbaeZIGAPiWZ2RbOSCKhx+tFC0qkTF5dNTOdSonk2h3WplOpvadmp0j5fWLyB5Xz1cznpNdPRPbcts2bKb/3P2w86mh2/ve/eLZswZ6SQtb06fR550V6HFNNag8HNme47aTZ28wDPz4QmgKbn5TH0+UV3FdZyaxPtuEPCEw+qCsfXXEEvXIjqrNGTHLlr0NN+WhmUI/dLrs/RXxuVgb/IPc1DXII6VxzZ1ibZp3Vq71aovsh5mPFEYfdDgWyQlt3i/whJcgfmneFfxYyVbdQZPO3gd/b/v9MK8miqKiNqlJV4o3fv4h5Vx5B79wUSmpbeH9ZOe+WlHOBz43dZmfh5oVMnj+Zr4q/ioinLwGR0KsXXR99hN73TCOlqBUEqH3/fTaNHkP5f/6Ld6eMl5jhEJ1u3B85qEbaz8WIP2BRoRU52TIyZmf4j/pajSvctQo6pvhDU5jzq6mqjMaNpkyLiDv7y1/ZWtVM18wk3r9sBGcd1lM5nt5rNBo/88qui7iSLI49BpobcAsmfFIVuLVW3GwSQyVZ3+PDZpJ9j9NnOhhHHGooGAzZorSfzJ6Qu4+1eClBQ22J5DYFK8IJaebO1ZDaM0bDNfQQSKN+hCoxbTYbF43sw5wLDyU7JQGhrYE+Xh83pJ3O1QddjdPuZPmO5UycP5H3/35fnIAZ5RhtdjtZp55KnyWLxZYJm426v3xs+jif6oXfIHi9HX5H8z1Ug6cxTHSUCgVmPUXUkgdaCQddcRWSb/LpVGYSb9EqwZZIbmSSzGRlvd200BiNcI+2yXO6we5CCEDDZ5+KUyrHn0jNm28SaG4moW9fCu64nX5ffUXhbbfiLgpW42Xk8afyn5iyYApvrHsDAYFJ/Sbx4GGzOapFrMZmOdr4z8TBPHLagSQlKCSFjPj+OBPCa2asfIQkqJF9K56mKCjJQokCCyoygkneAaJ6BJsd+p1gLV4ccex3SvjrfcZYi5Uc5A9NMiWZxCWkApwRtFN7ql2jBpNkUZVkZlUqHePtU5DGvCuP4LgB+bj9zSQAJ1el8uKoV+mV3ouKlgpmfDGDm766iaqWKn3HF4HEwkR6HF1Nz8sOJmnYwQgeDzVvvMGmUSdQfs89eMvKzKnRtZR6Zn1S0eAQ7hjwBzWFlql2y05UkqlNssZEkVFrryvFbTVi1xCMZ7O3V5nKYfR80tHK2Obz81OpmCB0+1s4ap88Fl11JAd2V9hzG93fh6ZbqhyvlHSzO8WBHXsh4kmyPRGdPd1SCIQ3Uk2V4mNqvvrv6YqdCae+CvufBuMftBYrjjhsNpj0PAyaBFNettYqgVxJFiRs0nmfYoLgorNdwoxxvy5PMnPtEpE4vG8uH199JHkJYgLryW92UlF8BG+Pf5cDcg+g0dvIPd/dw4XLLmRb/TZdVTZnVhZF995Dr3feJjHHT8BrZ+czb7B54iQaV6xo/2SJWJgx3bW7lJObVqcexao1kKBfk9/TMa58OpWVSrCap0hnkFzT00Jj+DlFSRj5a2up+juTTR/ns+OG28UplTYbqccfT49XXg61VDpSI9QHCak0e5v5z/f/4YJlF7CjcQeFKYU8N+o5Dkq+hLNe+pNmQXwNr541gLOH9xT9x5RgVK0RzVPEjCcZGmTfCn9AQUkWuo9a5A8Ax98JQ86GU56GrJ46fiGOODRwyEUw7EI49t9iEdcK5EoySf0rqdLNcAiHK7wGdLhGTbZE6/Uk09vGGSVeRpKLl84ZxuTB4jq0pcHGHe818MCI2Zw/+HwcNgdLti7hlPmniBYORv3Dgve+5AHd6TlnDj1mvyImy7xeat56m42jx1D2ZSveJkfsjPvNFO6Iopy20sKp6vUlWTZ0An+wVGSL9B91iRMvMeMfFmu7BpXhTO3iGjXu11Z+7ahp5tTnvmNNhVhoPqZXIrPPO4SsFJWElcdkIVy1yCYz7d9LPT41RonEsdtC13RLEyTXmSBeVJ5G8eaanC0juXlWjljEgPHhanAccVhF90Og+6uxiRXZLmH1vFfzEPP7whJnM8b9UvuFfOqQBMPGu9FN7IsykhDSA1ANjUIiL36zhTXbs3j8zOf5svQjnlrzFKt2rmLKgilckTaQcwCnDgKZdMAB9DqhkrpNiVRs7IVn0yaKL7yI1FHHU3DzzSR07269VUJp0TdLSNVMd6W/1VBmTU0ljytNW22qMOfVoealZ0lJpkJIO2uKlBnjXRUi3rp+PTVvvEHdwkUIreJ1Y09LIfO008k6c1rIhF8t3teJbv4zfyJlTWUATN1nKjOGXMtjy7bzxve/AOBJTiE50MZ+2VGIppGWaOm1NO/SILlSPIOtEqpKMqueZJJxf3BzFkv+kJoPE5+2HieOOABciXDSo7GJJRXZ/B7xmkpMt37uu1Ohua3jhrzVoHeYPB46lGS6TcGjJwzsdhvH9UqCv8HrTOWv8gZOfWYVD0w9g7dOHMudK+/kr+q/uO3b2/g4/2Budzro5mkUO1miFT5lRQybzUbKiBGkjBhB0w8/suvpp2n+8Udqf/FS+2s+mY3vknPbMBK6dYv+ujSTZGaV07Lnx2oNlceNPBdCg6is8IcYKsmiqb58LdYmjneIaeJz0uP3FUNPsq/+ruSad9ZQ2+xlXFIKCDCs0Al2DQ4Res1GjfvVWqxNeJruYYgryfZE6EqSmfAkQ8HAvDGGJDeOOP6pCCnJgud7U4X4aFZBqUYg25ElE55k6Fjw9FaCdVbFbMG41554MGluJ6u21TDhqe/o5RrL3AlzGV40nDZ/G4/VrmVal0L+at6pGQ8Abws2AmT2babvgg/JOmc6OBw0fvY5m088iYonniDgD7arKfmyqCEa0TfbLqHl1WTV58yZJHrEKcY0WLEWBB2VYAsmuaqeZCYThGpkzxTJDccUvF7qly5j29nT2XLKRGrf/wChtRV3rp2iQ2rp/9p9FNx4o3qCDNjVVM4NeTlcmdhMWVMZXVO78uLoF7lg3xu54JXfeeP77QBcfXx/MjKDyaFon5dpJVmUa95tsJ1RLW7oczbLH6S29QhFbmqcP8SxByMhOdyi1VQpDgaRrgGzHCKq2tPgNRqKp3YvMZh801FkE+OK/3/MAX04rHc2TR4/M95aw7vfBnh1zByuHXotboeblRWrmdy1iNfT0/DrKY6oKIlSDjuUnq+/Rs85r5PSNx0EG7VfrmXTmLGU3HAjrevWRTlejUKBXJkjWdLogXTfdyR0VE5b8jmLUrwyY9egNB0bq/yhE3zeNGOa4A961uYYeJL5AwKPffo3583+kdpmL/t3zeCMI/cLPj/K52VkOjY6eKTVfMEegHiSbE+E/AYYeaMO3eBNZoZTC8THhnIxtqSsiSfJ4tiTkRLZbmmhVQINAilVgZ2JonJTL9q1Xygoq/w+cWofRkiuzqpYcIE9clAvFl51JPsVpVPd5OGcV37k/e+befb457n3iHtJd7hZ507gjJbfeOLnJ2j1aUx7lP1NR04hhbfeSp95H5E8fDiCx0PVs8+xafJZ1G9PFLtXdFfuohB9qwmtWLZbdkZMT6PoZYYCyTUbMxBQT2p1xms3G7etEW+zncoFq9l4/ChKrr2W5lWrwOEgbdxYer4xh97nFpHZtxm7Td0kOCAEeG/9e0z49TGWpabgAM4bdB5zJ8ylZldPxj/5Db8U15KR5GL2eYcw84R9sOk9XqMeYiFPkRga96Px/kr3J7OVZYk/NAYnzcVSSRZHHP9kSIW25irRk08IALb2AyeMINZqz9C+QUWZHWO7hhCC97zk1AzevOgwLj9G9JJ97bttTHtxNWO6ncmHEz5kWMEwWux2HsrJ4uxPL2J99XrtuFFUMMmHHEKPS4bRc1QlKYO6gd9P/aJFbJk0me0XXEjjihXKU7q1lHqh91xob3oeDZqTGGMwDCBWNghoKNGtJN46pcio5z014UkWSyVZhCfZzvpWznrpe574fAOCANMO68H7l40gKytYXIr2Hhj2JIvyPhhNuu2BiCfJ9kSo3agDAfUbnF6kFYmPDWVBA//g6Pk4yY1jT0Zku2VjUElmpVUCBQIZMrLOMh9TcRiAbBGMgfFuCH5v2EvDnU6v3BTmXnE4Zx7aHUGAJz7fwHmzf+LIwnHM328Goxub8AMv/fYSE+dP5OsdXyvHlZO7YFuFu39/esx+ha5PPoGrSxd8O3dSsjKb7V/k0PLLz/pek/Q+qKnpzBI9rdHgZic86iLOBmNK93+7S/Q2kyPBQuItdFxqk7nMKsmsJ8mEQIDGb76l+J3NbFxYwK653+KrqMCRk0PO5ZfR74vP6fbYYyQPG4YtUfvz31izkfOWnse9399LQ6CNQW1tvOMewJUHXsv/Fm/m8jd/pqHVx0E9Mvn46iM5dkB+xPFqfF5qHnRaiOYpYtZoXzVJZnGatcQf6sXW1PB9NAaeZHHE8U9GimxCtqRET87uqBLWC7UNuVkOoeWTionkm+4iWziu02Hn5rEDePncYWQkufiluJYTn/yWTaWJvDzmZe6sbSY1EOD3mvWcvuh0HvrpIZrUklFaa3LoGNNIzvXS4/Ij6fXhB6SfeCI4HDStXEnxhRexZfIU6hYubD84SCtZ6EoCW1Dh/v/b5wyNJEdMkmSRRTYTFgiRxxnLJKFeTzK9E8J1KckMeJL5feLUzuDxLF9fwbgnvuH7zdWkJDh4/PQh3DdpfxJdDv0DpYx6kkU7t6x6kO4B6NQkWU1NDdOnTycjI4OMjAymT59Oba12v/J5552HzWZr92/48OGdeZh7HpyJshu17KJqqw9PdrNKchvKwlXgxExjqpc44tjdkCwjuIGAdcNpNQIpbULNJLH1DAMwolCL1n5BxP0l+PxEl4P7Jx/Ao6cdSJLLwbcbdzH28W/YWZfEI5VVPO5NoyC5gJLGEq78/Equ/fJaypvK28dVIU02m4300aPps/hjcq+agc0h0FzpZut5Myi58Sa8JSXarylqu6VF5ZMSyTObfNKsrppMPskJbqQnm1UyanOI55dizHr9ZBQdhFTHsfqqq6l66SU2jRlL8cUX07ixBQQbyYP70fXRR+j/5RfkX3MNroKC8C+pkNE2fxtPrXmKUxedypqKNSQ7k7k551DeLN1JV7KY/MxKXv9uGwCXHd2X9y4dQbcs2QQsPRuddh50sW63NGniHRm3xcL9CSC9i/jYICnJgkWHeLtlHHs6UmSWDbEYWKFaaDOZyFbzSZXQCZ5k7eOGk07HDywQJ/h1y6CuxcsFr67iP4v+YorPxfwdZZyQPwy/4Of1P19nwkcTWLZ1WUfVlx5VjczXNGnQILo+8jB9ly0j65zp2JKTaVu3jtIbb2LjCaPZ9eyz+Cortd8Hm82kyllPa6CZ6ZYq7fFmPD0lqCXJ5GuGkbUetIs5Zl+/HiWZ4Advi754evxCjSjJZM958Mtizpv9E9VNHvYrSmfhVUcy8SCZ1YNevtdZnmTxJFnnYNq0aaxdu5alS5eydOlS1q5dy/Tp06P+3tixYykrKwv9W7x4cWce5p6Hdjdq2cUqbcCdScoTw/QgXVYJtqqmiSOO3QVphWJrRMArEtyGoBLCtCdZ8Pr0tYoVJQkhgmtGSabhAWJqYqYO3ytpoXe4OyTfJg/txvwZR9A/P5VdjW3c80kxAMe1eFgwcQHnDzofp83J59s/Z8K8Cbz6+6t4A972f1MlSWJPTCTvyivpe7qT9J5iG2n9woVsGjeenQ89hL9ehVBFex8SZEkdI9BTCY6pp4bJmCGCq9EqYsVkXy3xhmBswEI0FYAKaRQEgeZVqyi54UY2Hn0MFQ8/gre4GHtaGln72+kzroKej/6b9PHjsSUoJIsVkllfFX/FxHkTeeHXF/AFfBzT/RjmT5zP2Um9cADz19XxZ1k92SkJvHr+Ifxr3ABcDrtyXK33Vvo/h1t58Ibi+6DXU8Rs65VKEt9qka2tTjwfmuIcIo69BFKCuL4krKS0MhU+1kqyaH6cpgf/6PRhjEhmdM9O5r3LRnDe4b0AeGXFFoqbHOT7/Tw64HyeOf4Zuqd1p6Klghu+uoHLPrtMnKItQU+rWEh9H16bErp1pfDWW+n/xefkXXstjtxcfOXlVD7xJBuOPY6Sb1NorkxAiIHKOfweaBTZYmHc36Hd0sLETDUOEUo8BcLWHnqhqzXSwLHKp4UqKf4SUsBmNxbXkCeZniSZeM75cPDMNyI3PmdET+ZecTh98iI/L71Ksvbtm1ERVYmuMZBqL0GnJcnWrVvH0qVLeemllxgxYgQjRozgxRdfZNGiRaxfr91L7na7KSwsDP3LzjbZs783Q+kmaLbCJEeaVAkuhbod4tcZ6ubGccSxR8DhCm/w6naEz/3M7ubiycmAPKkVIridpCQzMzFTi+RGIc77FKSxYMaRnHVYDxoRVUbVNdVU1gvMHDaT905+j6H5Q2nxtfDI6kc4beFprKlYo9ubyZWTStcRtfR64l8kH3YYgsdD9cuvsOmE0VS/9hqCJ8JbqrOUZLraLQ22S3QGce7UZF609hMjm4Yo5Ew61iBh99fWUj3nDbZMmMC2s6dTv2gRgtdL4uDBFP33P/T/+isKD2nFneHTJrmya6i4vpgZn89gxhcz2NG4g/ykfB475jGePPZJ0l25fPPHFgBq/W6G98lmyTUjOWZflU2vnvfWjP9HiDyreYroqH4rxlU5XqtKssT08HtcXxa+j6bHOUQcezgygpMTY8EfUNk4txvMYlRJprP1yujgH0+jtrJIY01yOx3cNWEQL587jKxkF9U+sbDx7R9bObLrkXx0ykdcceAVJNgTWFm6kknzJzFrzSzR71SXkkxdLe/IzCT3skvp98XndHnwAZIOPBB8Puq3J7Pt81y2nHEuNe+8i78h4net+F1FW5eNKLQEQV2hFQufs8hjTUgRC8lm4mpxCDPHqmUBQaSQROfnZMiTLPqxfvvnVkCcCp+W6OK5s4dyzymDxfbKSOh9D0wP/lG75k16mu5B6LQk2XfffUdGRgaHHXZY6GfDhw8nIyODlStXav7u8uXLyc/PZ5999uHiiy+moqJC9bltbW3U19e3+xeHbCFrlbW3WmnlkiBXktWK07vI7GE+Xhxx7C6QCG315nC7UIbJc9+ZIE4yQkXtaardUqNdwpSSTEe7hI5FNCnBwX8n7c/NEw4WD9PfzIlPfsu8NSX0z+rP7LGzuefwe8h0Z7KxdiPnLDmH29bPodJhj368wWNM6plLj1dn0+25Z0no1xd/XR077/8fm048ibr58xH8/oj3IZpx/z8hoaXHU8Ok4k0paWI5ZjQyGsNJUu50BAEa/66iZOZMNow8ip3//S9tGzZiS0wkY+oUer3/Pr0/eJ/MKVOwJyXpI7nuVFpsNmZV/8zE+RP5asdXOO1OLhh8AQsnLWRUz1H8UVrPyU99S2mFOMTjoL5defOi4RSkJ6rHjeb3I/8/I6b4WoqAdpskgyQ3xB8iJpVZVZIhU5OV/xpUHNjCCYQ44thTIXGFumKoC3LnDAtJMqWkVltDeDCL0Ws02npvWEkWjBdNWaSjOHD8wAKWXnsUzuB96f2V67jmnbW0ee1cPuRyPjrlI47ocgTegJfnf32eifMn8rmtRTSX0fQk66gki4Q9IYGMCRPo9e479HrlcTJ6N2FzCLStX0/5XXex4ciRlNxwo2j07/eb8xDTs9YHZB5WeuBrC3tGx9K4X63wYrOZV6jp4joG3k/pvbe71LumjLZxxsiTrMXj59/zfuPBBasB8DqSWXz1SMYOLtKIq/OcMjv4x9skTtyNRLzdsvOSZOXl5eTnd6yq5ufnU15ervg7AOPGjePNN9/kiy++4JFHHuGnn37iuOOOo62tTfH5999/f8jzLCMjg+7dLSw6exIkqbWkTMFiK5cESUlWXwq1QWmz2URBHHHsTpA2csXfi+1jzkTz0y1RUWpZarfUUpKZmHhlSEkWfVE+anAfAFJsrTS2ebn23bXMfG8tzZ4Ak/pPYuHEhUzpPwWABdW/cFK3LrzkaKHNr3zvF48xmBhsa8Rms5F2zDH0mTePwnvuxpGXi7e4mNKb/8Xmk06m7uOPEZqD76+qkkynrD0SuqYzmTTuj6nqS4uMdgLBNRtXg+x5S0qofP8LNi3Mp3huLfWLlyB4vbgHDKDgttvo//VXdPnPf0jaf3D4lyJMcpUgCAKfeXdxSrcinm/eiCfg4fAuhzN3wlyuO/g63I4knl2+iUnPrGBTZRM5LvG8PGK/3jjsNsWY4fdAxzmg1V4bLa7SNeptDk7QM0FypYl7zdXtfx4LDiEV2rZ/Lz6mFZq3f4gjjt0FEn+oLZZ1YcRCSSbnD0G+70zsOJglGqKt99EmQ3eIJ1cWaXEIfXEL0hMZ1Fvcf6TZW1nwSyknPvkNa7bX0CO9B8+OepZHj3mU/OR8ShpLuDY7hYsK81nfoi6ykPMHPUjqlU+Xw+rof5aN/JtvJqFfX4S2NuoXLaL4wovYOOoEKlY201bvjJ0nmcukQqudmioirvS93yMm0/RCEHQmtGI4qKczimxm4hrxJAt4Fd/X33bUceJT3/DG99tJsYl8JDc7h+7ZyR2eqxjX1yoOy1KDWd9AVN4Hs4N/9iAYTpLdddddHYz1I/+tWrUKgibLkRAEQfHnEk4//XROPPFEBg8ezMknn8ySJUv4+++/+fjjjxWff8stt1BXVxf6V1xcbPQl7ZlIViC5sagCZ3YX22e8TWGSG1eSxbE3QCK0W78Nft+toweTESj4YVhrt9TyJDNIcNFZvTISN/h67QjceGw37DaY+3MJJz35DT9vryEzMZO7Dr+Lt8a/xQGJ+TTb7TwRqOCUeafw2bbPlMexKxB7m9NJ1mmn0W/pUvJmzsSRkYFnyxZKr7+BLY99R31xIoIriieZr6W9V1w0aCa0zCaf9KjTjCbemnTE7KxJlAaONYLkBjwe6hcvZvsFF7Jx1AnsmjMfb7MTe4JA1rQz6fXhB/T+aC7Z08/Gka5wLsqvCYXjXF+9nks+vYTrdn5JmdNJkc3F48c8znOjnqN3Rm9KaluY9uL3PLD0L7x+gbGDCjm6V5DY6vH/0HUtmVCSaXmKhH5m0+9RIkHiDy0y/hAIxEaNni0my9n4mfgY5w9x7A2QlOj1JaIaHawpKJVUUFauT60imxlVqs0WE8uGdiGDz7lsRAHdspIorm7h1Oe+46nPN+APCJzQ8wQWTlzIxYPOJyEg8GNSIqd9eQV3f3c3VS1VHQPKjPt1IXisjox0cs4/jz4LF9Lr/ffImnYm9vR0fGVlVK2oYvPifDbf8AyVTz9N26ZN0eNqJWDsdpOJouBzXclgj2jhS4iSHFGDry2sVOwUG4gYcZ0QJ9GR0NKrUNOTeGv3vobj+gMCT3+5kUnPrGBzZROF6YnccYK47tncBvgDOi0b9HIIpzvc0aJ0jeqZELuHw3CSbMaMGaxbt07z3+DBgyksLGTnzp0dfr+yspIC+TSpKCgqKqJnz55s2LBB8f/dbjfp6ent/sUhqwTLSa60AbdCcJ1uyOkrfl0dvPlL38cRx56MnH7iY+Vf4mPeAGvxlEziO4vkmpFN66myGlmUXckhs9QrDy/k3UtH0CUjka1VzUx9diWPfLIejy/A/nn780b+KO6v2EW+zU1JYwnXLb+OCz+5kPXVEX6WGiTcnpJC7iUX0/fzz8i9+irsaWm0VbRQsiKbLXe/Tf3SZeE2TAlyAqS3XUK+gfg/8w/rjLYGsyq6KNVGU54iDQgCNG+upez2O8SWlpnX07RyJQgCyQcfSJfhNfSfUkfhHXeQNGiQZvEt9LodCe0GTFQ0V3DHijs4deGpfF/2PQk2B5fW1DGfHhzf83hsNhvz15Yw9vGv+WGLOJr9wakH8OzZQ3H5JWNgHee+Lk+yJv3x9MSVqxuNJvOVlGSehrAyzUqhLW+g+Cjxh+w4f4hjL0BaF3Folt8DNaIfEfkDzcdT8iPsrCKbp8mcKjXaxEy5MkkPhwg+p1uSn8XXjOTkA7vgCwg88unfTH3uOzZXNpLsSubqAdNZUFLKmMYmAkKAD/7+gJM+OkkcDiRX4mgNO1JCxDpvs9lI2n9/Cu+4g/7ffE3Xxx4lZd8csAm07ahi11Oz2HziSWw++WQqn5pFy2+/IwQCCnGjJGCiGazrONZ2sDtkMU0kn9TimlnrA/5wO66iDYQJrhMq3sZSSabjPHU4xWuc8DlVXN3MmS98z0PL1uMLCIzfv5Cl145kYI4jerxQXFc47v8phzBRXN/D4DT6C7m5ueTmRm8xGjFiBHV1dfz4448ceuihAPzwww/U1dVx+OGH6/57VVVVFBcXU1Sk0a8bR0coKcliYdxPMDmw62/xa5sd8vezFi+OOHYHdDmo/fcFg6zF07xGTbQzaVVtjZruRosXGVcPcZYqy2310NbIIb36seSao7hr4R98tKaEp77YyBd/VfDY6UPYx9PISU3NHJc/ilcKuvHqH6/yU/lPnLboNCb1m8QVQ64gPzlfVyXYkZpK3hVXkH3WWVRfO5rqn2pp27qTkmuvJaFnT7IvuICMiadgd7vFIoDdJcrlPY367pWeJrH9Vu196NRhACYNcrUIrhEvlXbHGc3nTd+xtm3YQN2yLdStzcfX/F7o587CQjImTSRz8mQSspPhoT7i2x7wd6yUqx1j8HU3e5t57Y/XmP3HbFqCU7DG9hrLNRmD6Tbvakhrpq7Fy+3zfmfBL6UAHNQjk8dPH0LPHOmck95LA5XgWFaB28WNUYu1BLmSTBDEa1e6Nzncxlu55MiPKC4UHWA+Vhxx7C5wOMVzvfgH8fvkXGvTLUOF8BhZqsiLbNI1L0G6b9kcYrHLSMxGjUKbr01ca9F5n5INA0hPdPHkGUM4fkA+t8//nbXFtYx/8htuHT+Q6fsE6Orz83BdG9OmfsADPz3An1V/8sjqR3jv7/e4eujVjO45GrthJZl6MsvudpM+bhzpLMf/zfM0JJ9CfXEiTSu/o23DRto2bGTX00/jyMkhdeRIUo8aScoRR+DIyNA3UKjBZLulVkxPo0l1WoqocFOKicnjVDvWRDNJMn3+ox3+vp6Y0RJQ7lTwtSC0NTBvzQ7umPcHDW0+UhIc3H3KYKYM7SoW9LS4mEZc1fdBbilhVI3eXBWl3XLvVZIZTpLpxcCBAxk7diwXX3wxzz//PACXXHIJJ510Evvuu2/oeQMGDOD+++9n0qRJNDY2ctdddzFlyhSKiorYunUrt956K7m5uUyaNKmzDnXPhJKSLBatEgDdD4V1C8Sv8wZCgoFFM444dlfkDRC9PqSFqOswa/EUk2QWKsGxVpLpMu43YebbVh8iCBnJLh47fQgn7FfAbR/9xh+l9Zz01LfM71HGQCA5MYsZB81gcv/JPLr6UZZtXcaHGz7k480fM32/6ZzvcpOGPpLryMgg7xAHWYU7qXFfQPWSlXi2baP8zjupnPUU2dPPIevMM3C4U8XPQbcMX9pA2JWTB1Z9zjplGIBGxdbbLBIuh056oFWx1nms3p07qf94MXULF9K2bl3wp07syYmkjRtPxskTSD5kGDZHMBkm9/toa4h+vQRft9+dyoINHzFrzSwqgl41B+QdwI3DbmRI/hDY9AUAjQ21jH38a8rqWnHYbVx1XD9mHNsPp0O2MdBqXY2ELuN+E/4fWq0oVgiuxB8CPjFOYnps7BoAioYEPXaCieUuQ63FiyOO3QVdDgonybpZ5Q854mOzrI3QSrdIyGjfL3Ic+Vomv5cYUaVG9TnTboPvGK/9WmKz2Zh4UFcO7Z3NjR/8woqNVdwx/w/W96zjv8GYQwuG8vaJbzN/43yeXPMkxQ3F3PjVjczOmc01/c/kcAwkyfQkNhJScSQIZA7NJ/PfD+Gvq6Phiy9p/OJzmlasxF9VRd28edTNmwd2O4n77Udy2k6SnW6SvU4Uyz2dMvwmDRrKTCbeYqgal47T7lT2prSSeNOlJNM73VLbk0zw+xE8HgQhBaG1imff/ZpXN2fhFgQO7ZrG7WP7UZTuxbN5s9jBsHEb1DgRKgX45RcEfwAC/rDSUO4uIgiwKxnqE+CHH6FANjFWemxrRCgPvn+rfw9PFW9nUyJ0/FlZItS4YcX30CViMMTftVDvhl+2QPnXJA09GEeqQeuG3RydliQDePPNN7n66qsZPXo0ABMmTGDWrFntnrN+/Xrq6sQJSg6Hg99++43XX3+d2tpaioqKOPbYY3n33XdJS9t7M5mmENqAyxfQGJHcgRPg0zvFxXRwPHkZx14Ch1M89397TySovUdai5ccVOQ27wr/rLWTlWSGWiV0tCIY3di7U4MV0fbHOH7/Iob1zOJfc3/ji78q+Lu4jIEOqPEnkAV0Se3Cw0c/zLQB03hs9WOsrVzLi7+9yHv2BC5OT+OM1lp0WX97GnG6BfIuPJOcG++l9oMPqHr1NXxlZVQ++ihVzz1HRq9ksno24NZbYZRXbJU2EJ1hsm+mukoUtZL8Z54G/eegHjKucKze0lLql31Cw7JltKxdG/4Pl4vUbgIZXSpIvWEO9oGjO8aUvDT8Hl2KP6Gtni+Sk5iVYWfjyjsA6JralWsPvpYxPceEWjWbSCIFqKmupszTSs+cZB47fQhDeyi8F0bahPS0jOjxUekQV+PcsuInkpAcLgg0V4nnW0uMimxJmbDPGPh7qejzaDVZEEccuwv2mwg/PCd+Pcgid5aGBjXFmD8QTBopJskMtl1FW/vkSSclZVKHeMocp0tmEnMuOIw532/j/iXr+Ht7GbihkURSBAG7zc6k/pMY3Ws0r//xOq/+8Sp/Vv3JpVW3c1hhPtdW1zJYjyJZxzTvyOKiIyODzEkTyZw0EcHjofnnn2n8+hsav/4Kz8ZNtP7+O61ANTnw7VO49/2EpCEHkjR4MImDB+Pu2zfkxWbUsgA01hMrCa2Y+o/KzoFY8SfZcQqBAIHGRvz1DQSamgg0NyG0tBBY30hgaxKBz9cS2PgqgZZm8edNzQRaWsR/zU0IrW1i8qukGsGTh/DNfwgI9yF4vAher/h/Hg+0s+4oZBSzGCX7Scts2NzhQPOBtfDMGTpfWC58+ZDG/wcT58sv1RlP9ntfP6vxf08A0HvBfBz77GMw9u6NTk2SZWdn88Ybb2g+R27GnJSUxLJlyzrzkPYeKHmKxEpJltUTpr0rTrc86BxrseKIY3fCuAcgbx/oP9payxFqlWArnmQa7XJmWq+02i9CcQ2SZ41EXn56Ii+fO4x3fyom42OxovXYV6X0T9nGWYf2wG63MbRgKK+Pe50vi7/kiZ+fYHPdZh7OyeLNuu+ZsWkBJ/Y+EYcW0ZUlnuwpKWSfey5Z06ZR9/HHVL/8Mm0bNlLzJ9T8mU/yjnvIumgGaccfh82psVS2RSOjEWO2oxHxUNx69biWlWQKJNeZEE6MtBlIkhkw7vcUF9PwySfUL/uE1l9/DT/HZiNp6FAyTj6JtDFjcL46EupaIS1H/e+609TbBIIQBIHvyr7jqbUP8XtBHhAgzZXGxQdczLSB03A7wqnVr/6u5Pn3/+ItIMXWwnmH9+KmsfuSnKDy2YeUZEbaLTU2D3rbOZTiaiXGjbReyJGUDQ2lQTV679gpyQBOfhJ+fh32n6L/eogjjt0dPUfA1FfEa/OA063FkvhDS7U4VMNut6ZEt9vFNjpvk3h88sndZlu39SrJjCjRUVbk2u02zj28F0f2z+XNOeuhHjbX23j6jdXce8pg8tMTSXGlcPmQyzl9wOm8+OuLvLv+XX5IgjO7FnLCl9cxY9i19Mnoo/739aiHQ6+547pkS0ggZfhwUoYPp+CmG/GWldG8ahXNr91B87YGPA0u2v76i7a//qKWd0O/485zkZiYgduxnISGbrj79sFZ+P/aO+/wOMpz7d+zXatdSVbvknvDNi7Y2MYxzTY9lFAOhEBCz+GcQ3JOQoDvgDkpJCSQAgRCCpAECCRgmik2xQVMccW9yrZ6sWRtkbR9vj/emd3Z1ZZpawvp+V2Xri3afTW7q9m55yn3U57BhzMbXqFZqCTLkMzhTXZE/BzCfi8iu3cj7PYg7HEjEnfpQcTtZpceD8JthxDpKUX4tW2ILD8loZpKyijgs00ANsncWDPgGuy1nnS7AcBohMFgAIxGcAmXCPWBC/YDNgc4e2HsdwZDTGtLPl6ut5FV+edXxzRl9HEc023dB9nxVLRASrYOuPjf9RwCfG42QMeeoLfavmRehGVTAKOVWZKMMLIaJCNOIsmmU4kBM/F3Whi/RPsaBPFVw14IfO0H+qyVmAkO+WNiNDdNcCAV6Vq61GSC07VfiCj1UcrQwslxHK6ZWwvfl1agBegJWfG/r+3Em9ta8fMrpmFMiQMcx+Hs2rPxteqv4c0Pf4THj65Emwm47+P78Kcdf8Jt02/DefXnJQ+WJcmEcmYzCi69FPlf/zr6P/8cx396BzwHB9C/4wD6/+u/YCotRf7Xv478yy6FdUwSAS1XjIqPlXsCI6c1MuBVFniTE9ASg2RySXOiw0ci8LX64dnuhHfde/C3/iv2S4MB9jlz4Fy2FM5zl8BcVppkzQwnI/3dKf+XtnZuxe+2/A6bOpgAzolE8E1DEW644k3kW/Ojj3P7gvjZyj34x8YmVMIA2IACYwDLL0njORiJsJNJyK0kk5wspgo4q/EkEx8bDrDvD2m7ilY/EbsQJOsXTrxF/ZCjg35wlgGLdfoeJYivEqdcoc864gktL0ydtRcCfd3xv1OK1cG+1xKDWmq/SzJZNiid6CvDR2psiQP3nVsNvAoMwIb3dnXg00Pd+H8XTcGVs6vBcRwKbYW4e+7d+Obk6/D7vy7Gm7k5WN38ET5oWYvz6s/DbdNvw5iCJMd6RW18mSvRzRUVyL/4YuQfWQ50dyF0yYvo7zRgYMcO+Hbugm/3bkQ8HvhaAvAhFzi0Bnh+DQCAs9thra+Huboa5ooKmCsrYKqogLmiEqbSUhi9LjaZL50nGdRWfWVYU/L58DwP3udD2O1mgSzhJ+J2I+xyI3x0OyJb8hA2GhA+eOegx0T6+gAInuQrlOw7JgCxCeWczQZDbi4MdjsMOTkwhFww9DWCK6qEYcz86P2cPUe4Lty2WcFZLDC8+m1wvB/cNc+AK6oDZzaDs1jAWSz44GAPHnq/AZ2+CJ60/gZnmr5E+OLHYJqTpojkzbuAzc8AZ94EnHl35pfzwjXA/neAi/8XmH3D4N83bQT+fC5QUAfctUL+2/Sv7wA7XwHO+x/g9Dti90fCwP8Jx/ofPBMfNB9BUJBsuBKtUpGYevZ1scvckpOzTQRBxEisJBP3T4NZ23TLZALSp2JKTeI462RBMqUDAeS0cAKwRdi0o0vnTcSHm4344kgPzvvtetx17njcsmgMzEYDTAYTLis7Hed/8ie8WDcNf7JGcNh1GD9a/yM89eVTuH3G7fHBsnAQCAteVklELsdxLMt7VT2C29fguOkb6F27G6HOTnT/8Y/o/uMfYZs+HfmXfh35F1wAY4HwGWVqa1MzDAAZBLn0bwW8gC1/8GOSkelkx+Jg/4cagmRhrxd9H38C75o18K5bh3BPDwAnAA8LjM2bi7xly+A891yYkg0Bkk4LTdvWIrYwxov8bZ3b8PT2p7G+ZT0AwGww4+r8Kbhp20oUT5wFSAJkH+3txL0rdqDNxSoXL5k7AdgOGCIBIBSIm4QZR1DiYaNkumUklDrgrMWTTHx+XJBM42SqxESb+P3kIP1AECcdo5l9l/ldLNFmLwT6mM+i6oEAFgeAjsEBHvG7RMngHyioJFOqHzIEoIzC9/OU+ipM78/H9mYXfviv7XhjWyt+dtk01BYxH+UqZzV+6gnihl4XHpt9CdZ0bMTbh9/GO4ffwbL6Zbht+m0YN2rc4O2VVUmmYACOsK6pohp5s6Yj77zzACHJFGxsxMDz/w/+zWsQME+G32tF4OhR8P398O3eDd/u3SmXNZjKYVy1BcaXroZxVAGMuQ5wOTYWAGruAnfMAUPkE3D7cgADxyqZOEPcdT4cAh8MAqEQ+MOfgd/lAN/qBX/81+D9fqEtsZ/9tO1DpKMYkQ/XIPKrs6P3IxhMuY0MB4AgsOeDlI/gjBEYC8tgyM+D0ZkHQ54TRmcejHlOGKKXThjz8mDY8w8YG96CYf63YVz2IxicThgsCcfyTX8B3voeMGk+cE26FkbBFP8T4bM/bX40id3p9uGBN3bhnZ3tAMyYVO3EzMJacA1fwhTK4HOnpBIdMrxtA2oD2Smq/6S3ybifGHaIrTIBDxP7RjMFyQhiKJEqSJZboswcVySdgFSTCZa2XwQ8AJJ8byhdV84wAMnvzz11LFZ97VTcu2In1u3vwsPv7sPK7W34xRXTcUpVPmB1wsbz+LbfgCuvfQcv7H0Bz+16DkfcR6LBsttm3Ibz68+HUa5JsMUBc24EpRfMRfGDT8D70Rq4XnsN3nXr4Nu+Hb7t29Hxs4eQe/rpcC5dAme5hx1IM01SGjiun/+H1JPL75EfJJPdGil/O/kBDwY6Leh7dQP6fvkWBrZ9CYRiGVyD3Yrcol44Z41D7o/+CdOoDG2cIR+rXky3nYgXjTzPY2P7Rjy9/Wl83s6MsY2cEZeOuxS3Tb8NFVtfACJvRl9fp9uHB9/cjZU72gAAdUV2PHzFdMyrywfELtCAFzClqJoSBS5nYC2qmTBLhLDfk6EqU8k+apS0SLkTWqRUtG9KSbRsIP1AEEOL3CIWJEumIdQQnfaodyVZiuOJ0jbOaCIww/FJ2H5nXgFevWEB/vLJYTyyaj8+PngMy36zDv+zbCJuXFAPo4EDLE5McLvw2LQ7see0HDz15VP4sOlDvHvkXbx35D0sqVuCW6ffiomFE+X5PMrVOFJSfFdzBgMs9fWwnD4RCL4JzD0VuOCX4INBBJqaEThyGMHWNgRbWxFsa0VIuB7q7gYiEURCBkR6BhDs2Z787yIP2PkZgM/kbyvyAHQC655O8XsLgH7hR4LRyAJYeU4Y8/JhdDpZwCvYBWPLGhjKx8C4+N9hzM9jQa/8POEx+TD+bgI4PgB87wPWbpiJgdcBVxCorQSKUlRVWhRU0sVN4HQgEuHx/BeNePidvfD4QzAZOHz3LDbcx/L2P4V1M3z+0eO93CBZBm2mtCpTJNqBkvA+iNtntCQfqDBCoCDZcMVWwAQ8H2EHUJOVZbFBIpcghgRikExst/SKAldlWXNU4Oo4yllsv0h1wPcpFLlys6ySYE71KDue+/ZpeHVLC/7vrd3Y1erG15/4BLcsGoO7xtlgEx7vsDhw6/Rbce2ka+OCZfesvwdPbnsSN4y+GJdwHGwGC0sapHzNMTFisFiQt2wp8pYtRai7G+633kLva6/Dv2cP+j7+GH0ff4x2joO9uAjO3n7kLjwEy5gxg71CLE5lEzMj4Vi1Urp2iQyeXIPIaLKfvDpLCh8IwLd3L/o3bkTfp5+h/7NW8KFiAKujj7GMGQPH4sVwnHkm7LZGcCtuAmrNQKYAGRLEZdogmRM8gPXHvsTT7/wLX3Z9CQAwcSZcPPZi3DTtJtTl1cWtyVty8ffPjkbFrdHA4aYzRuOuc8fHvMekvmyprAmk3jRyAtoGA/sfCHjYuskqPeSYQid9H1Lso5rbLcUgvvD9FD0BV1mlQhCEvtiLgJ4GyT4qXKrdRzNVlSj2JEvjmQgVQTI5U4IRf5wzGQ249WtjsXRKOe5+ZTs+P9yDH7+1G29+yarKpkgCg5OrZuG3Z/8W+3r24Q/b/4DVR1dj1dFVWHV0FRZVLcKNvk6cBoBLF9jI9JoTiTvWp6ioSwi8cWYzrGNGwzpmdNKH85EIIi//O8IbX0Jo6rcRrr8I4eM9zLh+wMdM6vevReTIZkQKxoMvnc4sBPgI+AjPrkci4PkIOKOJtRaaTOB69oHr3A6ubBIw/kwYLBZwdjtrT7TbYejZBcPmJ2GongbD5b+FIZfdb3Q6wdntyT3UPn8aeOdNYHIdcHUKnz6bg1U0y9VPcqaQKmiLjX6WBjP2HvPjnlc3YWsj8+icXp2Pn102jSVtIb9bQvFgnUzaWe2gnqjmS6Ef1HqaDhMoSDZcMRgARxkb8etpi/2j2/JTt5AQBHHiEINh/d2sxSzazqRW4MrxJFOTZeqQYbwrs11CYSWZ+HiO43DF7Gp8bUIJlr+5Cyu3t+GptYewb2srngHAB/qi3qTSYNmLe1/Ec7ufQ6OnET/e/gSeqKnEvw2EcY2vFwWpWlpTiBFTUREKb7gBhTfcAH/DYXhWr4Zn9Wr4du5Ef5cV/e82Ae9eBFNZGXIXLkTuggXInTcXppIS+RlwkbjMZZrWyP7uWKBSyboKKslCXV3o37YNA9u2YWDrNvh27QLv98c9zWgNI3f+QtjPPh+58+fDUlMT++UBYftkv3bhcebclBPPguEg3jX68dfKcuw9wnzOLAYLLh9/Ob59yrdR6ahM+rpf2enC//bsBADMqM7Hzy6fhqmVCVV4FgcLkqU7yVEjIK2SIFm6NZXuo1Yn4O1IfWKrVuQ6y9mlh1XbaQ7iEwShL/YEDeEV2i1VJ9pSnIhHh8go/W7K5EmmUj9kTLIN/u6rL87Fi7ecjn9sbMJDb+/BtqZeXPTYeqwbZUQ1JIkPABMLJ+LRMx/FgeMH8Iftf8CqI6uwvmU91gOYUlmGG70NWBIJwWRIcgqdqXpu0LbKSAqlGQaQDM5ggNHkh9EZhmXyWGD+WYMf9AkHrP4QmD4OuPzX8rZ11f8CG9YD808Dlt07+PcHVgPtvwHKg8C0U+StKadN0CoEyeQGHmVNIVVQNS+sN2DIwUW/+xihCI9cixE/WDYR188XKhKl2woZGldhu2XE4kQ/x6F/oAsD7qMYCA2wnyC77O/cBJ8zFyFDP0K7/4ZwJIwQH0IwEkQoEmK3IyGE+BDziQPPBif27gRfNApw7wD/6Y8BgP3O2wkUjQJvdQAblgMA7phxB8pyy2Rt73CBgmTDGWdFLEgmtuNQFpgghgZR490wM94V/URUt0oIB+dIMN7EOxSIZSqVep1lFLlKM8Eysmxxpujx65Y4rXji2lm49NQOLH9jF464OMAKDHhd6OruQ11RTHA4LA7cMv0WXDf5Orx64FX8dfsf0ebvwRMOI/7yylJcNu4yXD/lelQ7E8r3ZYgc65jRsN52K4pvuxXBl++GZ8Xf4O0bi/6jHoQ6OuB69VW4Xn0VAGCuqkJOXgA5ObnI2bkH1qoFMNgytOj5Y5nLlKXuVpkZS5FIJG22kY9EEPCY4G+0wffC+/A//Rl8+/Yh1N4+6LHG/HzkzJyJ3Pmnw777AVhtx8HdeQ+b/JpqO+WeNKSpduv19eKf+/+JF/e+iC5/F2C1IIcz4eop38S3pnwLJfbB+47XH0JDQzOmAzjk4uCwmvCDZRPxzdPr4sVtdHsdrDIjnchV6iciruvRcdKbiCXFSZnPxS7ltuIm4hTMkt1CkExrEJ8gCH2RVqP7PTHPTbUaItWxb0DlZFvdp1sKjwv5mE+UMcUpbIogicHA4dp5tTh7Uil+vHI3Vm5vw1EPh2ojsOlAE2ZP4OOqncaPGo9fLf4VGmc24m+7/4bX9v4Du61W/LDhn6js+ATXTb4Ol46/FHkWSZBP+ppTDWlJtq0GU5pjfYpqHznrpkq6qPFOy4Jdg7yAVuYKd0XbCfkBV57nsWl/I04D0B20IBThsWxqGZZfMhUV+UlsE2S+r7zfA4+BQ1fQjc7WT9E10IXO/k70+Hrg8rvg8rvQ6++NXnf7exGurwFcnwIrLkq+aHEREGwCNj6c9m8PIs8JhDqB/S8Pvh8ADrwCALhu8nUUJCOGEXmVQOsWwN3KvGtArZYEMWQwWWPGu54OHdotE4z2RcHlEwQuOOUnzOmCWnIN1qXIybIl+D8kY8mUMpwxrhjPvGcBNgPWyACW/Hot7lg8DnecORY2c2zao91sxzenfBNXm8uw6rVv4dniUuwNDeCFvS/gxb0vYnH1Ylw96WosqFwAA2dQLB7NDh6FE/tQuGgpIgt/gP7Nm9H3yQb0bdgA/759CLa0INgCuJEPbHkcuOf3sNTWwjphAqzjx8M6dgybUFVVBWNhIRPpOk/RApjZPBtUakRwxwEEWtcjcOQoAkeFn8ZG8AMDAAoBbI49j+NgHT8eOaeeGv2xjK5n28nzwP99j807T9nCqdCjJYnAbXA14Pndz+ONQ2/AF2Ym+yUGK/7tWAeunHwtCub896BlIhEeK7a24Ofv7sX/+bow3QjUVpRg9fVfSy5uReQEclUFyTJ5iqiYQCtdN/H/1afyxFYkTwiSeRKCZKQhCGJoIA7R8HbE9k+LA7DY1a2X6tg3IAwAy5HRLi9FdiWZQv0A4fs51fZkCJKU59vwxLWzcM1pXeD/4QDCwCuf7sUjrZ/jx5dOxbjS+O2pzavFfaffh+9+8RJeihzHi6U1aO1rxS83/RKPb3scF4y+AFdPvBqTiybHtjESYslKc6aEmOQ9SBVQk1tBp+A9iAWe9LRrUKhJ5Gyn9Hdy15XjxykjoHeoy4sfv7UbwQNb8LwF8BvsePr62Vg6tTzNuuxv8j4PugeOodnTjCZPE5q9zWj2NKPF24LO/k50OQbgc9YAm38i7zUJGADkmHORY8qJ/thNduS4W2HtOQJz4ViYKk6FyWCK+zFyRpgNZpgMpmggmAMHrmsfsPt1cAU1wKnXgQMHcADad4Hb8zq4gjpg5vXRqbAjDQqSDWecEpEr+pFRFpgghg75VUCnC3A3A+4Wdp+zMtOzkmM0AaYcIDTABKQwgSeaBbblMaNvJaQTucGBmMG63BN7OQEo8XecMa0peo7FiO8unQFsBowcD0PIh99+cAArtrbg3gsmYdnU8rissDk4gAv7+nFBYRk+W/Ygnt31LDa0bsCa5jVY07wGtc5aXDXxKlxqMiMfKtolrA4YbDY4Fi6EY+FCQJj06Nu+Hf1/vx8Dew7B5ylA2OtD4MgRBI4cgWfVqrilOJsN5qoqmPKsMB4bBaPTDtPvfgdjfj44Ww44qwUGqxWc1QqukQffYgX/ySagyQY+FAbvG0DY40XE40HY40HE40HoeA9CnV0IdbQj3CP8b715c9KXwpkNsDp9sE0YD+uS62GbNAnWiRNhdKQQm3JM9lVWkgWsuXi/4W3868C/sLF9Y/TXkwsn4/op1+O8xu0wH3qYVUomsL25Fw+8sSvqG1JsDwAR4N/OmAqkC5BBbiBXRdVXuvchQ5Vf+nVTZNmj+73KIJn4PeRuZSd74pRLx8jKJBPEkCWvil26WiT6oUL9eqm+o6JVqUoryTIkHJQGyaSTov3e1EEymUNLFo0vQXhyLbDzc+QZAvi0oRvn/3Y9bphfj/84ezzy7fHepaN8fbi9340br3oCb3oP4oU9L+Bg70G8cuAVvHLgFUwvmY5rJlyFpRxg5QVtkClIJmdgi9IgEWRU+Wcj8JbKBD7tduoT0IpfU2y3TfeeSpJLkUictYPHF8TjHx7EXz45jGCYxwUmVqFZX1mGsQkBsr5gHw67DuNQ7yE0uBrQ0PwxmqvK0RzcBd/LSdpcRQRtmmd2oDS3HCU5JSixl6AopwgF1gLkW/JRYC1AnjWP3T76GfJe/w9Yq+eCu2HV4PXe+h6wdxMw+WZg8T3y3icAOPg+sOGvgK0WOPW7sfv9fwR6/wZU1AMzbpO/3jCDgmTDmTxJu0SQZd9lTQYhCOLEkF8DdO4GXM2Aq4ndV1CT6VmpsTpYkEwqpqIVJQqzwMgQ1IoKFk7FGOt0fk8S0ZSpVUEyNfB3l4/D/77ficaeftz+9y2YW1+I/3fRZEyvLoh7DZzVifmV8zG/cj4Ouw7j5X0v4/WDr6PR04hfbfoVHudMWFZciEv9XZjN88nNZuO2N7UYNToczJuscyJQuhH82f+O0KRvwX/gAPz7D8B/4AAChw+ziVSdneB9PgQOHQIL++QA4IHdT6b540XA+lcAvJJ+G6UYeJgra2CprYWlvg6WujqY69il5cjL4Nb+DJh5PvD16zKvJcdkX7w/7AfCwfRDEwAcdR/Bv0YV4HWrG8fX3802mTNgcfViXD/leswpm8M+k85GYRtiwvmY149fvrsPL29uAs8DuRYj/uOc8Zi93wy0yPT7khXIVVFJlqotEhDai/n4x8kllQeOXpVkvl7g2AF23Zyr7nuEIAj9Kahll64moFfQD1o0/omuJFM6+Edcc+C4vESbjO9So4397e8uKMOhzlK8v6cTf/r4MP61pRl3nTMe151eB7NRCKAI3/u2nEJcWXklvjH+G9jSuQUv7X0JqxtXY3vXdmzv2o5f1FTj/L4+fL1jC6aOXpJeQ8gJFKoJaGVqY0x3PEq5ZoZtFe/P1A4bt6YMvzulrz+axJIReBPXteXFVZ93eVhg7OxJpXhwXB28H3DYbzXh4L6Xcdh1GA2uBhzqPYSO/o7Ba1ssAHgYOAPK7eWodlazH0c1qhxVKLOXovQvF6AkGIDte7tZ11cmeppY5b7EOy8OtYN/LCkCkFqTbMMECpINZ6KVZK2xL6KCupO6SQRBSBAFratZP5Hb1xUvJkSBq+ZgFxUnSQ7M0vYwORP+ILeVTUZmVcQgtEcGvFg6zoGFM6bgqbWH8PS6BnxxpAeXPP4JLp9ZhR+cNxEVSUZkj84fjbvn3o3/mPkfWHl4JV7c+yIOHD+A150OvM63oPrVC/D1cV/HJWMvGWwGH30fZGyvOIAg0AdzaSnMpaXRajORSCCAUFsbgi0tCO14H+G1TyFsrUGo/gJEXC5E/AHwfj/4gHB5rAHwdYMrqAZXWAeYjDBYrDA4nTA4HTA6nDA4nTAW5MNcVgYT1wPT29+BsaQc3H+vTr6dHfnxn0EmZJjsx4m2FBMj3QE3Vh1Zhbca3sLmjs1AQR6ACErtpbhi/BW4fPzlKM9NaHGQZNf7/CH8af1hPL3uEPoCrLLt8plVuPv8SSjLswE7++Kfk450//PR16FwfDsytLeI93FGwJyh0m3QulkSubaCWGVq0+fsvoIa+fs6QRDZRaof9EqyIRueZKlO7MUgmYIWczmTopVU5QrbmG/04083nIa1+7vw05W7sb/Di+Vv7sZfPzuK+y6YjLMnFIILDcSty3EcZpfNxuyy2Tg2cAwrDqzAP/f/E219bfhHnhP/WP/fGLN9DC4ZewkuGnNRcj8nOQNbUgUy0iHbPywLnmTI0A6bbM10n5WSgB7PS47Pad5Tk5X5wEVCQMCL9U1+/PydvdjV6gJncqOqsgeLpgYQMH6AGxq/QFN9DcC3AJ/9eNBSRbYijC0Yi9H5ozEmxKPuk8dR7ahB5e2fwpwsKRjyAwHBP1Cuhoj+D6So0lM9wT5V9ajGJNswgYJkwxkxOu1qju2IWg6gBEHoiyhyuw/GjPvza9WvlyzjplbgIoM4UWraDxmZZcS3L8rbxlz2HL8XuYUm/PfSifi3ubX45Xv7sGJrC17d2oK3d7bhj6MPY1GKde1mO66cwDLD27b9Ba998hO863Cg2duMJ7Y9gSe2PYF55fNw4ZgLcXbt2ci3SrzdZInczCLPYLGwaq66OsB+BGjrA8ZWAdc/kPwJ794LfPYEsPA6YMn/ZX6fDq8DbBF5GWvZ3h8yhJnRzNpmQ764IFkwHMS6lnVY2bASa5rWIBgJAqwuEWf0D+DKUdOx6IqXk08Qk/zNjq4uXPjLNTjmZaJzenU+Hrh4CmbXSYJxKk6a0grygIKgW3R70+1Lku1TGoQSg2BiMByCCBdP6NRWfnEc0xA9h4CjG9h9+aQfCGLIIOqHgR6ga59wnwb9kCqo5VMZcM9UAaTGh1HOpGg5QRKRhFbGxRNKsHDsIry0qQmPrtqPhq4+3PTcJiwZY8UfE58joTinGLdMvwXfOeU7+PypWXid9+CDvAI0uBrwmy2/we+2/g6nlZ+GpXVLcU7tOSjKEewwlJjM+z3yhgFAhi5J5WWZds0M2sxoBoxWVjWerh026ZpyjPtlBMmkFhDpNBnHIWR14kjIi+UvPYWNnm4YrK1wTmgDjH1wA1jZHP+Ucs6KcZWnYUz+GIwtGIsx+WMwOn90vB5s3Qq8/yvA3J+6al66f5nldmHI9TRVGCQTzwsGeuP/twY0dKAMIyhINpwpFiaN9TTEvH1I5BLE0EFsl2hYwy4tjqSVNrKxJMkOamm3TCek1ATJ5LSyKRG40cd1xAmPyoIc/PrqU3Hjgnr8ZOVubDxyHNsbmrHIBOzoCmNsIAS7ZfDhj+M4zCyZgZnHenB30IEPLvkZXj/4Oj5v/zz683+f/h/mVczD0vqlOLvmbBTICcAobReQ5dORBTNbpS0YMr1fYHEAIR98Az341NOA9xvfx5qmNXAHYlnRcQXjcNGYi3Bhx1GUf/xboLqGZXqTwPM8NrcFMQdAZ/cxHAv4UVtoxw+WTcSF0ypgSJxaGfUokVNJJuPkQUE7j6x11Zr2A7Hvi/6e2H0DkmEdatYUKZ7AgmQHBA+UAg0n4ARB6IstPzb8p+Ejdp+WfTTZxORwMPadpVRDpPF9AlSe2Mvx51KSaEtSOWwyGnDdvDpcMqMST3x0CH/5+DB2NrQCNiAEExq6/ZhQZkm6nNFgxAJDHha0H4Hn7N9glTGINw69gS2dW/B52+f4vO1z/PTzn2J22WwsqVuCc/s6UJLpPRBfM5u8k7naOBxij0OaCneLisCb3IBev1+5p6te7ZYpLCD6g/3Yd3wf9vbsxb6efdjeuRtHyxwIcE4AK2Apij3NyBkxOn80JhVOwqTCSZh45AtM3PwCRs25BTg3w+TIZBp80DYK740pR15LKhL0XrLPS40eB4AcQT/wYeY9KAbN1AbGhxkUJBvOOCvYQdTnAoL97ISjaNzJ3iqCIERKp7BLsQqkdIq2dqaklWQa2i3FCtRkB3w1AjdblWRILqBm1BTg5dvm492d7fC98TwQBNYcGcC3H16DO88ai3+bVwurKWGYgSCs7H43Lh57MS4eezFava14q+EtrDqyCvuO78MnrZ/gk9ZP8GPuxzjNEsTiPAe+FupDyhSEUuNZOcbwSgNassSowuyyjDXdATfWO3LxgQP4+MNbMBD2R39XklOCC0ZfgIvHXowJoyYw75bW+1KuyfM8PtjTid9+cACW1ha8YgXyDT48eMlU/NvcWlhMSVo+eV7Z/5SSkzC9pltGBa5CPzJIRO6AJEgWFbj5qdtg5VA6Gdj/Tmz7SierX4sgCP0pnQw0fRY7zpdNUb9Wsu++aMAdKqZjS74fg32Dj2daNIReibY0+sFpM+NH50/CdfNq8fxbq4AGwMPbsOw363DpqVW469zxqCtKcgwQXo8zHMIVk6/AFROuQJOnCauPrsaqI6uwq3sXNrZvxMb2jXgIwNTKMiyKHMOirh2YWjyVTdmO28aEyeWZgmTSIGemqdMQfK7kHHtkVX05gf5unROCCvRTwINjRgP25jixd9cz2NuzF3t79qLR3Qhe9P0U4TjYIxHkcdWYM3ohTqs8BRMLJ2JcwThYjdbY447uYkFeOcd7aaVjquCjlunYkWDyqalqB/+YbYDZzmIEAz3xlWWgdksKkg1nOA4oEQ6gAFA8MfOkFYIgThzFE2K+P9AocCGpRJEKWz3aLdNVv9iU+IkI64UGUhu7Kqn6QebADsdxOH9aBSIH84EvAZPNiWNeP5a/uRtPr2vAnWePxxWzq2LBMqkIF0ROpaMSt06/FbdOvxVHXEew6uiqaMDsUwuHT4sK8fMNP0T9zt9jUfUiLKpahFlls2JCy6Iw+CTL50zpmnIMglVOkZKsGY6EsbN7Jza0bMAnrZ9gx7EdiOTyAOxA2I/y3HKcU3sOzqk9B7NKZ8GYOHE1KvZi/1dicOw3H+zHzhYWsDlVEJjVuRHcsKA+9TaG/LHpzoo8yWQY9ysJaqVtXVbZKgEAdiEFnqySTKvATQyKlU/Xth5BEPpSeWpM4xtMsQ4SNYjHcp9UPwjBN2u+8unY5hyAMwB8hB3T9AiSZUpixCVF9Gmvrym040dnVwMNQMScC94PrNjagje/bMWVc6pxx+JxqC2yD15TcgypcdbgO6d8B9855Tto8bbg/aPvY9XRVdjetR07rVbs9DfiybevxSjrKCyoWoCFlQsxp2wOKhwVcd6rLABWkv41ie+N0cK8t5Jhtsc+m4A387FM+r6mO44q9U+TNYky+Wce4SNo8jRhT88e7OvZxy67duFYrdCGvOW3cY+3cqPQ7ylDyFeBiK8SfzatwKKBvTBc/XNg8sWp/76aJBsfYRPgLfbBj9Ey+EfcnsRzea0awtUP9B8HxEYWqiQDKEg2AhizOHYArZt/sreGIAgpRhNQNQs4+gm7Xb9I23rRE+bu2H16tFvqdWIvPdAH+wBjksy00oxYumo3CQZh3VvOnQ4nTsFjHx5Aq8uHe1fswG8/2I9bFo3Bv82tRa64jZFQ0oxdfX59NGB2uLcBa59ZjHU5Nmy15+KI+wiO7D6Cv+3+GywGC6aVTMOcsjmYEwhjBschR7HXl45j0WUJXKVTpLwIAdhnMmLr7r9jS+cWfNb2GTwJfjFjeBPOcXXjnPk/xJTT/j3DtK+YII1EeLy/pwO/+/BANDhmtxhxw4J63DZ9IvA0YMj0+qWvRZFHjZzhEjoZ96vNAkPSbin1JNNSPSql/ozYdYMJKJ+mbT2CIPSl+jTg86eE63NTB0XkkFY/qPgu4TgW/PC7Bh9TwsFYclBRJVmG5FBA4aTgTMMFRITv7aLCIrx54xn41ap9WLu/Cy9+0YSXNzXj4ukVuOPMcZhY7sxYMV/lqMINU2/ADVNvQOcb/45P9q/A+tpT8WnoOI77j2Nlw0qsbFgZfezsstmYk5eH2b0+1Pg8yNhrICfRKP1s/F4g00cgfV/l2EDI0RA8L2sSJW9xoNtowEF/Bw7u/jsO9h7Ewd6DOHD8APpD/YNfGs+jPsJh0tjzUZ4zFruPOLF2pwmeADteLxxXhP+6fALmfrIROLg3s4aSE8gTseQKzqpCUDFpkEyFdjYYmX9ZsI9VducWp9hGNdXoo9jgD2k1enSiLQXJiOHMjGuADY+zHXbWt0721hAEkcjcW1mQrKAWmHCetrXEA6dU5Gpqt0xXSabCA0E6UcjvTd6+ocqTTIbIFV6DKScP35xRh2/MrsYLnzfi6XUNaHf78JOVe/D4Rwfx7fnV+C/pc9JU3462l2G0y40bXW54/nsfPuvZgfXN6/Fxy8foGujC5o7N2NyxGX8AYKqrxpTIMZzy+UOYWjwVU4umoj6vfnAlFbIU0NKhrYHneXT0d2BP9x7s6t6FbYfexPa6agyEG4CNv4g+zmlx4vSK07GwciHmV85H5et3AccbAJOMSajC6/m8NYB7fr0WDV3sc821GPGtBfW4ZdEYFOZaYpVT6aoSpa9Frv+HnAo9pf+jyELAWUQMfvcnabfUKnDzKoFJFwF732LfU8kEP0EQJ4+JFzCzflcjMO9WbWvZBf3gc7EgltGs/WTZ6hACMQnfe9LbevqaivdzBnmTguUGdSTH5GnV+XjuO3Ox8UgPHvvwINbt78Jr21rx2rZWnDu5DD83W1AsZ00ApaEQLvP24bKqZQjO/y6+7PwSH7d8jC/av8Du7t1o8bagxduCN5wmwFmJvI9uxdSSGZhSNCWqIypyK+ITT3Irn8TPJt0QhMQ1OQOrQku5poLkXXCAVVwJzwtHwmjvb0ejuxFH3UejwbBD3XvQW1sNoDNOZwCA1WjF+ILxmFQ0CZNGTcKkPjfGv/UDoHAqftByDR7f0YaIENs7Y1wx/uvc8TitXkgsbZTp66qkkozjhKo/D3sPHKVJ1lNRSQbhvQ32DX5vw8GYB52WRFuyanSqJCOGNYVjgP/YzK7nVZzsrSEIIpGplwJlm1mAS40nkZTowU4aJNNSSZZGRKgxGxcFhK83s8hV7EmWKRsYH9iwmY34zhmjcd3ptXhtawueXHMIR7r78esPDuNWqwU5XABHWjtQP74485rg4HSUYYmzHEvqloDneRx1H8XGjo3Y1L4Jm1o/Raf/OLYbI9i+94Xo03NMOZhcOBljCsZgTP6Y6LSkcp8bBsgcBpAFg1w+HEC3pw1H+1vR6G7Eod5D2HuceXu4/K745xgMcHImnFo5HzNLZ2JuxVycUnRKfPBP5pCB430B9HV0ohrA3zZ3oyHSB6fNhOtPr8PNYnBMJK79IM24ecX/T3I8yTRMt9Qr4Cwi7vPBPiDoY0FdPQXuN54Bug/E/BMJghg6WOzAHR8D3k6geLy2tXIKYlUw/T2As0z7lLtUQS3xO8+Uk3oKYDIyHUukVgVy/F2j+iFTJdng48hp9YX463fmYkezC0+uPYh3drbj/T0dWGFy4RYTcKilHXXhCEzGNL6Qkipis8GMOeVzMKd8DiCYzW/r3IZNHZuw+ctnsIMLwh3qx6dtn+LTtk+jSzjMjqh2GFMwBmP6Pag3mVBhdSJtXaESX1Opfkr3vqY5fvI8D3fAjfa+dnT0d6C1ex8aCwvQaDaj8e3r0Oxtjk65ToTjedRGDBhbfxbGjRqHcQXsZ3T+6OgE7EiEx65Vz8DO8/isK4yVLW0AgK9NKMF/nD0uFhwTiQb03IP+XsrXLgerECRLW+2oJkjmALxJ3lu1AWeRRF/TcJDpCdB0SwqSjQQoOEYQQ5tinQZq2JNVkgkHPjUHOzmeZEoPylYnC5KlFLkqPclUZgOtJiOuPq0W35hdg3d2tuH3Hx2Ct8eGHARwx1/WonicF99eWI8zJ5QOnpoobZOTCEeO41CfX4/6/HpcOeFK8J370Pz0Amx3FGDXwtuw69gu7OnZg4HQALZ0bsGWzi1xy+aAQ0VVBSqa3kR5sAnlueUozy1Hka0I+dZ8FFgLkG/g4ARgUNDCGQHgNVng9bbCE/DguP84Ovs70dnfia7+LnT1d6C5shyNZhP6Xl2adBkTZ8KYgjGYVDgJM7qOYObu9zB2zu0wnPuT1H87Q3Z5Z4sLz39+FK9tbcXLXA+qDYAtNx//72uTcc3cWjisSaSKySIZN58mSKZG4EKmJ5luxv0yPOhSrpsf85YZ6AHMlfpVkkF4n8umal+HIIjsYMtXbqqfDIORBd37u9mPs0ybfkCaoJZa/ZCxkkyGVUHcegr1Q5LjyLTqfPz+utk41OXFH9YewsCXrILt091HcP3DH+Gb8+twzWm18UkeETFAk+S73262Y0HVAiyoWgBsX4XgkfU4cP5PsGtUOXYd24Xd3btx4PgBeINebD+2HduPbY89uaYSgAdFL52JSkclynPLUZFbgaKcIoyyjkKBtQCjbDYUmExwetthC/bBZrQlr2yH5H0VXj/P8whGghgIDWAgNID+YD+O+4+jlxtAryMXvZ2fondTH3p8Pejs74wGxgbEFluRfCHJ6j4MADAbzKh2VqPWWYuxBWNZMCzEY/Q/vgVbbhnwnXifMQBw9QfxypZm/P3zozitZzd+YQb6kYMrZlXj5kWjMbkiRSJXbtWb3ok2ta2RqbY3Wi1vUxZwFklMrks9jbVMxx4GUJCMIAhiuCB6ivQdi93n7WSXycq+M5EuAKVZ5KYQJoo9yeR6iqQPlhgNHC6aXokLp1XA90gB4HXDwQ1g/YFjWH/gGOqK7LhuXi0um1mNEqeQn5VZAcTZnKgJhVDj6sGFc34AcBzCkTAOuw5j7/G9aOhtwGHXYTS4GtDobsQAH0KDxYwGzyHAcyj1uvU1sPGA5R9nwGKwwGK0wGwwgxPWD/NhhCIhhPkwBgZ60De6Fmj8B/tJhZUJeQ5sYEFdXh3q8uqi49DHFoyNDSR44z+BYDDz8IYkn7kvGMabX7bi75834summCgrtAeACPDza+fDNHpM+nWtTmHcvJ7TUuV4kqkQuek8ybS0WxoM7AS2v5tVf+RVAn1d7He5GQyeCYIgpNiLhO8SQUOI+iFXhX5AukoyFYN/ICOwoSUpkmoaIeRVYo8tceDhb8yAp3AasO4VFJoDaHX58PC7+/Db9w/gkhmVuGZuDWbVjoq1R8qZGCn8XTOAKSYnpky4EldOuJJtVjiAo+6jaHA1sJ/eBjS0b0ZTfwcGDAZ0+7rR7evGjmM7Bq9pFoJpW37MfgCYDCbkGHNgNVlhYPXsbCpkOADUVCJsMMH3/Dz4wj5ExFbJREqKgONb2E8SCqwFKLOXocJoR+2htag12FF7+V9Qm1eLcnv54EBdT0P84AAhSPdlswvPf3YUb25vhS/ItuU8K5uePX9yHc6+akb691Tu8COlSaxMVf5qKtEhI0imRj9AUkkmtluK+iGnUJ5FxTBmZL96giCI4USiJ1koEKsqUXPCbEkjIH1igEipyJXbLqG03TJTJlheYIPjOOQ48gEv8MQVE/DH9tF4aWMTjnb342dv78XD7+7DWZNKcdWcGpxl9bCDaKZtTTLxyGgwsraBUfFVhMFIEC1PzkebpxHti/8H7TlOtPe3o83bhl5/L1x+F1wBF/qCfeA5DgMcMJDYApkBi8ECh8WBAmsBSu2l0Z+SnBKUr1qOem83qr/9PiyVM9MvJFecCb/n/V5sb+rFiq0teHVLM9w+NnXSbORw/ikVuG5eLSpfDQNewCTn5MnqYCdz6QJaSkx3gVhFRtogmcbplon7kh4it787VvVBQTKCINRgLwawP6YhtH6X6H1iL9eTTKldAx9mvk6pfMzkeHoKOPNYBe+ycQ48MmkGntlwGDtb3Pjn5mb8c3Mzxpbk4qo5NbhsVhVK5QzpQWrdZDFaMH7UeIwfJWm1/ewp8O/ejd4pF6PtnHvR5m1DWx/76fX34rjvOLs8tg+9ER+8hlg7aCgSgifigSeY5PhnEkIGCWb5JoMJOaYcVqHm70NBbzMKRo1BwdglGGUbhVJ7Kcrt5SjLLUOZvQw2k+DzeuRjYOPrQFEJUJlmsJxkOni3ZwBvbm/Hv7Y0R4f5AMCkcieuO70OV3m/BD4G03CZkNtuqrQ6MV1CDCr1A6Tvg85BMntCuyXphygUJCMIghguiJVkA8eBSDiWDTaY1PkTRQ/iPDuwSw/qQ0XkyjbeVSBMBDFSag3ivgun4HtLJrBWwE1N2NbUi9W7O7B6dwcut2/HowD6uBzYeT711MbE8d1pDNDNBjPq/f2o9/mBuiVAikBV0OeG6+E6+AwcAreuQcBkQSAcQCAcAAThauSMMBqMMHJG2F77dziaN8N52R9hmfaN1K991cNAsINN9syEHJ8zAL1hKwoAfPjlIdz0ySfR+6tH5eDaebW4ak4Nih1idZ6Cz9+aQjQm20bZ/0+Sk7pIhFVqJRIN5Kpot9RzXxKxFzHfsGgmWNjvSeQSBKEE8YRZ/A4RLx0qv0tSHe99Kn0Y9U6ymSXf4X5v6iCZzGMd20b2moxBL66YXY3LZ1VhS+NxvPhFE1Zub8Ohrj489M5ePPzePmzK6cEoAANcDtKOGZBb9QR2POQAjLIWYFTRFEwpSuEnueIO4MsXwJ+zHP75d8AX8sEX9kUveZ453nMcB+xfDe7DB8FVzUbOZX+CzWSL/pgNkha/DY8B+/4fUHY2cNoP0m+nzGO9z2iHOD7pnIdWojfC3imL0YALp1fgm6fXxqrz3lNQpSXXqkNxdWIGrzM107GRJuCsZTo2pFNtE4JkarpPhhkUJCMIghguiGXT4FmgTDzY2YuTn+xnwmyP+R0FvClO7JWWjGfI3ikNQMgxWg8FWLsA5IqneGFvt5hw7bxaXDuvFvs7PPjnpia8uqUF4QE3YAG2tIdw7y8/woXTKnHR9ApMrcyLD5gZDMLEI2/qiUdSZJT3m61OFPMcEAoDtmLWZpd2TR8L+mTyqZJpsh/3mCT/A43d/XhvVzve29WOsc0t+IUZ4P0e2MwGLJ1SjstnVWHR+BIYpT5vkXDMMFZO5VemjC1UtDZEKyOF9o7EirZwkPmgKVkTYCdf4r7k98S/Z0oDeYmIFaTi/h5tkaIgGUEQCohWo4snzBq/S1J6kqX24kqLbE8ymesaDCxQFuwT1kzxOpUkbxK2keM4zK4rxOy6Qjxw8RSs3N6Glzc1YUtjL0yhfoADvv6n7Rg3CbhwWiXOmlQCu8WUfE0lJvsZK7zZmlywLxrwSolpAxAIApYiIK8m82uXox/SBB4HAmGs3d+F93a14/097djCG2HmwrBGBjCtqhyXzazCpTOrBvu8KQkYyTHuDwUAcZiA4koyvYNkKf4H1O5LIon6IVpJlmZo1QiBgmQEQRDDBaNQMebrZRlgr5gRUilwxWmUfjcTPdJjsNjGaVNo6GvJkBENKGyPk+NJJv1bcgIbaYTehDIn7rtwCn543iQcWLkT2AL4DDlo6hnAU2sP4am1h1BTmINzJpXhzIklOH1MEWxmYyxIlikTzPPyyvs5jv3e55IpSGW+r6lK+jOsGYnw2N3mxvt7OvDerg7saYsJxHIjy/xOLzFi463nwmlLYS4rfW+UnIyke/1KTm4AwGQFDGYmjP2ewUEy6f+ZkiAZxwlDK1xJqiqEfcmq0nxbDJC6W9glZYIJglBDtKpErCQTT5h19iRTO1wkU/WP0qofCMeGaJAsBUp0SZpEk9NmxjVza3HN3Foc7HDB+SQzsu8JWvH2jna8vaMdOWYjFo4rxlmTSnDWxFJUFuQoqySTawyvJKAl9zgqd2Kk9DHCc455/Vi7jwXG1h3oivqMAUC/LQf58OLlG6eibtKszNuppJIs7ecu1Y5yLRtktlsqDWql+t/3ueL/rlKcCfpBqw/hMIKCZARBEMOJ3GImQPuPac8CA7EgWWLQZECtyJXZLqHUUySd0IlO/8mRZ0Qqo4XTbDRgSiGrgjpr+lg8MX4WVu5oxYd7O9HUM4BnNxzBsxuOwGY2YOHYYjwasSIfQMTnQdqavmA/qzaCHDNfMeiiJLssV+RmXjM0wDzZfrO+FX/7x/vo7gtEf2c0cJhbX4jzTinHxXYeeA0otQSAVAEy6TZyRjapKRNytlVp0FUMZg30CCK+KmE9YRsNZjb5UQnWPCGomXACMSCIXLXTKJ3CBGt3m3YfQoIgRi7ihOy+Yyxh49VYVZKq+kXUD0ptIOQO/lFSpRPVEGkSbRoqyVIxriCmBJ674xy8ufs4Vu5oRVPPAN7f04H393QAgt/W/+T14VzJMTctcqupMlX1J1szU/BJQTAvOOCGGcDOY2Hc/bv12NUaf1ysHpWDZVPLsWxqOfJeGwW4vKhz8PK2U4ldgxxPU5NNvom9uK4vRaBQjV0D0myv2n1JJE/QDz4XEOgnTzIJFCQjCIIYTjgrgO6DgLsV8DKRpSkjZHUAngQBGQ7FRKpqkZvJk0zuJCEF2UDFY+HljQY35eThwukVuHB6Bfr8IXx88BjW7OvER3u70O724YO9nThqMWC6Afivv65HYIwNc0cX4bT6UZhY7oTVJJnmFA0UGVi7azoUBLTki9zkQcxIhMeBTi+2NB7H5qPHsaXxOF5296CYA9450IduPgC7xYgFY4uwbGo5zp1chlFiK8TRtvhtyLSNVkfqKWPJtjVtgFRFK6MtTwiSJXlfo1lghQIXaTL3Pq0iV8gEe1pjFSCcUf16BEGMTMQTZncr+/4LsUon1VWp0crkhACU6koyuUk2BVU6ciqqVHiSyfa64oyYWluKqXVluPu8idjV6saafZ34cG8ntjb1Ym+7B6u6+nCuGVi38zB+1/UJ5o0uxLwxhZheXRDz80xcN2NCTKg80jPJlua97Pb6saWxN6ohFrdsx78bgK0dYewKsYDS5Io8LJlcimWnlGNKhcS2Qm6FmpJKMr0/dxG5xv1KNUSqKn+1+5KINS/Wcuxpo3ZLCVkNkv30pz/FypUrsW3bNlgsFvT29mZ8Ds/zePDBB/H000/j+PHjmDdvHp544glMnTo1m5tKEAQxPMgX/CJcTYBLKJ/Or1a/XjIh4ZNMU7QpbBFLJ3JDATZhCgqmZopCQ07LnZqx8OlI0taQazVFs588z2NPmwcf7euE6TMn4Ac4vxfv7WItiQBgMnCYUObEtKp8nFKVhxm53ZgOgLc4Ug8CSNzOTGI8HJK8r5lHzQNAW1cX1m1sxJ42D/a2u7Gr1Q2PMI1SxGFlJ1BXnD4JM6ZNx8zaUbCYktTJyQ3mRYW4zM9ejnBWJXLTrBv14lPR2pDsfYiEY39Hj0oyVzO7nlepzoeQIIiRS1Q/NDMNAQA5o9QlBZDmWBqtRFdq1yCsFwmy4TKmxACRitYzOZVfaivJEicZx60psVUQHsNxHE6pyscpVfm48+zx6OkLYN3+Lrg27QNagFz0Y1tTL7Y19eIP6xoAABX5NkytZPphamU+zvD2siEAGa0VlPiHyazIFt6fkM+D93e2Y1870w+729w42h0/FXOpqR8wAFVlJfjNGadi4bhilDitKdaVWaEWUHB8llVJpiLJlmldv9rJ8FmqJOM4FhwXk+tRDVGV6ZnDnqwGyQKBAK688krMnz8ff/7zn2U95+GHH8ajjz6KZ599FhMmTMBPfvITLFmyBPv27YPTqdKUjiAIYqQgBsRczbEgWUEao9VMJBO5A8eF3+XJL0EXSed5JQ1KyM0Ey/Ikk+nRkbim3Exwim3lOA5TKvMwpTIPaKsC9m/Hf59ZicmWSfj8cDe2NfWitz+I3W1MRL60CTiFa8BbVqDTb8KtT3yCMcW5qB6Vg7I8m/BjRVmeDfk5ZlgtDnBQIBwBBE129Lh9OOb1o9sbwDGvH83HB9DY04/Gnn5c2tmDawG8/sV+/Dy0I24Zu8WIU2sKMKt2FObUOGF7mRna3rpkRmwqWjLkBvMUf04yMvaqRK4Q+E3WLuFXOZUNKYJvWgLOItFKsjagt5FdL6hVtxZBECMXUT94WoHjR4T7NOiHVMdSUUOorUQX10wMkvlUBCDkJMUUVZKlmQoet2bmgE5hrgWXzqwCHNOA54GZZSY8cvoMfHG4BxuP9uDwsT60uXxoc/mi7ZmvW9owwwDc9/ZhdGzdiLqiXJTn2VCaZ0W5oCMKHRY4LLnM+kHBMADekgt3fxDH+ph+6Pb60eryoamnH009/QgdO4jnAPi8vbj975sHLTO+1IHZdaMwq3YUljWMAvYAZ08fC8zMEJBRrMkUVPwF+1iyymAc/Bildg2QkbxTO806VRun1koySDpQPG1ArxAcJw2R3SDZgw8+CAB49tlnZT2e53n85je/wX333YfLL78cAPDcc8+hrKwML7zwAm677bZBz/H7/fD7Y6Pq3W4ZZoEEQRDDlbggWXP8fWpI1nqopT0sXcBEDBhYHMkFS7r1wn42edCYxPNKaaBEbiWZkklawpp1jgjuWDAWd5w5FjzPo6V3ADtb3NjZ4sLOVhccrYeAIOCO5ODLpl582ZS6AvsPlj4sMwCPvLUZqz+qhIHjYDAARo4Dx3GI8Dx8wTCc/g68AiDIGzH+/g/SbuZ8owUwAyXmAM6oL8akcicmVeRhcoUTE8ucMBmF6iTxJAdyWjiFk4DQAKtqSxVYVfw5ZcGTLNO6okBVY5IrBsEGJJ+p+D5aHMn/d+UgVpIFvEDHLnZdy4ktQRAjk9zS2OCSpi/YfVpOlqPHUp1axIwm5i0aGmBr5hbF/15NlY7ebXfppoLHbauCpJDwGEu4H1fMrsYVs5mm8/pD2N0a0w972jxw9rCq8YMuAz7v7Uy55ELDbjxvAQ62tOP2R9fCbDTAwDE/UY7jwAHwhyLwBcP4cd9RnAHg3pWH8eLrq1KuWQQesAEOzodplQ5MKC9g2qHcielVBci3S45xR4RWXr38w6CyLVZ8XrIklSq7BmGdlEEylRpCXNeXoAm1VpJBkmjr2hurxtSSXB8mDClPssOHD6O9vR1Lly6N3me1WrF48WJs2LAhaZDsoYceigbjCIIgRjxiQKy3SRIk0yBykxnjqzXtR4bWBi0CV1wzWftG1ANCZ08yJRnBJD4lHMehepQd1aPsOO+Ucnbnvm7gRaC6vARPLpqFhmN9aHMNoMPtR6fbhw63H11eP8IRHu6IDTAAoX439npSb+s47jhgBbysCQNGA4fCXAuKci0oclhQXWBHTWEOagrtmN26G/gCuOKUfFxxxbw0r114HUZLZgP7uM/Ik7rFRmlrZDY9yZCp3VJFJZlYbTfQE7tPqx8ZhNdmLwL6u4HD69h9JHAJglCKwQDkV7EqsqMb2H1ZqSQTh5UobLeE8H0XGkiRaFMRgJA1/EfBcSTdVPCka6rTDwDgsJowd3Qh5o6OVXLzj/CAB7jn0jnYwY9GU08/Otw+4cePdpcPA8EwvDzTA7ZIPw52pk8Imi19gAFMcwBw2kwodlhRlGtBaZ4VtYW5qCnMQX2eAXiJPefN22alf22KJlFmGNiQuKaczyluknWKIJkWu4aUxv0qNYSoH/p74u/Xo5KsoI5dNqwV1ipU32I9jBhSQbL29nYAQFlZWdz9ZWVlOHr0aNLn3HPPPfj+978fve12u1FTQ+KQIIgRSuEYdtm1h10aTMCoOvXrJav8Eqtf1ByU01aSqRC4RjNgtLJKMn+qIFmWKsnUmMTKXDMnNx/nT6tI+pBIhEd/MAzunQ+Abetww+wiLJw2DxGeR5jnwfM8IhF2vmMzG1F0PAd4C3DmFWDr7UuQn2OGwZDCJyVcEv/aUm6nAqFnsrBgWjiQ+jOKW1PPSjItnmRpWoLVeJLlJBG5WgLOUkomA0c/Blo2sdujRmtbjyCIkUnhGBYkaxYqyYrHqV8r1XFPbbuluGZfl36Jtkx+V+Eg0xdQkmhLMRVciqJJjDL1A5jvKQCcOq4GpxYl137+UBgDLbuBZ+5HuS2EF741D+EIj3CEB88DEZ5HhAdsZgNyzEZMfdMEdAM/vmoeHj3lvPhhQ1J4ng2N4cPs+JlOHygZ0iQncRmJsNZJKKgcj06yTuUfpsauIY1xf9DHdBBUBMlE/eDrRVTgQadKstJJ7FLUD+J5xAhHcZBs+fLlGSu3Nm7ciDlz5qjeqESzYp7nUxoYW61WWK0pjP4IgiBGGqPqmaeSWDJdPGGwb4cSkvooaTgoy/EkUxqAsOQCA/7UAlJJWwNktl9AYVBHtnl9Zs8rg4GDw2oCnOz9L7eFUD4+zSSiBiamTDZnbOJkKuQG8xRXfTlZpZOsgJZcP7osG+8m9STTuZJMy8milNJJLEgmUj5N23oEQYxMKmYAhz6M3S7VMDgt2XFPOh17KCTaopVkKXxNpdsue+q2MBU8rV+mknZL0T+rP7V/FoRAkQxvT6vJCGsBOx6Zgl4sGFOUfqI0z1ojC0cVAqkCZBCq6KwOZp2RDf8wOS2xctcUHzfQk2baukLtCJmDf6DQAgIS/cBHmAa3S4Jm0JhoK5kcf5v0A6AmSHbnnXfimmuuSfuY+vp6VRtTXs7aTdrb21FREcugd3Z2DqouIwiCIJLAcUDFdODIena7Yoa29eyC50fS6heVrRLQUeBCKnRSiFwlGUsoyNoqyoRmYU3FUyPliNE0rYZxayoMFlkcLEimZ2ukrOlUKqZRpssEi/ep8SRLVkmmh8BFwn5utAIlE7WtRxDEyET6XcIZgTINQTJRP4R87PhsyU0YVjIEEm2ZkmLiMctole8bKWtippJJjAm2EqmGvAQlGijTsVn8fSTEJoWabakfq8jrK499xplaI5X4hSoZrsAZAVOa15K4rZBjsq+iMjEcGDyBVfw7Fqfy6dMmK2DOZZ/xwHEWJItEJLpZg4YoGse89ILCBNKK6erXGkYoDpIVFxejuDhNxloDo0ePRnl5OVavXo2ZM2cCwoTMtWvX4he/+EVW/iZBEMSwY8KyWJBswnna1ooGyY7F7tPSbqm3J5l0zUwl83pOTZT+PSUiT25AS1ELp55mtnInUSqtJEsTeFK9Zob3NBLR2G7pGvw78QRPt0oyHVolIOznoln0uHO0VY8SBDFyGb041h5fv1BdQkDEkhuzQ+jvFqq+xWElTuXTsZHmGBUKsGAcdPYkU1WNrGAYgGL/LE/qIJn49zgDYM5Jv2ain2u6IJmS9+BkVeJL10tXFZd0W/Vst5RoA58bcJRI1tMw+AeChnD1sURb0VhBo/Dsd1oSbSYLO2/YtQIAB4xfKuNJwx+FYUxlNDY2Ytu2bWhsbEQ4HMa2bduwbds2eL2xHWfSpElYsWIFILRZ3nXXXfjZz36GFStWYOfOnbjxxhtht9tx7bXXZnNTCYIghg+n3QzM/Caw8L+AyRdrW8suJEX6u2P36THdMhxgolaK2kqyaLAoQyWZXCNSadaS55M/hueVVVPJFY6KfEpkBvOUvH7Z3mlK/cNkBAnVepKl2tZgX0xAKglqRadTpakks6Y4SUlHtJJMMhlUr0oyRylw0W+AKZcC5z2kbS2CIEYu9kLg0ieBiRcAFzyibS2OA3IFDdEnJNq0fuelOkZJq4H09CRTqh+QodpNRGk1tpLAm8WZOVBkMLDKJGQ4Lsd5fSlJtOmZEFRgraBqknUGqw4l+sFglOi9hAo1X2Y7jbSI3Rtiok1MsplytCfGznkAmHQR2/fFAWAjnKwa999///147rnnorfF6rCPPvoIZ555JgBg3759cLli2dof/vCHGBgYwHe/+10cP34c8+bNw6pVq+B0qvyHIgiCGGmYc4CvP6HPWmIlWZ8kSBatJFPRbikVMAEvYIpNZVJdSZapFF9p8CUq2ngWeEv2vJCPmdPKXdcqQzRDWo6v/zAALVO0NK0Jhe0nsj3JhDVDPmaunNgKE82qGzNn1aXIMu4fYpVkADD7BvZDEAShhWnfYD96YC8E3C2xNnMtleiQBKsSj1Fila/FkdqzK+l6GZJsSo9LkBnQUlPhPnA8wzFUPD4pSF4F+9IHn6QtnLKCZBmCjkgYhiBLl8gIvAUU6jw56yq16oiu62TPTVxXTfumlET7E72SbABQOBq45nnt6wwjshoke/bZZ/Hss8+mfQyfkKXnOA7Lly/H8uXLs7lpBEEQhBxyxYOyJEjm7WSXjlLl6xlNzC8i5GOCwS4JkkVb2VQY9yNdJljhxCNLLis5B8/WTCa6pOLXLCPDrDj4JMenRKYnmaJ2S9EguC+9QbBSQ1slkyiVVpKJ60r/lwB17ReQvPfpjPvVtEuI2xfsZ1OuzLbYfiXuZwRBEMOJaDW6UEkm6odcFfoBaRJOWgb/IJ0nmaAflARfZCWFlAa0lAyqUTDd0dshzytUTgsnZLQwJv5OSfJOznaqsVZIlbxUOvQpum4e4GkbXEmmJcmGJIk2MXltz44N1kgnq+2WBEEQxFccMXMV7AOCbMIR+rrYZW5J6uelI1O7hOJ2ywwBKKXBF47L3B6p1IBVsXG/nv5hYpBQQbslZApSPVtFlK5pNMcMetO2RiqtTExzMqKlXcKaBxiE3GRU5GrclwiCIIYy9oREm176YVAlmdrBPxkCJap8LZV4ksncXiXm9XpO8g5IWi3lJJvkBLSUDkOQtZ0qqr4ytXGq7m5Isa6W6dhIMvwnui9RkCwbUJCMIAiCSI01jxnGIpnIVXlgTiUgfRozwRnbJVSI3EzCWbEnl8xKMiU+HRlbOBW0IZissUCOLO8Thcb96bZVi8l+MkEeUClI5YxwV9MuwXGxFmVR5GqtqiAIghjKJHqS6aUfUiXZsqUfFFWSyfEky0JAS/WE6DTTrJVWjSupJMvKJGs1Q3oyDW1QqCFsKarR1QZyRQZVkmno6iAyQkEygiAIIjUcJ/ElO8aEpDgmWu2JfSoBqbaSLFOGVY0wyVhJpjAAI77m0AAQDqV+nKKJT5JtTDVgAAlmvpngOJmtDUpfvxzhrCYTnGZdta0SonF/sH/wZ6W5XUI8YRTErXjiSJlggiCGI4MqyYTvPLUn9npXksmtGlc0DEBOQEulcb+cFk6lAS1ZVeNKA1p6VqJLNEkkkvwxSnROdN1M0y1VBN6QrpJMZSBXRNQPYnKNKtGzCgXJCIIgiPTkSjxFxIOyKUfZtCcpWaskyxTQUiFyM42Fl11JJW1jlGM+q8CTjA/HWmGTrqmg3RIKJ0npWUmnNGONDIJcbWtDnNeZJBMcCcf+H2wqplsCgLOcXXrahYCz8NlQJpggiOHIoCCZWD2r8sQ+W55kYT8zlE8kGnxT8J0vJ/iktBrdIqfqS2kLp4yAllL9IKstVOWQHvDxgwSkKNUkkNEaqlVD+F3x92sNkkn1A6RJNgqSZQMKkhEEQRDpEUu8+7oBrxAkc5QoM0OXciI9ySJhZYGnxG3Uy+fMZI21reo18Uo6MEDXTLCCSVJyRa4i02ElIlf4TJO2RqpslTCaWRA4cV2lZsPJyKtkl+5WScDZprzajSAI4quAtBId0uqXIVZJhhTH0axVkimsfFLk66nwWJ8VnzMdj/XmHDalGjI0maokW5JtDYdY5T/UeJIJAdVU7ZZa9YOnjV16NQacibRQkIwgCIJIj0PIXnnb2SQkaDwon8hKMqn4USKeM0490iCc9couGwzyBKlaTxE9A2+Z1gz5gUhQ2XYiw3uqdHqYFHGk+kCvZD3hfTRaWNBTDc4Kdulpk2SBS9UHnAmCIIYyTol+gPTEfoh4kpks7DsdKXzJ1AQ2MnmS8bzy1n0l1WnZ8A/LxiRruWtynIzWSBVJtnRV89LPTmkSS9QPvt74+7Ua90f1QztLAIsBZ6pEzwoUJCMIgiDSk1/NLl3NgLuFXc+rUr9eVOxJREgoEMvaKW1li4rmJAJXFKJGq7LAht6eZJAxhTPkB8IBZevKmiQlmU6lZE1dhbNY8ZXB+wMK39N026q09USKaLA/cDx2nyh4xd+pIU8Que622L7kLFO/HkEQxFAmqh9amC2AmGgT71dKykoyobVNjSl6uuO9mgr3TFVfgT7WOqhk3az4nGU4LkOiH5R6ksnyNFVRNa5r4jKNfhDfZ6OVBVKVkEw/QAcN4SgDOAOz2OjrYhXp4v2E7lCQjCAIgkiPKGZ7m4DeRna9oFb9esl8MKIZN055kCxdNlD1WPhMnmQqgmQZq9Mkf+tktjYoGl+vtFUkQ5DMnAsYjPLWRKaTGw1Z25yEKVKQCF4tQTKn2C7RyvYnaNyXCIIghjKOctYqFwkCrVvZfaacWBumUlIFYbR8P6etSNZg15BJPxhMrN1e1jbKmZiZhWEAqqvTdDTul7OuUk0CuZ+7Gv0gTrFOCJJp1RBGU6xNufsQ8wkGaYhsQUEygiAIIj3iAdjVDLiEE3u1WWCkEJD9QjDClq8sSIJM7ZZqWzjlVpLpmV0WA0V2+e+BkoCWUuN+OePr9WoV0WyQm8yTTOV0SwCwiyJX7yCZ0HrkbosFnPNr1K9HEAQxlDGaYpXnRzewy4IafTxNpVOdo9/PherX1CvRlum4LD3eyX0fMlWiI1sBLfE4qtC4X09PUznraklcppuOrcauwZ4kyQadNIRYjd78hbB9ebH2TkJXKEhGEARBpCfaLtEUq37RcmKfTECKYkKNeLClaRnQGnxJWfWlpt1S54mZctaMhIFgv/BYnQyCeV65GM80RUvrqPWkAVKVxv2QtktIPEX0ELjifuPtAI7tY9cpC0wQxHBG1BBikExLkk08RvCR2LENkoSGqkqydBpCRaJNPNaGBpgB/KA11QR0suAVKiugJbZbyk2IKUmyZWESpSL9JKwZ7GdaSUpAh0oyabtlJKKThhD2ncPr2CXph6xBQTKCIAgiPeKJva8XaN3CrheOVr9esuydKB7sKrLAYnumzxWfWYYOE69SBnVUmPnKzi6rme6YQpBKfdqUeoqkXZNXt2awb7AYhQ7BzLQnN0Oo3TK3WGgz4oGGNey+UXXq1yMIghjqiCfyhz5gl4Vj1K9lzmW2DMiShkgkqiEU2EBIj4t6VbgrqvpS6h+mo8m+NCGWqMfUbicyVPtBY+Ax2bpqugVERP3Q3xN7DwIeFtgFtFV+lUxml4c+ZJcFpB+yBQXJCIIgiPRYHfGi1mAGisarXy8qILpj90WzwBoEbjgAhHzxv/MLolfxCO8MWVs1kzgtaVoDpX9LVQtnhiAZZ5DvfSK3NZIzsNZQWdspEa7J1lXjJ4IMJw66eIroHCTjuJjIFSmdqn49giCIoU75tPjbpVPUr2UwDP5+5nmN1egpgmSRiLqKIpOV6SRkCpLp2G4o/Vtyk4KyWjjFwT8K2y358GA9lrideiYE/Sr0k8kqmWyaOC1Vg12D+D8Y9seqHUX9YMoBzDnK1xQpnRR/u4z0Q7agIBlBEASRmYpTY9dLJimf9iNFHP0uDZJpCUBYHCxggyQiV00WGHIqybLQLqFGOGcKaEWzwAq8TzIG3iSZZblrSk8a9GppgdzpliqCZFFPEUm7RPR/VKP/h1TU2otjPmUEQRDDkcpT428nBs2UEtUQgnF5sD82GVpLoi0xgSWtsFKbaNMrgSOrjVHhcVRO4E3ptpolwbRMVV9qPMmSaR2eV185nkpDaLFrsDrZUAZIdIMeSTYAKDsl/rbWfYlICQXJCIIgiMzUnxG7PvYsbWuJU61EgQtJW5uaVgmOi4nCxCCZVuGUDU+ybATestHCmdFkPwsnDUqztulE/lCcbgkA45fGro89W72BNUEQxFeBypmxJInZDlTO0raeXQiS9QkaQqwoM5jlVzxJsaXQD2KSzWgBzDIrsUWiQS2dgmTS42eyNkaeV75upiQbVLRbGgzpJ45DRcUbMrRbqrGAyLSuFv3AcfEtl9BRPxRPiPcElmpzQlcoSEYQBEFkZsY1QPVpQPFEYN7t2tYSBe7A8ZiprZZ2S0jbJRIywWraIpGtgJZM41lV05lStXAqbJVAlrw/kOH1q15TDOilCZJpaZeIqyTrjf+dWsacCYxbwlqYz/ietrUIgiCGOpZcYMmDgK0AOO8hNvFSC/YEywZpkk1N0iFVu6XaCmdkIYEjPjZVG2OwP+Z5JXdd8XEhX/IBA1CpITJWzWvROmn0gxILiOi6Kdo4o5+9Cv2AJBpCryAZxwHn3M/2pXOXq0ssE7LQ+C1FEARBjAgsucDN7+uzVs4owXiXZ8LBUaJdQKQUuYLwUWrcnxWRl4XgU7psNVRkgZGh4kvtdiKDJ5va1oZUAb1QIOYFoqY90p6QBYaOItdoAr75L21rEARBfJWY/+/sRw8SLRuypR/UDv5BhiotNRXucW2M3sG+Vpq9Qj3J3z81kyizWjWfRD9IrRWUBklT6TKfkBSzqbRXsCdUo+tl1wAA069iP0RWoUoygiAI4sRiNMWEgthyqWUyFaQitzf+frWZ4HQiLxJmUxqVrpspoJWVdksNAjfjdiptjZSRCVbtJ5KwZvT/gAOsCv3oIKlo9PUy82ZITsjUVjsSBEEQ2rEnBMl0q0RPkWRT5Uslx5NMgX4wGCTH5nSV0woCRUYzYLTGPz8Rqa+pXOQmBBUl79LYQGiq+Euhy6KV4yqDWontlv0aLEWIkwIFyQiCIIgTT6KniLeDXeaWqFsvUyZYbfAlNDC4DUEq/BR5fWUKaKmZeJWNSjKx/UDnSrK07SdqPydhzbCfVY+J+CRTTQ0qpI6YUecjsUCZt5Pd5yhTvh5BEAShD7mJ+kH8btZZP2gJvqStJFNbjZ0u8KbVuD6FIX5UQyhpt0yjS8KhWJW3oiRjliZZp6pGF/8X1FaSRdstheCYqHFJP3xloCAZQRAEceJJnE4lCgi1k/5EIZNK5Cptl5AGlVKNBjda2dRGpWtmbLfUycwWGtstA57kBsGahyHoedIgbReRrBvNAquoIgPY9FbxxMnbyYQuH2aVaeL/LkEQBHHiSRz+Ew1AqNUPqZJsrvjfKyHd5OWsJJpUWhaks5YIDkh8zpRUo6ebOq02ySjj/VTjP5qpGl1tkEwM2IoBXAqSfeWgIBlBEARx4omK3G4mxEQx6ihVt17GSjKFItdkjY3wThUk01OMql03nXCExnZLPhLL+CbdTrUtrMmEs0qBbzQBJsGbRepVolXgAoCzkl162mIC117EWlQIgiCIk0NUPyRW6ajVD2KSLcHvaqhWkmUj0ZTO6wsJnmiZkDO0QGmSMZ1+UhsglD4n8fUPCFpSbbulVD9AWu2o8n+UOOFQkIwgCII48Ygit687Jh6MVvVBjVRBMrWGvhyXurxfsxjNECRTkg3N5B+mplXCkisMVsiWf5iOmXWkyARr9ROBpKpRGiSjLDBBEMTJZVC7pdZKdEE/+N0xD0poHAggy5NsCBxD022nqB/MucpsC7IazMuSfkjcVq2JNvF/0S0GyUhDfNWgIBlBEARx4hGFQmIAQs34dkjaKaVBsnAwVtqvSuSmEE+aK8lStTHqPBYdKk13OS59a6Taqq9stFtC+tlLhjZEBa7KdksAyBMywe5W7Z43BEEQhD6I+qGvk3lceTQGIKKVYnx8RZGWIJms6ZYqq7H1PIamPS6rqERHBl2iui1Usp2J+kmtBQQkn8GARD9EwrE11Sba8hIrybrYpVrfXeKEQ0EygiAI4sRTUMMuXU2SLLCGDFuySjJR4EKl0EnVHqhW4IoCjo+wFtNEtEy3TDSuH7SmQpEbfe1JWjA0mw6nywSraGtJnCIFvdotxUqydsoCEwRBDBVySwGjhR1LPa3av59NlljbvlRDRCcSakiy6Vr1lS74pDJQJMcQX6nXlzQhmGpNtUnGZDYQWvSDOG1yQKofJP8DahNtzgp26WlnbbziRHTSEF8Zshok++lPf4oFCxbAbrejoECeUL3xxhvBcVzcz+mnn57NzSQIgiBONPnV7NLVDLha2HW1rRLIECSz5QMGo/I1U3lgqBV5Uk+PtFlbJcb9KYzrRXxqA3rZbBVJWJPntRnviq27UpGrS7ulKHLb2P+p9D6CIAji5GAwAHlV7Hr3QaBPqNLR8v2cVEOIxxEdK8mkxzu1x+W0lWRqj/Vppk4rHnyULkCoUpOY7QBnSL5uQGXFG5L420GiHc256j1IHWXMtoIPA61bhe3LU7eNxEkhq0GyQCCAK6+8EnfccYei55133nloa2uL/rz99ttZ20aCIAjiJJBfyy57m4Djh9n1UaPVr5dM4IqiR6w0UorenmQGQ+pqKp5Xlwk2mgCTLfma0vvUTvfUs1Uk2i6RKHD7WJsLVLynkGSCk1aSaWi3FE+43K3A8SPseqGG/1GCIAhCH8Rq9COfsOOHxaFt8nDSIJmoIXT0JAv5gEhIeEw2qrFVen2lrfoaAkk2jkvt66rFrkHUh9LOA59G034I2kw06W/8lF2Oqle/HnHCMWVz8QcffBAA8Oyzzyp6ntVqRXm5hooCgiAIYmiTL2SBg31AyxZ2XUsAIl0lmRqBi3SVZBr8LywOtt6gTKiGQJHVyYR3NgJaeorcTO2rnBEw5yhbE9IgWXfsPvH/QEu75ag6dtnTENtmErkEQRAnHzHRdngduxw1Wr2nKTJpCBWJtlSJpugETU7ZQB2chBbO6HFUbUJMZ/9RqwPwuwbbQPg0aLKk+kEHuwYAKKhjrcAHP2C3ST98pRiSnmRr1qxBaWkpJkyYgFtuuQWdnZ0pH+v3++F2u+N+CIIgiCGOOQdwCMmQ5i/YpZZKMjEQNtATM3UVBa5dbSVZCkGqVjhCRgunmkBRuuyyT2W7RKqx6MiCGJe2Rqo5yUmWCdbSJiNSNJ61d/h6ge4D7D4SuQRBECcfMYkh6odCjd/NUg0homm6ZYrjnVQ/KD3epavwVttymLaFUww+KazIluNzNlQmUYr6MNgf84rVw64BAEonscvo/yhVon+VGHJBsvPPPx/PP/88PvzwQzzyyCPYuHEjzj77bPj9/qSPf+ihh5Cfnx/9qampOeHbTBAEQaigYkb87ZKJ6teyC20WkVBMMGlplUAa8TigQZDJaeFUKpzTtjYMpSlaKYJ5WrO2yTLBWj4jEbMtPnBrzgXyqtWvRxAEQehD+fT428Ua9AMkUwdFf7NAP6vQhlZPMh2Pd9loY5STZFOdENMxyYY0+klLUMuaBxiExjrRsiHqZ6sxSFYyKf621v9R4oSiOEi2fPnyQcb6iT+bNm1SvUFXX301LrzwQpxyyim4+OKL8c4772D//v1YuXJl0sffc889cLlc0Z+mpibVf5sgCII4gVTOjF3Pq4qNzFaD2Rar/OoTgiVaWiWQRpD5NFQppcqEasquCgGwRDEeiWjwFEmRBQ8FYicOeo2a15q1TWa8K57oaPGoAYAKyYlY1SzmM0IQBEGcXCpPjb9dM1fbernCcSRRPxhM6lsDoXNAJ5teoXp6mqZtt9TQGplqW7UEHjlOUo0uaIi+Y+xSq35IDORWn6ZtPeKEoljt3XnnnbjmmmvSPqa+Xr92hIqKCtTV1eHAgQNJf2+1WmG1WnX7ewRBEMQJYsyZwNqfs+tjz9K+Xm4RCxT1HwMwTmLcrzL4krKSTAy+aakkS+HLpWd2NeCN+ZwpFrkpXrv0tkVpxloinCMRNsgAOlSS5SRUkkUiwv+ApDpALRMvAHatYNf1+B8lCIIgtOMsZ5U5x/YBRgtQM0/bemI1uphgEQMmNpU2AOJxORIEQn7AJJyr6tLCmQVPMj0Db7LaLbXYVSS8fs2JtkKgrzOmIfTSD7WnM90T8ADOSqBonLb1iBOK4iBZcXExios1RlYV0N3djaamJlRU0Nh1giCIYUXt6cD8O9l47DPv0b5ebgmbQihmAaPVRCqFTipPMi2tfFmpJMsQeDOYYxMw5RIVuQntEuLfMOUor6qSeqUEvLHAnV6VZOJJja83Nj1MayZ46mVAwxp2YnPaLdrWIgiCIPTjokeBVf8LzPmOdv8oUSf0J+gHcUKhUiyS453fGwuSaWq3zIIhflY8TYU1w35WfW6yxH6n1jsNKTRZyA+EBC8x1ZYNCdXoWrWjiNEMXPoEsOExYPHdscQg8ZUgq30DjY2N6OnpQWNjI8LhMLZt2wYAGDduHBwOtnNMmjQJDz30EC677DJ4vV4sX74cV1xxBSoqKnDkyBHce++9KC4uxmWXXZbNTSUIgiBONBwHLPupfuslZoK9wtAXR5m69VJVU2lqt8zgSWZRIxwzDANQ5XOWhVHrJhvL+IcDzLxYFN56eZIN9AKRcCwbbM2PnZioxWgGLv29tjUIgiAI/ak/A7j1I33WEhMqYpItqh9UBsmMJpZMCg2wSiKxnVOPdst0FVpKNURWfM4kjw94AZPE8kKLhkg6gVR4P8Gpq05DkqENerVbAsCUr7Mf4itHVoNk999/P5577rno7Zkzmf/MRx99hDPPPBMAsG/fPrhc7J/daDRix44d+Otf/4re3l5UVFTgrLPOwksvvQSnU8XORBAEQYwcREEjZoK9HexSbZAsmSDjeX3aLQcF3sSJVwqnSCGdT4fKLDDSVbxpaAsVvT+87SyQVSAM2olW5ql47RDbLTnWWtrfrZ8fGUEQBDEyGBQk06gfIBzTvAMJQR0t7ZYp9EPQF/MKVXoczUa7pTRA6PfETxhXOwwAKYb0+CT6QW2llvjZewXtoFclGfGVJqtBsmeffRbPPvts2sfwPB+9npOTg/feey+bm0QQBEEMV6Iit5sFs7RmgqMl+BJBFhxglVDQ6imS0Maoh09JyoCWiiBZyilSGgKEEESutz2WsYW0Mk/lmkYTE7N9nYCnjQQuQRAEoQy7JMmmh36AoCHEpJCIlsppsUIr2A+EQzHLA5+GaqpUXqGQJtrUJO8cQhVdKg2hQuuIz5EO6dFq1wAATsHSydPGLvt08iQjvtJQcyxBEAQxPIi2W3ay7KXoU6E2Exw1hD8eu08Uo5xRW2tkyomZOg4D0FSdlmLNAQ2tpkgxiVKLx5tIniBy3W2xkxuqJCMIgiDkIB4vwgGWYNKjkixa+aRTUCfR1zNxTTXVVKnWBAC/oCE0Jdp0nEQZ9R9Nosm06AdpkCwcigU1SUOMaChIRhAEQQwP8irZpbs1JnCteYDFrm49UZD5XUA4yK5LK6nUTLxKOTFTi5lvBp8zVRMzxeyyjgIXUu+PJCJXUyZY+Ow9rezzh0T4EgRBEEQ6zDmx45OrBfC0s+uOcvVrJg2SCcc+NcdQk5UN4kGChtDik2qysaRf4po8r9NAIcma0rZQNcd7vYOOIlHt2MYq/8Cz99lOQbKRDAXJCIIgiOFBQS277G2SCFwNrRI5BYLXlUTYaq2kykYlWTbaLVP5nOkxah1ZriRzNbProucZQRAEQWQiXzhmuPTSEOJQmWT2Aio1RLLgk5bjMsclH9QT6AP4CLuuytdUeI7UWiJaiW+IN/eXS7r3U5dKstaYfsirpGmUIxz69AmCIIjhgShwPa1A9wF2XQycqcFgjIlOsfxeSxYYEuGYWKGlJVBkSeFzpsW4Pzq0wM0yytE1tVaSJTPeFVo69KokE0VufrX69QiCIIiRhagXjh8Fehvj71NDMl9Trb6eyZJiWo/L1iSV46Ke4IyAWUU1frpJlGpN9qXG/aIu0bOSrL8b6D7ErudTkm2kQ0EygiAIYniQWwIYrSz7eXQDu2/UaG1r5iRUPmkxnUWa1khNlWSp2i01VJKJYpQPJxe5WivJxEwwz8dOIHIKUz8vE/lV7LK3URIkI5FLEARByEQ8ZjR/AYT9gMGk7TiSWDkdNx1bbTV6kipvrcflZP5h0iSbGmuJbFTRiWtGQrFt1UM/5IyKBQIbBe1ISbYRDwXJCIIgiOGBwRATNg1r2WWhxiBZYiZY6+TEaHa1N/5+TZVkqXzONIhxkxUw5wrr6OSngiTG/T4XEBH83rRMkiqeyC7btgPuFnadgmQEQRCEXMQWfVE/5NfEJkiqIVE/+N2x6dhq/a6SaQjNlWTJWjg1BvPsySZRatQPFjtgyhHWEtYVNZmWtliOA4rGsev73mWXZNcw4qEgGUEQBDF8KB7PLvuECYeFY7Stl1j5FA2SqRS40elMvUAkzK7zvLYMazI/EeggcqPj1nU02U/MLouj1q15gNmmbk1IPndfL6t+sxUATg2GywRBEMTIokhn/ZB4vPMK+sHi0DBQKIllgdZKsmQtnHrpB+mQHj1aIxOr87QmLkVKhERbv6BJSidrW4/4ykNBMoIgCGL4UDU7/nbFqdrWS1VJpjZrGRWcfEwwBgdi2WUtxv3BvljgDTpmggd0NNkfJHCFkxGto9ZteUBeVex22VR1LSIEQRDEyKRqVvztSr30Q+LxTkNAJ7pmkuSV6gqtJO2WmoNkSYb06GGynyrRplVDiEEykdKp2tYjvvJQkIwgCIIYPkhFrrMy5lWllpyElgGvKHJVBsmMZsAqtEskDgPgjDGxqgSx/QKSIBayLHJVe5IJAl8UtnplgQGgflHseu3p2tcjCIIgRg65xUBBXex29Vxt6yW2HOrRGpi0kkzjMICkVV/imiq9vhKr8KFTJVluljTE6MWx6zmjYtXpxIiFgmQEQRDE8KH+azGz/tk3al9PFF5icEyPrGWiyJUGntRUPxnNsUCZVDiLwtyuk8jlJdVvagNvYgtksI8ZA+sZJJt5HQAOMFqAaVdpX48gCIIYWcy+gV3m1wJjz9a2lnhcC/YxOwSvnpVkSdotNXuFStcUjvtZSbKpXBMAnBXs0tPGKufFbdaqIapmAyVCi+Wp17Hp5sSIRoMbIUEQBEEMMUwW4DvvAu07tAtcINbC52ljl2K7hKZMcBFw/HBMhGo1s4VgAuxzxQRjRDKVUqvIFbcv2B8z2Vfd1pHLKun8Lvae6tUqAQCjvwbc8iGbUlU6Sft6BEEQxMhi4feA8ulA2SlMT2jB6mR+m363cLzTISmUNKClsZJMPP4mXVOtXUOCfoAOwTxIEm3uNiEAx7PkmJbplgALin37baBlMzDmLG1rEcMCCpIRBEEQwwtnuX6m7XlC1tLdAoRDkqylxiAZpD5nQqBIa+Ct51DMdNbnEsSjnv5hwtpGKwt2qSWvAugSgmSednaflvdTSqKnDEEQBEHIxWAAxi/Rbz1nBQuSuVsAbwe7T+uxHolV42KySeW6iTYIkAS31FaiS5NsPM+q5MXtFP+eGpyV7NLTBnjbY+tpmUIqYi/U97MnvtJQuyVBEARBpCJPEGTuNsDTCvAR1s6nKROc0G6pdWImkghnUeBaHOqz4YMMciVZcC2m+NJMsKuJXc+vVr8eQRAEQQxFpBrC1Szcp8ErNfG4HA7FEllqdUnSwJvGdktR5/CSqnY92k1F/eBpA3pJPxDZg4JkBEEQBJEKMWsZGgDatrPr+dUs26yWQROvstCCodV0F2lGrTs0en9IM8HiSUNBjbY1CYIgCGKoIQbE3C2xoE5Brfr1Eo/L/d2xlkOt/qNS/zCt7ZYmK2AWKs4TJ1Fq0RBi0NHTTvqByCoUJCMIgiCIVJhtsUBT46fsMl+jIEsVfNKlOi3B5ywnP/VzMq4pBt4EYatHFhgSketqkmSCNZw0EARBEMRQRGrZ4NIjSCYclwNeIOiL6Qd7kXqz+XTDALSY7EfbOLtZy6UeWidPkmTraWDXtWoygkgCBckIgiAIIh2jhJHwDWvZpdasZTaCT4kiV9e2BsH3IypwNfqHFY1jl42fs6lfAJCvof2EIAiCIIYiBYJ+aN7Iht9AY7ulLR/ghGBYf7d+w4QgVMwH+oWAlqghNNhAiBrC284mW4qDf7ToEkc5q1CLhIDD69h91G5JZAEKkhEEQRBEOkqnsMuOHexSDPKoRQwyiSa+0QmPegbJRIPgMvVriqPW+7qAcFAf7zQAKJnALjt3scv8WsCco21NgiAIghhqlE5ml+2CfsivZRXqauG4WEDM26HPhGiLg3mtQtAQfjcQ8gnragi+SRNtXkE/WPNZK6ZaDAagWNBgooYonqB+PYJIAQXJCIIgCCIdosgVKZ+mbT2xasrdyi77slhJpiVIllMIGMzCeh0STzKtlWTj42+XTdW2HkEQBEEMRUomxt/Wqh8g9Tlr1adqnOPiNYS4pjUPsNjVrysm2jxt+nmaAkBxwntKGoLIAhQkIwiCIIh0VJwaf7t8hrb1RIHr7QRC/liwTPTaUIOYRRaFqB6VZAZDfCbY3aZ9TQCw5QElksBj5Uxt6xEEQRDEUMTqjE8MVZ6a7tHyiE7MbGE/0KgfAMAuaohj+ugHJFSSeUT9UK5tTQComRu77iiLBeMIQkcoSEYQBEEQ6aidH2s5qJ2vPRNqLxJaG3igfafQ1sBp8ykRRaK7DYhEJCJXY9VX3Lj1RnZdi+mwyOSLYtcnXah9PYIgCIIYiky9NHZ90kXpHimPuImZwnFZq3m9dMCA6EOqOUgmqSTrPcqu6zGJcsKymC/bpItYJRxB6IzpZG8AQRAEQQxpjCbgymeBHS8DC+/Svh7Hsazv8SNA0+fsPmc5YLKoX9NZAXAGZozb1xULkjk1Zm3F5x8/CniEijc9gmRnfI9V0ZVOBspP0b4eQRAEQQxFFvwnEOhjVellU7SvF60kawVczey65iCZJPBmK2DX9UqyuVokk6x1CJIV1ALf+AvQ+Blw5o+0r0cQSaAgGUEQBEFkon4h+9GLvGoWJGvcwG5rFY5GE2tj8LQC7mbAo1O7ROEYdnl4HcBHWAWc1umWAGDJBZb+WPs6BEEQBDGUseUB5z2k33qir2lvE+ASgk9aK7TENV0tMdN+zfphNLs8fjhWqaZHJRmE6jxphR5B6Ay1WxIEQRDEiUaczrTnLXY5qk77mmJ2uX0HEPCw61pHo4teKgfeE9arYV5lBEEQBEGceMTjctPnsWE9Wiu8o5VkzSyBp8ea+TWA0QqEA0DDWn3WJIgTBCldgiAIgjjRlIrTmHjhtg4tGGIm+PB6dumsBMw52tYspkmUBEEQBDFkKJkoeHIJ+iG/FrDla1szT1JJ1nOYXRcrwdRiMAJFY4UbotYhDUF8NaAgGUEQBEGcaBJ9Scp08OUaVc8uD74ff1sLpZOZ15mIHuPrCYIgCIJQh8kKFI2L3dYjeSXqhd6jwLED8fdpQaoZHOXaBx8RxAkia0GyI0eO4KabbsLo0aORk5ODsWPH4oEHHkAgEEj7PJ7nsXz5clRWViInJwdnnnkmdu3ala3NJAiCIIgTT9VswGSL3a6br31NMdDm62WXop+YFmz5bFtFRn9N+5oEQRAEQahn7Fmx62MWa1+voBawOFlrZGiATdwu0MEGYoxkO0k/EF8hshYk27t3LyKRCP7whz9g165d+PWvf42nnnoK9957b9rnPfzww3j00Ufx+OOPY+PGjSgvL8eSJUvg8XiytakEQRAEcWIx57AJjwCbmGl1al8zMZtcear2NQFg0X+z1o6x5wDVc/VZkyAIgiAIdZx2M2DNZ9Ve06/Wvh7HxWuI0smAxa593SmXACWT2MTM0+/Qvh5BnCA4nuf5E/XHfvnLX+LJJ59EQ0ND0t/zPI/KykrcdddduPvuuwEAfr8fZWVl+MUvfoHbbrtt0HP8fj/8fn/0ttvtRk1NDVwuF/Ly8rL4agiCIAhCIz6Xdi8RkXAQeHgs4Hex27etByqm67O23wuY7WTaTxAEQRBDgUA/YDSzHz1YfT/wyW/Z9dk3Ahf/Vp91w0EgEtLukUoQOuB2u5Gfn58xVnRC1a7L5UJhYWHK3x8+fBjt7e1YunRp9D6r1YrFixdjw4YNSZ/z0EMPIT8/P/pTU6PTaFmCIAiCyDZ6BcgAJpTn3syu18zT1z/M6qAAGUEQBEEMFSx2/QJkADDzW2waJWdkQTK9MJopQEZ85TCdqD906NAhPPbYY3jkkUdSPqa9vR0AUFZWFnd/WVkZjh49mvQ599xzD77//e9Hb4uVZARBEAQx4jjrPtYWWTGdtU8QBEEQBEFkongc8N1PWdVXycSTvTUEcVJRnBZevnw5OI5L+7Np06a457S2tuK8887DlVdeiZtvvjnj3+AShD3P84PuE7FarcjLy4v7IQiCIIgRicEI1C/Ux+OMIAiCIIiRQ9FYCpARhJpKsjvvvBPXXHNN2sfU18dGxra2tuKss87C/Pnz8fTTT6d9Xnl5OSBUlFVUVETv7+zsHFRdRhAEQRAEQRAEQRAEQRB6oThIVlxcjOLiYlmPbWlpwVlnnYXZs2fjmWeegSGDn8no0aNRXl6O1atXY+bMmQCAQCCAtWvX4he/+IXSTSUIgiAIgiAIgiAIgiAIWWTNhbe1tRVnnnkmampq8Ktf/QpdXV1ob2+P+o6JTJo0CStWrACENsu77roLP/vZz7BixQrs3LkTN954I+x2O6699tpsbSpBEARBEARBEARBEAQxwsmacf+qVatw8OBBHDx4ENXV1XG/43k+en3fvn1wuVzR2z/84Q8xMDCA7373uzh+/DjmzZuHVatWwekkfxWCIAiCIAiCIAiCIAgiO3C8NGI1DHC73cjPz4fL5SITf4IgCIIgCIIgCIIgiBGO3FhR1totCYIgCIIgCIIgCIIgCOKrAgXJCIIgCIIgCIIgCIIgiBEPBckIgiAIgiAIgiAIgiCIEQ8FyQiCIAiCIAiCIAiCIIgRDwXJCIIgCIIgCIIgCIIgiBGP6WRvgN6IwzrdbvfJ3hSCIAiCIAiCIAiCIAjiJCPGiMSYUSqGXZDM4/EAAGpqak72phAEQRAEQRAEQRAEQRBDBI/Hg/z8/JS/5/hMYbSvGJFIBK2trXA6neA47mRvji643W7U1NSgqakJeXl5J3tzCOIrDe1PBKEPtC8RhD7QvkQQ+kH7E0How3Dcl3ieh8fjQWVlJQyG1M5jw66SzGAwoLq6+mRvRlbIy8sbNv+gBHGyof2JIPSB9iWC0AfalwhCP2h/Igh9GG77UroKMhEy7icIgiAIgiAIgiAIgiBGPBQkIwiCIAiCIAiCIAiCIEY8FCT7CmC1WvHAAw/AarWe7E0hiK88tD8RhD7QvkQQ+kD7EkHoB+1PBKEPI3lfGnbG/QRBEARBEARBEARBEAShFKokIwiCIAiCIAiCIAiCIEY8FCQjCIIgCIIgCIIgCIIgRjwUJCMIgiAIgiAIgiAIgiBGPBQkIwiCIAiCIAiCIAiCIEY8FCQjCIIgCIIgCIIgCIIgRjwUJBsi/P73v8fo0aNhs9kwe/ZsrF+/Pu3j165di9mzZ8Nms2HMmDF46qmnTti2EsRQRsm+9Oqrr2LJkiUoKSlBXl4e5s+fj/fee++Ebi9BDGWUHptEPvnkE5hMJpx66qlZ30aC+CqgdF/y+/247777UFdXB6vVirFjx+Ivf/nLCdteghjKKN2fnn/+ecyYMQN2ux0VFRX49re/je7u7hO2vQQxFFm3bh0uvvhiVFZWguM4vPbaaxmfM1JiEBQkGwK89NJLuOuuu3Dfffdh69atWLRoEc4//3w0NjYmffzhw4dxwQUXYNGiRdi6dSvuvfde/Od//ideeeWVE77tBDGUULovrVu3DkuWLMHbb7+NzZs346yzzsLFF1+MrVu3nvBtJ4ihhtL9ScTlcuFb3/oWzjnnnBO2rQQxlFGzL1111VX44IMP8Oc//xn79u3Diy++iEmTJp3Q7SaIoYjS/enjjz/Gt771Ldx0003YtWsX/vnPf2Ljxo24+eabT/i2E8RQoq+vDzNmzMDjjz8u6/EjKQbB8TzPn+yNGOnMmzcPs2bNwpNPPhm9b/Lkybj00kvx0EMPDXr83XffjTfeeAN79uyJ3nf77bfjyy+/xKeffnrCtpsghhpK96VkTJ06FVdffTXuv//+LG4pQQx91O5P11xzDcaPHw+j0YjXXnsN27ZtO0FbTBBDE6X70rvvvotrrrkGDQ0NKCwsPMFbSxBDG6X7069+9Ss8+eSTOHToUPS+xx57DA8//DCamppO2HYTxFCG4zisWLECl156acrHjKQYBFWSnWQCgQA2b96MpUuXxt2/dOlSbNiwIelzPv3000GPX7ZsGTZt2oRgMJjV7SWIoYqafSmRSCQCj8dDJyXEiEft/vTMM8/g0KFDeOCBB07AVhLE0EfNvvTGG29gzpw5ePjhh1FVVYUJEybgf/7nfzAwMHCCtpoghiZq9qcFCxagubkZb7/9NnieR0dHB/71r3/hwgsvPEFbTRDDg5EUgzCd7A0Y6Rw7dgzhcBhlZWVx95eVlaG9vT3pc9rb25M+PhQK4dixY6ioqMjqNhPEUETNvpTII488gr6+Plx11VVZ2kqC+GqgZn86cOAAfvSjH2H9+vUwmUheEARU7ksNDQ34+OOPYbPZsGLFChw7dgzf/e530dPTQ75kxIhGzf60YMECPP/887j66qvh8/kQCoVwySWX4LHHHjtBW00Qw4ORFIOgSrIhAsdxcbd5nh90X6bHJ7ufIEYaSvclkRdffBHLly/HSy+9hNLS0ixuIUF8dZC7P4XDYVx77bV48MEHMWHChBO4hQTx1UDJsSkSiYDjODz//POYO3cuLrjgAjz66KN49tlnqZqMIBTuT7t378Z//ud/4v7778fmzZvx7rvv4vDhw7j99ttP0NYSxPBhpMQgKNV7kikuLobRaByU/ejs7BwUqRUpLy9P+niTyYSioqKsbi9BDFXU7EsiL730Em666Sb885//xLnnnpvlLSWIoY/S/cnj8WDTpk3YunUr7rzzTkA40ed5HiaTCatWrcLZZ599wrafIIYKao5NFRUVqKqqQn5+fvS+yZMng+d5NDc3Y/z48VnfboIYiqjZnx566CEsXLgQP/jBDwAA06dPR25uLhYtWoSf/OQnw6r6hSCyyUiKQVAl2UnGYrFg9uzZWL16ddz9q1evxoIFC5I+Z/78+YMev2rVKsyZMwdmszmr20sQQxU1+xKECrIbb7wRL7zwAvlTEISA0v0pLy8PO3bswLZt26I/t99+OyZOnIht27Zh3rx5J3DrCWLooObYtHDhQrS2tsLr9Ubv279/PwwGA6qrq7O+zQQxVFGzP/X398NgiD/lNRqNgKQKhiCIzIyoGARPnHT+8Y9/8Gazmf/zn//M7969m7/rrrv43Nxc/siRIzzP8/yPfvQj/vrrr48+vqGhgbfb7fz3vvc9fvfu3fyf//xn3mw28//6179O4qsgiJOP0n3phRde4E0mE//EE0/wbW1t0Z/e3t6T+CoIYmigdH9K5IEHHuBnzJhxAreYIIYmSvclj8fDV1dX89/4xjf4Xbt28WvXruXHjx/P33zzzSfxVRDE0EDp/vTMM8/wJpOJ//3vf88fOnSI//jjj/k5c+bwc+fOPYmvgiBOPh6Ph9+6dSu/detWHgD/6KOP8lu3buWPHj3K8yM8BkFBsiHCE088wdfV1fEWi4WfNWsWv3bt2ujvbrjhBn7x4sVxj1+zZg0/c+ZM3mKx8PX19fyTTz55EraaIIYeSvalxYsX8wAG/dxwww0naesJYmih9NgkhYJkBBFD6b60Z88e/txzz+VzcnL46upq/vvf/z7f399/EracIIYeSven3/3ud/yUKVP4nJwcvqKigr/uuuv45ubmk7DlBDF0+Oijj9KeB43kGATHU50pQRAEQRAEQRAEQRAEMcIhTzKCIAiCIAiCIAiCIAhixENBMoIgCIIgCIIgCIIgCGLEQ0EygiAIgiAIgiAIgiAIYsRDQTKCIAiCIAiCIAiCIAhixENBMoIgCIIgCIIgCIIgCGLEQ0EygiAIgiAIgiAIgiAIYsRDQTKCIAiCIAiCIAiCIAhixENBMoIgCIIgCIIgCIIgCGLEQ0EygiAIgiAIgiAIgiAIYsRDQTKCIAiCIAiCIAiCIAhixENBMoIgCIIgCIIgCIIgCGLE8/8Bo3r78W5G10wAAAAASUVORK5CYII=", "text/plain": [ "
" ] @@ -2037,7 +1924,7 @@ "outputs": [ { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -2082,7 +1969,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/appendix-C3-parameter-values.ipynb b/artefacts/appendix-C3-parameter-values.ipynb index 4acfda6..7d90f45 100644 --- a/artefacts/appendix-C3-parameter-values.ipynb +++ b/artefacts/appendix-C3-parameter-values.ipynb @@ -203,7 +203,8 @@ "| HEKA EPC 10, low gain | 5 M$\\Omega$ | | |\n", "| HEKA EPC 10, high gain | 50 G$\\Omega$ | | |\n", "| Levis & Rae 1992 example | 50 G$\\Omega$ | 0.1 pF | 5000 $\\mu$s |\n", - "| Sigworth 1995 \"Typical\" values | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", + "| Sigworth 1995a, \"Typical\" values | 10-100 G$\\Omega$ | 0.1 pF | 1000-10000 $\\mu$s |\n", + "| Sigworth 1995b, \"Frequency compensation\" | | 0.02 pF | |\n", "| Sutter IPA | 500 M$\\Omega$ | | |\n", "| Warner LC-201B, low gain | 500 M$\\Omega$ | | |\n", "| Warner LC-201B, high gain | 50 G$\\Omega$ | | |\n", @@ -325,7 +326,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.12.7" + "version": "3.13.2" } }, "nbformat": 4, diff --git a/artefacts/artefacts-3-filtering.ipynb b/artefacts/artefacts-3-filtering.ipynb index 5778295..243d7e6 100644 --- a/artefacts/artefacts-3-filtering.ipynb +++ b/artefacts/artefacts-3-filtering.ipynb @@ -141,7 +141,7 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "6.5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_s + \\alpha \\frac{R_s^*}{R_f}V_f + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", + "6.5. && \\tau_\\text{sum}\\dot{V}_\\text{ref} = V_s + \\alpha \\frac{R_s^*}{R_f}V_1 + \\beta R_s^* C_m^* \\dot{V}_\\text{est} - V_\\text{ref}\n", "\\end{align}\n", "\n", "\\begin{align}\n", @@ -149,7 +149,7 @@ "\\end{align}\n", "\n", "\\begin{align}\n", - "6.7. && \\tau_\\text{f1} \\dot{V}_f = V_o - V_\\text{ref} - V_f\n", + "6.7. && \\tau_\\text{f1} \\dot{V}_1 = V_o - V_\\text{ref} - V_1\n", "\\end{align}\n", "\n", "\\begin{align}\n",