File tree 3 files changed +11
-45
lines changed
3 files changed +11
-45
lines changed Original file line number Diff line number Diff line change 3
3
module top_tb ();
4
4
5
5
initial begin
6
- $dumpvars (0 , top_tb);
7
- // #36036000000ps $finish; // Terminate simulation after ~2 frames are generated
8
6
# 20ms $finish ;
9
7
end
10
8
11
- logic clk_original = 0 ;
12
- logic [2 : 0 ] tmds_p;
13
- logic tmds_clock_p;
14
- logic [2 : 0 ] tmds_n;
15
- logic tmds_clock_n;
16
-
17
- top top (
18
- .clk_original (clk_original),
19
- .tmds_p (tmds_p),
20
- .tmds_clock_p (tmds_clock_p),
21
- .tmds_n (tmds_n),
22
- .tmds_clock_n (tmds_clock_n)
23
- );
9
+ top top ();
24
10
25
11
logic [9 : 0 ] cx = 858 - 4 ;
26
12
logic [9 : 0 ] cy = 524 ;
@@ -62,27 +48,11 @@ generate
62
48
end
63
49
endgenerate
64
50
65
- logic [3 : 0 ] counter = 0 ;
66
- always @ (posedge top.clk_pixel_x10)
51
+ always @ (posedge top.clk_pixel)
67
52
begin
68
- assert (counter == top.hdmi.tmds_counter) else $fatal (" Shift-out counter doesn't match decoder counter" );
69
- if (counter == 9 )
70
- begin
71
- counter <= 0 ;
72
- end
73
- else
74
- counter <= counter + 1'd1 ;
75
-
76
- tmds_values[0 ][counter] <= tmds_p[0 ];
77
- tmds_values[1 ][counter] <= tmds_p[1 ];
78
- tmds_values[2 ][counter] <= tmds_p[2 ];
79
-
80
- if (counter == 0 )
81
- begin
82
- tmds_values[0 ][9 : 1 ] <= 9'dX ;
83
- tmds_values[1 ][9 : 1 ] <= 9'dX ;
84
- tmds_values[2 ][9 : 1 ] <= 9'dX ;
85
- end
53
+ tmds_values[0 ] <= top.hdmi.tmds[0 ];
54
+ tmds_values[1 ] <= top.hdmi.tmds[1 ];
55
+ tmds_values[2 ] <= top.hdmi.tmds[2 ];
86
56
end
87
57
88
58
logic [4 : 0 ] data_counter = 0 ;
Original file line number Diff line number Diff line change 3
3
`timescale 1 ns / 100 ps
4
4
5
5
module pll (
6
- input wire inclk0,
7
6
output reg c0 = 0 ,
8
7
output reg c1 = 1 ,
9
8
output reg c2 = 0
Original file line number Diff line number Diff line change 1
- module top (
2
- input logic clk_original,
3
-
4
- output logic [2 : 0 ] tmds_p,
5
- output logic tmds_clock_p,
6
- output logic [2 : 0 ] tmds_n,
7
- output logic tmds_clock_n
8
- );
1
+ module top ();
2
+ logic [2 : 0 ] tmds_p;
3
+ logic tmds_clock_p;
4
+ logic [2 : 0 ] tmds_n;
5
+ logic tmds_clock_n;
9
6
10
7
logic clk_pixel;
11
8
logic clk_pixel_x10;
12
9
logic clk_audio;
13
10
14
- pll pll (.inclk0 (clk_original), . c0 (clk_pixel_x10), .c1 (clk_pixel), .c2 (clk_audio));
11
+ pll pll (.c0 (clk_pixel_x10), .c1 (clk_pixel), .c2 (clk_audio));
15
12
16
13
logic signed [15 : 0 ] audio_sample_word = 16'sd0 ; // Since the L-PCM audio is 2-channel by default, this is mono audio.
17
14
always @ (posedge clk_audio) // Sawtooth wave generator
You can’t perform that action at this time.
0 commit comments