Skip to content

Getting Testbench To Work #141

Answered by WillGreen
AdeAdeRH asked this question in Q&A
Feb 13, 2023 · 3 comments · 4 replies
Discussion options

You must be logged in to vote

Hello,

I don’t think you’re doing anything wrong.

If you look at the timescale at the top of the waveform viewer, it’s only showing the first 1000 ns. You need to run the simulation for longer, so the clocks have time to settle down. Try hitting the green play button to run the simulation longer (it stops at 1000 ns by default).

You can zoom out of the simulation to see more time using the magnifying glass icon near the top left of the waveform viewer.

I hope that helps.

Replies: 3 comments 4 replies

Comment options

You must be logged in to vote
0 replies
Answer selected by WillGreen
Comment options

You must be logged in to vote
1 reply
@WillGreen
Comment options

Comment options

You must be logged in to vote
3 replies
@WillGreen
Comment options

@AdeAdeRH
Comment options

@WillGreen
Comment options

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Category
Q&A
Labels
None yet
2 participants