diff --git a/.github/workflows/test.yml b/.github/workflows/test.yml index cc5fffb4b55..00072c99461 100644 --- a/.github/workflows/test.yml +++ b/.github/workflows/test.yml @@ -184,47 +184,52 @@ jobs: include: [ { name: 'Basic', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on', suite: 'vtr_reg_basic' }, { name: 'Basic_odin', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DWITH_PARMYS=OFF -DWITH_ODIN=on', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DWITH_PARMYS=OFF -DWITH_ODIN=on', suite: 'vtr_reg_basic_odin' }, { name: 'Basic with NO_GRAPHICS', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVPR_USE_EZGL=off', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVPR_USE_EZGL=off', + suite: 'vtr_reg_basic' + }, + { + name: 'Basic with NO_SERVER', + params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVPR_USE_EZGL=on -DVPR_USE_SERVER=off', suite: 'vtr_reg_basic' }, { name: 'Basic with CAPNPROTO disabled', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVTR_ENABLE_CAPNPROTO=off', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVTR_ENABLE_CAPNPROTO=off', suite: 'vtr_reg_basic' }, { name: 'Basic with VTR_ENABLE_DEBUG_LOGGING', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVTR_ENABLE_DEBUG_LOGGING=on', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVTR_ENABLE_DEBUG_LOGGING=on', suite: 'vtr_reg_basic' }, { name: 'Basic_odin with VTR_ENABLE_DEBUG_LOGGING', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVTR_ENABLE_DEBUG_LOGGING=on -DWITH_PARMYS=OFF -DWITH_ODIN=on', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DVTR_ENABLE_DEBUG_LOGGING=on -DWITH_PARMYS=OFF -DWITH_ODIN=on', suite: 'vtr_reg_basic_odin' }, { name: 'Strong', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on', suite: 'vtr_reg_strong' }, { name: 'Strong_odin', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DWITH_PARMYS=OFF -DWITH_ODIN=on', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DWITH_PARMYS=OFF -DWITH_ODIN=on', suite: 'vtr_reg_strong_odin' }, { name: 'Valgrind Memory', - params: '-DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DWITH_ODIN=on', + params: '-DCMAKE_COMPILE_WARNING_AS_ERROR=on -DVTR_ASSERT_LEVEL=3 -DWITH_BLIFEXPLORER=on -DWITH_ODIN=on', suite: 'vtr_reg_valgrind_small' } ] diff --git a/.gitmodules b/.gitmodules index 08b907e160b..54b95ad6e5f 100644 --- a/.gitmodules +++ b/.gitmodules @@ -1,3 +1,7 @@ [submodule "libs/EXTERNAL/libcatch2"] path = libs/EXTERNAL/libcatch2 url = https://github.com/catchorg/Catch2.git +[submodule "libs/EXTERNAL/sockpp"] + path = libs/EXTERNAL/sockpp + #url = git@github.com:fpagliughi/sockpp.git + url = git@github.com:w0lek/sockpp.git # fork where in branch v1.0.0_no_complication_warnings there are compilation warnings fixes for upstream tag v1.0.0 of sockpp diff --git a/CMakeLists.txt b/CMakeLists.txt index 68b75573347..adf96208eb4 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -40,6 +40,9 @@ set(VPR_USE_EZGL "auto" CACHE STRING "Specify whether vpr uses the graphics libr set_property(CACHE VPR_USE_EZGL PROPERTY STRINGS auto off on) option(VTR_ENABLE_CAPNPROTO "Enable capnproto binary serialization support in VPR." ON) +#Allow the user to decide whether to compile the server module +option(VPR_USE_SERVER "Specify whether vpr enables the server mode" ON) + #Allow the user to enable/disable VPR analytic placement #VPR option --enable_analytic_placer is also required for Analytic Placement option(VPR_ANALYTIC_PLACE "Enable analytic placement in VPR." ON) diff --git a/Dockerfile b/Dockerfile index 95304df8971..71f5129301a 100644 --- a/Dockerfile +++ b/Dockerfile @@ -1,4 +1,4 @@ -FROM ubuntu:20.04 +FROM ubuntu:22.04 ARG DEBIAN_FRONTEND=noninteractive # set out workspace ENV WORKSPACE=/workspace @@ -19,6 +19,7 @@ RUN apt-get update -qq \ libtbb-dev \ python3-pip \ git \ + time \ # Install python packages && pip install -r requirements.txt \ # Cleanup diff --git a/README.developers.md b/README.developers.md index 411c8653d90..762ce74eaab 100644 --- a/README.developers.md +++ b/README.developers.md @@ -344,11 +344,70 @@ and should be used when making changes to Odin. ## Unit Tests VTR also has a limited set of unit tests, which can be run with: + ```shell #From the VTR root directory $ make && make test ``` +This will run `test_vtrutil`, `test_vpr`, `test_fasm`, and `test_archfpga`. Each test suite is added in their CMake +files. + +### Running Individual Testers + +To run one of the four testers listed above on its own, navigate to the appropriate folder: + +| Test | Directory | +|-----------------|------------------------------------| +| `test_archfpga` | `$VTR_ROOT/build/libs/libarchfpga` | +| `test_vtrutil` | `$VTR_ROOT/build/libs/libvtrutil` | +| `test_fasm` | `$VTR_ROOT/build/utils/fasm` | +| `test_vpr` | `$VTR_ROOT/build/vpr` | + +To see tester options, run it with `-h`: + +```shell +# Using test_vpr as an example +# From $VTR_ROOT/build/vpr +$ ./test_vpr -h +``` + +To see the names of each unit test, use `--list-tests`: + +```shell +# From $VTR_ROOT/build/vpr +$ ./test_vpr --list-tests +``` + +The output should look similar to this: + +```shell +All available test cases: + test_route_flow + [vpr_noc_bfs_routing] + test_find_block_with_matching_name + [vpr_clustered_netlist] + connection_router + [vpr] + binary_heap + [vpr] + edge_groups_create_sets + [vpr] + read_interchange_models + [vpr] + +... # many more test cases + +52 test cases +``` + +To run specific unit tests, pass them as arguments. For example: + +```shell +# From $VTR_ROOT/build/vpr +$ ./test_vpr test_route_flow connection_router +``` + # Evaluating Quality of Result (QoR) Changes VTR uses highly tuned and optimized algorithms and data structures. Changes which effect these can have significant impacts on the quality of VTR's design implementations (timing, area etc.) and VTR's run-time/memory usage. @@ -972,6 +1031,64 @@ This describes adding a test to `vtr_reg_strong`, but the process is similar for $ git commit ``` +## Creating Unit Tests + +You can find the source code for the unit tests in their respective directories. New unit tests must also be created in +these directories. + +| Test | Directory | +|-----------------|-----------------------------------| +| `test_archfpga` | `$VTR_ROOT/libs/libarchfpga/test` | +| `test_vtrutil` | `$VTR_ROOT/libs/libvtrutil/test` | +| `test_fasm` | `$VTR_ROOT/utils/fasm/test` | +| `test_vpr` | `$VTR_ROOT/vpr/test` | + +VTR uses [Catch2](https://github.com/catchorg/Catch2) for its unit testing framework. For a full tutorial of how to use +the framework, see `$VTR_ROOT/libs/EXTERNAL/libcatch2/docs/Readme.md`. + +### Example: Creating and Running a VPR Test Case + +Navigate to `$VTR_ROOT/vpr/test`. + +```shell +$ cd $VTR_ROOT/vpr/test +``` + +From here, let's create and open a new file `test_new_vpr.cpp` (begin the file name with `test_`). Be sure to `#include "catch2/catch_test_macros.hpp"`. +Introduce a test case using the `TEST_CASE` macro, and include a name and a tag. For boolean assertions, use `REQUIRE`. + +```shell +#include "catch2/catch_test_macros.hpp" + +// To choose a tag (written with square brackets "[tag]"), see examples from when you run ./test_vpr +// --list-tests in the tester exectuable directory, as shown earlier. A good default tag name is the name +// of the tester: in this case, [vpr]. +TEST_CASE("a_vpr_test_name", "[vpr]") { + int x = 0; + REQUIRE(x == 0); +} +``` + +To run our test case, we must navigate back to `$VTR_ROOT/build/vpr` (from the table +under [Running Individual Testers](#running-individual-testers)). Since we created a test, we need to rebuild the +tester. Then, we can run our test. + +```shell +$ cd $VTR_ROOT/build/vpr +$ make // rebuild tester +$ ./test_vpr a_vpr_test_name // run new unit test +``` + +Output: + +```shell +Filters: "a_vpr_test_name" +Randomness seeded to: 2089861684 +=============================================================================== +All tests passed (1 assertion in 1 test case) +``` + + # Debugging Aids VTR has support for several additional tools/features to aid debugging. @@ -1213,7 +1330,7 @@ Instead changes should be made in the relevant up-stream repository, and then sy For example to update the `libtatum` subtree: ```shell - ./dev/external_subtrees.py --update libtatum + ./dev/external_subtrees.py --update libtatum -m "commit message describing why component is being updated" ``` ## Adding a new Subtree @@ -1255,8 +1372,66 @@ To add a new external subtree to VTR do the following: This will create two commits to the repository. The first will squash all the upstream changes, the second will merge those changes into the current branch. +## Pushing VTR Changes Back to Upstream Subtree + +If there are changes in the VTR repo in a subtree that should be merged back +into the source repo of the subtree, the changes can be pushed back manually. + +The instructions above used a Python script to simplify updating subtrees in +VTR. This is fine for pulling in changes from a remote repo; however, it is not +good for pushing changes back. This is because these changes need to be pushed +somewhere, and it is not a good idea to just push it back to the master branch +directly. Instead, it should be pushed to a temporary branch. Then a PR can be +made to bring the changes into the target repo. + +To push changes VTR made to a subtree do the following: + +1. Create a fork of the target repo. Optionally you can create a branch to be + the target of the push, or you can just use master. + +2. Run: + ```shell + cd $VTR_ROOT + git subtree push --prefix=<subtree_path> <forked_repo_url> <branch_name> + ``` + The prefix is the internal path to the subtree, as written in + `dev/subtree_config.xml`. + +3. Create a PR from your forked repo to the main repo, sharing the amazing + changes with the world. + +## Tutorial: Syncing Tatum with VTR + +This tutorial will show you how to synchronize `libtatum` in VTR and +[Tatum](https://github.com/verilog-to-routing/tatum); however, similar steps +can be done to synchronize any subtree in VTR. + +First, we will pull in (update) any changes in Tatum that are not in VTR yet. +On a clean branch (based off master), execute the following: +```shell +cd $VTR_ROOT +./dev/external_subtrees.py --update libtatum -m "Pulling in changes from Tatum." +``` +If the output in the terminal says `Subtree is already at commit <commit_hash>`, +then there is nothing to pull in. If it says changes were pulled in, a commit +would have already been made for you. Push these changes to your branch and +raise a PR on VTR to merge these changes in. + +After pulling in all the changes from Tatum, without changing branches, we will +push our VTR changes to Tatum. This is a bit more complicated since, as stated +in the section on pushing to subtrees, the changes cannot just be pushed to +master. + +Create a fork of Tatum and make sure the master branch of that fork is +synchronized with Tatum's master branch. Then execute the following: +```shell +cd $VTR_ROOT +git subtree push --prefix=libs/EXTERNAL/libtatum <forked_repo_url> master +``` +After that command finishes, raise a PR from your forked repo onto the Tatum +repo for the changes to be reviewed and merged in. -## Subtree Rational +## Subtree Rationale VTR uses subtrees to allow easy tracking of upstream dependencies. diff --git a/dev/DOCKER_DEPLOY.md b/dev/DOCKER_DEPLOY.md index b3c80fbe741..14eab8cf018 100644 --- a/dev/DOCKER_DEPLOY.md +++ b/dev/DOCKER_DEPLOY.md @@ -1,52 +1,62 @@ -Overview -======== +# Building VTR on Docker +## Overview Docker creates an isolated container on your system so you know that VTR will run without further configurations nor affecting any other work. Our Docker file sets up this enviroment by installing all necessary Linux packages and applications as well as Perl modules. -Additionally, Cloud9 is installed, which enables the remote management of your container through browser. With Cloud9, VTR can be started easier (and even modified and recompiled) without the need to logging into a terminal. If the Cloud9 endpoint is published outside your LAN, you can also execute VTR remotely or share your screen with other users. +## Setup +1. Install docker (Community Edition is free and sufficient for VTR): https://docs.docker.com/engine/install/ -Setup -===== +2. Clone the VTR project: -Install docker (Community Edition is free and sufficient for VTR): https://docs.docker.com/engine/installation/ + ``` + git clone https://github.com/verilog-to-routing/vtr-verilog-to-routing + ``` -Clone the VTR project: +3. CD to the VTR folder and build the docker image: -`git clone https://github.com/verilog-to-routing/vtr-verilog-to-routing` + ``` + docker build . -t vtrimg + ``` -CD to the VTR folder and build the docker image: +4. Start docker with the new image: -`docker build . -t vtrimg` + ``` + docker run -it -d --name vtr vtrimg + ``` -Start docker with the new image and connect the current volume with the workspace volume of the container: -`sudo docker run -it -d -p <port-to-open-on-host>:8080 -v <absolute-path-to-VTR-folder>:/workspace vtrimg` +## Running +1. Attach to the Docker container. Attaching will open a shell on the `/workspace` directory within the container. +The project root directory from the docker build process is copied and placed in the `/workspace` directory. -Running -======= + ```sh + # from host computer + docker exec -it vtr /bin/bash + ``` -Open a browser (Google Chrome for example) and navigate to your host's url at the port you opened up. For example: -http://192.168.1.30:8080 +1. Verfiy that VTR has been installed correctly: -First, use one of the terminals and compile VTR: -make && make installation/ + ```sh + # in container + ./vtr_flow/scripts/run_vtr_task.py regression_tests/vtr_reg_basic/basic_timing + ``` -Second, ensure that a basic regression test passes: -./run_reg_test.py vtr_reg_basic + The expected output is: -Third, run and/or modify VTR in the usual way. + ``` + k6_N10_mem32K_40nm/single_ff OK + k6_N10_mem32K_40nm/single_ff OK + k6_N10_mem32K_40nm/single_wire OK + k6_N10_mem32K_40nm/single_wire OK + k6_N10_mem32K_40nm/diffeq1 OK + k6_N10_mem32K_40nm/diffeq1 OK + k6_N10_mem32K_40nm/ch_intrinsics OK + k6_N10_mem32K_40nm/ch_intrinsics OK + ``` -Developpement Debugging -======================= -the container already comes with clang as the default compiler and with scan-build the do statistical analysis on the build -set to `debug` in makefile - -run `scan-build make -j4` from the root VTR directory. -to output the html analysis to a specific folder, run `scan-build make -j4 -o /some/folder` - -the output is html and viewable in any browser. +2. Run and/or modify VTR in the usual way. diff --git a/doc/src/vpr/command_line_usage.rst b/doc/src/vpr/command_line_usage.rst index 7a9a3306487..68228660c69 100644 --- a/doc/src/vpr/command_line_usage.rst +++ b/doc/src/vpr/command_line_usage.rst @@ -1163,6 +1163,14 @@ VPR uses a negotiated congestion algorithm (based on Pathfinder) to perform rout **Default:** ``1.3`` +.. option:: --max_pres_fac <float> + + Sets the maximum present overuse penalty factor that can ever result during routing. Should always be less than 1e25 or so to prevent overflow. + Smaller values may help prevent circuitous routing in difficult routing problems, but may increase + the number of routing iterations needed and hence runtime. + + **Default:** ``1000.0`` + .. option:: --acc_fac <float> Specifies the accumulated overuse factor (historical congestion cost factor). diff --git a/libs/EXTERNAL/CMakeLists.txt b/libs/EXTERNAL/CMakeLists.txt index 0cb127387ab..a60c48958eb 100644 --- a/libs/EXTERNAL/CMakeLists.txt +++ b/libs/EXTERNAL/CMakeLists.txt @@ -11,6 +11,14 @@ add_subdirectory(libtatum) add_subdirectory(libcatch2) #add_subdirectory(parmys) +#VPR_USE_SERVER is initialized in the root CMakeLists +#compile sockpp only if server mode is enabled +if (VPR_USE_SERVER) + set(SOCKPP_BUILD_SHARED OFF CACHE BOOL "Override default value" FORCE) + set(SOCKPP_BUILD_STATIC ON CACHE BOOL "Override default value" FORCE) + add_subdirectory(sockpp) +endif() + #VPR_USE_EZGL is initialized in the root CMakeLists. #compile libezgl only if the user asks for or has its dependencies installed. if (VPR_USE_EZGL STREQUAL "on") @@ -132,3 +140,12 @@ target_include_directories(Catch2 $<INSTALL_INTERFACE:include> ) +# Some sockpp headers generate warnings, so treat them as system headers to suppress warnings +if (VPR_USE_SERVER) + target_include_directories(sockpp-static + SYSTEM + PUBLIC + $<BUILD_INTERFACE:${CMAKE_CURRENT_SOURCE_DIR}/sockpp/include> + $<INSTALL_INTERFACE:include> + ) +endif() \ No newline at end of file diff --git a/libs/EXTERNAL/libtatum/libtatum/tatum/TimingReporter.cpp b/libs/EXTERNAL/libtatum/libtatum/tatum/TimingReporter.cpp index 609b0c0b03e..69c9ba07a83 100644 --- a/libs/EXTERNAL/libtatum/libtatum/tatum/TimingReporter.cpp +++ b/libs/EXTERNAL/libtatum/libtatum/tatum/TimingReporter.cpp @@ -99,6 +99,15 @@ void TimingReporter::report_timing_setup(std::ostream& os, report_timing(os, paths); } +void TimingReporter::report_timing_setup(std::vector<tatum::TimingPath>& paths, + std::ostream& os, + const SetupTimingAnalyzer& setup_analyzer, + size_t npaths) const { + paths = path_collector_.collect_worst_setup_timing_paths(timing_graph_, setup_analyzer, npaths); + + report_timing(os, paths); +} + void TimingReporter::report_timing_hold(std::string filename, const HoldTimingAnalyzer& hold_analyzer, size_t npaths) const { @@ -114,6 +123,15 @@ void TimingReporter::report_timing_hold(std::ostream& os, report_timing(os, paths); } +void TimingReporter::report_timing_hold(std::vector<tatum::TimingPath>& paths, + std::ostream& os, + const HoldTimingAnalyzer& hold_analyzer, + size_t npaths) const { + paths = path_collector_.collect_worst_hold_timing_paths(timing_graph_, hold_analyzer, npaths); + + report_timing(os, paths); +} + void TimingReporter::report_skew_setup(std::string filename, const SetupTimingAnalyzer& setup_analyzer, size_t nworst) const { diff --git a/libs/EXTERNAL/libtatum/libtatum/tatum/TimingReporter.hpp b/libs/EXTERNAL/libtatum/libtatum/tatum/TimingReporter.hpp index 1569aa6d704..590e3bca690 100644 --- a/libs/EXTERNAL/libtatum/libtatum/tatum/TimingReporter.hpp +++ b/libs/EXTERNAL/libtatum/libtatum/tatum/TimingReporter.hpp @@ -64,9 +64,11 @@ class TimingReporter { public: void report_timing_setup(std::string filename, const tatum::SetupTimingAnalyzer& setup_analyzer, size_t npaths=REPORT_TIMING_DEFAULT_NPATHS) const; void report_timing_setup(std::ostream& os, const tatum::SetupTimingAnalyzer& setup_analyzer, size_t npaths=REPORT_TIMING_DEFAULT_NPATHS) const; + void report_timing_setup(std::vector<tatum::TimingPath>& paths, std::ostream& os, const tatum::SetupTimingAnalyzer& setup_analyzer, size_t npaths=REPORT_TIMING_DEFAULT_NPATHS) const; void report_timing_hold(std::string filename, const tatum::HoldTimingAnalyzer& hold_analyzer, size_t npaths=REPORT_TIMING_DEFAULT_NPATHS) const; void report_timing_hold(std::ostream& os, const tatum::HoldTimingAnalyzer& hold_analyzer, size_t npaths=REPORT_TIMING_DEFAULT_NPATHS) const; + void report_timing_hold(std::vector<tatum::TimingPath>& paths, std::ostream& os, const tatum::HoldTimingAnalyzer& hold_analyzer, size_t npaths=REPORT_TIMING_DEFAULT_NPATHS) const; void report_skew_setup(std::string filename, const tatum::SetupTimingAnalyzer& setup_analyzer, size_t nworst=REPORT_TIMING_DEFAULT_NPATHS) const; void report_skew_setup(std::ostream& os, const tatum::SetupTimingAnalyzer& setup_analyzer, size_t nworst=REPORT_TIMING_DEFAULT_NPATHS) const; diff --git a/libs/EXTERNAL/libtatum/libtatum/tatum/tags/TimingTags.hpp b/libs/EXTERNAL/libtatum/libtatum/tatum/tags/TimingTags.hpp index 192442cfe27..afab50fb1be 100644 --- a/libs/EXTERNAL/libtatum/libtatum/tatum/tags/TimingTags.hpp +++ b/libs/EXTERNAL/libtatum/libtatum/tatum/tags/TimingTags.hpp @@ -1,4 +1,5 @@ #pragma once +#include <cstddef> #include <iterator> #include <memory> @@ -104,15 +105,15 @@ class TimingTags { //Iterator definition template<class T> - class Iterator : public std::iterator<std::random_access_iterator_tag, T> { + class Iterator { friend TimingTags; public: - using value_type = typename std::iterator<std::random_access_iterator_tag, T>::value_type; - using difference_type = typename std::iterator<std::random_access_iterator_tag, T>::difference_type; - using pointer = typename std::iterator<std::random_access_iterator_tag, T>::pointer; - using reference = typename std::iterator<std::random_access_iterator_tag, T>::reference; - using iterator_category = typename std::iterator<std::random_access_iterator_tag, T>::iterator_category; - public: + using iterator_category = std::random_access_iterator_tag; + using difference_type = std::ptrdiff_t; + using value_type = T; + using pointer = T*; + using reference = T&; + Iterator(): p_(nullptr) {} Iterator(pointer p): p_(p) {} Iterator(const Iterator& other): p_(other.p_) {} @@ -143,7 +144,7 @@ class TimingTags { friend bool operator>=(Iterator lhs, Iterator rhs) { return lhs.p_ >= rhs.p_; } friend void swap(Iterator lhs, Iterator rhs) { std::swap(lhs.p_, rhs.p_); } private: - T* p_ = nullptr; + pointer p_ = nullptr; }; private: diff --git a/libs/EXTERNAL/sockpp b/libs/EXTERNAL/sockpp new file mode 160000 index 00000000000..5388c4b5659 --- /dev/null +++ b/libs/EXTERNAL/sockpp @@ -0,0 +1 @@ +Subproject commit 5388c4b5659e99a86bc906dd6ac2eef66f1dd51e diff --git a/libs/libarchfpga/CMakeLists.txt b/libs/libarchfpga/CMakeLists.txt index 5d5d0f70024..65e9096cd37 100644 --- a/libs/libarchfpga/CMakeLists.txt +++ b/libs/libarchfpga/CMakeLists.txt @@ -57,7 +57,7 @@ target_link_libraries(read_arch libarchfpga) #Supress IPO link warnings if IPO is enabled get_target_property(READ_ARCH_USES_IPO read_arch INTERPROCEDURAL_OPTIMIZATION) -if (READ_ARCH_USES_IPO) +if(READ_ARCH_USES_IPO) set_property(TARGET read_arch APPEND PROPERTY LINK_FLAGS ${IPO_LINK_WARN_SUPRESS_FLAGS}) endif() @@ -70,3 +70,8 @@ install(FILES ${LIB_HEADERS} DESTINATION include/libarchfpga) file(GLOB_RECURSE TEST_SOURCES test/*.cpp) add_executable(test_archfpga ${TEST_SOURCES}) target_link_libraries(test_archfpga Catch2::Catch2WithMain libarchfpga) + +add_test(NAME test_archfpga + COMMAND test_archfpga + --colour-mode ansi + ) diff --git a/libs/libarchfpga/src/physical_types.h b/libs/libarchfpga/src/physical_types.h index 15b1b82a596..47fd9489d58 100644 --- a/libs/libarchfpga/src/physical_types.h +++ b/libs/libarchfpga/src/physical_types.h @@ -1561,8 +1561,8 @@ enum e_Fc_type { * seg_index: The index of the segment as stored in the appropriate Segs list* * Upon loading the architecture, we use this field to keep track * * the segment's index in the unified segment_inf vector. This is * - * usefull when building the rr_graph for different Y & X channels* - * interms of track distribution and segment type. * + * useful when building the rr_graph for different Y & X channels * + * in terms of track distribution and segment type. * * meta: Table storing extra arbitrary metadata attributes. */ struct t_segment_inf { std::string name; diff --git a/libs/libarchfpga/src/read_fpga_interchange_arch.cpp b/libs/libarchfpga/src/read_fpga_interchange_arch.cpp index 38066842db5..828f935369f 100644 --- a/libs/libarchfpga/src/read_fpga_interchange_arch.cpp +++ b/libs/libarchfpga/src/read_fpga_interchange_arch.cpp @@ -5,29 +5,28 @@ #ifdef VTR_ENABLE_CAPNPROTO -#include <algorithm> -#include <kj/std/iostream.h> -#include <limits> -#include <map> -#include <regex> -#include <set> -#include <stdlib.h> -#include <string> -#include <string.h> -#include <zlib.h> -#include <sstream> - -#include "vtr_assert.h" -#include "vtr_digest.h" -#include "vtr_log.h" -#include "vtr_memory.h" -#include "vtr_util.h" - -#include "arch_check.h" -#include "arch_error.h" -#include "arch_util.h" -#include "arch_types.h" - +# include <algorithm> +# include <kj/std/iostream.h> +# include <limits> +# include <map> +# include <regex> +# include <set> +# include <stdlib.h> +# include <string> +# include <string.h> +# include <zlib.h> +# include <sstream> + +# include "vtr_assert.h" +# include "vtr_digest.h" +# include "vtr_log.h" +# include "vtr_memory.h" +# include "vtr_util.h" + +# include "arch_check.h" +# include "arch_error.h" +# include "arch_util.h" +# include "arch_types.h" /* * FPGA Interchange Device frontend @@ -2503,7 +2502,7 @@ struct ArchReader { } }; -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO void FPGAInterchangeReadArch(const char* FPGAInterchangeDeviceFile, const bool /*timing_enabled*/, @@ -2551,12 +2550,12 @@ void FPGAInterchangeReadArch(const char* FPGAInterchangeDeviceFile, ArchReader reader(arch, device_reader, FPGAInterchangeDeviceFile, PhysicalTileTypes, LogicalBlockTypes); reader.read_arch(); -#else // VTR_ENABLE_CAPNPROTO +#else // VTR_ENABLE_CAPNPROTO // If CAPNPROTO is disabled, throw an error. (void)FPGAInterchangeDeviceFile; (void)arch; (void)PhysicalTileTypes; (void)LogicalBlockTypes; throw vtr::VtrError("Unable to read FPGA interchange if CAPNPROTO is not enabled", __FILE__, __LINE__); -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO } diff --git a/libs/libarchfpga/src/read_fpga_interchange_arch.h b/libs/libarchfpga/src/read_fpga_interchange_arch.h index 3853ce93799..c859f97a002 100644 --- a/libs/libarchfpga/src/read_fpga_interchange_arch.h +++ b/libs/libarchfpga/src/read_fpga_interchange_arch.h @@ -5,14 +5,14 @@ #ifdef VTR_ENABLE_CAPNPROTO -#include "DeviceResources.capnp.h" -#include "LogicalNetlist.capnp.h" -#include "capnp/serialize.h" -#include "capnp/serialize-packed.h" -#include <fcntl.h> -#include <unistd.h> - -#endif // VTR_ENABLE_CAPNPROTO +# include "DeviceResources.capnp.h" +# include "LogicalNetlist.capnp.h" +# include "capnp/serialize.h" +# include "capnp/serialize-packed.h" +# include <fcntl.h> +# include <unistd.h> + +#endif // VTR_ENABLE_CAPNPROTO #ifdef __cplusplus extern "C" { diff --git a/libs/librrgraph/src/base/rr_graph_obj.cpp b/libs/librrgraph/src/base/rr_graph_obj.cpp index 68c6f305b95..dd819770783 100644 --- a/libs/librrgraph/src/base/rr_graph_obj.cpp +++ b/libs/librrgraph/src/base/rr_graph_obj.cpp @@ -1041,7 +1041,7 @@ void RRGraph::set_node_segment(const RRNodeId& node, const RRSegmentId& segment_ */ void RRGraph::partition_node_in_edges(const RRNodeId& node) { //Partition the edges so the first set of edges are all configurable, and the later are not - auto first_non_config_edge = std::partition(node_in_edges_[node].begin(), node_in_edges_[node].end(), + auto first_non_config_edge = std::stable_partition(node_in_edges_[node].begin(), node_in_edges_[node].end(), [&](const RREdgeId edge) { return edge_is_configurable(edge); }); /* Condition to partition edges */ size_t num_conf_edges = std::distance(node_in_edges_[node].begin(), first_non_config_edge); @@ -1060,7 +1060,7 @@ void RRGraph::partition_node_in_edges(const RRNodeId& node) { */ void RRGraph::partition_node_out_edges(const RRNodeId& node) { //Partition the edges so the first set of edges are all configurable, and the later are not - auto first_non_config_edge = std::partition(node_out_edges_[node].begin(), node_out_edges_[node].end(), + auto first_non_config_edge = std::stable_partition(node_out_edges_[node].begin(), node_out_edges_[node].end(), [&](const RREdgeId edge) { return edge_is_configurable(edge); }); /* Condition to partition edges */ size_t num_conf_edges = std::distance(node_out_edges_[node].begin(), first_non_config_edge); diff --git a/libs/librrgraph/src/base/rr_graph_view.h b/libs/librrgraph/src/base/rr_graph_view.h index 1a75ee2404b..a1befaa6a32 100644 --- a/libs/librrgraph/src/base/rr_graph_view.h +++ b/libs/librrgraph/src/base/rr_graph_view.h @@ -395,7 +395,7 @@ class RRGraphView { /** @brief Get outgoing edges for a node. * This API is designed to enable range-based loop to walk through the outgoing edges of a node * Example: - * RRGraphView rr_graph; // A dummny rr_graph for a short example + * RRGraphView rr_graph; // A dummy rr_graph for a short example * RRNodeId node; // A dummy node for a short example * for (RREdgeId edge : rr_graph.edges(node)) { * // Do something with the edge @@ -479,7 +479,7 @@ class RRGraphView { } /** @brief Return the switch information that is categorized in the rr_switch_inf with a given id - * rr_switch_inf is created to minimize memory footprint of RRGraph classs + * rr_switch_inf is created to minimize memory footprint of RRGraph class * While the RRG could contain millions (even much larger) of edges, there are only * a limited number of types of switches. * Hence, we use a flyweight pattern to store switch-related information that differs diff --git a/libs/librrgraph/src/base/rr_node_impl.h b/libs/librrgraph/src/base/rr_node_impl.h index 4a76d0851bf..83b93e43b46 100644 --- a/libs/librrgraph/src/base/rr_node_impl.h +++ b/libs/librrgraph/src/base/rr_node_impl.h @@ -3,28 +3,35 @@ // This file provides the inline proxy implemenation for t_rr_node. // See the t_rr_node class comment for additional details. -#include "rr_node_types.h" +#include <cstddef> +#include <iterator> #include "rr_node.h" #include "rr_graph_storage.h" -class node_idx_iterator : public std::iterator<std::bidirectional_iterator_tag, const t_rr_node> { +class node_idx_iterator { public: + using iterator_category = std::bidirectional_iterator_tag; + using difference_type = std::ptrdiff_t; + using value_type = const t_rr_node; + using pointer = value_type*; + using reference = value_type&; + node_idx_iterator(t_rr_node value) : value_(value) {} - iterator operator++() { + node_idx_iterator& operator++() { value_.next_node(); return *this; } - iterator operator--() { + node_idx_iterator& operator--() { value_.prev_node(); return *this; } reference operator*() const { return value_; } pointer operator->() const { return &value_; } - friend bool operator==(const node_idx_iterator lhs, const node_idx_iterator rhs) { return lhs.value_.id() == rhs.value_.id(); } - friend bool operator!=(const node_idx_iterator lhs, const node_idx_iterator rhs) { return !(lhs == rhs); } + friend bool operator==(const node_idx_iterator& lhs, const node_idx_iterator& rhs) { return lhs.value_.id() == rhs.value_.id(); } + friend bool operator!=(const node_idx_iterator& lhs, const node_idx_iterator& rhs) { return !(lhs == rhs); } private: t_rr_node value_; diff --git a/libs/librrgraph/src/base/rr_node_types.h b/libs/librrgraph/src/base/rr_node_types.h index 56c2b97c3e6..1b38848f21b 100644 --- a/libs/librrgraph/src/base/rr_node_types.h +++ b/libs/librrgraph/src/base/rr_node_types.h @@ -1,6 +1,8 @@ #ifndef RR_NODE_TYPES_H #define RR_NODE_TYPES_H +#include <cstddef> +#include <iterator> #include <string> #include <vector> #include <array> @@ -64,23 +66,29 @@ typedef uint16_t t_edge_size; * * Used inconjunction with vtr::Range to return ranges of edge indices */ -class edge_idx_iterator : public std::iterator<std::bidirectional_iterator_tag, t_edge_size> { +class edge_idx_iterator { public: + using iterator_category = std::bidirectional_iterator_tag; + using difference_type = std::ptrdiff_t; + using value_type = t_edge_size; + using pointer = t_edge_size*; + using reference = t_edge_size&; + edge_idx_iterator(value_type init) : value_(init) {} - iterator operator++() { + edge_idx_iterator& operator++() { value_ += 1; return *this; } - iterator operator--() { + edge_idx_iterator& operator--() { value_ -= 1; return *this; } reference operator*() { return value_; } pointer operator->() { return &value_; } - friend bool operator==(const edge_idx_iterator lhs, const edge_idx_iterator rhs) { return lhs.value_ == rhs.value_; } - friend bool operator!=(const edge_idx_iterator lhs, const edge_idx_iterator rhs) { return !(lhs == rhs); } + friend bool operator==(const edge_idx_iterator& lhs, const edge_idx_iterator& rhs) { return lhs.value_ == rhs.value_; } + friend bool operator!=(const edge_idx_iterator& lhs, const edge_idx_iterator& rhs) { return !(lhs == rhs); } private: value_type value_; diff --git a/libs/libvtrutil/CMakeLists.txt b/libs/libvtrutil/CMakeLists.txt index 102b1150b90..e35d0246b8b 100644 --- a/libs/libvtrutil/CMakeLists.txt +++ b/libs/libvtrutil/CMakeLists.txt @@ -142,5 +142,8 @@ target_link_libraries(test_vtrutil libvtrutil Catch2::Catch2WithMain) -add_test(NAME test_vtrutil COMMAND test_vtrutil --colour-mode ansi) +add_test(NAME test_vtrutil + COMMAND test_vtrutil + --colour-mode ansi + ) diff --git a/libs/libvtrutil/src/vtr_array_view.h b/libs/libvtrutil/src/vtr_array_view.h index 3383263e040..0bb48f65eec 100644 --- a/libs/libvtrutil/src/vtr_array_view.h +++ b/libs/libvtrutil/src/vtr_array_view.h @@ -207,21 +207,15 @@ class array_view_id : private array_view<V> { * to iterate through the keys with a range-based for loop * */ - class key_iterator : public std::iterator<std::bidirectional_iterator_tag, key_type> { + class key_iterator { public: - /** - * @brief Intermediate type my_iter - * - * We use the intermediate type my_iter to avoid a potential ambiguity for which - * clang generates errors and warnings - */ - using my_iter = typename std::iterator<std::bidirectional_iterator_tag, K>; - using typename my_iter::iterator; - using typename my_iter::pointer; - using typename my_iter::reference; - using typename my_iter::value_type; + using iterator_category = std::bidirectional_iterator_tag; + using difference_type = std::ptrdiff_t; + using value_type = key_type; + using pointer = key_type*; + using reference = key_type&; - key_iterator(key_iterator::value_type init) + key_iterator(value_type init) : value_(init) {} /** @@ -233,13 +227,13 @@ class array_view_id : private array_view<V> { */ ///@brief increment the iterator - key_iterator operator++() { + key_iterator& operator++() { value_ = value_type(size_t(value_) + 1); return *this; } ///@brief decrement the iterator - key_iterator operator--() { + key_iterator& operator--() { value_ = value_type(size_t(value_) - 1); return *this; } @@ -250,8 +244,8 @@ class array_view_id : private array_view<V> { ///@brief -> operator pointer operator->() { return &value_; } - friend bool operator==(const key_iterator lhs, const key_iterator rhs) { return lhs.value_ == rhs.value_; } - friend bool operator!=(const key_iterator lhs, const key_iterator rhs) { return !(lhs == rhs); } + friend bool operator==(const key_iterator& lhs, const key_iterator& rhs) { return lhs.value_ == rhs.value_; } + friend bool operator!=(const key_iterator& lhs, const key_iterator& rhs) { return !(lhs == rhs); } private: value_type value_; diff --git a/libs/libvtrutil/src/vtr_error.h b/libs/libvtrutil/src/vtr_error.h index d710c66305d..f13d46bcdb7 100644 --- a/libs/libvtrutil/src/vtr_error.h +++ b/libs/libvtrutil/src/vtr_error.h @@ -3,6 +3,7 @@ #include <stdexcept> #include <string> +#include <utility> /** * @file @@ -34,9 +35,9 @@ namespace vtr { class VtrError : public std::runtime_error { public: ///@brief VtrError constructor - VtrError(std::string msg = "", std::string new_filename = "", size_t new_linenumber = -1) + VtrError(const std::string& msg = "", std::string new_filename = "", size_t new_linenumber = -1) : std::runtime_error(msg) - , filename_(new_filename) + , filename_(std::move(new_filename)) , linenumber_(new_linenumber) {} /** diff --git a/libs/libvtrutil/src/vtr_expr_eval.cpp b/libs/libvtrutil/src/vtr_expr_eval.cpp index 165b9caa51a..791319f4367 100644 --- a/libs/libvtrutil/src/vtr_expr_eval.cpp +++ b/libs/libvtrutil/src/vtr_expr_eval.cpp @@ -58,13 +58,13 @@ static bool is_char_number(const char ch); static bool is_operator(const char ch); // returns true if the specified name is a known function operator -static bool is_function(std::string name); +static bool is_function(const std::string& name); // returns true if the specified name is a known compound operator t_compound_operator is_compound_op(const char* ch); // returns true if the specified name is a known variable -static bool is_variable(std::string var); +static bool is_variable(const std::string& var); // returns the length of any identifier (e.g. name, function) starting at the beginning of str static int identifier_length(const char* str); @@ -76,14 +76,14 @@ static bool goto_next_char(int* str_ind, const string& pw_formula, char ch); bool same_string(std::string str1, std::string str2); //checks if the block indicated by the user was one of the moved blocks in the last perturbation -int in_blocks_affected(std::string expression_left); +int in_blocks_affected(const std::string& expression_left); //the function of += operator bool additional_assignment_op(int arg1, int arg2); /**** Function Implementations ****/ /* returns integer result according to specified non-piece-wise formula and data */ -int FormulaParser::parse_formula(std::string formula, const t_formula_data& mydata, bool is_breakpoint) { +int FormulaParser::parse_formula(const std::string& formula, const t_formula_data& mydata, bool is_breakpoint) { int result = -1; /* output in reverse-polish notation */ @@ -150,7 +150,7 @@ int FormulaParser::parse_piecewise_formula(const char* formula, const t_formula_ } tmp_ind_count = str_ind - tmp_ind_start; /* range start is between { and : */ substr = pw_formula.substr(tmp_ind_start, tmp_ind_count); - range_start = parse_formula(substr.c_str(), mydata); + range_start = parse_formula(substr, mydata); /* get the end of the range */ tmp_ind_start = str_ind + 1; @@ -160,7 +160,7 @@ int FormulaParser::parse_piecewise_formula(const char* formula, const t_formula_ } tmp_ind_count = str_ind - tmp_ind_start; /* range end is between : and } */ substr = pw_formula.substr(tmp_ind_start, tmp_ind_count); - range_end = parse_formula(substr.c_str(), mydata); + range_end = parse_formula(substr, mydata); if (range_start > range_end) { throw vtr::VtrError(vtr::string_fmt("parse_piecewise_formula: range_start, %d, is bigger than range end, %d\n", range_start, range_end), __FILE__, __LINE__); @@ -287,8 +287,6 @@ static void formula_to_rpn(const char* formula, const t_formula_data& mydata, ve rpn_output.push_back(fobj_dummy); op_stack.pop(); } - - return; } /* Fills the formula object fobj according to specified character and mydata, @@ -352,7 +350,7 @@ static void get_formula_object(const char* ch, int& ichar, const t_formula_data& } ichar--; fobj->type = E_FML_NUMBER; - fobj->data.num = vtr::atoi(ss.str().c_str()); + fobj->data.num = vtr::atoi(ss.str()); } else if (is_compound_op(ch) != E_COM_OP_UNDEFINED) { fobj->type = E_FML_OPERATOR; t_compound_operator comp_op_code = is_compound_op(ch); @@ -415,8 +413,6 @@ static void get_formula_object(const char* ch, int& ichar, const t_formula_data& break; } } - - return; } /* returns integer specifying precedence of passed-in operator. higher integer @@ -562,7 +558,6 @@ static void handle_bracket(const Formula_Object& fobj, vector<Formula_Object>& r } } while (keep_going); } - return; } /* used by the shunting-yard formula parser to deal with commas, ie ','. These occur in function calls*/ @@ -770,7 +765,7 @@ static bool is_operator(const char ch) { } //returns true if string signifies a function e.g max, min -static bool is_function(std::string name) { +static bool is_function(const std::string& name) { if (name == "min" || name == "max" || name == "gcd" @@ -801,7 +796,7 @@ t_compound_operator is_compound_op(const char* ch) { } //checks if the entered string is a known variable name -static bool is_variable(std::string var_name) { +static bool is_variable(const std::string& var_name) { if (same_string(var_name, "from_block") || same_string(var_name, "temp_count") || same_string(var_name, "move_num") || same_string(var_name, "route_net_id") || same_string(var_name, "in_blocks_affected") || same_string(var_name, "router_iter")) { return true; } @@ -849,11 +844,11 @@ bool same_string(std::string str1, std::string str2) { str1.erase(remove(str1.begin(), str1.end(), ' '), str1.end()); str2.erase(remove(str2.begin(), str2.end(), ' '), str2.end()); - //converting both strings to lower case to eliminate case sensivity + //converting both strings to lower case to eliminate case sensitivity std::transform(str1.begin(), str1.end(), str1.begin(), ::tolower); std::transform(str2.begin(), str2.end(), str2.begin(), ::tolower); - return (str1.compare(str2) == 0); + return (str1 == str2); } //the += operator @@ -870,7 +865,7 @@ bool additional_assignment_op(int arg1, int arg2) { //recognizes the block_id to look for (entered by the user) //then looks for that block_id in all the blocks moved in the last perturbation. //returns the block id if found, else just -1 -int in_blocks_affected(std::string expression_left) { +int in_blocks_affected(const std::string& expression_left) { int wanted_block = -1; int found_block; std::stringstream ss; diff --git a/libs/libvtrutil/src/vtr_expr_eval.h b/libs/libvtrutil/src/vtr_expr_eval.h index 0671bf92f7e..3c528cccd37 100644 --- a/libs/libvtrutil/src/vtr_expr_eval.h +++ b/libs/libvtrutil/src/vtr_expr_eval.h @@ -214,7 +214,7 @@ class FormulaParser { FormulaParser& operator=(const FormulaParser&) = delete; ///@brief returns integer result according to specified formula and data - int parse_formula(std::string formula, const t_formula_data& mydata, bool is_breakpoint = false); + int parse_formula(const std::string& formula, const t_formula_data& mydata, bool is_breakpoint = false); ///@brief returns integer result according to specified piece-wise formula and data int parse_piecewise_formula(const char* formula, const t_formula_data& mydata); diff --git a/libs/libvtrutil/src/vtr_ragged_matrix.h b/libs/libvtrutil/src/vtr_ragged_matrix.h index bbe7fea78fc..18ba18f9b58 100644 --- a/libs/libvtrutil/src/vtr_ragged_matrix.h +++ b/libs/libvtrutil/src/vtr_ragged_matrix.h @@ -1,5 +1,6 @@ #ifndef VTR_RAGGED_MATRIX_H #define VTR_RAGGED_MATRIX_H +#include <cstddef> #include <vector> #include <iterator> @@ -212,8 +213,14 @@ class FlatRaggedMatrix { * uses a callback to determine row lengths. */ template<class Callback> - class RowLengthIterator : public std::iterator<std::random_access_iterator_tag, size_t> { + class RowLengthIterator { public: + using iterator_category = std::random_access_iterator_tag; + using difference_type = std::ptrdiff_t; + using value_type = size_t; + using pointer = size_t*; + using reference = size_t&; + RowLengthIterator(size_t irow, Callback& callback) : irow_(irow) , callback_(callback) {} diff --git a/libs/libvtrutil/src/vtr_vector.h b/libs/libvtrutil/src/vtr_vector.h index 639f6259058..27102a38eef 100644 --- a/libs/libvtrutil/src/vtr_vector.h +++ b/libs/libvtrutil/src/vtr_vector.h @@ -160,17 +160,16 @@ class vector : private std::vector<V, Allocator> { * This allows end-users to call the parent class's keys() member * to iterate through the keys with a range-based for loop */ - class key_iterator : public std::iterator<std::bidirectional_iterator_tag, key_type> { + class key_iterator { public: - ///@brief We use the intermediate type my_iter to avoid a potential ambiguity for which clang generates errors and warnings - using my_iter = typename std::iterator<std::bidirectional_iterator_tag, K>; - using typename my_iter::iterator; - using typename my_iter::pointer; - using typename my_iter::reference; - using typename my_iter::value_type; + using iterator_category = std::bidirectional_iterator_tag; + using difference_type = std::ptrdiff_t; + using value_type = key_type; + using pointer = key_type*; + using reference = key_type&; ///@brief constructor - key_iterator(key_iterator::value_type init) + key_iterator(value_type init) : value_(init) {} /* @@ -180,12 +179,12 @@ class vector : private std::vector<V, Allocator> { * we can just increment the underlying Id to build the next key. */ ///@brief ++ operator - key_iterator operator++() { + key_iterator& operator++() { value_ = value_type(size_t(value_) + 1); return *this; } ///@brief decrement operator - key_iterator operator--() { + key_iterator& operator--() { value_ = value_type(size_t(value_) - 1); return *this; } @@ -195,9 +194,9 @@ class vector : private std::vector<V, Allocator> { pointer operator->() { return &value_; } ///@brief == operator - friend bool operator==(const key_iterator lhs, const key_iterator rhs) { return lhs.value_ == rhs.value_; } + friend bool operator==(const key_iterator& lhs, const key_iterator& rhs) { return lhs.value_ == rhs.value_; } ///@brief != operator - friend bool operator!=(const key_iterator lhs, const key_iterator rhs) { return !(lhs == rhs); } + friend bool operator!=(const key_iterator& lhs, const key_iterator& rhs) { return !(lhs == rhs); } private: value_type value_; diff --git a/vpr/CMakeLists.txt b/vpr/CMakeLists.txt index 433ef273042..da92de9bc48 100644 --- a/vpr/CMakeLists.txt +++ b/vpr/CMakeLists.txt @@ -32,6 +32,25 @@ else() message(STATUS "EZGL: graphics disabled") endif() + +#Handle server setup +set(SERVER_DEFINES "") + +set(SERVER_DISABILED_REASON "") +if (VPR_USE_SERVER) + if (VPR_USE_EZGL STREQUAL "off") + set(SERVER_DISABILED_REASON ", due to EZGL being disabled") + set(VPR_USE_SERVER OFF) + endif() +endif() + +if (VPR_USE_SERVER) + message(STATUS "Server mode is enabled") +else() + list(APPEND SERVER_DEFINES "-DNO_SERVER") + message(STATUS "Server mode is disabled${SERVER_DISABILED_REASON}") +endif() + # # Build Configuration # @@ -87,6 +106,13 @@ target_link_libraries(libvpr librrgraph ) +if(VPR_USE_SERVER) + target_link_libraries(libvpr + sockpp-static + -lz + ) +endif() + #link graphics library only when graphics set to on if (VPR_USE_EZGL STREQUAL "on") target_link_libraries(libvpr @@ -123,7 +149,7 @@ if (VPR_USE_EZGL STREQUAL "on") endif() -target_compile_definitions(libvpr PUBLIC ${GRAPHICS_DEFINES}) +target_compile_definitions(libvpr PUBLIC ${GRAPHICS_DEFINES} ${SERVER_DEFINES}) if(${VTR_ENABLE_CAPNPROTO}) target_link_libraries(libvpr libvtrcapnproto) diff --git a/vpr/src/base/CheckSetup.cpp b/vpr/src/base/CheckSetup.cpp index 4bc78d116fb..6b980612417 100644 --- a/vpr/src/base/CheckSetup.cpp +++ b/vpr/src/base/CheckSetup.cpp @@ -10,9 +10,10 @@ void CheckSetup(const t_packer_opts& PackerOpts, const t_placer_opts& PlacerOpts, const t_router_opts& RouterOpts, + const t_server_opts& ServerOpts, const t_det_routing_arch& RoutingArch, const std::vector<t_segment_inf>& Segments, - const t_timing_inf Timing, + const t_timing_inf& Timing, const t_chan_width_dist Chans) { int i; int Tmp; @@ -78,7 +79,7 @@ void CheckSetup(const t_packer_opts& PackerOpts, for (i = 0; i < (int)Segments.size(); ++i) { Tmp = Segments[i].arch_opin_switch; auto& device_ctx = g_vpr_ctx.device(); - if (false == device_ctx.arch_switch_inf[Tmp].buffered()) { + if (!device_ctx.arch_switch_inf[Tmp].buffered()) { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "arch_opin_switch (#%d) of segment type #%d is not buffered.\n", Tmp, i); } @@ -105,4 +106,12 @@ void CheckSetup(const t_packer_opts& PackerOpts, "Place channel width must be even for unidirectional.\n"); } } + + if (ServerOpts.is_server_mode_enabled) { + if (ServerOpts.port_num < DYMANIC_PORT_RANGE_MIN || ServerOpts.port_num > DYNAMIC_PORT_RANGE_MAX) { + VPR_FATAL_ERROR(VPR_ERROR_OTHER, + "Specified server port number `--port %d` is out of range [%d-%d]. Please specify a port number within that range.\n", + ServerOpts.port_num, DYMANIC_PORT_RANGE_MIN, DYNAMIC_PORT_RANGE_MAX); + } + } } diff --git a/vpr/src/base/CheckSetup.h b/vpr/src/base/CheckSetup.h index d1e18764d9a..8fb9cdc6b30 100644 --- a/vpr/src/base/CheckSetup.h +++ b/vpr/src/base/CheckSetup.h @@ -2,12 +2,16 @@ #define CHECKSETUP_H #include "vpr_types.h" +const int DYMANIC_PORT_RANGE_MIN = 49152; +const int DYNAMIC_PORT_RANGE_MAX = 65535; + void CheckSetup(const t_packer_opts& PackerOpts, const t_placer_opts& PlacerOpts, const t_router_opts& RouterOpts, + const t_server_opts& ServerOpts, const t_det_routing_arch& RoutingArch, const std::vector<t_segment_inf>& Segments, - const t_timing_inf Timing, + const t_timing_inf& Timing, const t_chan_width_dist Chans); #endif diff --git a/vpr/src/base/SetupGrid.cpp b/vpr/src/base/SetupGrid.cpp index 11ac2e52694..a7aaf214dd2 100644 --- a/vpr/src/base/SetupGrid.cpp +++ b/vpr/src/base/SetupGrid.cpp @@ -46,7 +46,7 @@ static void set_grid_block_type(int priority, const t_metadata_dict* meta); ///@brief Create the device grid based on resource requirements -DeviceGrid create_device_grid(std::string layout_name, const std::vector<t_grid_def>& grid_layouts, const std::map<t_logical_block_type_ptr, size_t>& minimum_instance_counts, float target_device_utilization) { +DeviceGrid create_device_grid(const std::string& layout_name, const std::vector<t_grid_def>& grid_layouts, const std::map<t_logical_block_type_ptr, size_t>& minimum_instance_counts, float target_device_utilization) { if (layout_name == "auto") { //Auto-size the device // @@ -78,9 +78,9 @@ DeviceGrid create_device_grid(std::string layout_name, const std::vector<t_grid_ } ///@brief Create the device grid based on dimensions -DeviceGrid create_device_grid(std::string layout_name, const std::vector<t_grid_def>& grid_layouts, size_t width, size_t height) { +DeviceGrid create_device_grid(const std::string& layout_name, const std::vector<t_grid_def>& grid_layouts, size_t width, size_t height) { if (layout_name == "auto") { - VTR_ASSERT(grid_layouts.size() > 0); + VTR_ASSERT(!grid_layouts.empty()); //Auto-size if (grid_layouts[0].grid_type == GridDefType::AUTO) { //Auto layout of the specified dimensions @@ -145,7 +145,7 @@ DeviceGrid create_device_grid(std::string layout_name, const std::vector<t_grid_ * If an auto grid layouts are specified, the smallest dynamicly sized grid is picked */ static DeviceGrid auto_size_device_grid(const std::vector<t_grid_def>& grid_layouts, const std::map<t_logical_block_type_ptr, size_t>& minimum_instance_counts, float maximum_device_utilization) { - VTR_ASSERT(grid_layouts.size() > 0); + VTR_ASSERT(!grid_layouts.empty()); DeviceGrid grid; @@ -281,6 +281,7 @@ static std::vector<t_logical_block_type_ptr> grid_overused_resources(const Devic //Sort so we allocate logical blocks with the fewest equivalent sites first (least flexible) std::vector<const t_logical_block_type*> logical_block_types; + logical_block_types.reserve(device_ctx.logical_block_types.size()); for (auto& block_type : device_ctx.logical_block_types) { logical_block_types.push_back(&block_type); } diff --git a/vpr/src/base/SetupGrid.h b/vpr/src/base/SetupGrid.h index cfde1e523d8..977ce2f51e2 100644 --- a/vpr/src/base/SetupGrid.h +++ b/vpr/src/base/SetupGrid.h @@ -13,13 +13,16 @@ #include "physical_types.h" ///@brief Find the device satisfying the specified minimum resources -DeviceGrid create_device_grid(std::string layout_name, +DeviceGrid create_device_grid(const std::string& layout_name, const std::vector<t_grid_def>& grid_layouts, const std::map<t_logical_block_type_ptr, size_t>& minimum_instance_counts, float target_device_utilization); ///@brief Find the device close in size to the specified dimensions -DeviceGrid create_device_grid(std::string layout_name, const std::vector<t_grid_def>& grid_layouts, size_t min_width, size_t min_height); +DeviceGrid create_device_grid(const std::string& layout_name, + const std::vector<t_grid_def>& grid_layouts, + size_t min_width, + size_t min_height); /** * @brief Calculate the device utilization diff --git a/vpr/src/base/SetupVPR.cpp b/vpr/src/base/SetupVPR.cpp index b03748c2764..49e9ff830ca 100644 --- a/vpr/src/base/SetupVPR.cpp +++ b/vpr/src/base/SetupVPR.cpp @@ -38,6 +38,8 @@ static void SetupAnnealSched(const t_options& Options, static void SetupRouterOpts(const t_options& Options, t_router_opts* RouterOpts); static void SetupNocOpts(const t_options& Options, t_noc_opts* NocOpts); +static void SetupServerOpts(const t_options& Options, + t_server_opts* ServerOpts); static void SetupRoutingArch(const t_arch& Arch, t_det_routing_arch* RoutingArch); static void SetupTiming(const t_options& Options, const bool TimingEnabled, t_timing_inf* Timing); static void SetupSwitches(const t_arch& Arch, @@ -99,6 +101,7 @@ void SetupVPR(const t_options* Options, t_router_opts* RouterOpts, t_analysis_opts* AnalysisOpts, t_noc_opts* NocOpts, + t_server_opts* ServerOpts, t_det_routing_arch* RoutingArch, std::vector<t_lb_type_rr_node>** PackerRRGraphs, std::vector<t_segment_inf>& Segments, @@ -144,6 +147,7 @@ void SetupVPR(const t_options* Options, SetupAnalysisOpts(*Options, *AnalysisOpts); SetupPowerOpts(*Options, PowerOpts, Arch); SetupNocOpts(*Options, NocOpts); + SetupServerOpts(*Options, ServerOpts); if (readArchFile == true) { vtr::ScopedStartFinishTimer t("Loading Architecture Description"); @@ -401,7 +405,7 @@ static void SetupRoutingArch(const t_arch& Arch, RoutingArch->Fs = Arch.Fs; RoutingArch->subFs = Arch.subFs; RoutingArch->directionality = BI_DIRECTIONAL; - if (Arch.Segments.size()) { + if (!Arch.Segments.empty()) { RoutingArch->directionality = Arch.Segments[0].directionality; } @@ -429,6 +433,7 @@ static void SetupRouterOpts(const t_options& Options, t_router_opts* RouterOpts) RouterOpts->min_incremental_reroute_fanout = Options.min_incremental_reroute_fanout; RouterOpts->incr_reroute_delay_ripup = Options.incr_reroute_delay_ripup; RouterOpts->pres_fac_mult = Options.pres_fac_mult; + RouterOpts->max_pres_fac = Options.max_pres_fac; RouterOpts->route_type = Options.RouteType; RouterOpts->full_stats = Options.full_stats; @@ -757,9 +762,15 @@ static void SetupNocOpts(const t_options& Options, t_noc_opts* NocOpts) { NocOpts->noc_latency_weighting = Options.noc_latency_weighting; NocOpts->noc_congestion_weighting = Options.noc_congestion_weighting; NocOpts->noc_swap_percentage = Options.noc_swap_percentage; + NocOpts->noc_centroid_weight = Options.noc_centroid_weight; NocOpts->noc_placement_file_name = Options.noc_placement_file_name; } +static void SetupServerOpts(const t_options& Options, t_server_opts* ServerOpts) { + ServerOpts->is_server_mode_enabled = Options.is_server_mode_enabled; + ServerOpts->port_num = Options.server_port_num; +} + static void find_ipin_cblock_switch_index(const t_arch& Arch, int& wire_to_arch_ipin_switch, int& wire_to_arch_ipin_switch_between_dice) { for (auto cb_switch_name_index = 0; cb_switch_name_index < (int)Arch.ipin_cblock_switch_name.size(); cb_switch_name_index++) { int ipin_cblock_switch_index = UNDEFINED; diff --git a/vpr/src/base/SetupVPR.h b/vpr/src/base/SetupVPR.h index 7f7bb7105ea..97499eb8614 100644 --- a/vpr/src/base/SetupVPR.h +++ b/vpr/src/base/SetupVPR.h @@ -20,6 +20,7 @@ void SetupVPR(const t_options* Options, t_router_opts* RouterOpts, t_analysis_opts* AnalysisOpts, t_noc_opts* NocOpts, + t_server_opts* ServerOpts, t_det_routing_arch* RoutingArch, std::vector<t_lb_type_rr_node>** PackerRRGraphs, std::vector<t_segment_inf>& Segments, diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index 6f2f6f70320..86413086146 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -330,6 +330,7 @@ static void ShowRouterOpts(const t_router_opts& RouterOpts) { VTR_LOG("RouterOpts.first_iter_pres_fac: %f\n", RouterOpts.first_iter_pres_fac); VTR_LOG("RouterOpts.initial_pres_fac: %f\n", RouterOpts.initial_pres_fac); VTR_LOG("RouterOpts.pres_fac_mult: %f\n", RouterOpts.pres_fac_mult); + VTR_LOG("RouterOpts.max_pres_fac: %f\n", RouterOpts.max_pres_fac); VTR_LOG("RouterOpts.max_router_iterations: %d\n", RouterOpts.max_router_iterations); VTR_LOG("RouterOpts.min_incremental_reroute_fanout: %d\n", RouterOpts.min_incremental_reroute_fanout); VTR_LOG("RouterOpts.do_check_rr_graph: %s\n", RouterOpts.do_check_rr_graph ? "true" : "false"); @@ -475,6 +476,7 @@ static void ShowRouterOpts(const t_router_opts& RouterOpts) { VTR_LOG("RouterOpts.first_iter_pres_fac: %f\n", RouterOpts.first_iter_pres_fac); VTR_LOG("RouterOpts.initial_pres_fac: %f\n", RouterOpts.initial_pres_fac); VTR_LOG("RouterOpts.pres_fac_mult: %f\n", RouterOpts.pres_fac_mult); + VTR_LOG("RouterOpts.max_pres_fac: %f\n", RouterOpts.max_pres_fac); VTR_LOG("RouterOpts.max_router_iterations: %d\n", RouterOpts.max_router_iterations); VTR_LOG("RouterOpts.min_incremental_reroute_fanout: %d\n", RouterOpts.min_incremental_reroute_fanout); VTR_LOG("RouterOpts.do_check_rr_graph: %s\n", RouterOpts.do_check_rr_graph ? "true" : "false"); diff --git a/vpr/src/base/atom_netlist.cpp b/vpr/src/base/atom_netlist.cpp index 39af4d23e1c..1cbd2232f1f 100644 --- a/vpr/src/base/atom_netlist.cpp +++ b/vpr/src/base/atom_netlist.cpp @@ -115,7 +115,7 @@ AtomBlockId AtomNetlist::find_atom_pin_driver(const AtomBlockId blk_id, const t_ return AtomBlockId::INVALID(); } -std::unordered_set<std::string> AtomNetlist::net_aliases(const std::string net_name) const { +std::unordered_set<std::string> AtomNetlist::net_aliases(const std::string& net_name) const { auto net_id = find_net(net_name); VTR_ASSERT(net_id != AtomNetId::INVALID()); @@ -137,7 +137,7 @@ std::unordered_set<std::string> AtomNetlist::net_aliases(const std::string net_n * Mutators * */ -AtomBlockId AtomNetlist::create_block(const std::string name, const t_model* model, const TruthTable truth_table) { +AtomBlockId AtomNetlist::create_block(const std::string& name, const t_model* model, const TruthTable& truth_table) { AtomBlockId blk_id = Netlist::create_block(name); //Initialize the data @@ -205,7 +205,7 @@ AtomPinId AtomNetlist::create_pin(const AtomPortId port_id, BitIndex port_bit, c return pin_id; } -AtomNetId AtomNetlist::create_net(const std::string name) { +AtomNetId AtomNetlist::create_net(const std::string& name) { AtomNetId net_id = Netlist::create_net(name); //Check post-conditions: size @@ -214,11 +214,11 @@ AtomNetId AtomNetlist::create_net(const std::string name) { return net_id; } -AtomNetId AtomNetlist::add_net(const std::string name, AtomPinId driver, std::vector<AtomPinId> sinks) { +AtomNetId AtomNetlist::add_net(const std::string& name, AtomPinId driver, std::vector<AtomPinId> sinks) { return Netlist::add_net(name, driver, sinks); } -void AtomNetlist::add_net_alias(const std::string net_name, const std::string alias_net_name) { +void AtomNetlist::add_net_alias(const std::string& net_name, const std::string& alias_net_name) { auto net_id = find_net(net_name); VTR_ASSERT(net_id != AtomNetId::INVALID()); diff --git a/vpr/src/base/atom_netlist.h b/vpr/src/base/atom_netlist.h index d639b2d5d57..de1bb4f53bf 100644 --- a/vpr/src/base/atom_netlist.h +++ b/vpr/src/base/atom_netlist.h @@ -157,7 +157,7 @@ class AtomNetlist : public Netlist<AtomBlockId, AtomPortId, AtomPinId, AtomNetId * * @param net_name name of the net from which the aliases are extracted */ - std::unordered_set<std::string> net_aliases(const std::string net_name) const; + std::unordered_set<std::string> net_aliases(const std::string& net_name) const; public: //Public Mutators /* @@ -173,7 +173,7 @@ class AtomNetlist : public Netlist<AtomBlockId, AtomPortId, AtomPinId, AtomNetId * The truth_table is optional and only relevant for LUTs (where it describes the logic function) * and Flip-Flops/latches (where it consists of a single entry defining the initial state). */ - AtomBlockId create_block(const std::string name, const t_model* model, const TruthTable truth_table = TruthTable()); + AtomBlockId create_block(const std::string& name, const t_model* model, const TruthTable& truth_table = TruthTable()); /** * @brief Create or return an existing port in the netlist @@ -199,7 +199,7 @@ class AtomNetlist : public Netlist<AtomBlockId, AtomPortId, AtomPinId, AtomNetId * * @param name The unique name of the net */ - AtomNetId create_net(const std::string name); //An empty or existing net + AtomNetId create_net(const std::string& name); //An empty or existing net /** * @brief Create a completely specified net from specified driver and sinks @@ -208,7 +208,7 @@ class AtomNetlist : public Netlist<AtomBlockId, AtomPortId, AtomPinId, AtomNetId * @param driver The net's driver pin * @param sinks The net's sink pins */ - AtomNetId add_net(const std::string name, AtomPinId driver, std::vector<AtomPinId> sinks); + AtomNetId add_net(const std::string& name, AtomPinId driver, std::vector<AtomPinId> sinks); /** * @brief Adds a value to the net aliases set for a given net name in the net_aliases_map. @@ -218,7 +218,7 @@ class AtomNetlist : public Netlist<AtomBlockId, AtomPortId, AtomPinId, AtomNetId * @param net_name The net to be added to the map * @param alias_net_name The alias of the assigned clock net id */ - void add_net_alias(const std::string net_name, std::string alias_net_name); + void add_net_alias(const std::string& net_name, const std::string& alias_net_name); private: //Private members /* diff --git a/vpr/src/base/constraints_load.cpp b/vpr/src/base/constraints_load.cpp index 7d12547bf3b..158c6850980 100644 --- a/vpr/src/base/constraints_load.cpp +++ b/vpr/src/base/constraints_load.cpp @@ -1,6 +1,6 @@ #include "constraints_load.h" -void echo_constraints(char* filename, VprConstraints constraints) { +void echo_constraints(char* filename, const VprConstraints& constraints) { FILE* fp; fp = vtr::fopen(filename, "w"); diff --git a/vpr/src/base/constraints_load.h b/vpr/src/base/constraints_load.h index d7a79308e55..d9fa93c7d49 100644 --- a/vpr/src/base/constraints_load.h +++ b/vpr/src/base/constraints_load.h @@ -8,6 +8,6 @@ #include "vtr_vector.h" ///@brief Used to print vpr's floorplanning constraints to an echo file "vpr_constraints.echo" -void echo_constraints(char* filename, VprConstraints constraints); +void echo_constraints(char* filename, const VprConstraints& constraints); #endif diff --git a/vpr/src/base/partition.cpp b/vpr/src/base/partition.cpp index 107a8ec2d3a..6e004b86d46 100644 --- a/vpr/src/base/partition.cpp +++ b/vpr/src/base/partition.cpp @@ -1,29 +1,33 @@ #include "partition.h" #include "partition_region.h" #include <algorithm> -#include <vector> +#include <utility> -const std::string Partition::get_name() { +const std::string& Partition::get_name() const{ return name; } void Partition::set_name(std::string _part_name) { - name = _part_name; + name = std::move(_part_name); } -const PartitionRegion Partition::get_part_region() { +const PartitionRegion& Partition::get_part_region() const { + return part_region; +} + +PartitionRegion& Partition::get_mutable_part_region() { return part_region; } void Partition::set_part_region(PartitionRegion pr) { - part_region = pr; + part_region = std::move(pr); } -void print_partition(FILE* fp, Partition part) { - std::string name = part.get_name(); +void print_partition(FILE* fp, const Partition& part) { + const std::string& name = part.get_name(); fprintf(fp, "partition_name: %s\n", name.c_str()); - PartitionRegion pr = part.get_part_region(); + const PartitionRegion& pr = part.get_part_region(); print_partition_region(fp, pr); } diff --git a/vpr/src/base/partition.h b/vpr/src/base/partition.h index 7ef144e22a7..9c8984b8c86 100644 --- a/vpr/src/base/partition.h +++ b/vpr/src/base/partition.h @@ -28,7 +28,7 @@ class Partition { /** * @brief Get the unique name of the partition */ - const std::string get_name(); + const std::string& get_name() const; /** * @brief Set the name of the partition @@ -46,7 +46,12 @@ class Partition { /** * @brief Get the PartitionRegion (union of rectangular regions) for this partition */ - const PartitionRegion get_part_region(); + const PartitionRegion& get_part_region() const; + + /** + * @brief Get the mutable PartitionRegion (union of rectangular regions) for this partition + */ + PartitionRegion& get_mutable_part_region(); private: std::string name; ///< name of the partition, name will be unique across partitions @@ -54,6 +59,6 @@ class Partition { }; ///@brief used to print data from a Partition -void print_partition(FILE* fp, Partition part); +void print_partition(FILE* fp, const Partition& part); #endif /* PARTITION_H */ diff --git a/vpr/src/base/partition_region.cpp b/vpr/src/base/partition_region.cpp index 4e08d58f79c..14961efc919 100644 --- a/vpr/src/base/partition_region.cpp +++ b/vpr/src/base/partition_region.cpp @@ -1,32 +1,34 @@ #include "partition_region.h" #include "region.h" +#include <utility> + void PartitionRegion::add_to_part_region(Region region) { - partition_region.push_back(region); + regions.push_back(region); } -std::vector<Region> PartitionRegion::get_partition_region() { - return partition_region; +const std::vector<Region>& PartitionRegion::get_regions() const { + return regions; } -std::vector<Region> PartitionRegion::get_partition_region() const { - return partition_region; +std::vector<Region>& PartitionRegion::get_mutable_regions() { + return regions; } void PartitionRegion::set_partition_region(std::vector<Region> pr) { - partition_region = pr; + regions = std::move(pr); } -bool PartitionRegion::empty() { - return partition_region.size() == 0; +bool PartitionRegion::empty() const { + return regions.empty(); } -bool PartitionRegion::is_loc_in_part_reg(t_pl_loc loc) { +bool PartitionRegion::is_loc_in_part_reg(const t_pl_loc& loc) const { bool is_in_pr = false; - for (unsigned int i = 0; i < partition_region.size(); i++) { - is_in_pr = partition_region[i].is_loc_in_reg(loc); - if (is_in_pr == true) { + for (const auto & region : regions) { + is_in_pr = region.is_loc_in_reg(loc); + if (is_in_pr) { break; } } @@ -41,12 +43,13 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR * Rectangles are not merged even if it would be possible */ PartitionRegion pr; - Region intersect_region; - for (unsigned int i = 0; i < cluster_pr.partition_region.size(); i++) { - for (unsigned int j = 0; j < new_pr.partition_region.size(); j++) { - intersect_region = intersection(cluster_pr.partition_region[i], new_pr.partition_region[j]); + auto& pr_regions = pr.get_mutable_regions(); + + for (const auto& cluster_region : cluster_pr.get_regions()) { + for (const auto& new_region : new_pr.get_regions()) { + Region intersect_region = intersection(cluster_region, new_region); if (!intersect_region.empty()) { - pr.partition_region.push_back(intersect_region); + pr_regions.push_back(intersect_region); } } } @@ -55,27 +58,29 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR } void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr) { - Region intersect_region; std::vector<Region> int_regions; - for (unsigned int i = 0; i < cluster_pr.partition_region.size(); i++) { - for (unsigned int j = 0; j < new_pr.partition_region.size(); j++) { - intersect_region = intersection(cluster_pr.partition_region[i], new_pr.partition_region[j]); + + // now that we know PartitionRegions are compatible, look for overlapping regions + for (const auto& cluster_region : cluster_pr.get_regions()) { + for (const auto& new_region : new_pr.get_regions()) { + Region intersect_region = intersection(cluster_region, new_region); if (!intersect_region.empty()) { int_regions.push_back(intersect_region); } } } + cluster_pr.set_partition_region(int_regions); } -void print_partition_region(FILE* fp, PartitionRegion pr) { - std::vector<Region> part_region = pr.get_partition_region(); +void print_partition_region(FILE* fp, const PartitionRegion& pr) { + const std::vector<Region>& regions = pr.get_regions(); - int pr_size = part_region.size(); + int pr_size = regions.size(); fprintf(fp, "\tNumber of regions in partition is: %d\n", pr_size); - for (unsigned int i = 0; i < part_region.size(); i++) { - print_region(fp, part_region[i]); + for (const auto & region : regions) { + print_region(fp, region); } } diff --git a/vpr/src/base/partition_region.h b/vpr/src/base/partition_region.h index eb89399191c..db73d2d7f09 100644 --- a/vpr/src/base/partition_region.h +++ b/vpr/src/base/partition_region.h @@ -25,8 +25,12 @@ class PartitionRegion { /** * @brief Return the union of regions */ - std::vector<Region> get_partition_region(); - std::vector<Region> get_partition_region() const; + std::vector<Region>& get_mutable_regions(); + + /** + * @brief Return the union of regions + */ + const std::vector<Region>& get_regions() const; /** * @brief Set the union of regions @@ -36,7 +40,7 @@ class PartitionRegion { /** * @brief Check if the PartitionRegion is empty (meaning there is no constraint on the object the PartitionRegion belongs to) */ - bool empty(); + bool empty() const; /** * @brief Check if the given location is within the legal bounds of the PartitionRegion. @@ -44,30 +48,30 @@ class PartitionRegion { * * @param loc The location to be checked */ - bool is_loc_in_part_reg(t_pl_loc loc); - - /** - * @brief Global friend function that returns the intersection of two PartitionRegions - * - * @param cluster_pr One of the PartitionRegions to be intersected - * @param new_pr One of the PartitionRegions to be intersected - */ - friend PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionRegion& new_pr); - - /** - * @brief Global friend function that updates the PartitionRegion of a cluster with the intersection - * of the cluster PartitionRegion and a new PartitionRegion - * - * @param cluster_pr The cluster PartitionRegion that is to be updated - * @param new_pr The new PartitionRegion that the cluster PartitionRegion will be intersected with - */ - friend void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr); + bool is_loc_in_part_reg(const t_pl_loc& loc) const; private: - std::vector<Region> partition_region; ///< union of rectangular regions that a partition can be placed in + std::vector<Region> regions; ///< union of rectangular regions that a partition can be placed in }; ///@brief used to print data from a PartitionRegion -void print_partition_region(FILE* fp, PartitionRegion pr); +void print_partition_region(FILE* fp, const PartitionRegion& pr); + +/** +* @brief Global function that returns the intersection of two PartitionRegions +* +* @param cluster_pr One of the PartitionRegions to be intersected +* @param new_pr One of the PartitionRegions to be intersected +*/ +PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionRegion& new_pr); + +/** +* @brief Global function that updates the PartitionRegion of a cluster with the intersection +* of the cluster PartitionRegion and a new PartitionRegion +* +* @param cluster_pr The cluster PartitionRegion that is to be updated +* @param new_pr The new PartitionRegion that the cluster PartitionRegion will be intersected with +*/ +void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr); #endif /* PARTITION_REGIONS_H */ diff --git a/vpr/src/base/read_interchange_netlist.cpp b/vpr/src/base/read_interchange_netlist.cpp index d584a1c6893..c29cea8326d 100644 --- a/vpr/src/base/read_interchange_netlist.cpp +++ b/vpr/src/base/read_interchange_netlist.cpp @@ -13,33 +13,33 @@ #ifdef VTR_ENABLE_CAPNPROTO -#include <cmath> -#include <limits> -#include <kj/std/iostream.h> -#include <regex> -#include <string> -#include <unordered_map> -#include <unordered_set> -#include <zlib.h> -#include <iostream> -#include <sstream> - -#include "LogicalNetlist.capnp.h" -#include "capnp/serialize.h" -#include "capnp/serialize-packed.h" - -#include "vtr_assert.h" -#include "vtr_hash.h" -#include "vtr_util.h" -#include "vtr_log.h" -#include "vtr_logic.h" -#include "vtr_time.h" -#include "vtr_digest.h" - -#include "vpr_types.h" -#include "vpr_error.h" -#include "globals.h" -#include "arch_types.h" +# include <cmath> +# include <limits> +# include <kj/std/iostream.h> +# include <regex> +# include <string> +# include <unordered_map> +# include <unordered_set> +# include <zlib.h> +# include <iostream> +# include <sstream> + +# include "LogicalNetlist.capnp.h" +# include "capnp/serialize.h" +# include "capnp/serialize-packed.h" + +# include "vtr_assert.h" +# include "vtr_hash.h" +# include "vtr_util.h" +# include "vtr_log.h" +# include "vtr_logic.h" +# include "vtr_time.h" +# include "vtr_digest.h" + +# include "vpr_types.h" +# include "vpr_error.h" +# include "globals.h" +# include "arch_types.h" struct NetlistReader { public: @@ -524,7 +524,7 @@ struct NetlistReader { } }; -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO AtomNetlist read_interchange_netlist(const char* ic_netlist_file, t_arch& arch) { @@ -572,12 +572,12 @@ AtomNetlist read_interchange_netlist(const char* ic_netlist_file, return netlist; -#else // VTR_ENABLE_CAPNPROTO +#else // VTR_ENABLE_CAPNPROTO // If CAPNPROTO is not enabled, throw an error (void)ic_netlist_file; (void)arch; throw vtr::VtrError("Unable to read interchange netlist with CAPNPROTO disabled", __FILE__, __LINE__); -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO } diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 4edaa0b4a3b..7c63ce5b5ca 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2333,6 +2333,11 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .default_value("1.3") .show_in(argparse::ShowIn::HELP_ONLY); + route_grp.add_argument(args.max_pres_fac, "-max_pres_fac") + .help("Sets the maximum present overuse penalty factor") + .default_value("1000.0") + .show_in(argparse::ShowIn::HELP_ONLY); + route_grp.add_argument(args.acc_fac, "--acc_fac") .help("Specifies the accumulated overuse factor (historical congestion cost factor)") .default_value("1.0") @@ -2572,7 +2577,7 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio " * classic: The classic VPR lookahead (may perform better on un-buffered routing\n" " architectures)\n" " * map: An advanced lookahead which accounts for diverse wire type\n" - " * compressed_map: The algorithm is similar to map lookahead with the exception of saprse sampling of the chip" + " * compressed_map: The algorithm is similar to map lookahead with the exception of sparse sampling of the chip" " to reduce the run-time to build the router lookahead and also its memory footprint\n" " * extended_map: A more advanced and extended lookahead which accounts for a more\n" " exhaustive node sampling method\n" @@ -2874,6 +2879,13 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .default_value("0") .show_in(argparse::ShowIn::HELP_ONLY); + noc_grp.add_argument<double>(args.noc_centroid_weight, "--noc_centroid_weight") + .help( + "Sets the minimum fraction of swaps attempted by the placer that are NoC blocks." + "This value is an integer ranging from 0-100. 0 means NoC blocks will be moved at the same rate as other blocks. 100 means all swaps attempted by the placer are NoC router blocks.") + .default_value("0") + .show_in(argparse::ShowIn::HELP_ONLY); + noc_grp.add_argument<std::string>(args.noc_placement_file_name, "--noc_placement_file_name") .help( "Name of the output file that contains the NoC placement information." @@ -2881,6 +2893,21 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .default_value("vpr_noc_placement_output.txt") .show_in(argparse::ShowIn::HELP_ONLY); +#ifndef NO_SERVER + auto& server_grp = parser.add_argument_group("server options"); + + server_grp.add_argument<bool, ParseOnOff>(args.is_server_mode_enabled, "--server") + .help("Run in server mode." + "Accept client application connection and respond to requests." ) + .action(argparse::Action::STORE_TRUE) + .default_value("off"); + + server_grp.add_argument<int>(args.server_port_num, "--port") + .help("Server port number.") + .default_value("60555") + .show_in(argparse::ShowIn::HELP_ONLY); +#endif /* NO_SERVER */ + return parser; } diff --git a/vpr/src/base/read_options.h b/vpr/src/base/read_options.h index 6f2a5739a4c..4487acd3251 100644 --- a/vpr/src/base/read_options.h +++ b/vpr/src/base/read_options.h @@ -75,6 +75,10 @@ struct t_options { argparse::ArgValue<bool> allow_dangling_combinational_nodes; argparse::ArgValue<bool> terminate_if_timing_fails; + /* Server options */ + argparse::ArgValue<bool> is_server_mode_enabled; + argparse::ArgValue<int> server_port_num; + /* Atom netlist options */ argparse::ArgValue<bool> absorb_buffer_luts; argparse::ArgValue<e_const_gen_inference> const_gen_inference; @@ -157,6 +161,7 @@ struct t_options { argparse::ArgValue<double> noc_latency_weighting; argparse::ArgValue<double> noc_congestion_weighting; argparse::ArgValue<double> noc_swap_percentage; + argparse::ArgValue<double> noc_centroid_weight; argparse::ArgValue<std::string> noc_placement_file_name; /* Timing-driven placement options only */ @@ -182,6 +187,7 @@ struct t_options { argparse::ArgValue<float> first_iter_pres_fac; argparse::ArgValue<float> initial_pres_fac; argparse::ArgValue<float> pres_fac_mult; + argparse::ArgValue<float> max_pres_fac; argparse::ArgValue<float> acc_fac; argparse::ArgValue<int> bb_factor; argparse::ArgValue<e_base_cost_type> base_cost_type; diff --git a/vpr/src/base/region.cpp b/vpr/src/base/region.cpp index 5c38f9ace86..e45266c723c 100644 --- a/vpr/src/base/region.cpp +++ b/vpr/src/base/region.cpp @@ -42,7 +42,7 @@ bool Region::empty() { || layer_num < 0); } -bool Region::is_loc_in_reg(t_pl_loc loc) { +bool Region::is_loc_in_reg(t_pl_loc loc) const { bool is_loc_in_reg = false; int loc_layer_num = loc.layer; @@ -149,7 +149,7 @@ Region intersection(const Region& r1, const Region& r2) { return intersect; } -void print_region(FILE* fp, Region region) { +void print_region(FILE* fp, const Region& region) { const auto region_coord = region.get_region_rect(); const auto region_rect = vtr::Rect<int>(region_coord.xmin, region_coord.ymin, region_coord.xmax, region_coord.ymax); fprintf(fp, "\tRegion: \n"); diff --git a/vpr/src/base/region.h b/vpr/src/base/region.h index 7b1ceec6dda..dfdfd26d20c 100644 --- a/vpr/src/base/region.h +++ b/vpr/src/base/region.h @@ -43,8 +43,7 @@ struct RegionRectCoord { bool operator==(const RegionRectCoord& rhs) const { vtr::Rect<int> lhs_rect(xmin, ymin, xmax, ymax); vtr::Rect<int> rhs_rect(rhs.xmin, rhs.ymin, rhs.xmax, rhs.ymax); - return lhs_rect == rhs_rect - && layer_num == rhs.layer_num; + return (lhs_rect == rhs_rect) && (layer_num == rhs.layer_num); } }; @@ -105,7 +104,7 @@ class Region { * * @param loc The location to be checked */ - bool is_loc_in_reg(t_pl_loc loc); + bool is_loc_in_reg(t_pl_loc loc) const; bool operator==(const Region& reg) const { return (reg.get_region_rect() == this->get_region_rect() @@ -142,7 +141,7 @@ bool do_regions_intersect(Region r1, Region r2); Region intersection(const Region& r1, const Region& r2); ///@brief Used to print data from a Region -void print_region(FILE* fp, Region region); +void print_region(FILE* fp, const Region& region); namespace std { template<> diff --git a/vpr/src/base/setup_noc.cpp b/vpr/src/base/setup_noc.cpp index ad59fb21f10..a2975b9683c 100644 --- a/vpr/src/base/setup_noc.cpp +++ b/vpr/src/base/setup_noc.cpp @@ -33,7 +33,7 @@ void setup_noc(const t_arch& arch) { } else if (noc_router_tiles.size() > arch.noc->router_list.size()) // check whether the noc topology information provided is using all the routers in the FPGA { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The Provided NoC topology information in the architecture file uses less number of routers than what is available in the FPGA device."); - } else if (noc_router_tiles.size() == 0) // case where no physical router tiles were found + } else if (noc_router_tiles.empty()) // case where no physical router tiles were found { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No physical NoC routers were found on the FPGA device. Either the provided name for the physical router tile was incorrect or the FPGA device has no routers."); } @@ -58,7 +58,7 @@ void setup_noc(const t_arch& arch) { return; } -void identify_and_store_noc_router_tile_positions(const DeviceGrid& device_grid, std::vector<t_noc_router_tile_position>& noc_router_tiles, std::string noc_router_tile_name) { +void identify_and_store_noc_router_tile_positions(const DeviceGrid& device_grid, std::vector<t_noc_router_tile_position>& noc_router_tiles, const std::string& noc_router_tile_name) { const int num_layers = device_grid.get_num_layers(); int curr_tile_width; int curr_tile_height; @@ -139,7 +139,7 @@ void create_noc_routers(const t_noc_inf& noc_info, NocStorage* noc_model, std::v double curr_logical_router_position_x; double curr_logical_router_position_y; - // keep track of the index of each physical router (this helps uniqely identify them) + // keep track of the index of each physical router (this helps uniquely identify them) int curr_physical_router_index = 0; // keep track of the ids of the routers that create the case where multiple routers have the same distance to a physical router tile @@ -153,7 +153,7 @@ void create_noc_routers(const t_noc_inf& noc_info, NocStorage* noc_model, std::v // Below we create all the routers within the NoC // - // go through each user desctibed router in the arch file and assign it to a physical router on the FPGA + // go through each user described router in the arch file and assign it to a physical router on the FPGA for (auto logical_router = noc_info.router_list.begin(); logical_router != noc_info.router_list.end(); logical_router++) { // assign the shortest distance to a large value (this is done so that the first distance calculated and we can replace this) shortest_distance = LLONG_MAX; @@ -173,10 +173,10 @@ void create_noc_routers(const t_noc_inf& noc_info, NocStorage* noc_model, std::v error_case_physical_router_index_2 = INVALID_PHYSICAL_ROUTER_INDEX; // determine the physical router tile that is closest to the current user described router in the arch file - for (auto physical_router = noc_router_tiles.begin(); physical_router != noc_router_tiles.end(); physical_router++) { + for (auto& physical_router : noc_router_tiles) { // get the position of the current physical router tile on the FPGA device - curr_physical_router_pos_x = physical_router->tile_centroid_x; - curr_physical_router_pos_y = physical_router->tile_centroid_y; + curr_physical_router_pos_x = physical_router.tile_centroid_x; + curr_physical_router_pos_y = physical_router.tile_centroid_y; // use euclidean distance to calculate the length between the current user described router and the physical router curr_calculated_distance = sqrt(pow(abs(curr_physical_router_pos_x - curr_logical_router_position_x), 2.0) + pow(abs(curr_physical_router_pos_y - curr_logical_router_position_y), 2.0)); @@ -237,14 +237,14 @@ void create_noc_links(const t_noc_inf* noc_info, NocStorage* noc_model) { noc_model->make_room_for_noc_router_link_list(); // go through each router and add its outgoing links to the NoC - for (auto router = noc_info->router_list.begin(); router != noc_info->router_list.end(); router++) { + for (const auto& router : noc_info->router_list) { // get the converted id of the current source router - source_router = noc_model->convert_router_id(router->id); + source_router = noc_model->convert_router_id(router.id); // go through all the routers connected to the current one and add links to the noc - for (auto conn_router_id = router->connection_list.begin(); conn_router_id != router->connection_list.end(); conn_router_id++) { + for (int conn_router_id : router.connection_list) { // get the converted id of the currently connected sink router - sink_router = noc_model->convert_router_id(*conn_router_id); + sink_router = noc_model->convert_router_id(conn_router_id); // add the link to the Noc noc_model->add_link(source_router, sink_router); diff --git a/vpr/src/base/setup_noc.h b/vpr/src/base/setup_noc.h index 23737d1c5b1..62b3ae4d543 100644 --- a/vpr/src/base/setup_noc.h +++ b/vpr/src/base/setup_noc.h @@ -89,7 +89,7 @@ void setup_noc(const t_arch& arch); * tile in the FPGA architecture description * file. */ -void identify_and_store_noc_router_tile_positions(const DeviceGrid& device_grid, std::vector<t_noc_router_tile_position>& list_of_noc_router_tiles, std::string noc_router_tile_name); +void identify_and_store_noc_router_tile_positions(const DeviceGrid& device_grid, std::vector<t_noc_router_tile_position>& list_of_noc_router_tiles, const std::string& noc_router_tile_name); /** * @brief Creates NoC routers and adds them to the NoC model based diff --git a/vpr/src/base/vpr_api.cpp b/vpr/src/base/vpr_api.cpp index 15afc7e4e11..5cbc8506429 100644 --- a/vpr/src/base/vpr_api.cpp +++ b/vpr/src/base/vpr_api.cpp @@ -102,6 +102,11 @@ # include <tbb/global_control.h> #endif +#ifndef NO_SERVER +#include "gateio.h" +#include "serverupdate.h" +#endif /* NO_SERVER */ + /* Local subroutines */ static void free_complex_block_types(); @@ -291,6 +296,7 @@ void vpr_init_with_options(const t_options* options, t_vpr_setup* vpr_setup, t_a &vpr_setup->RouterOpts, &vpr_setup->AnalysisOpts, &vpr_setup->NocOpts, + &vpr_setup->ServerOpts, &vpr_setup->RoutingArch, &vpr_setup->PackerRRGraph, vpr_setup->Segments, @@ -309,6 +315,7 @@ void vpr_init_with_options(const t_options* options, t_vpr_setup* vpr_setup, t_a CheckSetup(vpr_setup->PackerOpts, vpr_setup->PlacerOpts, vpr_setup->RouterOpts, + vpr_setup->ServerOpts, vpr_setup->RoutingArch, vpr_setup->Segments, vpr_setup->Timing, arch->Chans); /* flush any messages to user still in stdout that hasn't gotten displayed */ @@ -392,6 +399,9 @@ bool vpr_flow(t_vpr_setup& vpr_setup, t_arch& arch) { // TODO: Placer still assumes that cluster net list is used - graphics can not work with flat routing yet vpr_init_graphics(vpr_setup, arch, false); + + vpr_init_server(vpr_setup); + { //Place const auto& placement_net_list = (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; bool place_success = vpr_place_flow(placement_net_list, vpr_setup, arch); @@ -460,7 +470,9 @@ void vpr_create_device_grid(const t_vpr_setup& vpr_setup, const t_arch& Arch) { float target_device_utilization = vpr_setup.PackerOpts.target_device_utilization; device_ctx.grid = create_device_grid(vpr_setup.device_layout, Arch.grid_layouts, num_type_instances, target_device_utilization); - VTR_ASSERT_MSG(device_ctx.grid.get_num_layers() <= MAX_NUM_LAYERS, "Number of layers should be less than MAX_NUM_LAYERS. If you need more layers, please increase the value of MAX_NUM_LAYERS in vpr_types.h"); + VTR_ASSERT_MSG(device_ctx.grid.get_num_layers() <= MAX_NUM_LAYERS, + "Number of layers should be less than MAX_NUM_LAYERS. " + "If you need more layers, please increase the value of MAX_NUM_LAYERS in vpr_types.h"); /* *Report on the device @@ -819,6 +831,12 @@ RouteStatus vpr_route_flow(const Netlist<>& net_list, auto& atom_ctx = g_vpr_ctx.atom(); routing_delay_calc = std::make_shared<RoutingDelayCalculator>(atom_ctx.nlist, atom_ctx.lookup, net_delay, is_flat); timing_info = make_setup_hold_timing_info(routing_delay_calc, router_opts.timing_update_type); +#ifndef NO_SERVER + if (g_vpr_ctx.server().gateIO().is_running()) { + g_vpr_ctx.mutable_server().set_timing_info(timing_info); + g_vpr_ctx.mutable_server().set_routing_delay_calc(routing_delay_calc); + } +#endif /* NO_SERVER */ } else { /* No delay calculator (segfault if the code calls into it) and wirelength driven routing */ timing_info = make_constant_timing_info(0); @@ -1065,6 +1083,21 @@ void vpr_init_graphics(const t_vpr_setup& vpr_setup, const t_arch& arch, bool is alloc_draw_structs(&arch); } +void vpr_init_server(const t_vpr_setup& vpr_setup) { +#ifndef NO_SERVER + if (vpr_setup.ServerOpts.is_server_mode_enabled) { + /* Set up a server and its callback to be triggered at 100ms intervals by the timer's timeout event. */ + server::GateIO& gate_io = g_vpr_ctx.mutable_server().mutable_gateIO(); + if (!gate_io.is_running()) { + gate_io.start(vpr_setup.ServerOpts.port_num); + g_timeout_add(/*interval_ms*/ 100, server::update, &application); + } + } +#else + (void)(vpr_setup); +#endif /* NO_SERVER */ +} + void vpr_close_graphics(const t_vpr_setup& /*vpr_setup*/) { /* Close down X Display */ free_draw_structs(); @@ -1272,6 +1305,7 @@ void vpr_setup_vpr(t_options* Options, t_router_opts* RouterOpts, t_analysis_opts* AnalysisOpts, t_noc_opts* NocOpts, + t_server_opts* ServerOpts, t_det_routing_arch* RoutingArch, std::vector<t_lb_type_rr_node>** PackerRRGraph, std::vector<t_segment_inf>& Segments, @@ -1296,6 +1330,7 @@ void vpr_setup_vpr(t_options* Options, RouterOpts, AnalysisOpts, NocOpts, + ServerOpts, RoutingArch, PackerRRGraph, Segments, @@ -1316,11 +1351,12 @@ void vpr_check_arch(const t_arch& Arch) { void vpr_check_setup(const t_packer_opts& PackerOpts, const t_placer_opts& PlacerOpts, const t_router_opts& RouterOpts, + const t_server_opts& ServerOpts, const t_det_routing_arch& RoutingArch, const std::vector<t_segment_inf>& Segments, const t_timing_inf& Timing, const t_chan_width_dist& Chans) { - CheckSetup(PackerOpts, PlacerOpts, RouterOpts, RoutingArch, + CheckSetup(PackerOpts, PlacerOpts, RouterOpts, ServerOpts, RoutingArch, Segments, Timing, Chans); } @@ -1442,7 +1478,7 @@ void vpr_analysis(const Netlist<>& net_list, generate_setup_timing_stats(/*prefix=*/"", *timing_info, *analysis_delay_calc, vpr_setup.AnalysisOpts, vpr_setup.RouterOpts.flat_routing); - //Write the post-syntesis netlist + //Write the post-synthesis netlist if (vpr_setup.AnalysisOpts.gen_post_synthesis_netlist) { netlist_writer(atom_ctx.nlist.netlist_name().c_str(), analysis_delay_calc, vpr_setup.AnalysisOpts); diff --git a/vpr/src/base/vpr_api.h b/vpr/src/base/vpr_api.h index b4c89e25051..89a2a204752 100644 --- a/vpr/src/base/vpr_api.h +++ b/vpr/src/base/vpr_api.h @@ -139,6 +139,8 @@ void vpr_create_device_grid(const t_vpr_setup& vpr_setup, const t_arch& Arch); void vpr_create_rr_graph(t_vpr_setup& vpr_setup, const t_arch& arch, int chan_width, bool is_flat); void vpr_init_graphics(const t_vpr_setup& vpr_setup, const t_arch& arch, bool is_flat); +void vpr_init_server(const t_vpr_setup& vpr_setup); + void vpr_close_graphics(const t_vpr_setup& vpr_setup); void vpr_setup_clock_networks(t_vpr_setup& vpr_setup, const t_arch& Arch); @@ -177,6 +179,7 @@ void vpr_setup_vpr(t_options* Options, t_router_opts* RouterOpts, t_analysis_opts* AnalysisOpts, t_noc_opts* NocOpts, + t_server_opts* ServerOpts, t_det_routing_arch* RoutingArch, std::vector<t_lb_type_rr_node>** PackerRRGraph, std::vector<t_segment_inf>& Segments, @@ -195,6 +198,7 @@ void vpr_check_arch(const t_arch& Arch); void vpr_check_setup(const t_packer_opts& PackerOpts, const t_placer_opts& PlacerOpts, const t_router_opts& RouterOpts, + const t_server_opts& ServerOpts, const t_det_routing_arch& RoutingArch, const std::vector<t_segment_inf>& Segments, const t_timing_inf& Timing, diff --git a/vpr/src/base/vpr_constraints.cpp b/vpr/src/base/vpr_constraints.cpp index 64dcfd310c8..1c23c3e148e 100644 --- a/vpr/src/base/vpr_constraints.cpp +++ b/vpr/src/base/vpr_constraints.cpp @@ -3,7 +3,7 @@ #include "route_constraint.h" #include <regex> -void VprConstraints::add_constrained_atom(const AtomBlockId blk_id, const PartitionId part_id) { +void VprConstraints::add_constrained_atom(AtomBlockId blk_id, PartitionId part_id) { auto got = constrained_atoms.find(blk_id); /** @@ -18,30 +18,32 @@ void VprConstraints::add_constrained_atom(const AtomBlockId blk_id, const Partit } } -PartitionId VprConstraints::get_atom_partition(AtomBlockId blk_id) { - PartitionId part_id; - +PartitionId VprConstraints::get_atom_partition(AtomBlockId blk_id) const { auto got = constrained_atoms.find(blk_id); if (got == constrained_atoms.end()) { - return part_id = PartitionId::INVALID(); ///< atom is not in a partition, i.e. unconstrained + return PartitionId::INVALID(); ///< atom is not in a partition, i.e. unconstrained } else { return got->second; } } -void VprConstraints::add_partition(Partition part) { +void VprConstraints::add_partition(const Partition& part) { partitions.push_back(part); } -Partition VprConstraints::get_partition(PartitionId part_id) { +const Partition& VprConstraints::get_partition(PartitionId part_id) const { + return partitions[part_id]; +} + +Partition& VprConstraints::get_mutable_partition(PartitionId part_id) { return partitions[part_id]; } -std::vector<AtomBlockId> VprConstraints::get_part_atoms(PartitionId part_id) { +std::vector<AtomBlockId> VprConstraints::get_part_atoms(PartitionId part_id) const { std::vector<AtomBlockId> part_atoms; - for (auto& it : constrained_atoms) { + for (const auto& it : constrained_atoms) { if (it.second == part_id) { part_atoms.push_back(it.first); } @@ -50,14 +52,12 @@ std::vector<AtomBlockId> VprConstraints::get_part_atoms(PartitionId part_id) { return part_atoms; } -int VprConstraints::get_num_partitions() { +int VprConstraints::get_num_partitions() const { return partitions.size(); } -PartitionRegion VprConstraints::get_partition_pr(PartitionId part_id) { - PartitionRegion pr; - pr = partitions[part_id].get_part_region(); - return pr; +const PartitionRegion& VprConstraints::get_partition_pr(PartitionId part_id) const { + return partitions[part_id].get_part_region(); } void VprConstraints::add_route_constraint(RouteConstraint rc) { @@ -122,8 +122,11 @@ int VprConstraints::get_route_constraint_num(void) const { return route_constraints_.size(); } -void print_constraints(FILE* fp, VprConstraints constraints) { - Partition temp_part; +PartitionRegion& VprConstraints::get_mutable_partition_pr(PartitionId part_id) { + return partitions[part_id].get_mutable_part_region(); +} + +void print_constraints(FILE* fp, const VprConstraints& constraints) { std::vector<AtomBlockId> atoms; int num_parts = constraints.get_num_partitions(); @@ -133,7 +136,7 @@ void print_constraints(FILE* fp, VprConstraints constraints) { for (int i = 0; i < num_parts; i++) { PartitionId part_id(i); - temp_part = constraints.get_partition(part_id); + const Partition& temp_part = constraints.get_partition(part_id); fprintf(fp, "\npartition_id: %zu\n", size_t(part_id)); print_partition(fp, temp_part); @@ -144,8 +147,7 @@ void print_constraints(FILE* fp, VprConstraints constraints) { fprintf(fp, "\tAtom vector size is %d\n", atoms_size); fprintf(fp, "\tIds of atoms in partition: \n"); - for (unsigned int j = 0; j < atoms.size(); j++) { - AtomBlockId atom_id = atoms[j]; + for (auto atom_id : atoms) { fprintf(fp, "\t#%zu\n", size_t(atom_id)); } } diff --git a/vpr/src/base/vpr_constraints.h b/vpr/src/base/vpr_constraints.h index f9343267a9e..e15c6729fa0 100644 --- a/vpr/src/base/vpr_constraints.h +++ b/vpr/src/base/vpr_constraints.h @@ -44,7 +44,7 @@ class VprConstraints { * @param blk_id The atom being stored * @param part_id The partition the atom is being constrained to */ - void add_constrained_atom(const AtomBlockId blk_id, const PartitionId part_id); + void add_constrained_atom(AtomBlockId blk_id, PartitionId part_id); /** * @brief Return id of the partition the atom belongs to @@ -53,40 +53,54 @@ class VprConstraints { * * @param blk_id The atom for which the partition id is needed */ - PartitionId get_atom_partition(AtomBlockId blk_id); + PartitionId get_atom_partition(AtomBlockId blk_id) const; /** * @brief Store a partition * * @param part The partition being stored */ - void add_partition(Partition part); + void add_partition(const Partition& part); /** * @brief Return a partition * * @param part_id The id of the partition that is wanted */ - Partition get_partition(PartitionId part_id); + const Partition& get_partition(PartitionId part_id) const; + + /** + * @brief Returns a mutable partition + * + * @param part_id The id of the partition that is wanted + */ + Partition& get_mutable_partition(PartitionId part_id); /** * @brief Return all the atoms that belong to a partition * * @param part_id The id of the partition whose atoms are needed */ - std::vector<AtomBlockId> get_part_atoms(PartitionId part_id); + std::vector<AtomBlockId> get_part_atoms(PartitionId part_id) const; /** * @brief Returns the number of partitions in the object */ - int get_num_partitions(); + int get_num_partitions() const; /** * @brief Returns the PartitionRegion belonging to the specified Partition * * @param part_id The id of the partition whose PartitionRegion is needed */ - PartitionRegion get_partition_pr(PartitionId part_id); + const PartitionRegion& get_partition_pr(PartitionId part_id) const; + + /** + * @brief Returns the mutable PartitionRegion belonging to the specified Partition + * + * @param part_id The id of the partition whose PartitionRegion is needed + */ + PartitionRegion& get_mutable_partition_pr(PartitionId part_id); /** * @brief add route constraint @@ -134,6 +148,6 @@ class VprConstraints { }; ///@brief used to print floorplanning constraints data from a VprConstraints object -void print_constraints(FILE* fp, VprConstraints constraints); +void print_constraints(FILE* fp, const VprConstraints& constraints); #endif /* VPR_CONSTRAINTS_H */ diff --git a/vpr/src/base/vpr_constraints_reader.cpp b/vpr/src/base/vpr_constraints_reader.cpp index 1140e5269c6..59dca981527 100644 --- a/vpr/src/base/vpr_constraints_reader.cpp +++ b/vpr/src/base/vpr_constraints_reader.cpp @@ -64,7 +64,7 @@ void load_vpr_constraints_files(const char* read_vpr_constraints_name) { auto& routing_ctx = g_vpr_ctx.mutable_routing(); routing_ctx.constraints = reader.constraints_; - VprConstraints ctx_constraints = floorplanning_ctx.constraints; + const auto& ctx_constraints = floorplanning_ctx.constraints; if (getEchoEnabled() && isEchoFileEnabled(E_ECHO_VPR_CONSTRAINTS)) { echo_constraints(getEchoFileName(E_ECHO_VPR_CONSTRAINTS), ctx_constraints); diff --git a/vpr/src/base/vpr_constraints_serializer.h b/vpr/src/base/vpr_constraints_serializer.h index 13ceca72896..ee66d53407f 100644 --- a/vpr/src/base/vpr_constraints_serializer.h +++ b/vpr/src/base/vpr_constraints_serializer.h @@ -227,8 +227,8 @@ class VprConstraintsSerializer final : public uxsd::VprConstraintsBase<VprConstr virtual inline void finish_partition_add_atom(void*& /*ctx*/) final { PartitionId part_id(num_partitions_); - for (unsigned int i = 0; i < atoms_.size(); i++) { - constraints_.add_constrained_atom(atoms_[i], part_id); + for (auto atom : atoms_) { + constraints_.add_constrained_atom(atom, part_id); } } @@ -255,13 +255,13 @@ class VprConstraintsSerializer final : public uxsd::VprConstraintsBase<VprConstr } virtual inline size_t num_partition_add_region(partition_info& part_info) final { - PartitionRegion pr = part_info.part.get_part_region(); - std::vector<Region> regions = pr.get_partition_region(); + const PartitionRegion& pr = part_info.part.get_part_region(); + const std::vector<Region>& regions = pr.get_regions(); return regions.size(); } virtual inline Region get_partition_add_region(int n, partition_info& part_info) final { - PartitionRegion pr = part_info.part.get_part_region(); - std::vector<Region> regions = pr.get_partition_region(); + const PartitionRegion& pr = part_info.part.get_part_region(); + const std::vector<Region>& regions = pr.get_regions(); return regions[n]; } diff --git a/vpr/src/base/vpr_constraints_writer.cpp b/vpr/src/base/vpr_constraints_writer.cpp index 64fb0b3fad5..ea063294860 100644 --- a/vpr/src/base/vpr_constraints_writer.cpp +++ b/vpr/src/base/vpr_constraints_writer.cpp @@ -99,8 +99,7 @@ void setup_vpr_floorplan_constraints_one_loc(VprConstraints& constraints, int ex * The subtile can also optionally be set in the PartitionRegion, based on the value passed in by the user. */ for (auto blk_id : cluster_ctx.clb_nlist.blocks()) { - std::string part_name; - part_name = cluster_ctx.clb_nlist.block_name(blk_id); + const std::string& part_name = cluster_ctx.clb_nlist.block_name(blk_id); PartitionId partid(part_id); Partition part; @@ -109,7 +108,7 @@ void setup_vpr_floorplan_constraints_one_loc(VprConstraints& constraints, int ex PartitionRegion pr; Region reg; - auto loc = place_ctx.block_locs[blk_id].loc; + const auto& loc = place_ctx.block_locs[blk_id].loc; reg.set_region_rect({loc.x - expand, loc.y - expand, @@ -240,7 +239,7 @@ void setup_vpr_floorplan_constraints_cutpoints(VprConstraints& constraints, int } int num_partitions = 0; - for (auto region : region_atoms) { + for (const auto& region : region_atoms) { Partition part; PartitionId partid(num_partitions); std::string part_name = "Part" + std::to_string(num_partitions); @@ -249,15 +248,15 @@ void setup_vpr_floorplan_constraints_cutpoints(VprConstraints& constraints, int {reg_coord.xmin, reg_coord.ymin, reg_coord.xmax, reg_coord.ymax, reg_coord.layer_num}); constraints.add_partition(part); - for (unsigned int k = 0; k < region.second.size(); k++) { - constraints.add_constrained_atom(region.second[k], partid); + for (auto blk_id : region.second) { + constraints.add_constrained_atom(blk_id, partid); } num_partitions++; } } -void create_partition(Partition& part, std::string part_name, const RegionRectCoord& region_cord) { +void create_partition(Partition& part, const std::string& part_name, const RegionRectCoord& region_cord) { part.set_name(part_name); PartitionRegion part_pr; Region part_region; diff --git a/vpr/src/base/vpr_constraints_writer.h b/vpr/src/base/vpr_constraints_writer.h index 131c842328a..cf6b495be9e 100644 --- a/vpr/src/base/vpr_constraints_writer.h +++ b/vpr/src/base/vpr_constraints_writer.h @@ -45,7 +45,19 @@ void write_vpr_constraints(t_vpr_setup& vpr_setup); */ void write_vpr_floorplan_constraints(const char* file_name, int expand, bool subtile, int horizontal_partitions, int vertical_partitions); -//Generate constraints which lock all blocks to one location. +/** + * @brief Populates VprConstraints by creating a partition for each clustered block. + * All atoms in the clustered block are assigned to the same partition. The created partition + * for each clustered block would include the current location of the clustered block. The + * partition is expanded from four sides by "expand" blocks. + * + * @param constraints The VprConstraints to be populated. + * @param expand The amount the floorplan region will be expanded around the current + * x, y location of the block. Ex. if location is (1, 1) and expand = 1, + * the floorplan region will be from (0, 0) to (2, 2). + * @param subtile Specifies whether to write out the constraint regions with or without + * subtile values. + */ void setup_vpr_floorplan_constraints_one_loc(VprConstraints& constraints, int expand, bool subtile); /* Generate constraints which divide the grid into partition according to the horizontal and vertical partition values passed in @@ -53,6 +65,6 @@ void setup_vpr_floorplan_constraints_one_loc(VprConstraints& constraints, int ex */ void setup_vpr_floorplan_constraints_cutpoints(VprConstraints& constraints, int horizontal_cutpoints, int vertical_cutpoints); -void create_partition(Partition& part, std::string part_name, const RegionRectCoord& region_cord); +void create_partition(Partition& part, const std::string& part_name, const RegionRectCoord& region_cord); #endif /* VPR_SRC_BASE_VPR_CONSTRAINTS_WRITER_H_ */ diff --git a/vpr/src/base/vpr_context.h b/vpr/src/base/vpr_context.h index 448667c7f2e..e68e2168c9b 100644 --- a/vpr/src/base/vpr_context.h +++ b/vpr/src/base/vpr_context.h @@ -32,6 +32,17 @@ #include "noc_storage.h" #include "noc_traffic_flows.h" #include "noc_routing.h" +#include "tatum/report/TimingPath.hpp" + +#ifndef NO_SERVER + +#include "gateio.h" +#include "taskresolver.h" + +class SetupHoldTimingInfo; +class PostClusterDelayCalculator; + +#endif /* NO_SERVER */ /** * @brief A Context is collection of state relating to a particular part of VPR @@ -358,6 +369,12 @@ struct ClusteringHelperContext : public Context { // A vector of unordered_sets of AtomBlockIds that are inside each clustered block [0 .. num_clustered_blocks-1] // unordered_set for faster insertion/deletion during the iterative improvement process of packing vtr::vector<ClusterBlockId, std::unordered_set<AtomBlockId>> atoms_lookup; + + /** Stores the NoC group ID of each atom block. Atom blocks that belong + * to different NoC groups can't be clustered with each other into the + * same clustered block.*/ + vtr::vector<AtomBlockId, NocGroupId> atom_noc_grp_id; + ~ClusteringHelperContext() { delete[] primitives_list; } @@ -523,7 +540,7 @@ struct FloorplanningContext : public Context { /** * @brief State of the Network on Chip (NoC) * - * This should only contain data structures related to descrbing the + * This should only contain data structures related to describing the * NoC within the device. */ struct NocContext : public Context { @@ -560,6 +577,77 @@ struct NocContext : public Context { std::unique_ptr<NocRouting> noc_flows_router; }; +#ifndef NO_SERVER +/** + * @brief State relating to server mode + * + * This should contain only data structures that + * related to server state. + */ +class ServerContext : public Context { + public: + const server::GateIO& gateIO() const { return gate_io_; } + server::GateIO& mutable_gateIO() { return gate_io_; } + + const server::TaskResolver& task_resolver() const { return task_resolver_; } + server::TaskResolver& mutable_task_resolver() { return task_resolver_; } + + void set_crit_paths(std::vector<tatum::TimingPath>&& crit_paths) { crit_paths_ = std::move(crit_paths); } + const std::vector<tatum::TimingPath>& crit_paths() const { return crit_paths_; } + + void clear_crit_path_elements() { crit_path_element_indexes_.clear(); } + void set_crit_path_elements(const std::map<std::size_t, std::set<std::size_t>>& crit_path_element_indexes) { crit_path_element_indexes_ = crit_path_element_indexes; } + std::map<std::size_t, std::set<std::size_t>> crit_path_element_indexes() const { return crit_path_element_indexes_; } + + void set_draw_crit_path_contour(bool draw_crit_path_contour) { draw_crit_path_contour_ = draw_crit_path_contour; } + bool draw_crit_path_contour() const { return draw_crit_path_contour_; } + + void set_timing_info(const std::shared_ptr<SetupHoldTimingInfo>& timing_info) { timing_info_ = timing_info; } + const std::shared_ptr<SetupHoldTimingInfo>& timing_info() const { return timing_info_; } + + void set_routing_delay_calc(const std::shared_ptr<PostClusterDelayCalculator>& routing_delay_calc) { routing_delay_calc_ = routing_delay_calc; } + const std::shared_ptr<PostClusterDelayCalculator>& routing_delay_calc() const { return routing_delay_calc_; } + + private: + server::GateIO gate_io_; + server::TaskResolver task_resolver_; + + /** + * @brief Stores the critical path items. + * + * This value is used when rendering the critical path by the selected index. + * Once calculated upon request, it provides the value for a specific critical path + * to be rendered upon user request. + */ + std::vector<tatum::TimingPath> crit_paths_; + + /** + * @brief Stores the selected critical path elements. + * + * This value is used to render the selected critical path elements upon client request. + * The std::map key plays role of path index, where the element indexes are stored as std::set. + */ + std::map<std::size_t, std::set<std::size_t>> crit_path_element_indexes_; + + /** + * @brief Stores the flag indicating whether to draw the critical path contour. + * + * If the flag is set to true, the non-selected critical path elements will be drawn as a contour, while selected elements will be drawn as usual. + */ + bool draw_crit_path_contour_ = false; + + /** + * @brief Reference to the SetupHoldTimingInfo calculated during the routing stage. + */ + std::shared_ptr<SetupHoldTimingInfo> timing_info_; + + /** + * @brief Reference to the PostClusterDelayCalculator calculated during the routing stage. + */ + std::shared_ptr<PostClusterDelayCalculator> routing_delay_calc_; +}; +#endif /* NO_SERVER */ + /** * @brief This object encapsulates VPR's state. * @@ -643,6 +731,11 @@ class VprContext : public Context { const PackingMultithreadingContext& packing_multithreading() const { return packing_multithreading_; } PackingMultithreadingContext& mutable_packing_multithreading() { return packing_multithreading_; } +#ifndef NO_SERVER + const ServerContext& server() const { return server_; } + ServerContext& mutable_server() { return server_; } +#endif /* NO_SERVER */ + private: DeviceContext device_; @@ -659,6 +752,10 @@ class VprContext : public Context { FloorplanningContext constraints_; NocContext noc_; +#ifndef NO_SERVER + ServerContext server_; +#endif /* NO_SERVER */ + PackingMultithreadingContext packing_multithreading_; }; diff --git a/vpr/src/base/vpr_types.cpp b/vpr/src/base/vpr_types.cpp index ed3fc40f9d0..475a9a30d19 100644 --- a/vpr/src/base/vpr_types.cpp +++ b/vpr/src/base/vpr_types.cpp @@ -123,7 +123,7 @@ t_ext_pin_util_targets& t_ext_pin_util_targets::operator=(t_ext_pin_util_targets return *this; } -t_ext_pin_util t_ext_pin_util_targets::get_pin_util(const std::string& block_type_name) const { +t_ext_pin_util t_ext_pin_util_targets::get_pin_util(std::string_view block_type_name) const { auto itr = overrides_.find(block_type_name); if (itr != overrides_.end()) { return itr->second; @@ -248,7 +248,7 @@ void t_pack_high_fanout_thresholds::set(const std::string& block_type_name, int overrides_[block_type_name] = threshold; } -int t_pack_high_fanout_thresholds::get_threshold(const std::string& block_type_name) const { +int t_pack_high_fanout_thresholds::get_threshold(std::string_view block_type_name) const { auto itr = overrides_.find(block_type_name); if (itr != overrides_.end()) { return itr->second; diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index 3646ee4d03e..25668665553 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -28,6 +28,7 @@ #include <unordered_map> #include <unordered_set> #include <set> +#include <string_view> #include "arch_types.h" #include "atom_netlist_fwd.h" #include "clustered_netlist_fwd.h" @@ -166,11 +167,12 @@ enum class e_cluster_seed { BLEND2 }; -enum e_block_pack_status { +enum class e_block_pack_status { BLK_PASSED, BLK_FAILED_FEASIBLE, BLK_FAILED_ROUTE, BLK_FAILED_FLOORPLANNING, + BLK_FAILED_NOC_GROUP, BLK_STATUS_UNDEFINED }; @@ -195,7 +197,7 @@ class t_ext_pin_util_targets { t_ext_pin_util_targets& operator=(t_ext_pin_util_targets&& other) noexcept; ///@brief Returns the input pin util of the specified block (or default if unspecified) - t_ext_pin_util get_pin_util(const std::string& block_type_name) const; + t_ext_pin_util get_pin_util(std::string_view block_type_name) const; ///@brief Returns a string describing input/output pin utilization targets std::string to_string() const; @@ -215,7 +217,7 @@ class t_ext_pin_util_targets { private: t_ext_pin_util defaults_; - std::map<std::string, t_ext_pin_util> overrides_; + std::map<std::string, t_ext_pin_util, std::less<>> overrides_; }; class t_pack_high_fanout_thresholds { @@ -226,7 +228,7 @@ class t_pack_high_fanout_thresholds { t_pack_high_fanout_thresholds& operator=(t_pack_high_fanout_thresholds&& other) noexcept; ///@brief Returns the high fanout threshold of the specifi ed block - int get_threshold(const std::string& block_type_name) const; + int get_threshold(std::string_view block_type_name) const; ///@brief Returns a string describing high fanout thresholds for different block types std::string to_string() const; @@ -246,7 +248,7 @@ class t_pack_high_fanout_thresholds { private: int default_; - std::map<std::string, int> overrides_; + std::map<std::string, int, std::less<>> overrides_; }; /* these are defined later, but need to declare here because it is used */ @@ -1403,6 +1405,7 @@ struct t_router_opts { float first_iter_pres_fac; float initial_pres_fac; float pres_fac_mult; + float max_pres_fac; float acc_fac; float bend_cost; int max_router_iterations; @@ -1502,6 +1505,7 @@ struct t_noc_opts { double noc_latency_constraints_weighting; ///<controls the significance of meeting the traffic flow constraints range:[0-inf) double noc_latency_weighting; ///<controls the significance of the traffic flow latencies relative to the other NoC placement costs range:[0-inf) double noc_congestion_weighting; ///<controls the significance of the link congestions relative to the other NoC placement costs range:[0-inf) + double noc_centroid_weight; ///<controls how much the centroid location is adjusted towards NoC routers in NoC-biased centroid move:[0, 1] int noc_swap_percentage; ///<controls the number of NoC router block swap attempts relative to the total number of swaps attempted by the placer range:[0-100] std::string noc_placement_file_name; ///<is the name of the output file that contains the NoC placement information }; @@ -1695,7 +1699,7 @@ class t_chan_seg_details { private: //The only unique information about a channel segment is it's start/end - //and length. All other information is shared accross segment types, + //and length. All other information is shared across segment types, //so we use a flyweight to the t_seg_details which defines that info. // //To preserve the illusion of uniqueness we wrap all t_seg_details members @@ -1849,6 +1853,12 @@ struct t_TokenPair { struct t_lb_type_rr_node; /* Defined in pack_types.h */ +/// @brief Stores settings for VPR server mode +struct t_server_opts { + bool is_server_mode_enabled = false; + int port_num = -1; +}; + ///@brief Store settings for VPR struct t_vpr_setup { bool TimingEnabled; ///<Is VPR timing enabled @@ -1862,6 +1872,7 @@ struct t_vpr_setup { t_router_opts RouterOpts; ///<router options t_analysis_opts AnalysisOpts; ///<Analysis options t_noc_opts NocOpts; ///<Options for the NoC + t_server_opts ServerOpts; ///<Server options t_det_routing_arch RoutingArch; ///<routing architecture std::vector<t_lb_type_rr_node>* PackerRRGraph; std::vector<t_segment_inf> Segments; ///<wires in routing architecture diff --git a/vpr/src/draw/draw.cpp b/vpr/src/draw/draw.cpp index 4ee048ea4e3..6b774d53a7b 100644 --- a/vpr/src/draw/draw.cpp +++ b/vpr/src/draw/draw.cpp @@ -254,7 +254,16 @@ static void draw_main_canvas(ezgl::renderer* g) { draw_placement_macros(g); +#ifndef NO_SERVER + if (g_vpr_ctx.server().gateIO().is_running()) { + const ServerContext& server_ctx = g_vpr_ctx.server(); // shortcut + draw_crit_path_elements(server_ctx.crit_paths(), server_ctx.crit_path_element_indexes(), server_ctx.draw_crit_path_contour(), g); + } else { + draw_crit_path(g); + } +#else draw_crit_path(g); +#endif /* NO_SERVER */ draw_logical_connections(g); diff --git a/vpr/src/draw/draw_basic.cpp b/vpr/src/draw/draw_basic.cpp index 8cd2e9b414d..e37de7a84fa 100644 --- a/vpr/src/draw/draw_basic.cpp +++ b/vpr/src/draw/draw_basic.cpp @@ -339,7 +339,7 @@ void draw_congestion(ezgl::renderer* g) { return lhs_cong_ratio < rhs_cong_ratio; }; - std::sort(congested_rr_nodes.begin(), congested_rr_nodes.end(), cmp_ascending_acc_cost); + std::stable_sort(congested_rr_nodes.begin(), congested_rr_nodes.end(), cmp_ascending_acc_cost); if (draw_state->show_congestion == DRAW_CONGESTED_WITH_NETS) { auto rr_node_nets = collect_rr_node_nets(); @@ -660,6 +660,10 @@ void draw_partial_route(const std::vector<RRNodeId>& rr_nodes_to_draw, ezgl::ren RRNodeId prev_node = rr_nodes_to_draw[i - 1]; auto prev_type = rr_graph.node_type(RRNodeId(prev_node)); + if (!is_inter_cluster_node(rr_graph, prev_node) || !is_inter_cluster_node(rr_graph, inode)) { + continue; + } + auto iedge = find_edge(prev_node, inode); auto switch_type = rr_graph.edge_switch(RRNodeId(prev_node), iedge); @@ -773,6 +777,10 @@ bool is_edge_valid_to_draw(RRNodeId current_node, RRNodeId prev_node) { int current_node_layer = rr_graph.node_layer(current_node); int prev_node_layer = rr_graph.node_layer(prev_node); + if (!(is_inter_cluster_node(rr_graph, current_node)) || !(is_inter_cluster_node(rr_graph, prev_node))) { + return false; + } + if (current_node_layer != prev_node_layer) { if (draw_state->cross_layer_display.visible && draw_state->draw_layer_display[current_node_layer].visible && draw_state->draw_layer_display[prev_node_layer].visible) { return true; //if both layers are enabled and cross layer connections are enabled @@ -1103,6 +1111,81 @@ void draw_crit_path(ezgl::renderer* g) { } } +/** + * @brief Draw critical path elements. + * + * This function draws critical path elements based on the provided timing paths + * and indexes map. It is primarily used in server mode, where items are drawn upon request. + */ +void draw_crit_path_elements(const std::vector<tatum::TimingPath>& paths, const std::map<std::size_t, std::set<std::size_t>>& indexes, bool draw_crit_path_contour, ezgl::renderer* g) { + t_draw_state* draw_state = get_draw_state_vars(); + const ezgl::color contour_color{0, 0, 0, 40}; + + auto draw_flyline_timing_edge_helper_fn = [](ezgl::renderer* renderer, const ezgl::color& color, ezgl::line_dash line_style, int line_width, float delay, + const tatum::NodeId& prev_node, const tatum::NodeId& node, bool skip_draw_delays=false) { + renderer->set_color(color); + renderer->set_line_dash(line_style); + renderer->set_line_width(line_width); + draw_flyline_timing_edge(tnode_draw_coord(prev_node), + tnode_draw_coord(node), delay, renderer, skip_draw_delays); + + renderer->set_line_dash(ezgl::line_dash::none); + renderer->set_line_width(0); + }; + + for (const auto& [path_index, element_indexes]: indexes) { + if (path_index < paths.size()) { + const tatum::TimingPath& path = paths[path_index]; + + //Walk through the timing path drawing each edge + tatum::NodeId prev_node; + float prev_arr_time = std::numeric_limits<float>::quiet_NaN(); + int element_counter = 0; + for (const tatum::TimingPathElem& elem : path.data_arrival_path().elements()) { + bool draw_current_element = element_indexes.empty() || element_indexes.find(element_counter) != element_indexes.end(); + + // draw element + tatum::NodeId node = elem.node(); + float arr_time = elem.tag().time(); + + //We draw each 'edge' in a different color, this allows users to identify the stages and + //any routing which corresponds to the edge + // + //We pick colors from the kelly max-contrast list, for long paths there may be repeats + ezgl::color color = kelly_max_contrast_colors[element_counter % kelly_max_contrast_colors.size()]; + + if (prev_node) { + float delay = arr_time - prev_arr_time; + if ((draw_state->show_crit_path == DRAW_CRIT_PATH_FLYLINES) || (draw_state->show_crit_path == DRAW_CRIT_PATH_FLYLINES_DELAYS)) { + if (draw_current_element) { + draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::none, /*line_width*/4, delay, prev_node, node); + } else if (draw_crit_path_contour) { + draw_flyline_timing_edge_helper_fn(g, contour_color, ezgl::line_dash::none, /*line_width*/1, delay, prev_node, node, /*skip_draw_delays*/true); + } + } else { + VTR_ASSERT(draw_state->show_crit_path != DRAW_NO_CRIT_PATH); + + if (draw_current_element) { + //Draw the routed version of the timing edge + draw_routed_timing_edge_connection(prev_node, node, color, g); + + draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::asymmetric_5_3, /*line_width*/3, delay, prev_node, node); + } else if (draw_crit_path_contour) { + draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::asymmetric_5_3, /*line_width*/3, delay, prev_node, node, /*skip_draw_delays*/true); + } + } + } + + prev_node = node; + prev_arr_time = arr_time; + // end draw element + + element_counter++; + } + } + } +} + int get_timing_path_node_layer_num(tatum::NodeId node) { auto& place_ctx = g_vpr_ctx.placement(); auto& atom_ctx = g_vpr_ctx.atom(); @@ -1127,7 +1210,7 @@ bool is_flyline_valid_to_draw(int src_layer, int sink_layer) { } //Draws critical path shown as flylines. -void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr_delay, ezgl::renderer* g) { +void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr_delay, ezgl::renderer* g, bool skip_draw_delays/*=false*/) { g->draw_line(start, end); draw_triangle_along_line(g, start, end, 0.95, 40 * DEFAULT_ARROW_SIZE); draw_triangle_along_line(g, start, end, 0.05, 40 * DEFAULT_ARROW_SIZE); @@ -1135,7 +1218,8 @@ void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr bool draw_delays = (get_draw_state_vars()->show_crit_path == DRAW_CRIT_PATH_FLYLINES_DELAYS || get_draw_state_vars()->show_crit_path - == DRAW_CRIT_PATH_ROUTING_DELAYS); + == DRAW_CRIT_PATH_ROUTING_DELAYS) + && !skip_draw_delays; if (draw_delays) { //Determine the strict bounding box based on the lines start/end float min_x = std::min(start.x, end.x); diff --git a/vpr/src/draw/draw_basic.h b/vpr/src/draw/draw_basic.h index 73082b158ba..250f90802ef 100644 --- a/vpr/src/draw/draw_basic.h +++ b/vpr/src/draw/draw_basic.h @@ -106,6 +106,14 @@ void draw_routing_util(ezgl::renderer* g); */ void draw_crit_path(ezgl::renderer* g); +/** + * @brief Draw critical path elements. + * + * This function draws critical path elements based on the provided timing paths + * and indexes map. It is primarily used in server mode, where items are drawn upon request. + */ +void draw_crit_path_elements(const std::vector<tatum::TimingPath>& paths, const std::map<std::size_t, std::set<std::size_t>>& indexes, bool draw_crit_path_contour, ezgl::renderer* g); + /** * @brief Checks whether a flyline should be drawn or not based on the layer control settings in the UI * @param src_layer @@ -118,7 +126,7 @@ void draw_crit_path(ezgl::renderer* g); bool is_flyline_valid_to_draw(int src_layer, int sink_layer); /* Draws critical path shown as flylines. Takes in start and end coordinates, time delay, & renderer.*/ -void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr_delay, ezgl::renderer* g); +void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr_delay, ezgl::renderer* g, bool skip_draw_delays=false); /* Collects all the drawing locations associated with the timing edge between start and end. * Only traces interconnect edges in detail, and treats all others as flylines. diff --git a/vpr/src/draw/draw_floorplanning.cpp b/vpr/src/draw/draw_floorplanning.cpp index 126bbd63212..8cb32442774 100644 --- a/vpr/src/draw/draw_floorplanning.cpp +++ b/vpr/src/draw/draw_floorplanning.cpp @@ -84,9 +84,9 @@ static void highlight_partition(ezgl::renderer* g, int partitionID, int alpha) { auto constraints = floorplanning_ctx.constraints; t_draw_coords* draw_coords = get_draw_coords_vars(); - auto partition = constraints.get_partition((PartitionId)partitionID); - auto& partition_region = partition.get_part_region(); - auto regions = partition_region.get_partition_region(); + const auto& partition = constraints.get_partition((PartitionId)partitionID); + const auto& partition_region = partition.get_part_region(); + const auto& regions = partition_region.get_regions(); bool name_drawn = false; ezgl::color partition_color = kelly_max_contrast_colors_no_black[partitionID % (kelly_max_contrast_colors_no_black.size())]; @@ -116,13 +116,13 @@ static void highlight_partition(ezgl::renderer* g, int partitionID, int alpha) { if (!name_drawn) { g->set_font_size(10); - std::string partition_name = partition.get_name(); + const std::string& partition_name = partition.get_name(); g->set_color(partition_color, 230); g->draw_text( on_screen_rect.center(), - partition_name.c_str(), + partition_name, on_screen_rect.width() - 10, on_screen_rect.height() - 10); @@ -165,12 +165,11 @@ void draw_constrained_atoms(ezgl::renderer* g) { for (int partitionID = 0; partitionID < num_partitions; partitionID++) { auto atoms = constraints.get_part_atoms((PartitionId)partitionID); - for (size_t j = 0; j < atoms.size(); j++) { - AtomBlockId const& const_atom = atoms[j]; - if (atom_ctx.lookup.atom_pb(const_atom) != nullptr) { - const t_pb* pb = atom_ctx.lookup.atom_pb(const_atom); + for (const auto atom_id : atoms) { + if (atom_ctx.lookup.atom_pb(atom_id) != nullptr) { + const t_pb* pb = atom_ctx.lookup.atom_pb(atom_id); auto color = kelly_max_contrast_colors_no_black[partitionID % (kelly_max_contrast_colors_no_black.size())]; - ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atoms[j]); + ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atom_id); auto type = cluster_ctx.clb_nlist.block_type(clb_index); draw_internal_pb(clb_index, cluster_ctx.clb_nlist.block_pb(clb_index), pb, ezgl::rectangle({0, 0}, 0, 0), type, color, g); @@ -232,7 +231,7 @@ static void draw_internal_pb(const ClusterBlockId clb_index, t_pb* current_pb, c g->draw_text( abs_bbox.center(), - blk_tag.c_str(), + blk_tag, abs_bbox.width() + 10, abs_bbox.height() + 10); @@ -307,7 +306,7 @@ static GtkTreeModel* create_and_fill_model(void) { for (int partitionID = 0; partitionID < num_partitions; partitionID++) { auto atoms = constraints.get_part_atoms((PartitionId)partitionID); - auto partition = constraints.get_partition((PartitionId)partitionID); + const auto& partition = constraints.get_partition((PartitionId)partitionID); std::string partition_name(partition.get_name() + " (" + std::to_string(atoms.size()) + " primitives)"); @@ -318,8 +317,7 @@ static GtkTreeModel* create_and_fill_model(void) { COL_NAME, partition_name.c_str(), -1); - for (size_t j = 0; j < atoms.size(); j++) { - AtomBlockId const& const_atom = atoms[j]; + for (auto const_atom : atoms) { std::string atom_name = (atom_ctx.lookup.atom_pb(const_atom))->name; gtk_tree_store_append(store, &child_iter, &iter); gtk_tree_store_set(store, &child_iter, diff --git a/vpr/src/draw/draw_rr.cpp b/vpr/src/draw/draw_rr.cpp index fa04c388cfe..f17812df9c9 100644 --- a/vpr/src/draw/draw_rr.cpp +++ b/vpr/src/draw/draw_rr.cpp @@ -549,6 +549,9 @@ void draw_rr_pin(RRNodeId inode, const ezgl::color& color, ezgl::renderer* g) { char str[vtr::bufsize]; auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; + if (!is_inter_cluster_node(rr_graph, inode)) { + return; + } int ipin = rr_graph.node_pin_num(RRNodeId(inode)); @@ -581,6 +584,9 @@ void draw_rr_src_sink(RRNodeId inode, ezgl::color color, ezgl::renderer* g) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; + if (!is_inter_cluster_node(rr_graph, inode)) { + return; + } int transparency_factor = get_rr_node_transparency(inode); @@ -816,7 +822,7 @@ void draw_rr_costs(ezgl::renderer* g, const vtr::vector<RRNodeId, float>& rr_cos } return rr_costs[lhs_node] < rr_costs[rhs_node]; }; - std::sort(nodes.begin(), nodes.end(), cmp_ascending_cost); + std::stable_sort(nodes.begin(), nodes.end(), cmp_ascending_cost); for (RRNodeId inode : nodes) { float cost = rr_costs[inode]; diff --git a/vpr/src/draw/gtkcomboboxhelper.cpp b/vpr/src/draw/gtkcomboboxhelper.cpp new file mode 100644 index 00000000000..6da464289ca --- /dev/null +++ b/vpr/src/draw/gtkcomboboxhelper.cpp @@ -0,0 +1,61 @@ +#ifndef NO_GRAPHICS + +#include "gtkcomboboxhelper.h" +#include <gtk/gtk.h> + +/** + * @brief Get the number of items in the combo box. + * + * This function returns the number of items currently present in the combo box. + * + * @param combo_box A pointer to the combo box widget. + * @return The number of items in the combo box. + */ +static gint get_items_count(gpointer combo_box) { + GtkComboBoxText* combo = GTK_COMBO_BOX_TEXT(combo_box); + + // Get the model of the combo box + GtkTreeModel* model = gtk_combo_box_get_model(GTK_COMBO_BOX(combo)); + + // Get the number of items (indexes) in the combo box + gint count = gtk_tree_model_iter_n_children(model, nullptr); + return count; +} + +/** + * @brief Get the index of an item in a combo box by its text. + * + * This function searches for an item with the specified text in the combo box + * and returns its index if found. + * + * @param combo_box A pointer to the combo box widget. + * @param target_item The text of the item to search for. + * @return The index of the item if found, or -1 if not found. + */ +gint get_item_index_by_text(gpointer combo_box, const gchar* target_item) { + gint result_index = -1; + GtkComboBoxText* combo = GTK_COMBO_BOX_TEXT(combo_box); + + // Get the model of the combo box + GtkTreeModel* model = gtk_combo_box_get_model(GTK_COMBO_BOX(combo)); + + gchar* current_item_text = nullptr; + + for (gint index = 0; index < get_items_count(combo_box); ++index) { + GtkTreeIter iter; + + // Check if the index is within bounds + if (gtk_tree_model_iter_nth_child(model, &iter, nullptr, index)) { + gtk_tree_model_get(model, &iter, 0, ¤t_item_text, -1); + if (g_ascii_strcasecmp(target_item, current_item_text) == 0) { + result_index = index; + break; + } + } + } + + g_free(current_item_text); + return result_index; +} + +#endif // NO_GRAPHICS \ No newline at end of file diff --git a/vpr/src/draw/gtkcomboboxhelper.h b/vpr/src/draw/gtkcomboboxhelper.h new file mode 100644 index 00000000000..f7b2c2aa637 --- /dev/null +++ b/vpr/src/draw/gtkcomboboxhelper.h @@ -0,0 +1,22 @@ +#ifndef GTKCOMBOBOXHELPER_H +#define GTKCOMBOBOXHELPER_H + +#ifndef NO_GRAPHICS + +#include <glib.h> + +/** + * @brief Get the index of an item in a combo box by its text. + * + * This function searches for an item with the specified text in the combo box + * and returns its index if found. + * + * @param combo_box A pointer to the combo box widget. + * @param target_item The text of the item to search for. + * @return The index of the item if found, or -1 if not found. + */ +gint get_item_index_by_text(gpointer combo_box, const gchar* target_item); + +#endif // NO_GRAPHICS + +#endif // GTKCOMBOBOXHELPER_H diff --git a/vpr/src/draw/manual_moves.cpp b/vpr/src/draw/manual_moves.cpp index 77551dbe505..3d907550396 100644 --- a/vpr/src/draw/manual_moves.cpp +++ b/vpr/src/draw/manual_moves.cpp @@ -212,7 +212,7 @@ void manual_move_cost_summary_dialog() { gtk_window_set_transient_for((GtkWindow*)dialog, (GtkWindow*)draw_state->manual_moves_state.manual_move_window); //Create elements for the dialog and printing costs to the user. - GtkWidget* title_label = gtk_label_new(NULL); + GtkWidget* title_label = gtk_label_new(nullptr); gtk_label_set_markup((GtkLabel*)title_label, "<b>Move Costs and Outcomes</b>"); std::string delta_cost = "Delta Cost: " + std::to_string(draw_state->manual_moves_state.manual_move_info.delta_cost) + " "; GtkWidget* delta_cost_label = gtk_label_new(delta_cost.c_str()); diff --git a/vpr/src/noc/noc_data_types.h b/vpr/src/noc/noc_data_types.h index 86135ca77cb..a75f387270b 100644 --- a/vpr/src/noc/noc_data_types.h +++ b/vpr/src/noc/noc_data_types.h @@ -24,4 +24,8 @@ struct noc_traffic_flow_id_tag; /** Datatype to index traffic flows within the application */ typedef vtr::StrongId<noc_traffic_flow_id_tag, int> NocTrafficFlowId; +/** Data type to index NoC groups. */ +struct noc_group_id_tag; +typedef vtr::StrongId<noc_group_id_tag, int> NocGroupId; + #endif \ No newline at end of file diff --git a/vpr/src/noc/noc_link.cpp b/vpr/src/noc/noc_link.cpp index 4407642ddae..59619418ca2 100644 --- a/vpr/src/noc/noc_link.cpp +++ b/vpr/src/noc/noc_link.cpp @@ -6,7 +6,7 @@ NocLink::NocLink(NocLinkId link_id, NocRouterId source, NocRouterId sink, double , source_router(source) , sink_router(sink) , bandwidth_usage(0.0) - , bandwidth(bw) { } + , bandwidth(bw) {} // getters NocRouterId NocLink::get_source_router(void) const { diff --git a/vpr/src/noc/noc_link.h b/vpr/src/noc/noc_link.h index 2aa5d55cd67..8f940d269c2 100644 --- a/vpr/src/noc/noc_link.h +++ b/vpr/src/noc/noc_link.h @@ -50,7 +50,7 @@ class NocLink { NocRouterId sink_router; /*!< The router which uses this link as an incoming edge*/ double bandwidth_usage; /*!< Represents the bandwidth of the data being transmitted on the link. Units in bits-per-second(bps)*/ - double bandwidth; /*!< Represents the maximum bits per second that can be transmitted over the link without causing congestion*/ + double bandwidth; /*!< Represents the maximum bits per second that can be transmitted over the link without causing congestion*/ public: NocLink(NocLinkId link_id, NocRouterId source_router, NocRouterId sink_router, double bw); @@ -135,7 +135,6 @@ class NocLink { */ void set_bandwidth(double new_bandwidth); - /** * @brief Returns the unique link ID. The ID can be used to index * vtr::vector<NoCLinkId, ...> instances. diff --git a/vpr/src/noc/noc_storage.cpp b/vpr/src/noc/noc_storage.cpp index 8438838c1f9..f4b0c1827ed 100644 --- a/vpr/src/noc/noc_storage.cpp +++ b/vpr/src/noc/noc_storage.cpp @@ -56,7 +56,7 @@ const NocLink& NocStorage::get_single_noc_link(NocLinkId id) const { return link_storage[id]; } -NocLinkId NocStorage::get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const { +NocLinkId NocStorage::get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const { NocLinkId link_id = NocLinkId::INVALID(); for (const auto& link : link_storage) { diff --git a/vpr/src/noc/noc_storage.h b/vpr/src/noc/noc_storage.h index 637d9f52126..022471c21b1 100644 --- a/vpr/src/noc/noc_storage.h +++ b/vpr/src/noc/noc_storage.h @@ -282,7 +282,7 @@ class NocStorage { * to the destination router. NocLinkId::INVALID() is such a link is not * found. */ - NocLinkId get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const; + NocLinkId get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const; /** * @brief Given a unique link identifier, get the corresponding link diff --git a/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp b/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp index b785d2c4da6..07bd53be7ce 100644 --- a/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp +++ b/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp @@ -76,7 +76,12 @@ void read_xml_noc_traffic_flows_file(const char* noc_flows_file) { return; } -void process_single_flow(pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, const std::vector<ClusterBlockId>& cluster_blocks_compatible_with_noc_router_tiles) { +void process_single_flow(pugi::xml_node single_flow_tag, + const pugiutil::loc_data& loc_data, + const ClusteringContext& cluster_ctx, + NocContext& noc_ctx, + t_physical_tile_type_ptr noc_router_tile_type, + const std::vector<ClusterBlockId>& cluster_blocks_compatible_with_noc_router_tiles) { // contains all traffic flows NocTrafficFlows* noc_traffic_flow_storage = &noc_ctx.noc_traffic_flows_storage; @@ -113,7 +118,13 @@ void process_single_flow(pugi::xml_node single_flow_tag, const pugiutil::loc_dat verify_traffic_flow_properties(traffic_flow_bandwidth, max_traffic_flow_latency, traffic_flow_priority, single_flow_tag, loc_data); // The current flow information is legal, so store it - noc_traffic_flow_storage->create_noc_traffic_flow(source_router_module_name, sink_router_module_name, source_router_id, sink_router_id, traffic_flow_bandwidth, max_traffic_flow_latency, traffic_flow_priority); + noc_traffic_flow_storage->create_noc_traffic_flow(source_router_module_name, + sink_router_module_name, + source_router_id, + sink_router_id, + traffic_flow_bandwidth, + max_traffic_flow_latency, + traffic_flow_priority); return; } @@ -169,7 +180,7 @@ int get_traffic_flow_priority(pugi::xml_node single_flow_tag, const pugiutil::lo return traffic_flow_priority; } -void verify_traffic_flow_router_modules(std::string source_router_name, std::string sink_router_name, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data) { +void verify_traffic_flow_router_modules(const std::string& source_router_name, const std::string& sink_router_name, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data) { // check that the source router module name is not empty if (source_router_name == "") { vpr_throw(VPR_ERROR_OTHER, loc_data.filename_c_str(), loc_data.line(single_flow_tag), "Invalid name for the source NoC router module."); @@ -206,7 +217,11 @@ void verify_traffic_flow_properties(double traffic_flow_bandwidth, double max_tr return; } -ClusterBlockId get_router_module_cluster_id(std::string router_module_name, const ClusteringContext& cluster_ctx, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const std::vector<ClusterBlockId>& cluster_blocks_compatible_with_noc_router_tiles) { +ClusterBlockId get_router_module_cluster_id(const std::string& router_module_name, + const ClusteringContext& cluster_ctx, + pugi::xml_node single_flow_tag, + const pugiutil::loc_data& loc_data, + const std::vector<ClusterBlockId>& cluster_blocks_compatible_with_noc_router_tiles) { ClusterBlockId router_module_id = ClusterBlockId::INVALID(); // Given a regex pattern, use it to match a name of a cluster router block within the clustered netlist. If a matching cluster block is found, then return its cluster block id. @@ -226,7 +241,7 @@ ClusterBlockId get_router_module_cluster_id(std::string router_module_name, cons return router_module_id; } -void check_traffic_flow_router_module_type(std::string router_module_name, ClusterBlockId router_module_id, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type) { +void check_traffic_flow_router_module_type(const std::string& router_module_name, ClusterBlockId router_module_id, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type) { // get the logical type of the provided router module t_logical_block_type_ptr router_module_logical_type = cluster_ctx.clb_nlist.block_type(router_module_id); @@ -257,7 +272,7 @@ t_physical_tile_type_ptr get_physical_type_of_noc_router_tile(const DeviceContex physical_noc_router->get_router_layer_position()}); } -bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, std::string noc_flows_file) { +bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, const std::string& noc_flows_file) { bool result = true; // contains the number of all the noc router blocks in the design @@ -269,10 +284,10 @@ bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& no /* * Go through the router subtiles and get the router logical block types the subtiles support. Then determine how many of each router logical block types there are in the clustered netlist. The accumulated sum of all these clusters is the total number of router blocks in the design. */ - for (auto subtile = noc_router_subtiles->begin(); subtile != noc_router_subtiles->end(); subtile++) { - for (auto router_logical_block = subtile->equivalent_sites.begin(); router_logical_block != subtile->equivalent_sites.end(); router_logical_block++) { + for (const auto & noc_router_subtile : *noc_router_subtiles) { + for (auto router_logical_block : noc_router_subtile.equivalent_sites) { // get the number of logical blocks in the design of the current logical block type - number_of_router_blocks_in_design += clustered_netlist_stats.num_blocks_type[(*router_logical_block)->index]; + number_of_router_blocks_in_design += clustered_netlist_stats.num_blocks_type[router_logical_block->index]; } } @@ -299,14 +314,14 @@ std::vector<ClusterBlockId> get_cluster_blocks_compatible_with_noc_router_tiles( // vector to store all the cluster blocks ids that can be placed within a physical NoC router tile on the FPGA std::vector<ClusterBlockId> cluster_blocks_compatible_with_noc_router_tiles; - for (auto cluster_block_id = cluster_netlist_blocks.begin(); cluster_block_id != cluster_netlist_blocks.end(); cluster_block_id++) { + for (auto cluster_blk_id : cluster_netlist_blocks) { // get the logical type of the block - t_logical_block_type_ptr cluster_block_type = cluster_ctx.clb_nlist.block_type(*cluster_block_id); + t_logical_block_type_ptr cluster_block_type = cluster_ctx.clb_nlist.block_type(cluster_blk_id); // check if the current block is compatible with a NoC router tile // if it is, then this block is a NoC outer instantiated by the user in the design, so add it to the vector compatible blocks if (is_tile_compatible(noc_router_tile_type, cluster_block_type)) { - cluster_blocks_compatible_with_noc_router_tiles.push_back(*cluster_block_id); + cluster_blocks_compatible_with_noc_router_tiles.push_back(cluster_blk_id); } } diff --git a/vpr/src/noc/read_xml_noc_traffic_flows_file.h b/vpr/src/noc/read_xml_noc_traffic_flows_file.h index e8005665b3c..55cecc38bc1 100644 --- a/vpr/src/noc/read_xml_noc_traffic_flows_file.h +++ b/vpr/src/noc/read_xml_noc_traffic_flows_file.h @@ -142,7 +142,7 @@ int get_traffic_flow_priority(pugi::xml_node single_flow_tag, const pugiutil::lo * @param loc_data Contains location data about the current line in the xml * file. Passed in for error logging. */ -void verify_traffic_flow_router_modules(std::string source_router_name, std::string sink_router_name, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data); +void verify_traffic_flow_router_modules(const std::string& source_router_name, const std::string& sink_router_name, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data); /** * @brief Ensures the traffic flow's bandwidth, latency constraint and @@ -181,7 +181,11 @@ void verify_traffic_flow_properties(double traffic_flow_bandwidth, double max_tr * @return ClusterBlockId The corresponding router block id of the provided * router module name. */ -ClusterBlockId get_router_module_cluster_id(std::string router_module_name, const ClusteringContext& cluster_ctx, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const std::vector<ClusterBlockId>& cluster_blocks_compatible_with_noc_router_tiles); +ClusterBlockId get_router_module_cluster_id(const std::string& router_module_name, + const ClusteringContext& cluster_ctx, + pugi::xml_node single_flow_tag, + const pugiutil::loc_data& loc_data, + const std::vector<ClusterBlockId>& cluster_blocks_compatible_with_noc_router_tiles); /** * @brief Checks to see whether a given router block is compatible with a NoC @@ -204,7 +208,7 @@ ClusterBlockId get_router_module_cluster_id(std::string router_module_name, cons * FPGA. Used to check if the router block is * compatible with a router tile. */ -void check_traffic_flow_router_module_type(std::string router_module_name, ClusterBlockId router_module_id, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type); +void check_traffic_flow_router_module_type(const std::string& router_module_name, ClusterBlockId router_module_id, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type); /** * @brief Retrieves the physical type of a noc router tile. @@ -237,7 +241,7 @@ t_physical_tile_type_ptr get_physical_type_of_noc_router_tile(const DeviceContex * associated traffic flow. False means there are some router * blocks that do not have a an associated traffic flow. */ -bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, std::string noc_flows_file); +bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, const std::string& noc_flows_file); /** * @brief Goes through the blocks within the clustered netlist and identifies diff --git a/vpr/src/pack/attraction_groups.cpp b/vpr/src/pack/attraction_groups.cpp index 2c70d9d11cd..b8f0351d6a7 100644 --- a/vpr/src/pack/attraction_groups.cpp +++ b/vpr/src/pack/attraction_groups.cpp @@ -1,7 +1,7 @@ #include "attraction_groups.h" AttractionInfo::AttractionInfo(bool attraction_groups_on) { - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); auto& atom_ctx = g_vpr_ctx.atom(); int num_parts = floorplanning_ctx.constraints.get_num_partitions(); @@ -33,7 +33,7 @@ AttractionInfo::AttractionInfo(bool attraction_groups_on) { } void AttractionInfo::create_att_groups_for_overfull_regions() { - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); auto& atom_ctx = g_vpr_ctx.atom(); int num_parts = floorplanning_ctx.constraints.get_num_partitions(); @@ -47,10 +47,10 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { atom_attraction_group.resize(num_atoms); fill(atom_attraction_group.begin(), atom_attraction_group.end(), AttractGroupId::INVALID()); - auto& overfull_regions = floorplanning_ctx.overfull_regions; + const auto& overfull_regions = floorplanning_ctx.overfull_regions; PartitionRegion overfull_regions_pr; - for (unsigned int i = 0; i < overfull_regions.size(); i++) { - overfull_regions_pr.add_to_part_region(overfull_regions[i]); + for (const auto& overfull_region : overfull_regions) { + overfull_regions_pr.add_to_part_region(overfull_region); } /* * Create a PartitionRegion that contains all the overfull regions so that you can @@ -64,8 +64,8 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { for (int ipart = 0; ipart < num_parts; ipart++) { PartitionId partid(ipart); - Partition part = floorplanning_ctx.constraints.get_partition(partid); - auto& pr_regions = part.get_part_region(); + const Partition& part = floorplanning_ctx.constraints.get_partition(partid); + const auto& pr_regions = part.get_part_region(); PartitionRegion intersect_pr; @@ -88,7 +88,7 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { } void AttractionInfo::create_att_groups_for_all_regions() { - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); auto& atom_ctx = g_vpr_ctx.atom(); int num_parts = floorplanning_ctx.constraints.get_num_partitions(); @@ -137,8 +137,8 @@ void AttractionInfo::assign_atom_attraction_ids() { AttractionGroup att_group = attraction_groups[group_id]; - for (unsigned int iatom = 0; iatom < att_group.group_atoms.size(); iatom++) { - atom_attraction_group[att_group.group_atoms[iatom]] = group_id; + for (auto group_atom : att_group.group_atoms) { + atom_attraction_group[group_atom] = group_id; } } } diff --git a/vpr/src/pack/attraction_groups.h b/vpr/src/pack/attraction_groups.h index 109afa667cc..813d6e0fb1b 100644 --- a/vpr/src/pack/attraction_groups.h +++ b/vpr/src/pack/attraction_groups.h @@ -80,7 +80,7 @@ class AttractionInfo { int num_attraction_groups(); - int get_att_group_pulls(); + int get_att_group_pulls() const; void set_att_group_pulls(int num_pulls); @@ -101,7 +101,7 @@ class AttractionInfo { int att_group_pulls = 1; }; -inline int AttractionInfo::get_att_group_pulls() { +inline int AttractionInfo::get_att_group_pulls() const { return att_group_pulls; } diff --git a/vpr/src/pack/cluster.cpp b/vpr/src/pack/cluster.cpp index b19aa4e7f99..47b277f2872 100644 --- a/vpr/src/pack/cluster.cpp +++ b/vpr/src/pack/cluster.cpp @@ -19,7 +19,7 @@ * t_pb: * Represents a clustered instance of a t_pb_graph_node containing netlist primitives * - * t_pb_type and t_pb_graph_node (and related types) describe the targetted FPGA architecture, while t_pb represents + * t_pb_type and t_pb_graph_node (and related types) describe the targeted FPGA architecture, while t_pb represents * the actual clustering of the user netlist. * * For example: @@ -82,7 +82,7 @@ * cluster until a nullptr is returned. So, the number of repeated molecules is changed from 1 to 500, * effectively making the clusterer pack a cluster until a nullptr is returned. */ -#define ATTRACTION_GROUPS_MAX_REPEATED_MOLECULES 500 +static constexpr int ATTRACTION_GROUPS_MAX_REPEATED_MOLECULES = 500; std::map<t_logical_block_type_ptr, size_t> do_clustering(const t_packer_opts& packer_opts, const t_analysis_opts& analysis_opts, @@ -243,6 +243,12 @@ std::map<t_logical_block_type_ptr, size_t> do_clustering(const t_packer_opts& pa * Since some of the primitives might fail legality, this structure temporarily * stores PartitionRegion information while the cluster is packed*/ PartitionRegion temp_cluster_pr; + /* + * Stores the cluster's NoC group ID as more primitives are added to it. + * This is used to check if a candidate primitive is in the same NoC group + * as the atom blocks that have already been added to the primitive. + */ + NocGroupId temp_cluster_noc_grp_id = NocGroupId::INVALID(); start_new_cluster(helper_ctx.cluster_placement_stats, helper_ctx.primitives_list, clb_index, istart, @@ -257,7 +263,8 @@ std::map<t_logical_block_type_ptr, size_t> do_clustering(const t_packer_opts& pa packer_opts.enable_pin_feasibility_filter, balance_block_type_utilization, packer_opts.feasible_block_array_size, - temp_cluster_pr); + temp_cluster_pr, + temp_cluster_noc_grp_id); //initial molecule in cluster has been processed cluster_stats.num_molecules_processed++; @@ -356,6 +363,7 @@ std::map<t_logical_block_type_ptr, size_t> do_clustering(const t_packer_opts& pa router_data, target_ext_pin_util, temp_cluster_pr, + temp_cluster_noc_grp_id, block_pack_status, clustering_data.unclustered_list_head, unclustered_list_head_size, diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index c1170afba63..c1983197209 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -76,29 +76,28 @@ static void echo_clusters(char* filename) { cluster_atoms[clb_index].push_back(atom_blk_id); } - for (auto i = cluster_atoms.begin(); i != cluster_atoms.end(); i++) { - std::string cluster_name; - cluster_name = cluster_ctx.clb_nlist.block_name(i->first); - fprintf(fp, "Cluster %s Id: %zu \n", cluster_name.c_str(), size_t(i->first)); + for (auto& cluster_atom : cluster_atoms) { + const std::string& cluster_name = cluster_ctx.clb_nlist.block_name(cluster_atom.first); + fprintf(fp, "Cluster %s Id: %zu \n", cluster_name.c_str(), size_t(cluster_atom.first)); fprintf(fp, "\tAtoms in cluster: \n"); - int num_atoms = i->second.size(); + int num_atoms = cluster_atom.second.size(); for (auto j = 0; j < num_atoms; j++) { - AtomBlockId atom_id = i->second[j]; + AtomBlockId atom_id = cluster_atom.second[j]; fprintf(fp, "\t %s \n", atom_ctx.nlist.block_name(atom_id).c_str()); } } fprintf(fp, "\nCluster Floorplanning Constraints:\n"); - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); for (ClusterBlockId clb_id : cluster_ctx.clb_nlist.blocks()) { - std::vector<Region> reg = floorplanning_ctx.cluster_constraints[clb_id].get_partition_region(); - if (reg.size() != 0) { + const std::vector<Region>& regions = floorplanning_ctx.cluster_constraints[clb_id].get_regions(); + if (!regions.empty()) { fprintf(fp, "\nRegions in Cluster %zu:\n", size_t(clb_id)); - for (unsigned int i = 0; i < reg.size(); i++) { - print_region(fp, reg[i]); + for (const auto& region : regions) { + print_region(fp, region); } } } @@ -919,34 +918,29 @@ bool cleanup_pb(t_pb* pb) { * Otherwise, it returns the appropriate failed pack status based on which * legality check the molecule failed. */ -enum e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_placement_stats_ptr, - t_pack_molecule* molecule, - t_pb_graph_node** primitives_list, - t_pb* pb, - const int max_models, - const int max_cluster_size, - const ClusterBlockId clb_index, - const int detailed_routing_stage, - t_lb_router_data* router_data, - int verbosity, - bool enable_pin_feasibility_filter, - const int feasible_block_array_size, - t_ext_pin_util max_external_pin_util, - PartitionRegion& temp_cluster_pr) { - int molecule_size, failed_location; - int i; - enum e_block_pack_status block_pack_status; +e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_placement_stats_ptr, + t_pack_molecule* molecule, + t_pb_graph_node** primitives_list, + t_pb* pb, + int max_models, + int max_cluster_size, + ClusterBlockId clb_index, + int detailed_routing_stage, + t_lb_router_data* router_data, + int verbosity, + bool enable_pin_feasibility_filter, + int feasible_block_array_size, + t_ext_pin_util max_external_pin_util, + PartitionRegion& temp_cluster_pr, + NocGroupId& temp_noc_grp_id) { t_pb* parent; t_pb* cur_pb; - auto& atom_ctx = g_vpr_ctx.atom(); + const auto& atom_ctx = g_vpr_ctx.atom(); auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); parent = nullptr; - block_pack_status = BLK_STATUS_UNDEFINED; - - molecule_size = get_array_size_of_molecule(molecule); - failed_location = 0; + const int molecule_size = get_array_size_of_molecule(molecule); if (verbosity > 3) { AtomBlockId root_atom = molecule->atom_block_ids[molecule->root]; @@ -969,62 +963,81 @@ enum e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_pl VTR_LOGV(verbosity > 4, "\t\t\tFAILED Placement Feasibility Filter: Only one long chain per cluster is allowed\n"); //Record the failure of this molecule in the current pb stats record_molecule_failure(molecule, pb); - return BLK_FAILED_FEASIBLE; + return e_block_pack_status::BLK_FAILED_FEASIBLE; } - bool cluster_pr_needs_update = false; bool cluster_pr_update_check = false; //check if every atom in the molecule is legal in the cluster from a floorplanning perspective for (int i_mol = 0; i_mol < molecule_size; i_mol++) { //try to intersect with atom PartitionRegion if atom exists if (molecule->atom_block_ids[i_mol]) { - block_pack_status = atom_cluster_floorplanning_check(molecule->atom_block_ids[i_mol], - clb_index, verbosity, - temp_cluster_pr, - cluster_pr_needs_update); - if (block_pack_status == BLK_FAILED_FLOORPLANNING) { + bool cluster_pr_needs_update = false; + bool block_pack_floorplan_status = atom_cluster_floorplanning_check(molecule->atom_block_ids[i_mol], + clb_index, verbosity, + temp_cluster_pr, + cluster_pr_needs_update); + + if (!block_pack_floorplan_status) { //Record the failure of this molecule in the current pb stats record_molecule_failure(molecule, pb); - return block_pack_status; + return e_block_pack_status::BLK_FAILED_FLOORPLANNING; } - if (cluster_pr_needs_update == true) { + + if (cluster_pr_needs_update) { cluster_pr_update_check = true; } } } - //change status back to undefined before the while loop in case in was changed to BLK_PASSED in the above for loop - block_pack_status = BLK_STATUS_UNDEFINED; + // check if all atoms in the molecule can be added to the cluster without NoC group conflicts + for (int i_mol = 0; i_mol < molecule_size; i_mol++) { + if (molecule->atom_block_ids[i_mol]) { + bool block_pack_noc_grp_status = atom_cluster_noc_group_check(molecule->atom_block_ids[i_mol], + clb_index, verbosity, + temp_noc_grp_id); + + if (!block_pack_noc_grp_status) { + //Record the failure of this molecule in the current pb stats + record_molecule_failure(molecule, pb); + return e_block_pack_status::BLK_FAILED_NOC_GROUP; + } + } + } - while (block_pack_status != BLK_PASSED) { + e_block_pack_status block_pack_status = e_block_pack_status::BLK_STATUS_UNDEFINED; + + while (block_pack_status != e_block_pack_status::BLK_PASSED) { if (get_next_primitive_list(cluster_placement_stats_ptr, molecule, primitives_list)) { - block_pack_status = BLK_PASSED; + block_pack_status = e_block_pack_status::BLK_PASSED; + + int failed_location = 0; - for (i = 0; i < molecule_size && block_pack_status == BLK_PASSED; i++) { - VTR_ASSERT((primitives_list[i] == nullptr) == (!molecule->atom_block_ids[i])); - failed_location = i + 1; + for (int i_mol = 0; i_mol < molecule_size && block_pack_status == e_block_pack_status::BLK_PASSED; i_mol++) { + VTR_ASSERT((primitives_list[i_mol] == nullptr) == (!molecule->atom_block_ids[i_mol])); + failed_location = i_mol + 1; // try place atom block if it exists - if (molecule->atom_block_ids[i]) { - block_pack_status = try_place_atom_block_rec(primitives_list[i], - molecule->atom_block_ids[i], pb, &parent, + if (molecule->atom_block_ids[i_mol]) { + block_pack_status = try_place_atom_block_rec(primitives_list[i_mol], + molecule->atom_block_ids[i_mol], pb, &parent, max_models, max_cluster_size, clb_index, cluster_placement_stats_ptr, molecule, router_data, verbosity, feasible_block_array_size); } } - if (enable_pin_feasibility_filter && block_pack_status == BLK_PASSED) { + if (enable_pin_feasibility_filter && block_pack_status == e_block_pack_status::BLK_PASSED) { /* Check if pin usage is feasible for the current packing assignment */ reset_lookahead_pins_used(pb); try_update_lookahead_pins_used(pb); if (!check_lookahead_pins_used(pb, max_external_pin_util)) { VTR_LOGV(verbosity > 4, "\t\t\tFAILED Pin Feasibility Filter\n"); - block_pack_status = BLK_FAILED_FEASIBLE; + block_pack_status = e_block_pack_status::BLK_FAILED_FEASIBLE; } } - if (block_pack_status == BLK_PASSED) { + + if (block_pack_status == e_block_pack_status::BLK_PASSED) { /* * during the clustering step of `do_clustering`, `detailed_routing_stage` is incremented at each iteration until it a cluster * is correctly generated or `detailed_routing_stage` assumes an invalid value (E_DETAILED_ROUTE_INVALID). @@ -1064,15 +1077,15 @@ enum e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_pl } while (do_detailed_routing_stage && mode_status.is_mode_issue()); } - if (do_detailed_routing_stage && is_routed == false) { + if (do_detailed_routing_stage && !is_routed) { /* Cannot pack */ VTR_LOGV(verbosity > 4, "\t\t\tFAILED Detailed Routing Legality\n"); - block_pack_status = BLK_FAILED_ROUTE; + block_pack_status = e_block_pack_status::BLK_FAILED_ROUTE; } else { /* Pack successful, commit * TODO: SW Engineering note - may want to update cluster stats here too instead of doing it outside */ - VTR_ASSERT(block_pack_status == BLK_PASSED); + VTR_ASSERT(block_pack_status == e_block_pack_status::BLK_PASSED); if (molecule->is_chain()) { /* Chained molecules often take up lots of area and are important, * if a chain is packed in, want to rename logic block to match chain name */ @@ -1099,12 +1112,10 @@ enum e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_pl //update cluster PartitionRegion if atom with floorplanning constraints was added if (cluster_pr_update_check) { floorplanning_ctx.cluster_constraints[clb_index] = temp_cluster_pr; - if (verbosity > 2) { - VTR_LOG("\nUpdated PartitionRegion of cluster %d\n", clb_index); - } + VTR_LOGV(verbosity > 2, "\nUpdated PartitionRegion of cluster %d\n", clb_index); } - for (i = 0; i < molecule_size; i++) { + for (int i = 0; i < molecule_size; i++) { if (molecule->atom_block_ids[i]) { /* invalidate all molecules that share atom block with current molecule */ @@ -1120,13 +1131,13 @@ enum e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_pl } } - if (block_pack_status != BLK_PASSED) { - for (i = 0; i < failed_location; i++) { + if (block_pack_status != e_block_pack_status::BLK_PASSED) { + for (int i = 0; i < failed_location; i++) { if (molecule->atom_block_ids[i]) { remove_atom_from_target(router_data, molecule->atom_block_ids[i]); } } - for (i = 0; i < failed_location; i++) { + for (int i = 0; i < failed_location; i++) { if (molecule->atom_block_ids[i]) { revert_place_atom_block(molecule->atom_block_ids[i], router_data); } @@ -1145,7 +1156,7 @@ enum e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_pl } } else { VTR_LOGV(verbosity > 3, "\t\tFAILED No candidate primitives available\n"); - block_pack_status = BLK_FAILED_FEASIBLE; + block_pack_status = e_block_pack_status::BLK_FAILED_FEASIBLE; break; /* no more candidate primitives available, this molecule will not pack, return fail */ } } @@ -1196,7 +1207,7 @@ enum e_block_pack_status try_place_atom_block_rec(const t_pb_graph_node* pb_grap my_parent = nullptr; - block_pack_status = BLK_PASSED; + block_pack_status = e_block_pack_status::BLK_PASSED; /* Discover parent */ if (pb_graph_node->parent_pb_graph_node != cb->pb_graph_node) { @@ -1254,7 +1265,7 @@ enum e_block_pack_status try_place_atom_block_rec(const t_pb_graph_node* pb_grap * Early exit to flag failure */ if (true == pb_type->parent_mode->disable_packing) { - return BLK_FAILED_FEASIBLE; + return e_block_pack_status::BLK_FAILED_FEASIBLE; } is_primitive = (pb_type->num_modes == 0); @@ -1274,11 +1285,11 @@ enum e_block_pack_status try_place_atom_block_rec(const t_pb_graph_node* pb_grap add_atom_as_target(router_data, blk_id); if (!primitive_feasible(blk_id, pb)) { /* failed location feasibility check, revert pack */ - block_pack_status = BLK_FAILED_FEASIBLE; + block_pack_status = e_block_pack_status::BLK_FAILED_FEASIBLE; } // if this block passed and is part of a chained molecule - if (block_pack_status == BLK_PASSED && molecule->is_chain()) { + if (block_pack_status == e_block_pack_status::BLK_PASSED && molecule->is_chain()) { auto molecule_root_block = molecule->atom_block_ids[molecule->root]; // if this is the root block of the chain molecule check its placmeent feasibility if (blk_id == molecule_root_block) { @@ -1286,14 +1297,14 @@ enum e_block_pack_status try_place_atom_block_rec(const t_pb_graph_node* pb_grap } } - VTR_LOGV(verbosity > 4 && block_pack_status == BLK_PASSED, + VTR_LOGV(verbosity > 4 && block_pack_status == e_block_pack_status::BLK_PASSED, "\t\t\tPlaced atom '%s' (%s) at %s\n", atom_ctx.nlist.block_name(blk_id).c_str(), atom_ctx.nlist.block_model(blk_id)->name, pb->hierarchical_type_name().c_str()); } - if (block_pack_status != BLK_PASSED) { + if (block_pack_status != e_block_pack_status::BLK_PASSED) { free(pb->name); pb->name = nullptr; } @@ -1305,11 +1316,11 @@ enum e_block_pack_status try_place_atom_block_rec(const t_pb_graph_node* pb_grap * If the atom and cluster both have non-empty PartitionRegions, and the intersection * of the PartitionRegions is empty, the atom cannot be packed in the cluster. */ -enum e_block_pack_status atom_cluster_floorplanning_check(const AtomBlockId blk_id, - const ClusterBlockId clb_index, - const int verbosity, - PartitionRegion& temp_cluster_pr, - bool& cluster_pr_needs_update) { +bool atom_cluster_floorplanning_check(AtomBlockId blk_id, + ClusterBlockId clb_index, + int verbosity, + PartitionRegion& temp_cluster_pr, + bool& cluster_pr_needs_update) { auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); /*check if the atom can go in the cluster by checking if the atom and cluster have intersecting PartitionRegions*/ @@ -1318,55 +1329,84 @@ enum e_block_pack_status atom_cluster_floorplanning_check(const AtomBlockId blk_ PartitionId partid; partid = floorplanning_ctx.constraints.get_atom_partition(blk_id); - PartitionRegion atom_pr; - PartitionRegion cluster_pr; - //if the atom does not belong to a partition, it can be put in the cluster //regardless of what the cluster's PartitionRegion is because it has no constraints if (partid == PartitionId::INVALID()) { - if (verbosity > 3) { - VTR_LOG("\t\t\t Intersect: Atom block %d has no floorplanning constraints, passed for cluster %d \n", blk_id, clb_index); - } + VTR_LOGV(verbosity > 3, + "\t\t\t Intersect: Atom block %d has no floorplanning constraints, passed for cluster %d \n", + blk_id, clb_index); cluster_pr_needs_update = false; - return BLK_PASSED; + return true; } else { //get pr of that partition - atom_pr = floorplanning_ctx.constraints.get_partition_pr(partid); + const PartitionRegion& atom_pr = floorplanning_ctx.constraints.get_partition_pr(partid); //intersect it with the pr of the current cluster - cluster_pr = floorplanning_ctx.cluster_constraints[clb_index]; + PartitionRegion cluster_pr = floorplanning_ctx.cluster_constraints[clb_index]; - if (cluster_pr.empty() == true) { + if (cluster_pr.empty()) { temp_cluster_pr = atom_pr; cluster_pr_needs_update = true; - if (verbosity > 3) { - VTR_LOG("\t\t\t Intersect: Atom block %d has floorplanning constraints, passed cluster %d which has empty PR\n", blk_id, clb_index); - } - return BLK_PASSED; + VTR_LOGV(verbosity > 3, + "\t\t\t Intersect: Atom block %d has floorplanning constraints, passed cluster %d which has empty PR\n", + blk_id, clb_index); + return true; } else { //update cluster_pr with the intersection of the cluster's PartitionRegion //and the atom's PartitionRegion update_cluster_part_reg(cluster_pr, atom_pr); } - if (cluster_pr.empty() == true) { - if (verbosity > 3) { - VTR_LOG("\t\t\t Intersect: Atom block %d failed floorplanning check for cluster %d \n", blk_id, clb_index); - } + // At this point, cluster_pr is the intersection of atom_pr and the clusters current pr + if (cluster_pr.empty()) { + VTR_LOGV(verbosity > 3, + "\t\t\t Intersect: Atom block %d failed floorplanning check for cluster %d \n", + blk_id, clb_index); cluster_pr_needs_update = false; - return BLK_FAILED_FLOORPLANNING; + return false; } else { //update the cluster's PartitionRegion with the intersecting PartitionRegion temp_cluster_pr = cluster_pr; cluster_pr_needs_update = true; - if (verbosity > 3) { - VTR_LOG("\t\t\t Intersect: Atom block %d passed cluster %d, cluster PR was updated with intersection result \n", blk_id, clb_index); - } - return BLK_PASSED; + VTR_LOGV(verbosity > 3, + "\t\t\t Intersect: Atom block %d passed cluster %d, cluster PR was updated with intersection result \n", + blk_id, clb_index); + return true; } } } +bool atom_cluster_noc_group_check(AtomBlockId blk_id, + ClusterBlockId clb_index, + int verbosity, + NocGroupId& temp_cluster_noc_grp_id) { + const NocGroupId atom_noc_grp_id = g_vpr_ctx.cl_helper().atom_noc_grp_id[blk_id]; + + if (temp_cluster_noc_grp_id == NocGroupId::INVALID()) { + // the cluster does not have a NoC group + // assign the atom's NoC group to cluster + VTR_LOGV(verbosity > 3, + "\t\t\t NoC Group: Atom block %d passed cluster %d, cluster's NoC group was updated with the atom's group %d\n", + blk_id, clb_index, (size_t)atom_noc_grp_id); + temp_cluster_noc_grp_id = atom_noc_grp_id; + return true; + } else if (temp_cluster_noc_grp_id == atom_noc_grp_id) { + // the cluster has the same NoC group ID as the atom, + // so they are compatible + VTR_LOGV(verbosity > 3, + "\t\t\t NoC Group: Atom block %d passed cluster %d, cluster's NoC group was compatible with the atom's group %d\n", + blk_id, clb_index, (size_t)atom_noc_grp_id); + return true; + } else { + // the cluster belongs to a different NoC group than the atom's group, + // so they are incompatible + VTR_LOGV(verbosity > 3, + "\t\t\t NoC Group: Atom block %d failed NoC group check for cluster %d. Cluster's NoC group: %d, atom's NoC group: %d\n", + blk_id, clb_index, (size_t)temp_cluster_noc_grp_id, size_t(atom_noc_grp_id)); + return false; + } +} + /* Revert trial atom block iblock and free up memory space accordingly */ void revert_place_atom_block(const AtomBlockId blk_id, t_lb_router_data* router_data) { @@ -1502,6 +1542,7 @@ void try_fill_cluster(const t_packer_opts& packer_opts, t_lb_router_data* router_data, t_ext_pin_util target_ext_pin_util, PartitionRegion& temp_cluster_pr, + NocGroupId& temp_noc_grp_id, e_block_pack_status& block_pack_status, t_molecule_link* unclustered_list_head, const int& unclustered_list_head_size, @@ -1524,7 +1565,8 @@ void try_fill_cluster(const t_packer_opts& packer_opts, packer_opts.enable_pin_feasibility_filter, packer_opts.feasible_block_array_size, target_ext_pin_util, - temp_cluster_pr); + temp_cluster_pr, + temp_noc_grp_id); auto blk_id = next_molecule->atom_block_ids[next_molecule->root]; VTR_ASSERT(blk_id); @@ -1532,15 +1574,15 @@ void try_fill_cluster(const t_packer_opts& packer_opts, std::string blk_name = atom_ctx.nlist.block_name(blk_id); const t_model* blk_model = atom_ctx.nlist.block_model(blk_id); - if (block_pack_status != BLK_PASSED) { + if (block_pack_status != e_block_pack_status::BLK_PASSED) { if (packer_opts.pack_verbosity > 2) { - if (block_pack_status == BLK_FAILED_ROUTE) { + if (block_pack_status == e_block_pack_status::BLK_FAILED_ROUTE) { VTR_LOG("\tNO_ROUTE: '%s' (%s)", blk_name.c_str(), blk_model->name); VTR_LOGV(next_molecule->pack_pattern, " molecule %s molecule_size %zu", next_molecule->pack_pattern->name, next_molecule->atom_block_ids.size()); VTR_LOG("\n"); fflush(stdout); - } else if (block_pack_status == BLK_FAILED_FLOORPLANNING) { + } else if (block_pack_status == e_block_pack_status::BLK_FAILED_FLOORPLANNING) { VTR_LOG("\tFAILED_FLOORPLANNING_CONSTRAINTS_CHECK: '%s' (%s)", blk_name.c_str(), blk_model->name); VTR_LOG("\n"); } else { @@ -2036,7 +2078,7 @@ void start_new_cluster(t_cluster_placement_stats* cluster_placement_stats, const int num_models, const int max_cluster_size, const t_arch* arch, - std::string device_layout_name, + const std::string& device_layout_name, std::vector<t_lb_type_rr_node>* lb_type_rr_graphs, t_lb_router_data** router_data, const int detailed_routing_stage, @@ -2046,7 +2088,8 @@ void start_new_cluster(t_cluster_placement_stats* cluster_placement_stats, bool enable_pin_feasibility_filter, bool balance_block_type_utilization, const int feasible_block_array_size, - PartitionRegion& temp_cluster_pr) { + PartitionRegion& temp_cluster_pr, + NocGroupId& temp_noc_grp_id) { /* Given a starting seed block, start_new_cluster determines the next cluster type to use * It expands the FPGA if it cannot find a legal cluster for the atom block */ @@ -2108,7 +2151,7 @@ void start_new_cluster(t_cluster_placement_stats* cluster_placement_stats, *router_data = alloc_and_load_router_data(&lb_type_rr_graphs[type->index], type); //Try packing into each mode - e_block_pack_status pack_result = BLK_STATUS_UNDEFINED; + e_block_pack_status pack_result = e_block_pack_status::BLK_STATUS_UNDEFINED; for (int j = 0; j < type->pb_graph_head->pb_type->num_modes && !success; j++) { pb->mode = j; @@ -2128,9 +2171,10 @@ void start_new_cluster(t_cluster_placement_stats* cluster_placement_stats, enable_pin_feasibility_filter, feasible_block_array_size, FULL_EXTERNAL_PIN_UTIL, - temp_cluster_pr); + temp_cluster_pr, + temp_noc_grp_id); - success = (pack_result == BLK_PASSED); + success = (pack_result == e_block_pack_status::BLK_PASSED); } if (success) { @@ -3432,7 +3476,7 @@ void update_molecule_chain_info(t_pack_molecule* chain_molecule, const t_pb_grap enum e_block_pack_status check_chain_root_placement_feasibility(const t_pb_graph_node* pb_graph_node, const t_pack_molecule* molecule, const AtomBlockId blk_id) { - enum e_block_pack_status block_pack_status = BLK_PASSED; + enum e_block_pack_status block_pack_status = e_block_pack_status::BLK_PASSED; auto& atom_ctx = g_vpr_ctx.atom(); bool is_long_chain = molecule->chain_info->is_long_chain; @@ -3460,19 +3504,19 @@ enum e_block_pack_status check_chain_root_placement_feasibility(const t_pb_graph // the chosen primitive should be a valid starting point for the chain // long chains should only be placed at the top of the chain tieOff = 0 if (pb_graph_node != chain_root_pins[chain_id][0]->parent_node) { - block_pack_status = BLK_FAILED_FEASIBLE; + block_pack_status = e_block_pack_status::BLK_FAILED_FEASIBLE; } // the chain doesn't have an assigned chain_id yet } else { - block_pack_status = BLK_FAILED_FEASIBLE; + block_pack_status = e_block_pack_status::BLK_FAILED_FEASIBLE; for (const auto& chain : chain_root_pins) { - for (size_t tieOff = 0; tieOff < chain.size(); tieOff++) { + for (auto tieOff : chain) { // check if this chosen primitive is one of the possible // starting points for this chain. - if (pb_graph_node == chain[tieOff]->parent_node) { + if (pb_graph_node == tieOff->parent_node) { // this location matches with the one of the dedicated chain // input from outside logic block, therefore it is feasible - block_pack_status = BLK_PASSED; + block_pack_status = e_block_pack_status::BLK_PASSED; break; } // long chains should only be placed at the top of the chain tieOff = 0 @@ -3624,7 +3668,7 @@ void update_le_count(const t_pb* pb, const t_logical_block_type_ptr logic_block_ * This function returns true if the given physical block has * a primitive matching the given blif model and is used */ -bool pb_used_for_blif_model(const t_pb* pb, std::string blif_model_name) { +bool pb_used_for_blif_model(const t_pb* pb, const std::string& blif_model_name) { auto pb_graph_node = pb->pb_graph_node; auto pb_type = pb_graph_node->pb_type; auto mode = &pb_type->modes[pb->mode]; diff --git a/vpr/src/pack/cluster_util.h b/vpr/src/pack/cluster_util.h index 6c05272e1e7..910bb69c932 100644 --- a/vpr/src/pack/cluster_util.h +++ b/vpr/src/pack/cluster_util.h @@ -19,8 +19,8 @@ * @brief This file includes useful structs and functions for building and modifying clustering */ -#define AAPACK_MAX_HIGH_FANOUT_EXPLORE 10 /* For high-fanout nets that are ignored, consider a maximum of this many sinks, must be less than packer_opts.feasible_block_array_size */ -#define AAPACK_MAX_TRANSITIVE_EXPLORE 40 /* When investigating transitive fanout connections in packing, consider a maximum of this many molecules, must be less than packer_opts.feasible_block_array_size */ +constexpr int AAPACK_MAX_HIGH_FANOUT_EXPLORE = 10; /* For high-fanout nets that are ignored, consider a maximum of this many sinks, must be less than packer_opts.feasible_block_array_size */ +constexpr int AAPACK_MAX_TRANSITIVE_EXPLORE = 40; /* When investigating transitive fanout connections in packing, consider a maximum of this many molecules, must be less than packer_opts.feasible_block_array_size */ //Constant allowing all cluster pins to be used const t_ext_pin_util FULL_EXTERNAL_PIN_UTIL(1., 1.); @@ -200,20 +200,21 @@ void rebuild_attraction_groups(AttractionInfo& attraction_groups); void record_molecule_failure(t_pack_molecule* molecule, t_pb* pb); -enum e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_placement_stats_ptr, - t_pack_molecule* molecule, - t_pb_graph_node** primitives_list, - t_pb* pb, - const int max_models, - const int max_cluster_size, - const ClusterBlockId clb_index, - const int detailed_routing_stage, - t_lb_router_data* router_data, - int verbosity, - bool enable_pin_feasibility_filter, - const int feasible_block_array_size, - t_ext_pin_util max_external_pin_util, - PartitionRegion& temp_cluster_pr); +e_block_pack_status try_pack_molecule(t_cluster_placement_stats* cluster_placement_stats_ptr, + t_pack_molecule* molecule, + t_pb_graph_node** primitives_list, + t_pb* pb, + int max_models, + int max_cluster_size, + ClusterBlockId clb_index, + int detailed_routing_stage, + t_lb_router_data* router_data, + int verbosity, + bool enable_pin_feasibility_filter, + int feasible_block_array_size, + t_ext_pin_util max_external_pin_util, + PartitionRegion& temp_cluster_pr, + NocGroupId& temp_noc_grp_id); void try_fill_cluster(const t_packer_opts& packer_opts, t_cluster_placement_stats* cur_cluster_placement_stats_ptr, @@ -237,6 +238,7 @@ void try_fill_cluster(const t_packer_opts& packer_opts, t_lb_router_data* router_data, t_ext_pin_util target_ext_pin_util, PartitionRegion& temp_cluster_pr, + NocGroupId& temp_noc_grp_id, e_block_pack_status& block_pack_status, t_molecule_link* unclustered_list_head, const int& unclustered_list_head_size, @@ -278,11 +280,48 @@ enum e_block_pack_status try_place_atom_block_rec(const t_pb_graph_node* pb_grap int verbosity, const int feasible_block_array_size); -enum e_block_pack_status atom_cluster_floorplanning_check(const AtomBlockId blk_id, - const ClusterBlockId clb_index, - const int verbosity, - PartitionRegion& temp_cluster_pr, - bool& cluster_pr_needs_update); + +/** + * @brief Checks whether an atom block can be added to a clustered block + * without violating floorplanning constraints. It also updates the + * clustered block's floorplanning region by taking the intersection of + * its current region and the floorplanning region of the given atom block. + * + * @param blk_id A unique ID for the candidate atom block to be added to the growing cluster. + * @param clb_index A unique ID for the clustered block that the atom block wants to be added to. + * @param verbosity Controls the detail level of log information printed by this function. + * @param temp_cluster_pr The floorplanning regions of the clustered block. This function may + * update the given region. + * @param cluster_pr_needs_update Indicates whether the floorplanning region of the clustered block + * have updated. + * @return True if adding the given atom block to the clustered block does not violated any + * floorplanning constraints. + */ +bool atom_cluster_floorplanning_check(AtomBlockId blk_id, + ClusterBlockId clb_index, + int verbosity, + PartitionRegion& temp_cluster_pr, + bool& cluster_pr_needs_update); +/** + * @brief Checks if an atom block can be added to a clustered block without + * violating NoC group constraints. For passing this check, either both clustered + * and atom blocks must belong to the same NoC group, or at least one of them should + * not belong to any NoC group. If the atom block is associated with a NoC group while + * the clustered block does not belong to any NoC groups, the NoC group ID of the atom block + * is assigned to the clustered block when the atom is added to it. + * block + * + * @param blk_id A unique ID for the candidate atom block to be added to the growing cluster. + * @param clb_index A unique ID for the clustered block that the atom block wants to be added to. + * @param verbosity Controls the detail level of log information printed by this function. + * @param temp_cluster_noc_grp_id The NoC group ID of the clustered block. This function may update + * this ID. + * @return True if adding the atom block the cluster does not violate NoC group constraints. + */ +bool atom_cluster_noc_group_check(AtomBlockId blk_id, + ClusterBlockId clb_index, + int verbosity, + NocGroupId& temp_cluster_noc_grp_id); void revert_place_atom_block(const AtomBlockId blk_id, t_lb_router_data* router_data); @@ -331,7 +370,7 @@ void start_new_cluster(t_cluster_placement_stats* cluster_placement_stats, const int num_models, const int max_cluster_size, const t_arch* arch, - std::string device_layout_name, + const std::string& device_layout_name, std::vector<t_lb_type_rr_node>* lb_type_rr_graphs, t_lb_router_data** router_data, const int detailed_routing_stage, @@ -341,7 +380,8 @@ void start_new_cluster(t_cluster_placement_stats* cluster_placement_stats, bool enable_pin_feasibility_filter, bool balance_block_type_utilization, const int feasible_block_array_size, - PartitionRegion& temp_cluster_pr); + PartitionRegion& temp_cluster_pr, + NocGroupId& temp_noc_grp_id); t_pack_molecule* get_highest_gain_molecule(t_pb* cur_pb, AttractionInfo& attraction_groups, @@ -442,7 +482,7 @@ t_logical_block_type_ptr identify_logic_block_type(std::map<const t_model*, std: t_pb_type* identify_le_block_type(t_logical_block_type_ptr logic_block_type); -bool pb_used_for_blif_model(const t_pb* pb, std::string blif_model_name); +bool pb_used_for_blif_model(const t_pb* pb, const std::string& blif_model_name); void print_le_count(std::vector<int>& le_count, const t_pb_type* le_pb_type); diff --git a/vpr/src/pack/constraints_report.cpp b/vpr/src/pack/constraints_report.cpp index f75823aefab..2c58ef341a4 100644 --- a/vpr/src/pack/constraints_report.cpp +++ b/vpr/src/pack/constraints_report.cpp @@ -18,12 +18,10 @@ bool floorplan_constraints_regions_overfull() { } t_logical_block_type_ptr bt = cluster_ctx.clb_nlist.block_type(blk_id); - PartitionRegion pr = floorplanning_ctx.cluster_constraints[blk_id]; - std::vector<Region> regions = pr.get_partition_region(); - - for (unsigned int i_reg = 0; i_reg < regions.size(); i_reg++) { - Region current_reg = regions[i_reg]; + const PartitionRegion& pr = floorplanning_ctx.cluster_constraints[blk_id]; + const std::vector<Region>& regions = pr.get_regions(); + for (const auto& current_reg : regions) { auto got = regions_count_info.find(current_reg); if (got == regions_count_info.end()) { diff --git a/vpr/src/pack/noc_aware_cluster_util.cpp b/vpr/src/pack/noc_aware_cluster_util.cpp new file mode 100644 index 00000000000..51319175c12 --- /dev/null +++ b/vpr/src/pack/noc_aware_cluster_util.cpp @@ -0,0 +1,99 @@ + +#include "noc_aware_cluster_util.h" +#include "globals.h" + +#include <queue> + +std::vector<AtomBlockId> find_noc_router_atoms() { + const auto& atom_ctx = g_vpr_ctx.atom(); + + // NoC router atoms are expected to have a specific blif model + const std::string noc_router_blif_model_name = "noc_router_adapter_block"; + + // stores found NoC router atoms + std::vector<AtomBlockId> noc_router_atoms; + + // iterate over all atoms and find those whose blif model matches + for (auto atom_id : atom_ctx.nlist.blocks()) { + const t_model* model = atom_ctx.nlist.block_model(atom_id); + if (noc_router_blif_model_name == model->name) { + noc_router_atoms.push_back(atom_id); + } + } + + return noc_router_atoms; +} + +void update_noc_reachability_partitions(const std::vector<AtomBlockId>& noc_atoms) { + const auto& atom_ctx = g_vpr_ctx.atom(); + auto& cl_helper_ctx = g_vpr_ctx.mutable_cl_helper(); + const auto& high_fanout_thresholds = g_vpr_ctx.cl_helper().high_fanout_thresholds; + const auto& grid = g_vpr_ctx.device().grid; + + t_logical_block_type_ptr logic_block_type = infer_logic_block_type(grid); + const char* logical_block_name = logic_block_type != nullptr ? logic_block_type->name : ""; + const size_t high_fanout_threshold = high_fanout_thresholds.get_threshold(logical_block_name); + + // get the total number of atoms + const size_t n_atoms = atom_ctx.nlist.blocks().size(); + + vtr::vector<AtomBlockId, bool> atom_visited(n_atoms, false); + + cl_helper_ctx.atom_noc_grp_id.resize(n_atoms, NocGroupId::INVALID()); + + int noc_grp_id_cnt = 0; + + /* + * Assume that the atom netlist is represented as an undirected graph + * with all high fanout nets removed. In this graph, we want to find all + * connected components that include at least one NoC router. We start a + * BFS from each NoC router and traverse all nets below the high_fanout_threshold, + * and mark each atom block with a NoC group ID. + */ + + for (auto noc_atom_id : noc_atoms) { + // check if this NoC router has already been visited + if (atom_visited[noc_atom_id]) { + continue; + } + + auto noc_grp_id = (NocGroupId)noc_grp_id_cnt; + noc_grp_id_cnt++; + + std::queue<AtomBlockId> q; + q.push(noc_atom_id); + atom_visited[noc_atom_id] = true; + + while (!q.empty()) { + AtomBlockId current_atom = q.front(); + q.pop(); + + cl_helper_ctx.atom_noc_grp_id[current_atom] = noc_grp_id; + + for(auto pin : atom_ctx.nlist.block_pins(current_atom)) { + AtomNetId net_id = atom_ctx.nlist.pin_net(pin); + size_t net_fanout = atom_ctx.nlist.net_sinks(net_id).size(); + + if (net_fanout >= high_fanout_threshold) { + continue; + } + + AtomBlockId driver_atom_id = atom_ctx.nlist.net_driver_block(net_id); + if (!atom_visited[driver_atom_id]) { + q.push(driver_atom_id); + atom_visited[driver_atom_id] = true; + } + + for (auto sink_pin : atom_ctx.nlist.net_sinks(net_id)) { + AtomBlockId sink_atom_id = atom_ctx.nlist.pin_block(sink_pin); + if (!atom_visited[sink_atom_id]) { + q.push(sink_atom_id); + atom_visited[sink_atom_id] = true; + } + } + + } + } + + } +} \ No newline at end of file diff --git a/vpr/src/pack/noc_aware_cluster_util.h b/vpr/src/pack/noc_aware_cluster_util.h new file mode 100644 index 00000000000..abeb8d8ba95 --- /dev/null +++ b/vpr/src/pack/noc_aware_cluster_util.h @@ -0,0 +1,42 @@ +#ifndef VTR_NOC_AWARE_CLUSTER_UTIL_H +#define VTR_NOC_AWARE_CLUSTER_UTIL_H + +/** + * @file This file includes helper functions used to find NoC groups + * in the atom netlist and assign NoC group IDs to atom blocks. + * + * A NoC group is a set of atom blocks that are reachable from a NoC router + * through low fanout nets. During packing, atom blocks that belong to two different + * NoC group IDs cannot be packed with each other into the same clustered block. + * This prevents atom blocks that belong to two separate NoC-attached modules from + * being packed with each other, and helps with more localized placement of NoC-attached + * modules around their corresponding NoC routers. + * + * For more details refer to the following paper: + * The Road Less Traveled: Congestion-Aware NoC Placement and Packet Routing for FPGAs + */ + +#include <vector> + +#include "vpr_types.h" + +/** + * @brief Iterates over all atom blocks and check whether + * their blif model is the same as a NoC routers. + * + * @return The atom block IDs of the NoC router blocks in the netlist. + */ +std::vector<AtomBlockId> find_noc_router_atoms(); + + +/** + * @brief Runs BFS starting from NoC routers to find all connected + * components that include a NoC router. Each connected component + * containing a NoC router is marked as a NoC group. The NoC group ID + * for each atom block is updated in the global state. + * + * @param noc_atoms The atom block IDs of the NoC router blocks in the netlist. + */ +void update_noc_reachability_partitions(const std::vector<AtomBlockId>& noc_atoms); + +#endif diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index 9fd61587cde..041d48eb879 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -1,10 +1,6 @@ -#include <cstdio> -#include <cstring> #include <unordered_set> #include <unordered_map> -#include <fstream> -#include <cstdlib> -#include <sstream> +#include <queue> #include "vtr_assert.h" #include "vtr_log.h" @@ -15,19 +11,21 @@ #include "read_xml_arch_file.h" #include "globals.h" -#include "atom_netlist.h" #include "prepack.h" #include "pack_types.h" #include "pack.h" -#include "read_blif.h" #include "cluster.h" #include "SetupGrid.h" #include "re_cluster.h" +#include "noc_aware_cluster_util.h" /* #define DUMP_PB_GRAPH 1 */ /* #define DUMP_BLIF_INPUT 1 */ -static bool try_size_device_grid(const t_arch& arch, const std::map<t_logical_block_type_ptr, size_t>& num_type_instances, float target_device_utilization, std::string device_layout_name); +static bool try_size_device_grid(const t_arch& arch, + const std::map<t_logical_block_type_ptr,size_t>& num_type_instances, + float target_device_utilization, + const std::string& device_layout_name); /** * @brief Counts the total number of logic models that the architecture can implement. @@ -128,6 +126,10 @@ bool try_pack(t_packer_opts* packer_opts, int pack_iteration = 1; bool floorplan_regions_overfull = false; + // find all NoC router atoms + auto noc_atoms = find_noc_router_atoms(); + update_noc_reachability_partitions(noc_atoms); + while (true) { free_clustering_data(*packer_opts, clustering_data); @@ -153,7 +155,7 @@ bool try_pack(t_packer_opts* packer_opts, * is not dense enough and there are floorplan constraints, it is presumed that the constraints are the cause * of the floorplan not fitting, so attraction groups are turned on for later iterations. */ - bool floorplan_not_fitting = (floorplan_regions_overfull || g_vpr_ctx.mutable_floorplanning().constraints.get_num_partitions() > 0); + bool floorplan_not_fitting = (floorplan_regions_overfull || g_vpr_ctx.floorplanning().constraints.get_num_partitions() > 0); if (fits_on_device && !floorplan_regions_overfull) { break; //Done @@ -331,7 +333,10 @@ std::unordered_set<AtomNetId> alloc_and_load_is_clock(bool global_clocks) { return (is_clock); } -static bool try_size_device_grid(const t_arch& arch, const std::map<t_logical_block_type_ptr, size_t>& num_type_instances, float target_device_utilization, std::string device_layout_name) { +static bool try_size_device_grid(const t_arch& arch, + const std::map<t_logical_block_type_ptr, size_t>& num_type_instances, + float target_device_utilization, + const std::string& device_layout_name) { auto& device_ctx = g_vpr_ctx.mutable_device(); //Build the device diff --git a/vpr/src/pack/re_cluster.cpp b/vpr/src/pack/re_cluster.cpp index 34e0ada9669..03288dc7322 100644 --- a/vpr/src/pack/re_cluster.cpp +++ b/vpr/src/pack/re_cluster.cpp @@ -16,6 +16,7 @@ bool move_mol_to_new_cluster(t_pack_molecule* molecule, ClusterBlockId old_clb = atom_to_cluster(molecule->atom_block_ids[molecule->root]); int molecule_size = get_array_size_of_molecule(molecule); + NocGroupId temp_noc_grp_id = NocGroupId::INVALID(); PartitionRegion temp_cluster_pr; t_lb_router_data* old_router_data = nullptr; t_lb_router_data* router_data = nullptr; @@ -66,11 +67,20 @@ bool move_mol_to_new_cluster(t_pack_molecule* molecule, verbosity, clustering_data, &router_data, - temp_cluster_pr); + temp_cluster_pr, + temp_noc_grp_id); //Commit or revert the move if (is_created) { commit_mol_move(old_clb, new_clb, during_packing, true); + // Update the clb-->atoms lookup table + helper_ctx.atoms_lookup.resize(helper_ctx.total_clb_num); + for (int i_atom = 0; i_atom < molecule_size; ++i_atom) { + if (molecule->atom_block_ids[i_atom]) { + helper_ctx.atoms_lookup[new_clb].insert(molecule->atom_block_ids[i_atom]); + } + } + VTR_LOGV(verbosity > 4, "Atom:%zu is moved to a new cluster\n", molecule->atom_block_ids[molecule->root]); } else { revert_mol_move(old_clb, molecule, old_router_data, during_packing, clustering_data); @@ -128,7 +138,7 @@ bool move_mol_to_existing_cluster(t_pack_molecule* molecule, //Add the atom to the new cluster t_lb_router_data* new_router_data = nullptr; - is_added = pack_mol_in_existing_cluster(molecule, molecule_size, new_clb, new_clb_atoms, during_packing, false, clustering_data, new_router_data); + is_added = pack_mol_in_existing_cluster(molecule, molecule_size, new_clb, new_clb_atoms, during_packing, clustering_data, new_router_data); //Commit or revert the move if (is_added) { @@ -157,6 +167,8 @@ bool swap_two_molecules(t_pack_molecule* molecule_1, bool during_packing, int verbosity, t_clustering_data& clustering_data) { + auto& cluster_ctx = g_vpr_ctx.mutable_clustering(); + //define local variables PartitionRegion temp_cluster_pr_1, temp_cluster_pr_2; @@ -193,6 +205,11 @@ bool swap_two_molecules(t_pack_molecule* molecule_1, return false; } + t_pb* clb_pb_1 = cluster_ctx.clb_nlist.block_pb(clb_1); + std::string clb_pb_1_name = (std::string)clb_pb_1->name; + t_pb* clb_pb_2 = cluster_ctx.clb_nlist.block_pb(clb_2); + std::string clb_pb_2_name = (std::string)clb_pb_2->name; + //remove the molecule from its current cluster remove_mol_from_cluster(molecule_1, molecule_1_size, clb_1, clb_1_atoms, false, old_1_router_data); commit_mol_removal(molecule_1, molecule_1_size, clb_1, during_packing, old_1_router_data, clustering_data); @@ -201,31 +218,43 @@ bool swap_two_molecules(t_pack_molecule* molecule_1, commit_mol_removal(molecule_2, molecule_2_size, clb_2, during_packing, old_2_router_data, clustering_data); //Add the atom to the new cluster - mol_1_success = pack_mol_in_existing_cluster(molecule_1, molecule_1_size, clb_2, clb_2_atoms, during_packing, true, clustering_data, old_2_router_data); + mol_1_success = pack_mol_in_existing_cluster(molecule_1, molecule_1_size, clb_2, clb_2_atoms, during_packing, clustering_data, old_2_router_data); if (!mol_1_success) { - mol_1_success = pack_mol_in_existing_cluster(molecule_1, molecule_1_size, clb_1, clb_1_atoms, during_packing, true, clustering_data, old_1_router_data); - mol_2_success = pack_mol_in_existing_cluster(molecule_2, molecule_2_size, clb_2, clb_2_atoms, during_packing, true, clustering_data, old_2_router_data); + mol_1_success = pack_mol_in_existing_cluster(molecule_1, molecule_1_size, clb_1, clb_1_atoms, during_packing, clustering_data, old_1_router_data); + mol_2_success = pack_mol_in_existing_cluster(molecule_2, molecule_2_size, clb_2, clb_2_atoms, during_packing, clustering_data, old_2_router_data); VTR_ASSERT(mol_1_success && mol_2_success); free_router_data(old_1_router_data); free_router_data(old_2_router_data); old_1_router_data = nullptr; old_2_router_data = nullptr; + + free(clb_pb_1->name); + cluster_ctx.clb_nlist.block_pb(clb_1)->name = vtr::strdup(clb_pb_1_name.c_str()); + free(clb_pb_2->name); + cluster_ctx.clb_nlist.block_pb(clb_2)->name = vtr::strdup(clb_pb_2_name.c_str()); + return false; } - mol_2_success = pack_mol_in_existing_cluster(molecule_2, molecule_2_size, clb_1, clb_1_atoms, during_packing, true, clustering_data, old_1_router_data); + mol_2_success = pack_mol_in_existing_cluster(molecule_2, molecule_2_size, clb_1, clb_1_atoms, during_packing, clustering_data, old_1_router_data); if (!mol_2_success) { remove_mol_from_cluster(molecule_1, molecule_1_size, clb_2, clb_2_atoms, true, old_2_router_data); commit_mol_removal(molecule_1, molecule_1_size, clb_2, during_packing, old_2_router_data, clustering_data); - mol_1_success = pack_mol_in_existing_cluster(molecule_1, molecule_1_size, clb_1, clb_1_atoms, during_packing, true, clustering_data, old_1_router_data); - mol_2_success = pack_mol_in_existing_cluster(molecule_2, molecule_2_size, clb_2, clb_2_atoms, during_packing, true, clustering_data, old_2_router_data); + mol_1_success = pack_mol_in_existing_cluster(molecule_1, molecule_1_size, clb_1, clb_1_atoms, during_packing, clustering_data, old_1_router_data); + mol_2_success = pack_mol_in_existing_cluster(molecule_2, molecule_2_size, clb_2, clb_2_atoms, during_packing, clustering_data, old_2_router_data); VTR_ASSERT(mol_1_success && mol_2_success); free_router_data(old_1_router_data); free_router_data(old_2_router_data); old_1_router_data = nullptr; old_2_router_data = nullptr; + + free(clb_pb_1->name); + cluster_ctx.clb_nlist.block_pb(clb_1)->name = vtr::strdup(clb_pb_1_name.c_str()); + free(clb_pb_2->name); + cluster_ctx.clb_nlist.block_pb(clb_2)->name = vtr::strdup(clb_pb_2_name.c_str()); + return false; } @@ -242,6 +271,12 @@ bool swap_two_molecules(t_pack_molecule* molecule_1, free_router_data(old_2_router_data); old_1_router_data = nullptr; old_2_router_data = nullptr; + + free(clb_pb_1->name); + cluster_ctx.clb_nlist.block_pb(clb_1)->name = vtr::strdup(clb_pb_1_name.c_str()); + free(clb_pb_2->name); + cluster_ctx.clb_nlist.block_pb(clb_2)->name = vtr::strdup(clb_pb_2_name.c_str()); + return true; } #endif diff --git a/vpr/src/pack/re_cluster_util.cpp b/vpr/src/pack/re_cluster_util.cpp index b3c1d2c2fa9..a119408a14f 100644 --- a/vpr/src/pack/re_cluster_util.cpp +++ b/vpr/src/pack/re_cluster_util.cpp @@ -1,6 +1,5 @@ #include "re_cluster_util.h" -#include "vpr_context.h" #include "clustered_netlist_utils.h" #include "cluster_util.h" #include "cluster_router.h" @@ -8,16 +7,15 @@ #include "place_macro.h" #include "initial_placement.h" #include "read_netlist.h" -#include <cstring> -//The name suffix of the new block (if exists) + +// The name suffix of the new block (if exists) +// This suffex is useful in preventing duplicate high-level cluster block names const char* name_suffix = "_m"; /******************* Static Functions ********************/ -//static void set_atom_pin_mapping(const ClusteredNetlist& clb_nlist, const AtomBlockId atom_blk, const AtomPortId atom_port, const t_pb_graph_pin* gpin); static void load_atom_index_for_pb_pin(t_pb_routes& pb_route, int ipin); static void load_internal_to_block_net_nums(const t_logical_block_type_ptr type, t_pb_routes& pb_route); -//static bool count_children_pbs(const t_pb* pb); static void fix_atom_pin_mapping(const AtomBlockId blk); static void fix_cluster_pins_after_moving(const ClusterBlockId clb_index); @@ -42,6 +40,7 @@ static void update_cluster_pb_stats(const t_pack_molecule* molecule, int molecule_size, ClusterBlockId clb_index, bool is_added); + /***************** API functions ***********************/ ClusterBlockId atom_to_cluster(const AtomBlockId& atom) { auto& atom_ctx = g_vpr_ctx.atom(); @@ -66,19 +65,18 @@ void remove_mol_from_cluster(const t_pack_molecule* molecule, t_lb_router_data*& router_data) { auto& helper_ctx = g_vpr_ctx.mutable_cl_helper(); - //re-build router_data structure for this cluster - if (!router_data_ready) - router_data = lb_load_router_data(helper_ctx.lb_type_rr_graphs, old_clb, old_clb_atoms); - - //remove atom from router_data for (int i_atom = 0; i_atom < molecule_size; i_atom++) { if (molecule->atom_block_ids[i_atom]) { - remove_atom_from_target(router_data, molecule->atom_block_ids[i_atom]); auto it = old_clb_atoms->find(molecule->atom_block_ids[i_atom]); if (it != old_clb_atoms->end()) old_clb_atoms->erase(molecule->atom_block_ids[i_atom]); } } + + //re-build router_data structure for this cluster + if (!router_data_ready) + router_data = lb_load_router_data(helper_ctx.lb_type_rr_graphs, old_clb, old_clb_atoms); + update_cluster_pb_stats(molecule, molecule_size, old_clb, false); } @@ -88,19 +86,20 @@ void commit_mol_move(const ClusterBlockId& old_clb, bool new_clb_created) { auto& device_ctx = g_vpr_ctx.device(); - //Place the new cluster if this function called during placement (after the initial placement is done) + //place the new cluster if this function called during placement (after the initial placement is done) if (!during_packing && new_clb_created) { int imacro; g_vpr_ctx.mutable_placement().block_locs.resize(g_vpr_ctx.placement().block_locs.size() + 1); get_imacro_from_iblk(&imacro, old_clb, g_vpr_ctx.placement().pl_macros); set_imacro_for_iblk(&imacro, new_clb); - place_one_block(new_clb, device_ctx.pad_loc_type, NULL, NULL); + place_one_block(new_clb, device_ctx.pad_loc_type, nullptr, nullptr); } } t_lb_router_data* lb_load_router_data(std::vector<t_lb_type_rr_node>* lb_type_rr_graphs, const ClusterBlockId& clb_index, const std::unordered_set<AtomBlockId>* clb_atoms) { //build data structures used by intra-logic block router auto& cluster_ctx = g_vpr_ctx.clustering(); + auto& atom_ctx = g_vpr_ctx.atom(); auto block_type = cluster_ctx.clb_nlist.block_type(clb_index); t_lb_router_data* router_data = alloc_and_load_router_data(&lb_type_rr_graphs[block_type->index], block_type); @@ -110,21 +109,27 @@ t_lb_router_data* lb_load_router_data(std::vector<t_lb_type_rr_node>* lb_type_rr for (auto atom_id : *clb_atoms) { add_atom_as_target(router_data, atom_id); + const t_pb* pb = atom_ctx.lookup.atom_pb(atom_id); + while (pb) { + set_reset_pb_modes(router_data, pb, true); + pb = pb->parent_pb; + } } return (router_data); } bool start_new_cluster_for_mol(t_pack_molecule* molecule, const t_logical_block_type_ptr& type, - const int mode, - const int feasible_block_array_size, + const int& mode, + const int& feasible_block_array_size, bool enable_pin_feasibility_filter, ClusterBlockId clb_index, bool during_packing, int verbosity, t_clustering_data& clustering_data, t_lb_router_data** router_data, - PartitionRegion& temp_cluster_pr) { + PartitionRegion& temp_cluster_pr, + NocGroupId& temp_cluster_noc_grp_id) { auto& atom_ctx = g_vpr_ctx.atom(); auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); auto& helper_ctx = g_vpr_ctx.mutable_cl_helper(); @@ -146,12 +151,13 @@ bool start_new_cluster_for_mol(t_pack_molecule* molecule, *router_data = alloc_and_load_router_data(&(helper_ctx.lb_type_rr_graphs[type->index]), type); - e_block_pack_status pack_result = BLK_STATUS_UNDEFINED; + e_block_pack_status pack_result = e_block_pack_status::BLK_STATUS_UNDEFINED; pb->mode = mode; - reset_cluster_placement_stats(&(helper_ctx.cluster_placement_stats[type->index])); + t_cluster_placement_stats* cluster_placement_stats = &(helper_ctx.cluster_placement_stats[type->index]); + reset_cluster_placement_stats(cluster_placement_stats); set_mode_cluster_placement_stats(pb->pb_graph_node, mode); - pack_result = try_pack_molecule(&(helper_ctx.cluster_placement_stats[type->index]), + pack_result = try_pack_molecule(cluster_placement_stats, molecule, helper_ctx.primitives_list, pb, @@ -164,10 +170,11 @@ bool start_new_cluster_for_mol(t_pack_molecule* molecule, enable_pin_feasibility_filter, 0, FULL_EXTERNAL_PIN_UTIL, - temp_cluster_pr); + temp_cluster_pr, + temp_cluster_noc_grp_id); // If clustering succeeds, add it to the clb netlist - if (pack_result == BLK_PASSED) { + if (pack_result == e_block_pack_status::BLK_PASSED) { VTR_LOGV(verbosity > 4, "\tPASSED_SEED: Block Type %s\n", type->name); //Once clustering succeeds, add it to the clb netlist if (pb->name != nullptr) { @@ -177,6 +184,8 @@ bool start_new_cluster_for_mol(t_pack_molecule* molecule, pb->name = vtr::strdup(new_name.c_str()); clb_index = cluster_ctx.clb_nlist.create_block(new_name.c_str(), pb, type); helper_ctx.total_clb_num++; + int molecule_size = get_array_size_of_molecule(molecule); + update_cluster_pb_stats(molecule, molecule_size, clb_index, true); //If you are still in packing, update the clustering data. Otherwise, update the clustered netlist. if (during_packing) { @@ -194,22 +203,22 @@ bool start_new_cluster_for_mol(t_pack_molecule* molecule, free_router_data(*router_data); *router_data = nullptr; - return (pack_result == BLK_PASSED); + return (pack_result == e_block_pack_status::BLK_PASSED); } bool pack_mol_in_existing_cluster(t_pack_molecule* molecule, int molecule_size, - const ClusterBlockId new_clb, + const ClusterBlockId& new_clb, std::unordered_set<AtomBlockId>* new_clb_atoms, bool during_packing, - bool is_swap, t_clustering_data& clustering_data, t_lb_router_data*& router_data) { auto& helper_ctx = g_vpr_ctx.mutable_cl_helper(); auto& cluster_ctx = g_vpr_ctx.mutable_clustering(); + NocGroupId temp_cluster_noc_grp_id; PartitionRegion temp_cluster_pr; - e_block_pack_status pack_result = BLK_STATUS_UNDEFINED; + e_block_pack_status pack_result = e_block_pack_status::BLK_STATUS_UNDEFINED; t_ext_pin_util target_ext_pin_util = helper_ctx.target_external_pin_util.get_pin_util(cluster_ctx.clb_nlist.block_type(new_clb)->name); t_logical_block_type_ptr block_type = cluster_ctx.clb_nlist.block_type(new_clb); t_pb* temp_pb = cluster_ctx.clb_nlist.block_pb(new_clb); @@ -220,8 +229,7 @@ bool pack_mol_in_existing_cluster(t_pack_molecule* molecule, return false; //re-build router_data structure for this cluster - if (!is_swap) - router_data = lb_load_router_data(helper_ctx.lb_type_rr_graphs, new_clb, new_clb_atoms); + router_data = lb_load_router_data(helper_ctx.lb_type_rr_graphs, new_clb, new_clb_atoms); pack_result = try_pack_molecule(&(helper_ctx.cluster_placement_stats[block_type->index]), molecule, @@ -237,10 +245,11 @@ bool pack_mol_in_existing_cluster(t_pack_molecule* molecule, //false, helper_ctx.feasible_block_array_size, target_ext_pin_util, - temp_cluster_pr); + temp_cluster_pr, + temp_cluster_noc_grp_id); // If clustering succeeds, add it to the clb netlist - if (pack_result == BLK_PASSED) { + if (pack_result == e_block_pack_status::BLK_PASSED) { //If you are still in packing, update the clustering data. Otherwise, update the clustered netlist. if (during_packing) { free_intra_lb_nets(clustering_data.intra_lb_routing[new_clb]); @@ -259,13 +268,11 @@ bool pack_mol_in_existing_cluster(t_pack_molecule* molecule, update_cluster_pb_stats(molecule, molecule_size, new_clb, true); } - if (!is_swap) { - //Free clustering router data - free_router_data(router_data); - router_data = nullptr; - } + //Free clustering router data + free_router_data(router_data); + router_data = nullptr; - return (pack_result == BLK_PASSED); + return (pack_result == e_block_pack_status::BLK_PASSED); } void fix_clustered_netlist(t_pack_molecule* molecule, @@ -284,6 +291,7 @@ void revert_mol_move(const ClusterBlockId& old_clb, auto& helper_ctx = g_vpr_ctx.mutable_cl_helper(); auto& cluster_ctx = g_vpr_ctx.mutable_clustering(); + NocGroupId temp_cluster_noc_grp_id_original; PartitionRegion temp_cluster_pr_original; e_block_pack_status pack_result = try_pack_molecule(&(helper_ctx.cluster_placement_stats[cluster_ctx.clb_nlist.block_type(old_clb)->index]), molecule, @@ -298,9 +306,10 @@ void revert_mol_move(const ClusterBlockId& old_clb, helper_ctx.enable_pin_feasibility_filter, helper_ctx.feasible_block_array_size, helper_ctx.target_external_pin_util.get_pin_util(cluster_ctx.clb_nlist.block_type(old_clb)->name), - temp_cluster_pr_original); + temp_cluster_pr_original, + temp_cluster_noc_grp_id_original); - VTR_ASSERT(pack_result == BLK_PASSED); + VTR_ASSERT(pack_result == e_block_pack_status::BLK_PASSED); //If you are still in packing, update the clustering data. Otherwise, update the clustered netlist. if (during_packing) { free_intra_lb_nets(clustering_data.intra_lb_routing[old_clb]); @@ -730,4 +739,4 @@ static void update_cluster_pb_stats(const t_pack_molecule* molecule, cur_pb = cur_pb->parent_pb; } } -} \ No newline at end of file +} diff --git a/vpr/src/pack/re_cluster_util.h b/vpr/src/pack/re_cluster_util.h index 201321f741a..b1c16e80b39 100644 --- a/vpr/src/pack/re_cluster_util.h +++ b/vpr/src/pack/re_cluster_util.h @@ -79,15 +79,16 @@ void remove_mol_from_cluster(const t_pack_molecule* molecule, */ bool start_new_cluster_for_mol(t_pack_molecule* molecule, const t_logical_block_type_ptr& type, - const int mode, - const int feasible_block_array_size, + const int& mode, + const int& feasible_block_array_size, bool enable_pin_feasibility_filter, ClusterBlockId clb_index, bool during_packing, int verbosity, t_clustering_data& clustering_data, t_lb_router_data** router_data, - PartitionRegion& temp_cluster_pr); + PartitionRegion& temp_cluster_pr, + NocGroupId& temp_cluster_noc_grp_id); /** * @brief A function that packs a molecule into an existing cluster @@ -102,10 +103,9 @@ bool start_new_cluster_for_mol(t_pack_molecule* molecule, */ bool pack_mol_in_existing_cluster(t_pack_molecule* molecule, int molecule_size, - const ClusterBlockId clb_index, - std::unordered_set<AtomBlockId>* clb_atoms, + const ClusterBlockId& new_clb, + std::unordered_set<AtomBlockId>* new_clb_atoms, bool during_packing, - bool is_swap, t_clustering_data& clustering_data, t_lb_router_data*& router_data); @@ -125,22 +125,39 @@ void fix_clustered_netlist(t_pack_molecule* molecule, /** * @brief A function that commits the molecule move if it is legal * - * @during_packing: true if this function is called during packing, false if it is called during placement - * @new_clb_created: true if the move is creating a new cluster (e.g. move_mol_to_new_cluster) + * @params during_packing: true if this function is called during packing, false if it is called during placement + * @params new_clb_created: true if the move is creating a new cluster (e.g. move_mol_to_new_cluster) */ void commit_mol_move(const ClusterBlockId& old_clb, const ClusterBlockId& new_clb, bool during_packing, bool new_clb_created); +/** + * @brief A function that reverts the molecule move if it is illegal + * + * @params during_packing: true if this function is called during packing, false if it is called during placement + * @params new_clb_created: true if the move is creating a new cluster (e.g. move_mol_to_new_cluster) + * @params + */ void revert_mol_move(const ClusterBlockId& old_clb, t_pack_molecule* molecule, t_lb_router_data*& old_router_data, bool during_packing, t_clustering_data& clustering_data); +/** + * + * @brief A function that checks the legality of a cluster by running the intra-cluster routing + */ bool is_cluster_legal(t_lb_router_data*& router_data); +/** + * @brief A function that commits the molecule removal if it is legal + * + * @params during_packing: true if this function is called during packing, false if it is called during placement + * @params new_clb_created: true if the move is creating a new cluster (e.g. move_mol_to_new_cluster) + */ void commit_mol_removal(const t_pack_molecule* molecule, const int& molecule_size, const ClusterBlockId& old_clb, @@ -148,6 +165,11 @@ void commit_mol_removal(const t_pack_molecule* molecule, t_lb_router_data*& router_data, t_clustering_data& clustering_data); +/** + * + * @brief A function that check that two clusters are of the same type and in the same mode of operation + * + */ bool check_type_and_mode_compitability(const ClusterBlockId& old_clb, const ClusterBlockId& new_clb, int verbosity); diff --git a/vpr/src/place/RL_agent_util.cpp b/vpr/src/place/RL_agent_util.cpp index 89dd60d20a6..c05d2a46334 100644 --- a/vpr/src/place/RL_agent_util.cpp +++ b/vpr/src/place/RL_agent_util.cpp @@ -5,7 +5,8 @@ void create_move_generators(std::unique_ptr<MoveGenerator>& move_generator, std::unique_ptr<MoveGenerator>& move_generator2, const t_placer_opts& placer_opts, - int move_lim) { + int move_lim, + float noc_attraction_weight) { if (!placer_opts.RL_agent_placement) { // RL agent is disabled auto move_types = placer_opts.place_static_move_prob; move_types.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES, 0.0f); @@ -17,7 +18,6 @@ void create_move_generators(std::unique_ptr<MoveGenerator>& move_generator, move_name.c_str(), placer_opts.place_static_move_prob[move_type]); } - move_generator = std::make_unique<StaticMoveGenerator>(placer_opts.place_static_move_prob); move_generator2 = std::make_unique<StaticMoveGenerator>(placer_opts.place_static_move_prob); } else { //RL based placement @@ -51,6 +51,11 @@ void create_move_generators(std::unique_ptr<MoveGenerator>& move_generator, {e_move_type::W_CENTROID, e_move_type::W_MEDIAN, e_move_type::CRIT_UNIFORM, e_move_type::FEASIBLE_REGION}); } + if (noc_attraction_weight > 0.0f) { + first_state_avail_moves.push_back(e_move_type::NOC_ATTRACTION_CENTROID); + second_state_avail_moves.push_back(e_move_type::NOC_ATTRACTION_CENTROID); + } + if (placer_opts.place_agent_algorithm == E_GREEDY) { std::unique_ptr<EpsilonGreedyAgent> karmed_bandit_agent1, karmed_bandit_agent2; //agent's 1st state @@ -66,13 +71,17 @@ void create_move_generators(std::unique_ptr<MoveGenerator>& move_generator, placer_opts.place_agent_epsilon); } karmed_bandit_agent1->set_step(placer_opts.place_agent_gamma, move_lim); - move_generator = std::make_unique<SimpleRLMoveGenerator>(karmed_bandit_agent1); + move_generator = std::make_unique<SimpleRLMoveGenerator>(karmed_bandit_agent1, + noc_attraction_weight, + placer_opts.place_high_fanout_net); //agent's 2nd state karmed_bandit_agent2 = std::make_unique<EpsilonGreedyAgent>(second_state_avail_moves, e_agent_space::MOVE_TYPE, placer_opts.place_agent_epsilon); karmed_bandit_agent2->set_step(placer_opts.place_agent_gamma, move_lim); - move_generator2 = std::make_unique<SimpleRLMoveGenerator>(karmed_bandit_agent2); + move_generator2 = std::make_unique<SimpleRLMoveGenerator>(karmed_bandit_agent2, + noc_attraction_weight, + placer_opts.place_high_fanout_net); } else { std::unique_ptr<SoftmaxAgent> karmed_bandit_agent1, karmed_bandit_agent2; //agent's 1st state @@ -86,12 +95,16 @@ void create_move_generators(std::unique_ptr<MoveGenerator>& move_generator, e_agent_space::MOVE_TYPE); } karmed_bandit_agent1->set_step(placer_opts.place_agent_gamma, move_lim); - move_generator = std::make_unique<SimpleRLMoveGenerator>(karmed_bandit_agent1); + move_generator = std::make_unique<SimpleRLMoveGenerator>(karmed_bandit_agent1, + noc_attraction_weight, + placer_opts.place_high_fanout_net); //agent's 2nd state karmed_bandit_agent2 = std::make_unique<SoftmaxAgent>(second_state_avail_moves, e_agent_space::MOVE_TYPE); karmed_bandit_agent2->set_step(placer_opts.place_agent_gamma, move_lim); - move_generator2 = std::make_unique<SimpleRLMoveGenerator>(karmed_bandit_agent2); + move_generator2 = std::make_unique<SimpleRLMoveGenerator>(karmed_bandit_agent2, + noc_attraction_weight, + placer_opts.place_high_fanout_net); } } } diff --git a/vpr/src/place/RL_agent_util.h b/vpr/src/place/RL_agent_util.h index 00c073dea89..c9d41ba5507 100644 --- a/vpr/src/place/RL_agent_util.h +++ b/vpr/src/place/RL_agent_util.h @@ -21,7 +21,8 @@ enum class e_agent_state { void create_move_generators(std::unique_ptr<MoveGenerator>& move_generator, std::unique_ptr<MoveGenerator>& move_generator2, const t_placer_opts& placer_opts, - int move_lim); + int move_lim, + float noc_attraction_weight); /** * @brief copy one of the available move_generators to be the current move_generator that would be used in the placement based on the placer_options and the agent state diff --git a/vpr/src/place/centroid_move_generator.cpp b/vpr/src/place/centroid_move_generator.cpp index f1316701998..a1b79b92f7a 100644 --- a/vpr/src/place/centroid_move_generator.cpp +++ b/vpr/src/place/centroid_move_generator.cpp @@ -5,23 +5,61 @@ #include "place_constraints.h" #include "move_utils.h" -e_create_move CentroidMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, float rlim, const t_placer_opts& placer_opts, const PlacerCriticalities* /*criticalities*/) { - //Find a movable block based on blk_type +#include <queue> + + +// Static member variable definitions +vtr::vector<NocGroupId, std::vector<ClusterBlockId>> CentroidMoveGenerator::noc_group_clusters_; +vtr::vector<NocGroupId, std::vector<ClusterBlockId>> CentroidMoveGenerator::noc_group_routers_; +vtr::vector<ClusterBlockId, NocGroupId> CentroidMoveGenerator::cluster_to_noc_grp_; +std::map<ClusterBlockId, NocGroupId> CentroidMoveGenerator::noc_router_to_noc_group_; + + +CentroidMoveGenerator::CentroidMoveGenerator() + : noc_attraction_w_(0.0f) + , noc_attraction_enabled_(false) {} + +CentroidMoveGenerator::CentroidMoveGenerator(float noc_attraction_weight, size_t high_fanout_net) + : noc_attraction_w_(noc_attraction_weight) + , noc_attraction_enabled_(true) { + VTR_ASSERT(noc_attraction_weight > 0.0 && noc_attraction_weight <= 1.0); + + + // check if static member variables are already initialized + if (!noc_group_clusters_.empty() && !noc_group_routers_.empty() && + !cluster_to_noc_grp_.empty() && !noc_router_to_noc_group_.empty()) { + return; + } else { + initialize_noc_groups(high_fanout_net); + } +} + +e_create_move CentroidMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, + t_propose_action& proposed_action, + float rlim, + const t_placer_opts& placer_opts, + const PlacerCriticalities* /*criticalities*/) { + // Find a movable block based on blk_type ClusterBlockId b_from = propose_block_to_move(placer_opts, proposed_action.logical_blk_type_index, false, nullptr, nullptr); - VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "Centroid Move Choose Block %d - rlim %f\n", size_t(b_from), rlim); + + VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, + "Centroid Move Choose Block %d - rlim %f\n", + size_t(b_from), + rlim); if (!b_from) { //No movable block found - VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tNo movable block found\n"); + VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, + "\tNo movable block found\n"); return e_create_move::ABORT; } - auto& device_ctx = g_vpr_ctx.device(); - auto& place_ctx = g_vpr_ctx.placement(); - auto& cluster_ctx = g_vpr_ctx.clustering(); + const auto& device_ctx = g_vpr_ctx.device(); + const auto& place_ctx = g_vpr_ctx.placement(); + const auto& cluster_ctx = g_vpr_ctx.clustering(); auto& place_move_ctx = g_placer_ctx.mutable_move(); t_pl_loc from = place_ctx.block_locs[b_from].loc; @@ -36,11 +74,11 @@ e_create_move CentroidMoveGenerator::propose_move(t_pl_blocks_to_be_moved& block t_pl_loc to, centroid; /* Calculate the centroid location*/ - calculate_centroid_loc(b_from, false, centroid, nullptr); + calculate_centroid_loc(b_from, false, centroid, nullptr, noc_attraction_enabled_, noc_attraction_w_); - // Centroid location is not necessarily a valid location, and the downstream location expect a valid - // layer for "to" location. So if the layer is not valid, we set it to the same layer as from loc. - to.layer = (centroid.layer < 0) ? from.layer : centroid.layer; + // Centroid location is not necessarily a valid location, and the downstream location expects a valid + // layer for the centroid location. So if the layer is not valid, we set it to the same layer as from loc. + centroid.layer = (centroid.layer < 0) ? from.layer : centroid.layer; /* Find a location near the weighted centroid_loc */ if (!find_to_loc_centroid(cluster_from_type, from, centroid, range_limiters, to, b_from)) { return e_create_move::ABORT; @@ -55,3 +93,110 @@ e_create_move CentroidMoveGenerator::propose_move(t_pl_blocks_to_be_moved& block return create_move; } + +const std::vector<ClusterBlockId>& CentroidMoveGenerator::get_noc_group_routers(NocGroupId noc_grp_id) { + return CentroidMoveGenerator::noc_group_routers_[noc_grp_id]; +} + +NocGroupId CentroidMoveGenerator::get_cluster_noc_group(ClusterBlockId blk_id) { + return CentroidMoveGenerator::cluster_to_noc_grp_[blk_id]; +} + +void CentroidMoveGenerator::initialize_noc_groups(size_t high_fanout_net) { + const auto& cluster_ctx = g_vpr_ctx.clustering(); + const auto& noc_ctx = g_vpr_ctx.noc(); + + noc_group_clusters_.clear(); + noc_group_routers_.clear(); + cluster_to_noc_grp_.clear(); + noc_router_to_noc_group_.clear(); + + /* + * Assume the clustered netlist is an undirected graph where nodes + * represent clustered blocks, and edges are low fanout connections. + * To determine NoC groups, we need to find components that include + * at least one NoC router. To do this, we start a BFS traversal from + * unvisited NoC routers and assign the starting NoC router and all the + * blocks that are visited during the traversal to a NoC group. + */ + + // determines whether a block is visited + vtr::vector<ClusterBlockId, bool> block_visited(cluster_ctx.clb_nlist.blocks().size(), false); + + // NoCGroupIDs are sequential and start from zero. This counter specifies the value to be assigned to a new NoCGroupID + int noc_group_cnt = 0; + + // Initialize the associated NoC group for all blocks to INVALID. If a block is not visited during traversal, + // it does not belong to any NoC groups. For other blocks, the associated NoC group is updated once they are visited. + cluster_to_noc_grp_.resize(cluster_ctx.clb_nlist.blocks().size(), NocGroupId ::INVALID()); + + // Get all the router clusters and the NoC router logical block type + const auto& router_blk_ids = noc_ctx.noc_traffic_flows_storage.get_router_clusters_in_netlist(); + const auto router_block_type = cluster_ctx.clb_nlist.block_type(router_blk_ids[0]); + + // iterate over logical NoC routers and start a BFS + for (auto router_blk_id : router_blk_ids) { + + if (block_visited[router_blk_id]) { + continue; + } + + NocGroupId noc_group_id(noc_group_cnt); + noc_group_cnt++; + noc_group_routers_.emplace_back(); + noc_group_clusters_.emplace_back(); + + // BFS frontier + std::queue<ClusterBlockId> q; + + // initialize the frontier with the NoC router + q.push(router_blk_id); + block_visited[router_blk_id] = true; + + while (!q.empty()) { + ClusterBlockId current_block_id = q.front(); + q.pop(); + + // get the logical block type for the block extracted from the frontier queue + auto block_type = cluster_ctx.clb_nlist.block_type(current_block_id); + + if (block_type->index == router_block_type->index) { + noc_group_routers_[noc_group_id].push_back(current_block_id); + noc_router_to_noc_group_[current_block_id] = noc_group_id; + } else { + noc_group_clusters_[noc_group_id].push_back(current_block_id); + cluster_to_noc_grp_[current_block_id] = noc_group_id; + } + + // iterate over all low fanout nets of the current block to find its unvisited neighbors + for (ClusterPinId pin_id : cluster_ctx.clb_nlist.block_pins(current_block_id)) { + ClusterNetId net_id = cluster_ctx.clb_nlist.pin_net(pin_id); + + if (cluster_ctx.clb_nlist.net_is_ignored(net_id)) { + continue; + } + + if (cluster_ctx.clb_nlist.net_sinks(net_id).size() >= high_fanout_net) { + continue; + } + + if (cluster_ctx.clb_nlist.pin_type(pin_id) == PinType::DRIVER) { + for (auto sink_pin_id : cluster_ctx.clb_nlist.net_sinks(net_id)) { + ClusterBlockId sink_block_id = cluster_ctx.clb_nlist.pin_block(sink_pin_id); + if (!block_visited[sink_block_id]) { + block_visited[sink_block_id] = true; + q.push(sink_block_id); + } + } + } else { //else the pin is sink --> only care about its driver + ClusterPinId source_pin = cluster_ctx.clb_nlist.net_driver(net_id); + ClusterBlockId source_blk_id = cluster_ctx.clb_nlist.pin_block(source_pin); + if (!block_visited[source_blk_id]) { + block_visited[source_blk_id] = true; + q.push(source_blk_id); + } + } + } + } + } +} diff --git a/vpr/src/place/centroid_move_generator.h b/vpr/src/place/centroid_move_generator.h index cbcbb883aea..2a0b99234c5 100644 --- a/vpr/src/place/centroid_move_generator.h +++ b/vpr/src/place/centroid_move_generator.h @@ -1,5 +1,6 @@ #ifndef VPR_CENTROID_MOVE_GEN_H #define VPR_CENTROID_MOVE_GEN_H + #include "move_generator.h" /** @@ -10,10 +11,92 @@ * This move picks a random block and moves it (swapping with what's there if necessary) to the zero force location * It calculates forces/weighs acting on the block based on its connections to other blocks. * + * The computed centroid location can be optionally biased towards the location of NoC routers + * that are reachable from the selected block. A NoC router is reachable from a block if one can + * start from the block and reach the NoC router only by traversing low fanout nets. All the blocks + * (including NoC routers) that can reach a NoC router form a NoC group. + * * Returns its choices by filling in affected_blocks. */ class CentroidMoveGenerator : public MoveGenerator { - e_create_move propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, float rlim, const t_placer_opts& placer_opts, const PlacerCriticalities* /*criticalities*/) override; + public: + /** + * The move generator created by calling this constructor only consider + * netlist connectivity for computing the centroid location. + */ + CentroidMoveGenerator(); + + /** + * The move generator created by calling this constructor considers both + * netlist connectivity and NoC reachability for computing the centroid. + * The constructor also forms NoC groups by finding connected components + * in the graph representing the clustered netlist. When finding connected + * components, none of the nets whose fanout is larger than high_fanout_net + * are traversed. + * @param noc_attraction_weight Specifies how much the computed centroid + * is adjusted towards the location of NoC routers in the same NoC group as + * the clustered block to be moved. + * @param high_fanout_net All nets with a fanout larger than this number are + * ignored when forming NoC groups. + */ + CentroidMoveGenerator(float noc_attraction_weight, size_t high_fanout_net); + + + /** + * Returns all NoC routers that are in the NoC group with a given ID. + * @param noc_grp_id The NoC group ID whose NoC routers are requested. + * @return The clustered block ID of all NoC routers in the given NoC group. + */ + static const std::vector<ClusterBlockId>& get_noc_group_routers(NocGroupId noc_grp_id); + + /** + * Returns the NoC group ID of clustered block. + * @param blk_id The clustered block whose NoC group ID is requested. + * @return The NoC group ID of the given clustered block or INVALID if + * the given clustered block does not belong to any NoC groups. + */ + static NocGroupId get_cluster_noc_group(ClusterBlockId blk_id); + + private: + e_create_move propose_move(t_pl_blocks_to_be_moved& blocks_affected, + t_propose_action& proposed_action, + float rlim, + const t_placer_opts& placer_opts, + const PlacerCriticalities* /*criticalities*/) override; + + private: + /** A value in range [0, 1] that specifies how much the centroid location + * computation is biased towards the associated NoC routers*/ + float noc_attraction_w_; + + /** Indicates whether the centroid calculation is NoC-biased.*/ + bool noc_attraction_enabled_; + + /** Stores the ids of all non-router clustered blocks for each NoC group*/ + static vtr::vector<NocGroupId, std::vector<ClusterBlockId>> noc_group_clusters_; + + /** Stores NoC routers in each NoC group*/ + static vtr::vector<NocGroupId, std::vector<ClusterBlockId>> noc_group_routers_; + + /** Specifies the NoC group that each block belongs to. A block cannot belong to more + * than one NoC because this means those NoC groups can reach each other and form + * a single NoC group. We use NocGroupId::INVALID to show that a block does not belong + * to any NoC groups. This happens when a block is not reachable from any NoC router. + * */ + static vtr::vector<ClusterBlockId, NocGroupId> cluster_to_noc_grp_; + + /** Specifies the NoC group for each NoC router*/ + static std::map<ClusterBlockId, NocGroupId> noc_router_to_noc_group_; + + /** + * @brief This function forms NoC groups by finding connected components + * in the graph representing the clustered netlist. When finding connected + * components, none of the nets whose fanout is larger than high_fanout_net + * are traversed. + * @param high_fanout_net All nets with a fanout larger than this number are + * ignored when forming NoC groups. + */ + static void initialize_noc_groups(size_t high_fanout_net); }; #endif diff --git a/vpr/src/place/compressed_grid.cpp b/vpr/src/place/compressed_grid.cpp index 7ba21771524..637b404fbeb 100644 --- a/vpr/src/place/compressed_grid.cpp +++ b/vpr/src/place/compressed_grid.cpp @@ -84,10 +84,10 @@ t_compressed_block_grid create_compressed_block_grid(const std::vector<std::vect } //Uniquify x/y locations - std::sort(layer_x_locs.begin(), layer_x_locs.end()); + std::stable_sort(layer_x_locs.begin(), layer_x_locs.end()); layer_x_locs.erase(unique(layer_x_locs.begin(), layer_x_locs.end()), layer_x_locs.end()); - std::sort(layer_y_locs.begin(), layer_y_locs.end()); + std::stable_sort(layer_y_locs.begin(), layer_y_locs.end()); layer_y_locs.erase(unique(layer_y_locs.begin(), layer_y_locs.end()), layer_y_locs.end()); //The index of an x-position in x_locs corresponds to it's compressed diff --git a/vpr/src/place/cut_spreader.cpp b/vpr/src/place/cut_spreader.cpp index 695b8ffc275..88b0f913346 100644 --- a/vpr/src/place/cut_spreader.cpp +++ b/vpr/src/place/cut_spreader.cpp @@ -446,7 +446,7 @@ std::pair<int, int> CutSpreader::cut_region(SpreaderRegion& r, bool dir) { } // sort blks based on raw location - std::sort(cut_blks.begin(), cut_blks.end(), [&](const ClusterBlockId a, const ClusterBlockId b) { + std::stable_sort(cut_blks.begin(), cut_blks.end(), [&](const ClusterBlockId a, const ClusterBlockId b) { return dir ? (ap->blk_locs[a].rawy < ap->blk_locs[b].rawy) : (ap->blk_locs[a].rawx < ap->blk_locs[b].rawx); }); diff --git a/vpr/src/place/directed_moves_util.cpp b/vpr/src/place/directed_moves_util.cpp index 330f1904368..18d56973e3b 100644 --- a/vpr/src/place/directed_moves_util.cpp +++ b/vpr/src/place/directed_moves_util.cpp @@ -1,4 +1,6 @@ + #include "directed_moves_util.h" +#include "centroid_move_generator.h" void get_coordinate_of_pin(ClusterPinId pin, t_physical_tile_loc& tile_loc) { auto& device_ctx = g_vpr_ctx.device(); @@ -17,8 +19,14 @@ void get_coordinate_of_pin(ClusterPinId pin, t_physical_tile_loc& tile_loc) { tile_loc.y = std::max(std::min(tile_loc.y, (int)grid.height() - 2), 1); //-2 for no perim channels } -void calculate_centroid_loc(ClusterBlockId b_from, bool timing_weights, t_pl_loc& centroid, const PlacerCriticalities* criticalities) { +void calculate_centroid_loc(ClusterBlockId b_from, + bool timing_weights, + t_pl_loc& centroid, + const PlacerCriticalities* criticalities, + bool noc_attraction_enabled, + float noc_attraction_weight) { auto& cluster_ctx = g_vpr_ctx.clustering(); + auto& place_ctx = g_vpr_ctx.placement(); t_physical_tile_loc tile_loc; int ipin; @@ -91,6 +99,28 @@ void calculate_centroid_loc(ClusterBlockId b_from, bool timing_weights, t_pl_loc } } + if (noc_attraction_enabled) { + NocGroupId noc_grp_id = CentroidMoveGenerator::get_cluster_noc_group(b_from); + + // check if the block belongs to a NoC group + if (noc_grp_id != NocGroupId::INVALID()) { + // get the routers in the associated NoC group + const auto& noc_routers = CentroidMoveGenerator::get_noc_group_routers(noc_grp_id); + float single_noc_weight = (acc_weight * noc_attraction_weight) / (float)noc_routers.size(); + + acc_x *= (1.0f - noc_attraction_weight); + acc_y *= (1.0f - noc_attraction_weight); + acc_weight *= (1.0f - noc_attraction_weight); + + for (ClusterBlockId router_blk_id : noc_routers) { + t_block_loc router_loc = place_ctx.block_locs[router_blk_id]; + acc_x += router_loc.loc.x * single_noc_weight; + acc_y += router_loc.loc.y * single_noc_weight; + acc_weight += single_noc_weight; + } + } + } + //Calculate the centroid location centroid.x = acc_x / acc_weight; centroid.y = acc_y / acc_weight; diff --git a/vpr/src/place/directed_moves_util.h b/vpr/src/place/directed_moves_util.h index 11ef3df71c3..dc2f07c4643 100644 --- a/vpr/src/place/directed_moves_util.h +++ b/vpr/src/place/directed_moves_util.h @@ -25,12 +25,34 @@ void get_coordinate_of_pin(ClusterPinId pin, t_physical_tile_loc& tile_loc); * This function is very useful in centroid and weightedCentroid moves as it calculates * the centroid location. It returns the calculated location in centroid. * - * @param b_from: The block Id of the moving block - * @param timing_weights: Determines whether to calculate centroid or weighted centroid location. If true, use the timing weights (weighted centroid) - * @param criticalities: A pointer to the placer criticalities which is used when calculating weighted centroid (send a NULL pointer in case of centroid) + * When NoC attraction is enabled, the computed centroid is slightly adjusted towards the location + * of NoC routers that are in the same NoC group b_from. + * + * @param b_from The block Id of the moving block + * @param timing_weights Determines whether to calculate centroid or + * weighted centroid location. If true, use the timing weights (weighted centroid). + * @param criticalities A pointer to the placer criticalities which is used when + * calculating weighted centroid (send a NULL pointer in case of centroid) + * @param noc_attraction_enabled Indicates whether the computed centroid location + * should be adjusted towards NoC routers in the NoC group of the given block. + * @param noc_attraction_weight When NoC attraction is enabled, this weight + * specifies to which extent the computed centroid should be adjusted. A value + * in range [0, 1] is expected. * - * @return The calculated location is returned in centroid parameter that is sent by reference + * @return The calculated location is returned in centroid parameter that is sent by reference */ -void calculate_centroid_loc(ClusterBlockId b_from, bool timing_weights, t_pl_loc& centroid, const PlacerCriticalities* criticalities); +void calculate_centroid_loc(ClusterBlockId b_from, + bool timing_weights, + t_pl_loc& centroid, + const PlacerCriticalities* criticalities, + bool noc_attraction_enabled, + float noc_attraction_weight); + +inline void calculate_centroid_loc(ClusterBlockId b_from, + bool timing_weights, + t_pl_loc& centroid, + const PlacerCriticalities* criticalities) { + calculate_centroid_loc(b_from, timing_weights, centroid, criticalities, false, 0.0f); +} #endif diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index e13d278af68..e039d3b4ee4 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -6,6 +6,9 @@ #include "place_constraints.h" #include "vtr_math.h" +#include <limits> +#include <queue> + /** * @brief Evaluates whether a NoC router swap should be accepted or not. * If delta cost is non-positive, the move is always accepted. If the cost @@ -104,6 +107,11 @@ static void place_noc_routers_randomly(std::vector<ClusterBlockId>& unfixed_rout * only once. */ + // check if all NoC routers have already been placed + if (unfixed_routers.empty()) { + return; + } + // Make a copy of NoC physical routers because we want to change its order vtr::vector<NocRouterId, NocRouter> noc_phy_routers = noc_ctx.noc_model.get_noc_routers(); @@ -188,7 +196,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { const double starting_prob = 0.5; const double prob_step = starting_prob / N_MOVES; - // The checkpoint stored the placement with the lowest cost. NoCPlacementCheckpoint checkpoint; @@ -245,7 +252,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { } } -void initial_noc_placement(const t_noc_opts& noc_opts, int seed) { +void initial_noc_placement(const t_noc_opts& noc_opts, const t_placer_opts& placer_opts) { auto& noc_ctx = g_vpr_ctx.noc(); // Get all the router clusters @@ -269,7 +276,7 @@ void initial_noc_placement(const t_noc_opts& noc_opts, int seed) { } // Place unconstrained NoC routers randomly - place_noc_routers_randomly(unfixed_routers, seed); + place_noc_routers_randomly(unfixed_routers, placer_opts.seed); // populate internal data structures to maintain route, bandwidth usage, and latencies initial_noc_routing(); diff --git a/vpr/src/place/initial_noc_placment.h b/vpr/src/place/initial_noc_placment.h index 4f060a14277..7727f15f6aa 100644 --- a/vpr/src/place/initial_noc_placment.h +++ b/vpr/src/place/initial_noc_placment.h @@ -10,6 +10,6 @@ * * @param noc_opts NoC-related options. Used to calculate NoC-related costs. */ -void initial_noc_placement(const t_noc_opts& noc_opts, int seed); +void initial_noc_placement(const t_noc_opts& noc_opts, const t_placer_opts& placer_opts); #endif //VTR_INITIAL_NOC_PLACMENT_H diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index f0bea05aec5..e462b5b6f1e 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -258,7 +258,7 @@ static bool is_loc_legal(t_pl_loc& loc, PartitionRegion& pr, t_logical_block_typ bool legal = false; //Check if the location is within its constraint region - for (auto reg : pr.get_partition_region()) { + for (const auto& reg : pr.get_regions()) { const auto reg_coord = reg.get_region_rect(); vtr::Rect<int> reg_rect(reg_coord.xmin, reg_coord.ymin, reg_coord.xmax, reg_coord.ymax); if (reg_coord.layer_num != loc.layer) continue; @@ -606,7 +606,7 @@ bool try_place_macro_randomly(const t_pl_macro& pl_macro, const PartitionRegion& //If the block has more than one floorplan region, pick a random region to get the min/max x and y values int region_index; - std::vector<Region> regions = pr.get_partition_region(); + const std::vector<Region>& regions = pr.get_regions(); if (regions.size() > 1) { region_index = vtr::irand(regions.size() - 1); } else { @@ -663,7 +663,7 @@ bool try_place_macro_exhaustively(const t_pl_macro& pl_macro, const PartitionReg const auto& compressed_block_grid = g_vpr_ctx.placement().compressed_block_grids[block_type->index]; auto& place_ctx = g_vpr_ctx.mutable_placement(); - std::vector<Region> regions = pr.get_partition_region(); + const std::vector<Region>& regions = pr.get_regions(); bool placed = false; @@ -1154,7 +1154,8 @@ void initial_placement(const t_placer_opts& placer_opts, if (noc_opts.noc) { // NoC routers are placed before other blocks - initial_noc_placement(noc_opts, placer_opts.seed); + initial_noc_placement(noc_opts, placer_opts); + propagate_place_constraints(); } //Assign scores to blocks and placement macros according to how difficult they are to place diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index 324d0cd3e44..20ef207221d 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -5,7 +5,14 @@ #include "placer_globals.h" #include "move_utils.h" -static bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, int xold, int yold, int xnew, int ynew); +static bool get_bb_incrementally(ClusterNetId net_id, + t_bb& bb_coord_new, + int xold, + int yold, + int layer_old, + int xnew, + int ynew, + int layer_new); static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_coord_new, ClusterBlockId block_id, bool& skip_net); @@ -29,7 +36,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ auto& place_move_ctx = g_placer_ctx.mutable_move(); const int num_layers = device_ctx.grid.get_num_layers(); - bool is_multi_layer = (num_layers > 1); + t_pl_loc from = place_ctx.block_locs[b_from].loc; int from_layer = from.layer; @@ -43,12 +50,13 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ t_bb coords(OPEN, OPEN, OPEN, OPEN, OPEN, OPEN); t_bb limit_coords; ClusterBlockId bnum; - int pnum, xnew, xold, ynew, yold; + int pnum, xnew, xold, ynew, yold, layer_new, layer_old; //clear the vectors that saves X & Y coords //reused to save allocation time place_move_ctx.X_coord.clear(); place_move_ctx.Y_coord.clear(); + place_move_ctx.layer_coord.clear(); std::vector<int> layer_blk_cnt(num_layers, 0); //true if the net is a feedback from the block to itself @@ -61,7 +69,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ continue; /* To speed up the calculation, we found it is useful to ignore high fanout nets. * Especially that in most cases, these high fanout nets are scattered in many locations of - * the device and don't guide to a specific location. We also assuered these assumpitions experimentally. + * the device and don't guide to a specific location. We also assured these assumptions experimentally. */ if (int(cluster_ctx.clb_nlist.net_pins(net_id).size()) > placer_opts.place_high_fanout_net) continue; @@ -84,8 +92,11 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ VTR_ASSERT(pnum >= 0); xold = place_ctx.block_locs[bnum].loc.x + physical_tile_type(bnum)->pin_width_offset[pnum]; yold = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + layer_old = place_ctx.block_locs[bnum].loc.layer; + xold = std::max(std::min(xold, (int)device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min(yold, (int)device_ctx.grid.height() - 2), 1); //-2 for no perim channels + layer_old = std::max(std::min(layer_old, (int)device_ctx.grid.get_num_layers() - 1), 0); //To calulate the bb incrementally while excluding the moving block //assume that the moving block is moved to a non-critical coord of the bb @@ -101,7 +112,23 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ ynew = net_bb_coords.ymin; } - if (!get_bb_incrementally(net_id, coords, xold, yold, xnew, ynew)) { + if (net_bb_coords.layer_min == layer_old) { + layer_new = net_bb_coords.layer_max; + } else { + layer_new = net_bb_coords.layer_min; + } + + // If the mvoing block is on the border of the bounding box, we cannot get + // the bounding box incrementatlly. In that case, bounding box should be calculated + // from scratch. + if (!get_bb_incrementally(net_id, + coords, + xold, + yold, + layer_old, + xnew, + ynew, + layer_new)) { get_bb_from_scratch_excluding_block(net_id, coords, b_from, skip_net); if (skip_net) continue; @@ -112,27 +139,19 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ place_move_ctx.X_coord.push_back(coords.xmax); place_move_ctx.Y_coord.push_back(coords.ymin); place_move_ctx.Y_coord.push_back(coords.ymax); - if (is_multi_layer) { - for (int layer_num = 0; layer_num < num_layers; layer_num++) { - layer_blk_cnt[layer_num] += place_move_ctx.num_sink_pin_layer[size_t(net_id)][layer_num]; - } - // If the pin under consideration is of type sink, it shouldn't be added to layer_blk_cnt since the block - // is moving - if (cluster_ctx.clb_nlist.pin_type(pin_id) == PinType::SINK) { - VTR_ASSERT_SAFE(layer_blk_cnt[from_layer] > 0); - layer_blk_cnt[from_layer]--; - } - } + place_move_ctx.layer_coord.push_back(coords.layer_min); + place_move_ctx.layer_coord.push_back(coords.layer_max); } - if ((place_move_ctx.X_coord.empty()) || (place_move_ctx.Y_coord.empty())) { - VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tMove aborted - X_coord and y_coord are empty\n"); + if ((place_move_ctx.X_coord.empty()) || (place_move_ctx.Y_coord.empty()) || (place_move_ctx.layer_coord.empty())) { + VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tMove aborted - X_coord or y_coord or layer_coord are empty\n"); return e_create_move::ABORT; } //calculate the median region - std::sort(place_move_ctx.X_coord.begin(), place_move_ctx.X_coord.end()); - std::sort(place_move_ctx.Y_coord.begin(), place_move_ctx.Y_coord.end()); + std::stable_sort(place_move_ctx.X_coord.begin(), place_move_ctx.X_coord.end()); + std::stable_sort(place_move_ctx.Y_coord.begin(), place_move_ctx.Y_coord.end()); + std::stable_sort(place_move_ctx.layer_coord.begin(), place_move_ctx.layer_coord.end()); limit_coords.xmin = place_move_ctx.X_coord[floor((place_move_ctx.X_coord.size() - 1) / 2)]; limit_coords.xmax = place_move_ctx.X_coord[floor((place_move_ctx.X_coord.size() - 1) / 2) + 1]; @@ -140,6 +159,9 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ limit_coords.ymin = place_move_ctx.Y_coord[floor((place_move_ctx.Y_coord.size() - 1) / 2)]; limit_coords.ymax = place_move_ctx.Y_coord[floor((place_move_ctx.Y_coord.size() - 1) / 2) + 1]; + limit_coords.layer_min = place_move_ctx.layer_coord[floor((place_move_ctx.layer_coord.size() - 1) / 2)]; + limit_coords.layer_max = place_move_ctx.layer_coord[floor((place_move_ctx.layer_coord.size() - 1) / 2) + 1]; + //arrange the different range limiters t_range_limiters range_limiters{rlim, place_move_ctx.first_rlim, @@ -149,17 +171,8 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ t_pl_loc median_point; median_point.x = (limit_coords.xmin + limit_coords.xmax) / 2; median_point.y = (limit_coords.ymin + limit_coords.ymax) / 2; + median_point.layer = (limit_coords.layer_min + limit_coords.layer_max) / 2; - // Before calling find_to_loc_centroid a valid layer should be assigned to "to" location. If there are multiple layers, the layer - // with highest number of sinks will be used. Otherwise, the same layer as "from" loc is assigned. - if (is_multi_layer) { - int layer_num = std::distance(layer_blk_cnt.begin(), std::max_element(layer_blk_cnt.begin(), layer_blk_cnt.end())); - median_point.layer = layer_num; - to.layer = layer_num; - } else { - median_point.layer = from.layer; - to.layer = from.layer; - } if (!find_to_loc_centroid(cluster_from_type, from, median_point, range_limiters, to, b_from)) { return e_create_move::ABORT; } @@ -194,6 +207,9 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co int ymin = OPEN; int ymax = OPEN; + int layer_min = OPEN; + int layer_max = OPEN; + int pnum; auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -208,11 +224,14 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co pnum = net_pin_to_tile_pin_index(net_id, 0); int src_x = place_ctx.block_locs[bnum].loc.x + physical_tile_type(bnum)->pin_width_offset[pnum]; int src_y = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + int src_layer = place_ctx.block_locs[bnum].loc.layer; xmin = src_x; ymin = src_y; xmax = src_x; ymax = src_y; + layer_min = src_layer; + layer_max = src_layer; first_block = true; } @@ -225,12 +244,15 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co const auto& block_loc = place_ctx.block_locs[bnum].loc; int x = block_loc.x + physical_tile_type(bnum)->pin_width_offset[pnum]; int y = block_loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + int layer = block_loc.layer; if (!first_block) { xmin = x; ymin = y; xmax = x; ymax = y; + layer_max = layer; + layer_min = layer; first_block = true; continue; } @@ -245,6 +267,12 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co } else if (y > ymax) { ymax = y; } + + if (layer < layer_min) { + layer_min = layer; + } else if (layer > layer_max) { + layer_max = layer; + } } /* Now I've found the coordinates of the bounding box. There are no * @@ -256,8 +284,10 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co * is 0). See route_common.cpp for a channel diagram. */ bb_coord_new.xmin = std::max(std::min<int>(xmin, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymin = std::max(std::min<int>(ymin, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + bb_coord_new.layer_min = std::max(std::min<int>(layer_min, device_ctx.grid.get_num_layers() - 1), 0); bb_coord_new.xmax = std::max(std::min<int>(xmax, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymax = std::max(std::min<int>(ymax, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + bb_coord_new.layer_max = std::max(std::min<int>(layer_max, device_ctx.grid.get_num_layers() - 1), 0); } /* @@ -273,7 +303,14 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co * the pins always lie on the outside of the bounding box. * * The x and y coordinates are the pin's x and y coordinates. */ /* IO blocks are considered to be one cell in for simplicity. */ -static bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, int xold, int yold, int xnew, int ynew) { +static bool get_bb_incrementally(ClusterNetId net_id, + t_bb& bb_coord_new, + int xold, + int yold, + int layer_old, + int xnew, + int ynew, + int layer_new) { //TODO: account for multiple physical pin instances per logical pin auto& device_ctx = g_vpr_ctx.device(); @@ -281,12 +318,21 @@ static bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, int xo xnew = std::max(std::min<int>(xnew, device_ctx.grid.width() - 2), 1); //-2 for no perim channels ynew = std::max(std::min<int>(ynew, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + layer_new = std::max(std::min<int>(layer_new, device_ctx.grid.get_num_layers() - 1), 0); + xold = std::max(std::min<int>(xold, device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min<int>(yold, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + layer_old = std::max(std::min<int>(layer_old, device_ctx.grid.get_num_layers() - 1), 0); t_bb union_bb_edge; t_bb union_bb; const bool& cube_bb = g_vpr_ctx.placement().cube_bb; + /* Calculating per-layer bounding box is more time consuming compared to cube bounding box. To speed up + * this move, the bounding box used for this move is of the type cube bounding box even if the per-layer + * bounding box is used by placement SA engine. + * If per-layer bounding box is used, we take a union of boundinx boxes on each layer to make a cube bounding box. + * For example, the xmax of this cube boundix box is determined by the maximim x coordinate across all blocks on all layers. + */ if (!cube_bb) { std::tie(union_bb_edge, union_bb) = union_2d_bb_incr(place_move_ctx.layer_bb_num_on_edges[net_id], place_move_ctx.layer_bb_coords[net_id]); @@ -410,5 +456,47 @@ static bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, int xo bb_coord_new.ymin = curr_bb_coord.ymin; bb_coord_new.ymax = curr_bb_coord.ymax; } + + if (layer_new < layer_old) { + if (layer_old == curr_bb_coord.layer_max) { + if (curr_bb_edge.layer_max == 1) { + return false; + } else { + bb_coord_new.layer_max = curr_bb_coord.layer_max; + } + } else { + bb_coord_new.layer_max = curr_bb_coord.layer_max; + } + + if (layer_new < curr_bb_coord.layer_min) { + bb_coord_new.layer_min = layer_new; + } else if (layer_new == curr_bb_coord.layer_min) { + bb_coord_new.layer_min = layer_new; + } else { + bb_coord_new.layer_min = curr_bb_coord.layer_min; + } + + } else if (layer_new > layer_old) { + if (layer_old == curr_bb_coord.layer_min) { + if (curr_bb_edge.layer_min == 1) { + return false; + } else { + bb_coord_new.layer_min = curr_bb_coord.layer_min; + } + } else { + bb_coord_new.layer_min = curr_bb_coord.layer_min; + } + + if (layer_new > curr_bb_coord.layer_max) { + bb_coord_new.layer_max = layer_new; + } else if (layer_new == curr_bb_coord.layer_max) { + bb_coord_new.layer_max = layer_new; + } else { + bb_coord_new.layer_max = curr_bb_coord.layer_max; + } + } else { + bb_coord_new.layer_min = curr_bb_coord.layer_min; + bb_coord_new.layer_max = curr_bb_coord.layer_max; + } return true; } diff --git a/vpr/src/place/move_generator.h b/vpr/src/place/move_generator.h index bc20143da07..34d6d01cfa9 100644 --- a/vpr/src/place/move_generator.h +++ b/vpr/src/place/move_generator.h @@ -47,7 +47,7 @@ class MoveGenerator { /** * @brief Updates affected_blocks with the proposed move, while respecting the current rlim * - * This function proposes a new move and updates blocks affected and move_type accorrdingly. The function interface is general + * This function proposes a new move and updates blocks affected and move_type accordingly. The function interface is general * to match the parameters needed by all move generators * * @param blocks_affected: the output of the move diff --git a/vpr/src/place/move_utils.cpp b/vpr/src/place/move_utils.cpp index 40425bf18b5..3ec00c26970 100644 --- a/vpr/src/place/move_utils.cpp +++ b/vpr/src/place/move_utils.cpp @@ -16,10 +16,15 @@ bool f_placer_breakpoint_reached = false; //Records counts of reasons for aborted moves -static std::map<std::string, size_t> f_move_abort_reasons; +static std::map<std::string, size_t, std::less<>> f_move_abort_reasons; -void log_move_abort(const std::string& reason) { - ++f_move_abort_reasons[reason]; +void log_move_abort(std::string_view reason) { + auto it = f_move_abort_reasons.find(reason); + if (it != f_move_abort_reasons.end()) { + it->second++; + } else { + f_move_abort_reasons.emplace(reason, 1); + } } void report_aborted_moves() { @@ -254,13 +259,13 @@ e_block_move_result record_macro_macro_swaps(t_pl_blocks_to_be_moved& blocks_aff //Continue walking along the overlapping parts of the from and to macros, recording //each block swap. // - //At the momemnt we only support swapping the two macros if they have the same shape. + //At the moment we only support swapping the two macros if they have the same shape. //This will be the case with the common cases we care about (i.e. carry-chains), so //we just abort in any other cases (if these types of macros become more common in //the future this could be updated). // - //Unless the two macros have thier root blocks aligned (i.e. the mutual overlap starts - //at imember_from == 0), then theree will be a fixed offset between the macros' relative + //Unless the two macros have their root blocks aligned (i.e. the mutual overlap starts + //at imember_from == 0), then there will be a fixed offset between the macros' relative //position. We record this as from_to_macro_*_offset which is used to verify the shape //of the macros is consistent. // @@ -923,7 +928,7 @@ bool find_to_loc_centroid(t_logical_block_type_ptr blk_type, ClusterBlockId b_from) { //Retrieve the compressed block grid for this block type const auto& compressed_block_grid = g_vpr_ctx.placement().compressed_block_grids[blk_type->index]; - const int to_layer_num = to_loc.layer; + const int to_layer_num = centroid.layer; VTR_ASSERT(to_layer_num >= 0); const int num_layers = g_vpr_ctx.device().grid.get_num_layers(); @@ -1003,7 +1008,7 @@ bool find_to_loc_centroid(t_logical_block_type_ptr blk_type, } //Array of move type strings -static const std::array<std::string, NUM_PL_MOVE_TYPES + 1> move_type_strings = { +static const std::array<std::string, NUM_PL_MOVE_TYPES + 2> move_type_strings = { "Uniform", "Median", "Centroid", @@ -1011,6 +1016,7 @@ static const std::array<std::string, NUM_PL_MOVE_TYPES + 1> move_type_strings = "W. Median", "Crit. Uniform", "Feasible Region", + "NoC Centroid", "Manual Move"}; //To convert enum move type to string @@ -1035,12 +1041,13 @@ void compressed_grid_to_loc(t_logical_block_type_ptr blk_type, to_loc = t_pl_loc(grid_loc.x, grid_loc.y, sub_tile, grid_loc.layer_num); } -bool has_empty_compatible_subtile(t_logical_block_type_ptr type, const t_physical_tile_loc& to_loc) { +int find_empty_compatible_subtile(t_logical_block_type_ptr type, + const t_physical_tile_loc& to_loc) { auto& device_ctx = g_vpr_ctx.device(); auto& place_ctx = g_vpr_ctx.placement(); const auto& compressed_block_grid = g_vpr_ctx.placement().compressed_block_grids[type->index]; - bool legal = false; + int return_sub_tile = -1; t_pl_loc to_uncompressed_loc; compressed_grid_to_loc(type, to_loc, to_uncompressed_loc); @@ -1049,12 +1056,12 @@ bool has_empty_compatible_subtile(t_logical_block_type_ptr type, const t_physica const auto& compatible_sub_tiles = compressed_block_grid.compatible_sub_tiles_for_tile.at(phy_type->index); for (const auto& sub_tile : compatible_sub_tiles) { if (place_ctx.grid_blocks.is_sub_tile_empty(to_phy_uncompressed_loc, sub_tile)) { - legal = true; + return_sub_tile = sub_tile; break; } } - return legal; + return return_sub_tile; } bool find_compatible_compressed_loc_in_range(t_logical_block_type_ptr type, @@ -1147,7 +1154,7 @@ bool find_compatible_compressed_loc_in_range(t_logical_block_type_ptr type, if (from_loc.x == to_loc.x && from_loc.y == to_loc.y && from_loc.layer_num == to_layer_num) { continue; //Same from/to location -- try again for new y-position } else if (search_for_empty) { // Check if the location has at least one empty sub-tile - legal = has_empty_compatible_subtile(type, to_loc); + legal = find_empty_compatible_subtile(type, to_loc) >= 0; } else { legal = true; } @@ -1276,13 +1283,10 @@ bool intersect_range_limit_with_floorplan_constraints(t_logical_block_type_ptr t max_grid_loc.y, layer_num}); - auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); - PartitionRegion pr = floorplanning_ctx.cluster_constraints[b_from]; - std::vector<Region> regions; - if (!pr.empty()) { - regions = pr.get_partition_region(); - } + const PartitionRegion& pr = floorplanning_ctx.cluster_constraints[b_from]; + const std::vector<Region>& regions = pr.get_regions(); Region intersect_reg; /* * If region size is greater than 1, the block is constrained to more than one rectangular region. diff --git a/vpr/src/place/move_utils.h b/vpr/src/place/move_utils.h index 3ff8e729833..24bdfcb7ebc 100644 --- a/vpr/src/place/move_utils.h +++ b/vpr/src/place/move_utils.h @@ -27,6 +27,7 @@ enum class e_move_type { W_MEDIAN, CRIT_UNIFORM, FEASIBLE_REGION, + NOC_ATTRACTION_CENTROID, NUMBER_OF_AUTO_MOVES, MANUAL_MOVE = NUMBER_OF_AUTO_MOVES, INVALID_MOVE @@ -69,6 +70,8 @@ struct t_bb_cost { t_edge_cost xmax = {0, 0.0}; t_edge_cost ymin = {0, 0.0}; t_edge_cost ymax = {0, 0.0}; + t_edge_cost layer_min = {0, 0.}; + t_edge_cost layer_max = {0, 0.}; }; /** @@ -85,7 +88,7 @@ struct t_range_limiters { }; //Records a reasons for an aborted move -void log_move_abort(const std::string& reason); +void log_move_abort(std::string_view reason); //Prints a breif report about aborted move reasons and counts void report_aborted_moves(); @@ -224,15 +227,18 @@ void compressed_grid_to_loc(t_logical_block_type_ptr blk_type, t_pl_loc& to_loc); /** - * @brief Checks whether the given location has a compatible empty subtile with - * the given type. + * @brief Tries to find an compatible empty subtile with the given type at + * the given location. If such a subtile could be found, the subtile number + * is returned. Otherwise, -1 is returned to indicate that there are no + * compatible subtiles at the given location. * * @param type logical block type * @param to_loc The location to be checked * - * @return bool True if the given location has at least one empty compatible subtile. + * @return int The subtile number if there is an empty compatible subtile, otherwise -1 + * is returned to indicate that there are no empty subtiles compatible with the given type.. */ -bool has_empty_compatible_subtile(t_logical_block_type_ptr type, +int find_empty_compatible_subtile(t_logical_block_type_ptr type, const t_physical_tile_loc& to_loc); /** @@ -247,7 +253,7 @@ bool has_empty_compatible_subtile(t_logical_block_type_ptr type, * search_for_empty: indicates that the returned location must be empty */ bool find_compatible_compressed_loc_in_range(t_logical_block_type_ptr type, - const int delta_cx, + int delta_cx, const t_physical_tile_loc& from_loc, t_bb search_range, t_physical_tile_loc& to_loc, diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index ac9fde26038..9c763c37b8f 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -22,7 +22,7 @@ static vtr::vector<NocTrafficFlowId, TrafficFlowPlaceCost> traffic_flow_costs, p static std::vector<NocTrafficFlowId> affected_traffic_flows; /* Proposed and actual congestion cost of a NoC link used for each move assessment */ -static vtr::vector<NocLinkId , double> link_congestion_costs, proposed_link_congestion_costs; +static vtr::vector<NocLinkId, double> link_congestion_costs, proposed_link_congestion_costs; /* Keeps track of NoC links whose bandwidth usage have been updated at each attempted placement move*/ static std::unordered_set<NocLinkId> affected_noc_links; @@ -70,7 +70,7 @@ void initial_noc_routing() { const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // update the traffic flow route based on where the router cluster blocks are placed - std::vector<NocLinkId>& curr_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_ctx.noc_model,noc_traffic_flows_storage, *noc_ctx.noc_flows_router); + std::vector<NocLinkId>& curr_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_ctx.noc_model, noc_traffic_flows_storage, *noc_ctx.noc_flows_router); // update the links used in the found traffic flow route, links' bandwidth should be incremented since the traffic flow is routed update_traffic_flow_link_usage(curr_traffic_flow_route, noc_ctx.noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); @@ -137,7 +137,8 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move // calculate the new aggregate bandwidth and latency costs for the affected traffic flow proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = calculate_traffic_flow_aggregate_bandwidth_cost(traffic_flow_route, curr_traffic_flow); std::tie(proposed_traffic_flow_costs[traffic_flow_id].latency, - proposed_traffic_flow_costs[traffic_flow_id].latency_overrun) = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow); + proposed_traffic_flow_costs[traffic_flow_id].latency_overrun) + = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow); // compute how much the aggregate bandwidth and latency costs change with this swap delta_c.aggregate_bandwidth += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; @@ -174,7 +175,7 @@ void commit_noc_costs() { } // Iterate over all the NoC links whose bandwidth utilization was affected by the proposed move - for(auto link_id : affected_noc_links) { + for (auto link_id : affected_noc_links) { // get the affected link const auto& link = noc_ctx.noc_model.get_single_noc_link(link_id); @@ -449,7 +450,7 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc vtr::vector<NocLinkId, NocLink> temp_noc_link_storage = noc_model.get_noc_links(); // reset bandwidth utilization for all links - std::for_each(temp_noc_link_storage.begin(), temp_noc_link_storage.end(), [](NocLink& link) {link.set_bandwidth_usage(0.0); }); + std::for_each(temp_noc_link_storage.begin(), temp_noc_link_storage.end(), [](NocLink& link) { link.set_bandwidth_usage(0.0); }); // need to create a temporary noc routing algorithm std::unique_ptr<NocRouting> temp_noc_routing_algorithm = NocRoutingAlgorithmCreator::create_routing_algorithm(noc_opts.noc_routing_algorithm); @@ -494,7 +495,7 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc } // Iterate over all NoC links and accumulate congestion cost - for(const auto& link : temp_noc_link_storage) { + for (const auto& link : temp_noc_link_storage) { cost_check.congestion += calculate_link_congestion_cost(link); } @@ -611,11 +612,7 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, * is computed. Weighting factors determine the contribution of each * normalized term to the sum. */ - cost = noc_opts.noc_placement_weighting * ( - cost_terms.aggregate_bandwidth * norm_factors.aggregate_bandwidth * noc_opts.noc_aggregate_bandwidth_weighting + - cost_terms.latency * norm_factors.latency * noc_opts.noc_latency_weighting + - cost_terms.latency_overrun * norm_factors.latency_overrun * noc_opts.noc_latency_constraints_weighting + - cost_terms.congestion * norm_factors.congestion * noc_opts.noc_congestion_weighting); + cost = noc_opts.noc_placement_weighting * (cost_terms.aggregate_bandwidth * norm_factors.aggregate_bandwidth * noc_opts.noc_aggregate_bandwidth_weighting + cost_terms.latency * norm_factors.latency * noc_opts.noc_latency_weighting + cost_terms.latency_overrun * norm_factors.latency_overrun * noc_opts.noc_latency_constraints_weighting + cost_terms.congestion * norm_factors.congestion * noc_opts.noc_congestion_weighting); return cost; } @@ -662,11 +659,11 @@ int get_number_of_congested_noc_links() { // Iterate over all NoC links and count the congested ones for (const auto& link : noc_links) { - double congested_bw_ratio = link.get_congested_bandwidth_ratio(); + double congested_bw_ratio = link.get_congested_bandwidth_ratio(); - if (congested_bw_ratio > MIN_EXPECTED_NOC_CONGESTION_COST) { + if (congested_bw_ratio > MIN_EXPECTED_NOC_CONGESTION_COST) { num_congested_links++; - } + } } return num_congested_links; @@ -680,8 +677,8 @@ double get_total_congestion_bandwidth_ratio() { // Iterate over all NoC links and count the congested ones for (const auto& link : noc_links) { - double congested_bw_ratio = link.get_congested_bandwidth_ratio(); - accum_congestion_ratio += congested_bw_ratio; + double congested_bw_ratio = link.get_congested_bandwidth_ratio(); + accum_congestion_ratio += congested_bw_ratio; } return accum_congestion_ratio; @@ -695,8 +692,8 @@ std::vector<NocLink> get_top_n_congested_links(int n) { // stable_sort is used to make sure the order is the same across different machines/compilers // Note that when the vector is sorted, indexing it with NocLinkId does return the corresponding link std::stable_sort(noc_links.begin(), noc_links.end(), [](const NocLink& l1, const NocLink& l2) { - return l1.get_congested_bandwidth_ratio() > l2.get_congested_bandwidth_ratio(); - }); + return l1.get_congested_bandwidth_ratio() > l2.get_congested_bandwidth_ratio(); + }); int pick_n = std::min((int)noc_links.size(), n); @@ -883,8 +880,8 @@ bool noc_routing_has_cycle() { static std::vector<NocLinkId> find_affected_links_by_flow_reroute(std::vector<NocLinkId>& prev_links, std::vector<NocLinkId>& curr_links) { // Sort both link containers - std::sort(prev_links.begin(), prev_links.end()); - std::sort(curr_links.begin(), curr_links.end()); + std::stable_sort(prev_links.begin(), prev_links.end()); + std::stable_sort(curr_links.begin(), curr_links.end()); // stores links that appear either in prev_links or curr_links but not both of them std::vector<NocLinkId> unique_links; diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 079cc5f001b..7a0c3f565d2 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -201,7 +201,8 @@ void update_traffic_flow_link_usage(const std::vector<NocLinkId>& traffic_flow_r */ void re_route_associated_traffic_flows(ClusterBlockId moved_router_block_id, NocTrafficFlows& noc_traffic_flows_storage, - NocStorage& noc_model, NocRouting& noc_flows_router, + NocStorage& noc_model, + NocRouting& noc_flows_router, std::unordered_set<NocTrafficFlowId>& updated_traffic_flows); /** @@ -453,7 +454,6 @@ double get_total_congestion_bandwidth_ratio(); */ std::vector<NocLink> get_top_n_congested_links(int n); - /** * @brief Goes through all NoC links and determines whether they * are congested or not. Then finds n links that are most congested. diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index efe21d52122..6c71635e24b 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -5,7 +5,6 @@ #include <iostream> #include <numeric> #include <chrono> -#include <vtr_ndmatrix.h> #include <optional> #include "NetPinTimingInvalidator.h" @@ -16,6 +15,7 @@ #include "vtr_geometry.h" #include "vtr_time.h" #include "vtr_math.h" +#include "vtr_ndmatrix.h" #include "vpr_types.h" #include "vpr_error.h" @@ -75,7 +75,7 @@ * -1*(1.5-REWARD_BB_TIMING_RELATIVE_WEIGHT)*timing_cost + (1+REWARD_BB_TIMING_RELATIVE_WEIGHT)*bb_cost) */ -#define REWARD_BB_TIMING_RELATIVE_WEIGHT 0.4 +static constexpr float REWARD_BB_TIMING_RELATIVE_WEIGHT = 0.4; #ifdef VTR_ENABLE_DEBUG_LOGGING # include "draw_types.h" @@ -90,12 +90,12 @@ using std::min; /* This defines the error tolerance for floating points variables used in * * cost computation. 0.01 means that there is a 1% error tolerance. */ -#define ERROR_TOL .01 +static constexpr double ERROR_TOL = .01; /* This defines the maximum number of swap attempts before invoking the * * once-in-a-while placement legality check as well as floating point * * variables round-offs check. */ -#define MAX_MOVES_BEFORE_RECOMPUTE 500000 +static constexpr int MAX_MOVES_BEFORE_RECOMPUTE = 500000; /* Flags for the states of the bounding box. * * Stored as char for memory efficiency. */ @@ -103,7 +103,7 @@ using std::min; #define UPDATED_ONCE 'U' #define GOT_FROM_SCRATCH 'S' -/* For comp_cost. NORMAL means use the method that generates updateable * +/* For comp_cost. NORMAL means use the method that generates updatable * * bounding boxes for speed. CHECK means compute all bounding boxes from * * scratch using a very simple routine to allow checks of the other * * costs. @@ -648,7 +648,7 @@ void try_place(const Netlist<>& net_list, * pow(net_list.blocks().size(), 1.3333)); //create the move generator based on the chosen strategy - create_move_generators(move_generator, move_generator2, placer_opts, move_lim); + create_move_generators(move_generator, move_generator2, placer_opts, move_lim, noc_opts.noc_centroid_weight); alloc_and_load_placement_structs(placer_opts.place_cost_exp, placer_opts, noc_opts, directs, num_directs); @@ -825,7 +825,8 @@ void try_place(const Netlist<>& net_list, VTR_LOG("Initial placement cost: %g bb_cost: %g td_cost: %g\n", costs.cost, costs.bb_cost, costs.timing_cost); if (noc_opts.noc) { - VTR_LOG("NoC Placement Costs. " + VTR_LOG( + "NoC Placement Costs. " "cost: %g, " "aggregate_bandwidth_cost: %g, " "latency_cost: %g, " @@ -892,9 +893,6 @@ void try_place(const Netlist<>& net_list, costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); - - - } //Draw the initial placement update_screen(ScreenUpdatePriority::MAJOR, msg, PLACEMENT, timing_info); @@ -932,6 +930,7 @@ void try_place(const Netlist<>& net_list, //allocate helper vectors that are used by many move generators place_move_ctx.X_coord.resize(10, 0); place_move_ctx.Y_coord.resize(10, 0); + place_move_ctx.layer_coord.resize(10, 0); //allocate move type statistics vectors MoveTypeStat move_type_stat; @@ -1064,7 +1063,7 @@ void try_place(const Netlist<>& net_list, //#endif } while (state.outer_loop_update(stats.success_rate, costs, placer_opts, annealing_sched)); - /* Outer loop of the simmulated annealing ends */ + /* Outer loop of the simulated annealing ends */ } //skip_anneal ends /* Start Quench */ @@ -1082,7 +1081,7 @@ void try_place(const Netlist<>& net_list, placer_setup_slacks.get(), pin_timing_invalidator.get(), timing_info.get()); - //move the appropoiate move_generator to be the current used move generator + //move the appropriate move_generator to be the current used move generator assign_current_move_generator(move_generator, move_generator2, agent_state, placer_opts, true, current_move_generator); @@ -1223,7 +1222,8 @@ void try_place(const Netlist<>& net_list, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); - VTR_LOG("\nNoC Placement Costs. " + VTR_LOG( + "\nNoC Placement Costs. " "cost: %g, " "aggregate_bandwidth_cost: %g, " "latency_cost: %g, " @@ -1480,7 +1480,6 @@ static void recompute_costs_from_scratch(const t_placer_opts& placer_opts, "noc_congestion_cost"); } costs->noc_cost_terms.congestion = new_noc_cost.congestion; - } } @@ -1710,8 +1709,8 @@ static e_move_result try_swap(const t_annealing_state* state, if (manual_move_enabled) { #ifndef NO_GRAPHICS create_move_outcome = manual_move_display_and_propose(manual_move_generator, blocks_affected, proposed_action.move_type, rlim, placer_opts, criticalities); -#else //NO_GRAPHICS - // Cast to void to explicitly avoid warning. +#else //NO_GRAPHICS + //Cast to void to explicitly avoid warning. (void)manual_move_generator; #endif //NO_GRAPHICS } else if (router_block_move) { @@ -1820,7 +1819,6 @@ static e_move_result try_swap(const t_annealing_state* state, delta_c = bb_delta_c * costs->bb_cost_norm; } - NocCostTerms noc_delta_c; // change in NoC cost /* Update the NoC datastructure and costs*/ if (noc_opts.noc) { @@ -1955,6 +1953,8 @@ static e_move_result try_swap(const t_annealing_state* state, if (!router_block_move) { calculate_reward_and_process_outcome(placer_opts, move_outcome_stats, delta_c, timing_bb_factor, move_generator); + } else { +// std::cout << "Group move delta cost: " << delta_c << std::endl; } #ifdef VTR_ENABLE_DEBUG_LOGGING @@ -2393,8 +2393,8 @@ static float analyze_setup_slack_cost(const PlacerSetupSlacks* setup_slacks) { } //Sort in ascending order, from the worse slack value to the best - std::sort(original_setup_slacks.begin(), original_setup_slacks.end()); - std::sort(proposed_setup_slacks.begin(), proposed_setup_slacks.end()); + std::stable_sort(original_setup_slacks.begin(), original_setup_slacks.end()); + std::stable_sort(proposed_setup_slacks.begin(), proposed_setup_slacks.end()); //Check the first pair of slack values that are different //If found, return their difference @@ -2818,8 +2818,8 @@ static void get_bb_from_scratch(ClusterNetId net_id, t_bb& coords, t_bb& num_on_edges, vtr::NdMatrixProxy<int, 1> num_sink_pin_layer) { - int pnum, x, y, pin_layer, xmin, xmax, ymin, ymax; - int xmin_edge, xmax_edge, ymin_edge, ymax_edge; + int pnum, x, y, pin_layer, xmin, xmax, ymin, ymax, layer_min, layer_max; + int xmin_edge, xmax_edge, ymin_edge, ymax_edge, layer_min_edge, layer_max_edge; auto& cluster_ctx = g_vpr_ctx.clustering(); auto& place_ctx = g_vpr_ctx.placement(); @@ -2833,18 +2833,25 @@ static void get_bb_from_scratch(ClusterNetId net_id, + physical_tile_type(bnum)->pin_width_offset[pnum]; y = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + pin_layer = place_ctx.block_locs[bnum].loc.layer; x = max(min<int>(x, grid.width() - 2), 1); y = max(min<int>(y, grid.height() - 2), 1); + pin_layer = max(min<int>(pin_layer, grid.get_num_layers() - 1), 0); xmin = x; ymin = y; + layer_min = pin_layer; xmax = x; ymax = y; + layer_max = pin_layer; + xmin_edge = 1; ymin_edge = 1; + layer_min_edge = 1; xmax_edge = 1; ymax_edge = 1; + layer_max_edge = 1; for (int layer_num = 0; layer_num < grid.get_num_layers(); layer_num++) { num_sink_pin_layer[layer_num] = 0; @@ -2868,6 +2875,7 @@ static void get_bb_from_scratch(ClusterNetId net_id, x = max(min<int>(x, grid.width() - 2), 1); //-2 for no perim channels y = max(min<int>(y, grid.height() - 2), 1); //-2 for no perim channels + pin_layer = max(min<int>(pin_layer, grid.get_num_layers() - 1), 0); if (x == xmin) { xmin_edge++; @@ -2895,6 +2903,19 @@ static void get_bb_from_scratch(ClusterNetId net_id, ymax_edge = 1; } + if (pin_layer == layer_min) { + layer_min_edge++; + } + if (pin_layer == layer_max) { + layer_max_edge++; + } else if (pin_layer < layer_min) { + layer_min = pin_layer; + layer_min_edge = 1; + } else if (pin_layer > layer_max) { + layer_max = pin_layer; + layer_max_edge = 1; + } + num_sink_pin_layer[pin_layer]++; } @@ -2904,11 +2925,18 @@ static void get_bb_from_scratch(ClusterNetId net_id, coords.xmax = xmax; coords.ymin = ymin; coords.ymax = ymax; + coords.layer_min = layer_min; + coords.layer_max = layer_max; + VTR_ASSERT_DEBUG(layer_min >= 0 && layer_min < device_ctx.grid.get_num_layers()); + VTR_ASSERT_DEBUG(layer_max >= 0 && layer_max < device_ctx.grid.get_num_layers()); + num_on_edges.xmin = xmin_edge; num_on_edges.xmax = xmax_edge; num_on_edges.ymin = ymin_edge; num_on_edges.ymax = ymax_edge; + num_on_edges.layer_min = layer_min_edge; + num_on_edges.layer_max = layer_max_edge; } /* This routine finds the bounding box of each net from scratch when the bounding box is of type per-layer (i.e. * @@ -3163,7 +3191,7 @@ static void get_non_updateable_bb(ClusterNetId net_id, vtr::NdMatrixProxy<int, 1> num_sink_pin_layer) { //TODO: account for multiple physical pin instances per logical pin - int xmax, ymax, xmin, ymin, x, y, layer; + int xmax, ymax, layer_max, xmin, ymin, layer_min, x, y, layer; int pnum; auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -3177,11 +3205,14 @@ static void get_non_updateable_bb(ClusterNetId net_id, + physical_tile_type(bnum)->pin_width_offset[pnum]; y = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + layer = place_ctx.block_locs[bnum].loc.layer; xmin = x; ymin = y; + layer_min = layer; xmax = x; ymax = y; + layer_max = layer; for (int layer_num = 0; layer_num < device_ctx.grid.get_num_layers(); layer_num++) { num_sink_pin_layer[layer_num] = 0; @@ -3208,6 +3239,12 @@ static void get_non_updateable_bb(ClusterNetId net_id, ymax = y; } + if (layer < layer_min) { + layer_min = layer; + } else if (layer > layer_max) { + layer_max = layer; + } + num_sink_pin_layer[layer]++; } @@ -3221,8 +3258,10 @@ static void get_non_updateable_bb(ClusterNetId net_id, bb_coord_new.xmin = max(min<int>(xmin, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymin = max(min<int>(ymin, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + bb_coord_new.layer_min = max(min<int>(layer_min, device_ctx.grid.get_num_layers() - 1), 0); bb_coord_new.xmax = max(min<int>(xmax, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymax = max(min<int>(ymax, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + bb_coord_new.layer_max = max(min<int>(layer_max, device_ctx.grid.get_num_layers() - 1), 0); } static void get_non_updateable_layer_bb(ClusterNetId net_id, @@ -3322,8 +3361,10 @@ static void update_bb(ClusterNetId net_id, pin_new_loc.x = max(min<int>(pin_new_loc.x, device_ctx.grid.width() - 2), 1); //-2 for no perim channels pin_new_loc.y = max(min<int>(pin_new_loc.y, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + pin_new_loc.layer_num = max(min<int>(pin_new_loc.layer_num, device_ctx.grid.get_num_layers() - 1), 0); pin_old_loc.x = max(min<int>(pin_old_loc.x, device_ctx.grid.width() - 2), 1); //-2 for no perim channels pin_old_loc.y = max(min<int>(pin_old_loc.y, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + pin_old_loc.layer_num = max(min<int>(pin_old_loc.layer_num, device_ctx.grid.get_num_layers() - 1), 0); /* Check if the net had been updated before. */ if (bb_updated_before[net_id] == GOT_FROM_SCRATCH) { @@ -3503,6 +3544,75 @@ static void update_bb(ClusterNetId net_id, num_sink_pin_layer_new[pin_new_loc.layer_num] = (curr_num_sink_pin_layer)[pin_new_loc.layer_num] + 1; } } + + if (pin_new_loc.layer_num < pin_old_loc.layer_num) { + if (pin_old_loc.layer_num == curr_bb_coord->layer_max) { + if (curr_bb_edge->layer_max == 1) { + get_bb_from_scratch(net_id, bb_coord_new, bb_edge_new, num_sink_pin_layer_new); + bb_updated_before[net_id] = GOT_FROM_SCRATCH; + return; + } else { + bb_edge_new.layer_max = curr_bb_edge->layer_max - 1; + bb_coord_new.layer_max = curr_bb_coord->layer_max; + } + } else { + bb_coord_new.layer_max = curr_bb_coord->layer_max; + bb_edge_new.layer_max = curr_bb_edge->layer_max; + } + + + if (pin_new_loc.layer_num < curr_bb_coord->layer_min) { + bb_coord_new.layer_min = pin_new_loc.layer_num; + bb_edge_new.layer_min = 1; + } else if (pin_new_loc.layer_num == curr_bb_coord->layer_min) { + bb_coord_new.layer_min = pin_new_loc.layer_num; + bb_edge_new.layer_min = curr_bb_edge->layer_min + 1; + } else { + bb_coord_new.layer_min = curr_bb_coord->layer_min; + bb_edge_new.layer_min = curr_bb_edge->layer_min; + } + + } else if (pin_new_loc.layer_num > pin_old_loc.layer_num) { + + + if (pin_old_loc.layer_num == curr_bb_coord->layer_min) { + if (curr_bb_edge->layer_min == 1) { + get_bb_from_scratch(net_id, bb_coord_new, bb_edge_new, num_sink_pin_layer_new); + bb_updated_before[net_id] = GOT_FROM_SCRATCH; + return; + } else { + bb_edge_new.layer_min = curr_bb_edge->layer_min - 1; + bb_coord_new.layer_min = curr_bb_coord->layer_min; + } + } else { + bb_coord_new.layer_min = curr_bb_coord->layer_min; + bb_edge_new.layer_min = curr_bb_edge->layer_min; + } + + if (pin_new_loc.layer_num > curr_bb_coord->layer_max) { + bb_coord_new.layer_max = pin_new_loc.layer_num; + bb_edge_new.layer_max = 1; + } else if (pin_new_loc.layer_num == curr_bb_coord->layer_max) { + bb_coord_new.layer_max = pin_new_loc.layer_num; + bb_edge_new.layer_max = curr_bb_edge->layer_max + 1; + } else { + bb_coord_new.layer_max = curr_bb_coord->layer_max; + bb_edge_new.layer_max = curr_bb_edge->layer_max; + } + + + } else { + bb_coord_new.layer_min = curr_bb_coord->layer_min; + bb_coord_new.layer_max = curr_bb_coord->layer_max; + bb_edge_new.layer_min = curr_bb_edge->layer_min; + bb_edge_new.layer_max = curr_bb_edge->layer_max; + } + + } else { + bb_coord_new.layer_min = curr_bb_coord->layer_min; + bb_coord_new.layer_max = curr_bb_coord->layer_max; + bb_edge_new.layer_min = curr_bb_edge->layer_min; + bb_edge_new.layer_max = curr_bb_edge->layer_max; } if (bb_updated_before[net_id] == NOT_UPDATED_YET) { @@ -4250,7 +4360,6 @@ static void print_place_status_header(bool noc_enabled) { VTR_LOG( "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------ -------- -------- --------- ---------\n"); } - } static void print_place_status(const t_annealing_state& state, diff --git a/vpr/src/place/place_constraints.cpp b/vpr/src/place/place_constraints.cpp index f1c5045251b..72f7925ff28 100644 --- a/vpr/src/place/place_constraints.cpp +++ b/vpr/src/place/place_constraints.cpp @@ -33,8 +33,7 @@ int check_placement_floorplanning() { /*returns true if cluster has floorplanning constraints, false if it doesn't*/ bool is_cluster_constrained(ClusterBlockId blk_id) { auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); - PartitionRegion pr; - pr = floorplanning_ctx.cluster_constraints[blk_id]; + const PartitionRegion& pr = floorplanning_ctx.cluster_constraints[blk_id]; return (!pr.empty()); } @@ -42,8 +41,8 @@ bool is_macro_constrained(const t_pl_macro& pl_macro) { bool is_macro_constrained = false; bool is_member_constrained = false; - for (size_t imember = 0; imember < pl_macro.members.size(); imember++) { - ClusterBlockId iblk = pl_macro.members[imember].blk_index; + for (const auto& member : pl_macro.members) { + ClusterBlockId iblk = member.blk_index; is_member_constrained = is_cluster_constrained(iblk); if (is_member_constrained) { @@ -62,25 +61,25 @@ PartitionRegion update_macro_head_pr(const t_pl_macro& pl_macro, const Partition int num_constrained_members = 0; auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); - for (size_t imember = 0; imember < pl_macro.members.size(); imember++) { - ClusterBlockId iblk = pl_macro.members[imember].blk_index; + for (const auto& member : pl_macro.members) { + ClusterBlockId iblk = member.blk_index; is_member_constrained = is_cluster_constrained(iblk); if (is_member_constrained) { num_constrained_members++; - //PartitionRegion of the constrained block - PartitionRegion block_pr; + //PartitionRegion of the constrained block modified for the head according to the offset PartitionRegion modified_pr; - block_pr = floorplanning_ctx.cluster_constraints[iblk]; - std::vector<Region> block_regions = block_pr.get_partition_region(); + //PartitionRegion of the constrained block + const PartitionRegion& block_pr = floorplanning_ctx.cluster_constraints[iblk]; + const std::vector<Region>& block_regions = block_pr.get_regions(); - for (unsigned int i = 0; i < block_regions.size(); i++) { + for (const auto& block_region : block_regions) { Region modified_reg; - auto offset = pl_macro.members[imember].offset; + auto offset = member.offset; - const auto block_reg_coord = block_regions[i].get_region_rect(); + const auto block_reg_coord = block_region.get_region_rect(); modified_reg.set_region_rect({block_reg_coord.xmin - offset.x, block_reg_coord.ymin - offset.y, @@ -89,8 +88,8 @@ PartitionRegion update_macro_head_pr(const t_pl_macro& pl_macro, const Partition block_reg_coord.layer_num}); //check that subtile is not an invalid value before changing, otherwise it just stays -1 - if (block_regions[i].get_sub_tile() != NO_SUBTILE) { - modified_reg.set_sub_tile(block_regions[i].get_sub_tile() - offset.sub_tile); + if (block_region.get_sub_tile() != NO_SUBTILE) { + modified_reg.set_sub_tile(block_region.get_sub_tile() - offset.sub_tile); } modified_pr.add_to_part_region(modified_reg); @@ -116,13 +115,13 @@ PartitionRegion update_macro_head_pr(const t_pl_macro& pl_macro, const Partition } PartitionRegion update_macro_member_pr(PartitionRegion& head_pr, const t_pl_offset& offset, const PartitionRegion& grid_pr, const t_pl_macro& pl_macro) { - std::vector<Region> block_regions = head_pr.get_partition_region(); + const std::vector<Region>& block_regions = head_pr.get_regions(); PartitionRegion macro_pr; - for (unsigned int i = 0; i < block_regions.size(); i++) { + for (const auto& block_region : block_regions) { Region modified_reg; - const auto block_reg_coord = block_regions[i].get_region_rect(); + const auto block_reg_coord = block_region.get_region_rect(); modified_reg.set_region_rect({block_reg_coord.xmin + offset.x, block_reg_coord.ymin + offset.y, @@ -131,8 +130,8 @@ PartitionRegion update_macro_member_pr(PartitionRegion& head_pr, const t_pl_offs block_reg_coord.layer_num}); //check that subtile is not an invalid value before changing, otherwise it just stays -1 - if (block_regions[i].get_sub_tile() != NO_SUBTILE) { - modified_reg.set_sub_tile(block_regions[i].get_sub_tile() + offset.sub_tile); + if (block_region.get_sub_tile() != NO_SUBTILE) { + modified_reg.set_sub_tile(block_region.get_sub_tile() + offset.sub_tile); } macro_pr.add_to_part_region(modified_reg); @@ -154,9 +153,9 @@ void print_macro_constraint_error(const t_pl_macro& pl_macro) { VTR_LOG( "Feasible floorplanning constraints could not be calculated for the placement macro. \n" "The placement macro contains the following blocks: \n"); - for (unsigned int i = 0; i < pl_macro.members.size(); i++) { - std::string blk_name = cluster_ctx.clb_nlist.block_name((pl_macro.members[i].blk_index)); - VTR_LOG("Block %s (#%zu) ", blk_name.c_str(), size_t(pl_macro.members[i].blk_index)); + for (const auto& member : pl_macro.members) { + std::string blk_name = cluster_ctx.clb_nlist.block_name((member.blk_index)); + VTR_LOG("Block %s (#%zu) ", blk_name.c_str(), size_t(member.blk_index)); } VTR_LOG("\n"); VPR_ERROR(VPR_ERROR_PLACE, " \n Check that the above-mentioned placement macro blocks have compatible floorplan constraints.\n"); @@ -250,7 +249,7 @@ void load_cluster_constraints() { PartitionRegion empty_pr; floorplanning_ctx.cluster_constraints[cluster_id] = empty_pr; - //if there are any constrainted atoms in the cluster, + //if there are any constrained atoms in the cluster, //we update the cluster's PartitionRegion for (auto atom : *atoms) { PartitionId partid = floorplanning_ctx.constraints.get_atom_partition(atom); @@ -372,7 +371,7 @@ int region_tile_cover(const Region& reg, t_logical_block_type_ptr block_type, t_ } /* - * Used when marking fixed blocks to check whether the ParitionRegion associated with a block + * Used when marking fixed blocks to check whether the PartitionRegion associated with a block * covers one tile. If it covers one tile, it is marked as fixed. If it covers 0 tiles or * more than one tile, it will not be marked as fixed. As soon as it is known that the * PartitionRegion covers more than one tile, there is no need to check further regions @@ -380,7 +379,7 @@ int region_tile_cover(const Region& reg, t_logical_block_type_ptr block_type, t_ */ bool is_pr_size_one(PartitionRegion& pr, t_logical_block_type_ptr block_type, t_pl_loc& loc) { auto& device_ctx = g_vpr_ctx.device(); - std::vector<Region> regions = pr.get_partition_region(); + const std::vector<Region>& regions = pr.get_regions(); bool pr_size_one; int pr_size = 0; int reg_size; @@ -439,11 +438,11 @@ bool is_pr_size_one(PartitionRegion& pr, t_logical_block_type_ptr block_type, t_ } int get_part_reg_size(PartitionRegion& pr, t_logical_block_type_ptr block_type, GridTileLookup& grid_tiles) { - std::vector<Region> part_reg = pr.get_partition_region(); + const std::vector<Region>& regions = pr.get_regions(); int num_tiles = 0; - for (unsigned int i_reg = 0; i_reg < part_reg.size(); i_reg++) { - num_tiles += grid_tiles.region_tile_count(part_reg[i_reg], block_type); + for (const auto& region : regions) { + num_tiles += grid_tiles.region_tile_count(region, block_type); } return num_tiles; diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index 6c7f506ee3e..52b9fdeb3d1 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -493,4 +493,3 @@ NocCostTerms& NocCostTerms::operator+=(const NocCostTerms& noc_delta_cost) { return *this; } - diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index 12bd6ce745b..d65d460446c 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -98,18 +98,18 @@ class t_placer_costs { public: //Mutator /** - * @brief Mutator: updates the norm factors in the outer loop iteration. - * - * At each temperature change we update these values to be used - * for normalizing the trade-off between timing and wirelength (bb) - */ + * @brief Mutator: updates the norm factors in the outer loop iteration. + * + * At each temperature change we update these values to be used + * for normalizing the trade-off between timing and wirelength (bb) + */ void update_norm_factors(); /** - * @brief Accumulates NoC cost difference terms - * - * @param noc_delta_cost Cost difference for NoC-related costs terms - */ + * @brief Accumulates NoC cost difference terms + * + * @param noc_delta_cost Cost difference for NoC-related costs terms + */ t_placer_costs& operator+=(const NocCostTerms& noc_delta_cost); private: @@ -193,15 +193,15 @@ class t_annealing_state { public: //Mutator /** - * @brief Update the annealing state according to the annealing schedule selected. - * - * USER_SCHED: A manual fixed schedule with fixed alpha and exit criteria. - * AUTO_SCHED: A more sophisticated schedule where alpha varies based on success ratio. - * DUSTY_SCHED: This schedule jumps backward and slows down in response to success ratio. - * See doc/src/vpr/dusty_sa.rst for more details. - * - * @return True->continues the annealing. False->exits the annealing. - */ + * @brief Update the annealing state according to the annealing schedule selected. + * + * USER_SCHED: A manual fixed schedule with fixed alpha and exit criteria. + * AUTO_SCHED: A more sophisticated schedule where alpha varies based on success ratio. + * DUSTY_SCHED: This schedule jumps backward and slows down in response to success ratio. + * See doc/src/vpr/dusty_sa.rst for more details. + * + * @return True->continues the annealing. False->exits the annealing. + */ bool outer_loop_update(float success_rate, const t_placer_costs& costs, const t_placer_opts& placer_opts, @@ -209,35 +209,35 @@ class t_annealing_state { private: //Mutator /** - * @brief Update the range limiter to keep acceptance prob. near 0.44. - * - * Use a floating point rlim to allow gradual transitions at low temps. - * The range is bounded by 1 (FINAL_RLIM) and the grid size (UPPER_RLIM). - */ + * @brief Update the range limiter to keep acceptance prob. near 0.44. + * + * Use a floating point rlim to allow gradual transitions at low temps. + * The range is bounded by 1 (FINAL_RLIM) and the grid size (UPPER_RLIM). + */ inline void update_rlim(float success_rate); /** - * @brief Update the criticality exponent. - * - * When rlim shrinks towards the FINAL_RLIM value (indicating - * that we are fine-tuning a more optimized placement), we can - * focus more on a smaller number of critical connections. - * To achieve this, we make the crit_exponent sharper, so that - * critical connections would become more critical than before. - * - * We calculate how close rlim is to its final value comparing - * to its initial value. Then, we apply the same scaling factor - * on the crit_exponent so that it lands on the suitable value - * between td_place_exp_first and td_place_exp_last. The scaling - * factor is calculated and applied linearly. - */ + * @brief Update the criticality exponent. + * + * When rlim shrinks towards the FINAL_RLIM value (indicating + * that we are fine-tuning a more optimized placement), we can + * focus more on a smaller number of critical connections. + * To achieve this, we make the crit_exponent sharper, so that + * critical connections would become more critical than before. + * + * We calculate how close rlim is to its final value comparing + * to its initial value. Then, we apply the same scaling factor + * on the crit_exponent so that it lands on the suitable value + * between td_place_exp_first and td_place_exp_last. The scaling + * factor is calculated and applied linearly. + */ inline void update_crit_exponent(const t_placer_opts& placer_opts); /** - * @brief Update the move limit based on the success rate. - * - * The value is bounded between 1 and move_lim_max. - */ + * @brief Update the move limit based on the success rate. + * + * The value is bounded between 1 and move_lim_max. + */ inline void update_move_lim(float success_target, float success_rate); }; diff --git a/vpr/src/place/placer_context.h b/vpr/src/place/placer_context.h index f5e56bbf37f..5a7e4c6860f 100644 --- a/vpr/src/place/placer_context.h +++ b/vpr/src/place/placer_context.h @@ -111,8 +111,11 @@ struct PlacerMoveContext : public Context { // Scratch vectors that are used by different directed moves for temporary calculations (allocated here to save runtime) // These vectors will grow up with the net size as it is mostly used to save coords of the net pins or net bb edges + // Given that placement moves involve operations on each coordinate independently, we chose to + // utilize a Struct of Arrays (SoA) rather than an Array of Struct (AoS). std::vector<int> X_coord; std::vector<int> Y_coord; + std::vector<int> layer_coord; // Container to save the highly critical pins (higher than a timing criticality limit setted by commandline option) std::vector<std::pair<ClusterNetId, int>> highly_crit_pins; diff --git a/vpr/src/place/simpleRL_move_generator.h b/vpr/src/place/simpleRL_move_generator.h index babd0423813..179c653f965 100644 --- a/vpr/src/place/simpleRL_move_generator.h +++ b/vpr/src/place/simpleRL_move_generator.h @@ -216,7 +216,7 @@ class SimpleRLMoveGenerator : public MoveGenerator { */ template<class T, class = typename std::enable_if<std::is_same<T, EpsilonGreedyAgent>::value || std::is_same<T, SoftmaxAgent>::value>::type> - explicit SimpleRLMoveGenerator(std::unique_ptr<T>& agent); + explicit SimpleRLMoveGenerator(std::unique_ptr<T>& agent, float noc_attraction_weight, size_t high_fanout_thresh); // Updates affected_blocks with the proposed move, while respecting the current rlim e_create_move propose_move(t_pl_blocks_to_be_moved& blocks_affected, @@ -230,8 +230,12 @@ class SimpleRLMoveGenerator : public MoveGenerator { }; template<class T, class> -SimpleRLMoveGenerator::SimpleRLMoveGenerator(std::unique_ptr<T>& agent) { - all_moves.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES); +SimpleRLMoveGenerator::SimpleRLMoveGenerator(std::unique_ptr<T>& agent, float noc_attraction_weight, size_t high_fanout_thresh) { + if (noc_attraction_weight > 0.0f) { + all_moves.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES); + } else { + all_moves.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES - 1); + } all_moves[e_move_type::UNIFORM] = std::make_unique<UniformMoveGenerator>(); all_moves[e_move_type::MEDIAN] = std::make_unique<MedianMoveGenerator>(); @@ -240,6 +244,9 @@ SimpleRLMoveGenerator::SimpleRLMoveGenerator(std::unique_ptr<T>& agent) { all_moves[e_move_type::W_MEDIAN] = std::make_unique<WeightedMedianMoveGenerator>(); all_moves[e_move_type::CRIT_UNIFORM] = std::make_unique<CriticalUniformMoveGenerator>(); all_moves[e_move_type::FEASIBLE_REGION] = std::make_unique<FeasibleRegionMoveGenerator>(); + if (noc_attraction_weight > 0.0f) { + all_moves[e_move_type::NOC_ATTRACTION_CENTROID] = std::make_unique<CentroidMoveGenerator>(noc_attraction_weight, high_fanout_thresh); + } karmed_bandit_agent = std::move(agent); } diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index d0aacd8f78a..43d3b735f5e 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -195,6 +195,7 @@ std::unique_ptr<PlaceDelayModel> compute_place_delay_model(const t_placer_opts& router_opts.read_router_lookahead, segment_inf, is_flat); + RouterDelayProfiler route_profiler(net_list, router_lookahead, is_flat); int longest_length = get_longest_segment_length(segment_inf); @@ -871,7 +872,7 @@ float delay_reduce(std::vector<float>& delays, e_reducer reducer) { auto itr = std::max_element(delays.begin(), delays.end()); delay = *itr; } else if (reducer == e_reducer::MEDIAN) { - std::sort(delays.begin(), delays.end()); + std::stable_sort(delays.begin(), delays.end()); delay = vtr::median(delays.begin(), delays.end()); } else if (reducer == e_reducer::ARITHMEAN) { delay = vtr::arithmean(delays.begin(), delays.end()); diff --git a/vpr/src/place/weighted_centroid_move_generator.cpp b/vpr/src/place/weighted_centroid_move_generator.cpp index d33b6fa2ebe..93dd5c796f8 100644 --- a/vpr/src/place/weighted_centroid_move_generator.cpp +++ b/vpr/src/place/weighted_centroid_move_generator.cpp @@ -40,7 +40,7 @@ e_create_move WeightedCentroidMoveGenerator::propose_move(t_pl_blocks_to_be_move // Centroid location is not necessarily a valid location, and the downstream location expect a valid // layer for "to" location. So if the layer is not valid, we set it to the same layer as from loc. - to.layer = (centroid.layer < 0) ? from.layer : centroid.layer; + centroid.layer = (centroid.layer < 0) ? from.layer : centroid.layer; if (!find_to_loc_centroid(cluster_from_type, from, centroid, range_limiters, to, b_from)) { return e_create_move::ABORT; } diff --git a/vpr/src/place/weighted_median_move_generator.cpp b/vpr/src/place/weighted_median_move_generator.cpp index 2d343cd3347..a9e2aaac526 100644 --- a/vpr/src/place/weighted_median_move_generator.cpp +++ b/vpr/src/place/weighted_median_move_generator.cpp @@ -28,7 +28,7 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& auto& place_move_ctx = g_placer_ctx.mutable_move(); int num_layers = g_vpr_ctx.device().grid.get_num_layers(); - bool is_multi_layer = (num_layers > 1); + t_pl_loc from = place_ctx.block_locs[b_from].loc; auto cluster_from_type = cluster_ctx.clb_nlist.block_type(b_from); @@ -45,6 +45,7 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& //reused to save allocation time place_move_ctx.X_coord.clear(); place_move_ctx.Y_coord.clear(); + place_move_ctx.layer_coord.clear(); std::vector<int> layer_blk_cnt(num_layers, 0); //true if the net is a feedback from the block to itself (all the net terminals are connected to the same block) @@ -76,27 +77,19 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& place_move_ctx.X_coord.insert(place_move_ctx.X_coord.end(), ceil(coords.xmax.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.xmax.edge); place_move_ctx.Y_coord.insert(place_move_ctx.Y_coord.end(), ceil(coords.ymin.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.ymin.edge); place_move_ctx.Y_coord.insert(place_move_ctx.Y_coord.end(), ceil(coords.ymax.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.ymax.edge); - // If multile layers are available, I need to keep track of how many sinks are in each layer. - if (is_multi_layer) { - for (int layer_num = 0; layer_num < num_layers; layer_num++) { - layer_blk_cnt[layer_num] += place_move_ctx.num_sink_pin_layer[size_t(net_id)][layer_num]; - } - // If the pin under consideration if of type sink, it is counted in place_move_ctx.num_sink_pin_layer, and we don't want to consider the moving pins - if (cluster_ctx.clb_nlist.pin_type(pin_id) != PinType::DRIVER) { - VTR_ASSERT(layer_blk_cnt[from.layer] > 0); - layer_blk_cnt[from.layer]--; - } - } + place_move_ctx.layer_coord.insert(place_move_ctx.layer_coord.end(), ceil(coords.layer_min.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.layer_min.edge); + place_move_ctx.layer_coord.insert(place_move_ctx.layer_coord.end(), ceil(coords.layer_max.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.layer_max.edge); } - if ((place_move_ctx.X_coord.empty()) || (place_move_ctx.Y_coord.empty())) { - VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tMove aborted - X_coord and y_coord are empty\n"); + if ((place_move_ctx.X_coord.empty()) || (place_move_ctx.Y_coord.empty()) || (place_move_ctx.layer_coord.empty())) { + VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tMove aborted - X_coord or y_coord or layer_coord are empty\n"); return e_create_move::ABORT; } //calculate the weighted median region - std::sort(place_move_ctx.X_coord.begin(), place_move_ctx.X_coord.end()); - std::sort(place_move_ctx.Y_coord.begin(), place_move_ctx.Y_coord.end()); + std::stable_sort(place_move_ctx.X_coord.begin(), place_move_ctx.X_coord.end()); + std::stable_sort(place_move_ctx.Y_coord.begin(), place_move_ctx.Y_coord.end()); + std::stable_sort(place_move_ctx.layer_coord.begin(), place_move_ctx.layer_coord.end()); if (place_move_ctx.X_coord.size() == 1) { limit_coords.xmin = place_move_ctx.X_coord[0]; @@ -114,6 +107,14 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& limit_coords.ymax = place_move_ctx.Y_coord[floor((place_move_ctx.Y_coord.size() - 1) / 2) + 1]; } + if (place_move_ctx.layer_coord.size() == 1) { + limit_coords.layer_min = place_move_ctx.layer_coord[0]; + limit_coords.layer_max = limit_coords.layer_min; + } else { + limit_coords.layer_min = place_move_ctx.layer_coord[floor((place_move_ctx.layer_coord.size() - 1) / 2)]; + limit_coords.layer_max = place_move_ctx.layer_coord[floor((place_move_ctx.layer_coord.size() - 1) / 2) + 1]; + } + t_range_limiters range_limiters{rlim, place_move_ctx.first_rlim, placer_opts.place_dm_rlim}; @@ -121,17 +122,8 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& t_pl_loc w_median_point; w_median_point.x = (limit_coords.xmin + limit_coords.xmax) / 2; w_median_point.y = (limit_coords.ymin + limit_coords.ymax) / 2; + w_median_point.layer = ((limit_coords.layer_min + limit_coords.layer_max) / 2); - // If multiple layers are available, we would choose the median layer, otherwise the same layer (layer #0) as the from_loc would be chosen - //#TODO: Since we are now only considering 2 layers, the layer with maximum number of sinks should be chosen. we need to update it to get the true median - if (is_multi_layer) { - int layer_num = std::distance(layer_blk_cnt.begin(), std::max_element(layer_blk_cnt.begin(), layer_blk_cnt.end())); - w_median_point.layer = layer_num; - to.layer = layer_num; - } else { - w_median_point.layer = from.layer; - to.layer = from.layer; - } if (!find_to_loc_centroid(cluster_from_type, from, w_median_point, range_limiters, to, b_from)) { return e_create_move::ABORT; } @@ -162,8 +154,8 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& * - criticalities: the timing criticalities of all connections */ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBlockId, ClusterPinId moving_pin_id, const PlacerCriticalities* criticalities, t_bb_cost* coords, bool& skip_net) { - int pnum, x, y, xmin, xmax, ymin, ymax; - float xmin_cost, xmax_cost, ymin_cost, ymax_cost, cost; + int pnum, x, y, layer, xmin, xmax, ymin, ymax, layer_min, layer_max; + float xmin_cost, xmax_cost, ymin_cost, ymax_cost, layer_min_cost, layer_max_cost, cost; skip_net = true; @@ -171,11 +163,16 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc xmax = 0; ymin = 0; ymax = 0; + layer_min = 0; + layer_max = 0; + cost = 0.0; xmin_cost = 0.0; xmax_cost = 0.0; ymin_cost = 0.0; ymax_cost = 0.0; + layer_min_cost = 0.; + layer_max_cost = 0.; auto& cluster_ctx = g_vpr_ctx.clustering(); auto& place_ctx = g_vpr_ctx.placement(); @@ -187,6 +184,7 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc int ipin; for (auto pin_id : cluster_ctx.clb_nlist.net_pins(net_id)) { bnum = cluster_ctx.clb_nlist.pin_block(pin_id); + layer = place_ctx.block_locs[bnum].loc.layer; if (pin_id != moving_pin_id) { skip_net = false; @@ -220,6 +218,10 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc xmax_cost = cost; ymax = y; ymax_cost = cost; + layer_min = layer; + layer_min_cost = cost; + layer_max = layer; + layer_max_cost = cost; is_first_block = false; } else { if (x < xmin) { @@ -237,6 +239,20 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc ymax = y; ymax_cost = cost; } + + if (layer < layer_min) { + layer_min = layer; + layer_min_cost = cost; + } else if (layer > layer_max) { + layer_max = layer; + layer_max_cost = cost; + } else if (layer == layer_min) { + if (cost > layer_min_cost) + layer_min_cost = cost; + } else if (layer == layer_max) { + if (cost > layer_max_cost) + layer_max_cost = cost; + } } } } @@ -246,4 +262,6 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc coords->xmax = {xmax, xmax_cost}; coords->ymin = {ymin, ymin_cost}; coords->ymax = {ymax, ymax_cost}; + coords->layer_min = {layer_min, layer_min_cost}; + coords->layer_max = {layer_max, layer_max_cost}; } diff --git a/vpr/src/route/DecompNetlistRouter.tpp b/vpr/src/route/DecompNetlistRouter.tpp index 95ce65e86e1..fc1a6685e56 100644 --- a/vpr/src/route/DecompNetlistRouter.tpp +++ b/vpr/src/route/DecompNetlistRouter.tpp @@ -128,7 +128,7 @@ void DecompNetlistRouter<HeapType>::route_partition_tree_node(tbb::task_group& g * nets use their own #fanouts. */ std::vector<size_t> order(node.nets.size() + node.vnets.size()); std::iota(order.begin(), order.end(), 0); - std::sort(order.begin(), order.end(), [&](size_t i, size_t j) -> bool { + std::stable_sort(order.begin(), order.end(), [&](size_t i, size_t j) -> bool { ParentNetId id1 = i < node.nets.size() ? node.nets[i] : node.vnets[i - node.nets.size()].net_id; ParentNetId id2 = j < node.nets.size() ? node.nets[j] : node.vnets[j - node.nets.size()].net_id; return _net_list.net_sinks(id1).size() > _net_list.net_sinks(id2).size(); diff --git a/vpr/src/route/ParallelNetlistRouter.tpp b/vpr/src/route/ParallelNetlistRouter.tpp index 27e2ace66ad..9cae0d84dba 100644 --- a/vpr/src/route/ParallelNetlistRouter.tpp +++ b/vpr/src/route/ParallelNetlistRouter.tpp @@ -42,7 +42,7 @@ void ParallelNetlistRouter<HeapType>::route_partition_tree_node(tbb::task_group& auto& route_ctx = g_vpr_ctx.mutable_routing(); /* Sort so net with most sinks is routed first. */ - std::sort(node.nets.begin(), node.nets.end(), [&](ParentNetId id1, ParentNetId id2) -> bool { + std::stable_sort(node.nets.begin(), node.nets.end(), [&](ParentNetId id1, ParentNetId id2) -> bool { return _net_list.net_sinks(id1).size() > _net_list.net_sinks(id2).size(); }); diff --git a/vpr/src/route/SerialNetlistRouter.tpp b/vpr/src/route/SerialNetlistRouter.tpp index 6dc5d6c2f0e..7927d06c4e2 100644 --- a/vpr/src/route/SerialNetlistRouter.tpp +++ b/vpr/src/route/SerialNetlistRouter.tpp @@ -12,7 +12,7 @@ inline RouteIterResults SerialNetlistRouter<HeapType>::route_netlist(int itry, f /* Sort so net with most sinks is routed first */ auto sorted_nets = std::vector<ParentNetId>(_net_list.nets().begin(), _net_list.nets().end()); - std::sort(sorted_nets.begin(), sorted_nets.end(), [&](ParentNetId id1, ParentNetId id2) -> bool { + std::stable_sort(sorted_nets.begin(), sorted_nets.end(), [&](ParentNetId id1, ParentNetId id2) -> bool { return _net_list.net_sinks(id1).size() > _net_list.net_sinks(id2).size(); }); diff --git a/vpr/src/route/cb_metrics.cpp b/vpr/src/route/cb_metrics.cpp index 0ad5d0a95a8..7dbe0260e42 100644 --- a/vpr/src/route/cb_metrics.cpp +++ b/vpr/src/route/cb_metrics.cpp @@ -611,7 +611,7 @@ static void get_pin_locations(const t_physical_tile_type_ptr block_type, const e } } /* sort the vector at the current side in increasing order, for good measure */ - sort(pin_locations->at(iside).begin(), pin_locations->at(iside).end()); + std::stable_sort(pin_locations->at(iside).begin(), pin_locations->at(iside).end()); } } /* now we have a vector of vectors [0..3][0..num_pins_on_this_side] specifying which pins are on which side */ diff --git a/vpr/src/route/connection_router.cpp b/vpr/src/route/connection_router.cpp index 956a4864854..9badc59d8f4 100644 --- a/vpr/src/route/connection_router.cpp +++ b/vpr/src/route/connection_router.cpp @@ -1126,16 +1126,10 @@ static inline void update_router_stats(RouterStats* router_stats, } #ifdef VTR_ENABLE_DEBUG_LOGGING - const auto& device_ctx = g_vpr_ctx.device(); auto node_type = rr_graph->node_type(rr_node_id); VTR_ASSERT(node_type != NUM_RR_TYPES); - t_physical_tile_type_ptr physical_type = device_ctx.grid.get_physical_type({rr_graph->node_xlow(rr_node_id), - rr_graph->node_ylow(rr_node_id), - rr_graph->node_layer(rr_node_id)}); - if (is_inter_cluster_node(physical_type, - node_type, - rr_graph->node_ptc_num(rr_node_id))) { + if (is_inter_cluster_node(*rr_graph, rr_node_id)) { if (is_push) { router_stats->inter_cluster_node_pushes++; router_stats->inter_cluster_node_type_cnt_pushes[node_type]++; @@ -1143,7 +1137,6 @@ static inline void update_router_stats(RouterStats* router_stats, router_stats->inter_cluster_node_pops++; router_stats->inter_cluster_node_type_cnt_pops[node_type]++; } - } else { if (is_push) { router_stats->intra_cluster_node_pushes++; diff --git a/vpr/src/route/overuse_report.cpp b/vpr/src/route/overuse_report.cpp index 077401d7289..2e07f446314 100644 --- a/vpr/src/route/overuse_report.cpp +++ b/vpr/src/route/overuse_report.cpp @@ -219,10 +219,8 @@ static void report_overused_ipin_opin(std::ostream& os, grid_x == rr_graph.node_xhigh(node_id) && grid_y == rr_graph.node_yhigh(node_id), "Non-track RR node should not span across multiple grid blocks."); - t_physical_tile_type_ptr physical_tile = device_ctx.grid.get_physical_type({grid_x, grid_y, grid_layer}); - os << "Pin physical number = " << rr_graph.node_pin_num(node_id) << '\n'; - if (is_inter_cluster_node(physical_tile, rr_graph.node_type(node_id), rr_graph.node_ptc_num(node_id))) { + if (is_inter_cluster_node(rr_graph, node_id)) { os << "On Tile Pin" << "\n"; } else { diff --git a/vpr/src/route/route.cpp b/vpr/src/route/route.cpp index 767418cb936..5a690b1dc55 100644 --- a/vpr/src/route/route.cpp +++ b/vpr/src/route/route.cpp @@ -159,7 +159,8 @@ bool route(const Netlist<>& net_list, VTR_ASSERT(router_lookahead != nullptr); /* Routing parameters */ - float pres_fac = update_draw_pres_fac(router_opts.first_iter_pres_fac); /* Typically 0 -> ignore cong. */ + float pres_fac = router_opts.first_iter_pres_fac; + update_draw_pres_fac(pres_fac); /* Typically 0 -> ignore cong. */ int bb_fac = router_opts.bb_factor; /* When routing conflicts are detected the bounding boxes are scaled @@ -361,7 +362,8 @@ bool route(const Netlist<>& net_list, //Decrease pres_fac so that critical connections will take more direct routes //Note that we use first_iter_pres_fac here (typically zero), and switch to //use initial_pres_fac on the next iteration. - pres_fac = update_draw_pres_fac(router_opts.first_iter_pres_fac); + pres_fac = router_opts.first_iter_pres_fac; + update_draw_pres_fac(pres_fac); //Reduce timing tolerances to re-route more delay-suboptimal signals connections_inf.set_connection_criticality_tolerance(0.7); @@ -378,7 +380,8 @@ bool route(const Netlist<>& net_list, //after the first routing convergence. Since that is often zero, //we want to set pres_fac to a reasonable (i.e. typically non-zero) //value afterwards -- so it grows when multiplied by pres_fac_mult - pres_fac = update_draw_pres_fac(router_opts.initial_pres_fac); + pres_fac = router_opts.initial_pres_fac; + update_draw_pres_fac(pres_fac); } //Have we converged the maximum number of times, did not make any changes, or does it seem @@ -441,12 +444,13 @@ bool route(const Netlist<>& net_list, //Update pres_fac if (itry == 1) { - pres_fac = update_draw_pres_fac(router_opts.initial_pres_fac); + pres_fac = router_opts.initial_pres_fac; + update_draw_pres_fac(pres_fac); } else { pres_fac *= router_opts.pres_fac_mult; - - /* Avoid overflow for high iteration counts, even if acc_cost is big */ - pres_fac = update_draw_pres_fac(std::min(pres_fac, static_cast<float>(HUGE_POSITIVE_FLOAT / 1e5))); + pres_fac = std::min(pres_fac, router_opts.max_pres_fac); + /* Set the maximum pres_fac to the value passed by the command line argument */ + update_draw_pres_fac(pres_fac); // Increase short path criticality if it's having a hard time resolving hold violations due to congestion if (budgeting_inf.if_set()) { diff --git a/vpr/src/route/route_net.tpp b/vpr/src/route/route_net.tpp index aef75c6b0c1..593c6940d3a 100644 --- a/vpr/src/route/route_net.tpp +++ b/vpr/src/route/route_net.tpp @@ -129,7 +129,7 @@ inline NetResultFlags route_net(ConnectionRouter& router, } // compare the criticality of different sink nodes - sort(begin(remaining_targets), end(remaining_targets), [&](int a, int b) { + std::stable_sort(begin(remaining_targets), end(remaining_targets), [&](int a, int b) { return pin_criticality[a] > pin_criticality[b]; }); diff --git a/vpr/src/route/route_utils.cpp b/vpr/src/route/route_utils.cpp index f90789e5250..21e0b52bbef 100644 --- a/vpr/src/route/route_utils.cpp +++ b/vpr/src/route/route_utils.cpp @@ -507,15 +507,16 @@ void try_graph(int width_fac, is_flat); } -float update_draw_pres_fac(float new_pres_fac) { #ifndef NO_GRAPHICS - +void update_draw_pres_fac(const float new_pres_fac) { +#else +void update_draw_pres_fac(const float /*new_pres_fac*/) { +#endif +#ifndef NO_GRAPHICS // Only updates the drawing pres_fac if graphics is enabled get_draw_state_vars()->pres_fac = new_pres_fac; #endif // NO_GRAPHICS - - return new_pres_fac; } #ifndef NO_GRAPHICS diff --git a/vpr/src/route/route_utils.h b/vpr/src/route/route_utils.h index 8b86f230290..fddad8247dd 100644 --- a/vpr/src/route/route_utils.h +++ b/vpr/src/route/route_utils.h @@ -136,10 +136,8 @@ void try_graph(int width_fac, int num_directs, bool is_flat); -/* This routine should take the new value of the present congestion factor - * and propagate it to all the relevant data fields in the vpr flow. - * Currently, it only updates the pres_fac used by the drawing functions */ -float update_draw_pres_fac(float new_pres_fac); +/* This routine updates the pres_fac used by the drawing functions */ +void update_draw_pres_fac(const float new_pres_fac); #ifndef NO_GRAPHICS /** Updates router iteration information and checks for router iteration and net id breakpoints diff --git a/vpr/src/route/router_delay_profiling.cpp b/vpr/src/route/router_delay_profiling.cpp index f862072cdc8..96ec2be914a 100644 --- a/vpr/src/route/router_delay_profiling.cpp +++ b/vpr/src/route/router_delay_profiling.cpp @@ -243,7 +243,7 @@ vtr::vector<RRNodeId, float> calculate_all_path_delays_from_rr_node(RRNodeId src return path_delays_to; } -void alloc_routing_structs(t_chan_width chan_width, +void alloc_routing_structs(const t_chan_width& chan_width, const t_router_opts& router_opts, t_det_routing_arch* det_routing_arch, std::vector<t_segment_inf>& segment_inf, diff --git a/vpr/src/route/router_delay_profiling.h b/vpr/src/route/router_delay_profiling.h index dedf3e6dfb1..542d4e90de4 100644 --- a/vpr/src/route/router_delay_profiling.h +++ b/vpr/src/route/router_delay_profiling.h @@ -54,7 +54,7 @@ vtr::vector<RRNodeId, float> calculate_all_path_delays_from_rr_node(RRNodeId src const t_router_opts& router_opts, bool is_flat); -void alloc_routing_structs(t_chan_width chan_width, +void alloc_routing_structs(const t_chan_width& chan_width, const t_router_opts& router_opts, t_det_routing_arch* det_routing_arch, std::vector<t_segment_inf>& segment_inf, diff --git a/vpr/src/route/router_lookahead.cpp b/vpr/src/route/router_lookahead.cpp index 10e422d675f..240aeafbd61 100644 --- a/vpr/src/route/router_lookahead.cpp +++ b/vpr/src/route/router_lookahead.cpp @@ -7,7 +7,16 @@ #include "vpr_error.h" #include "globals.h" -static int get_expected_segs_to_target(RRNodeId inode, RRNodeId target_node, int* num_segs_ortho_dir_ptr); +/** + * Assuming inode is CHANX or CHANY, this function calculates the number of required wires of the same type as inode + * to arrive at target_noe. + * @param inode The source node from which the cost to the target node is obtained. + * @param target_node The target node to which the cost is obtained. + * @return std::pait<int, int> The first element is the number of required wires in the same direction as inode, + * while the second element determines the number of wires in the direction orthogonal to inode. + */ +static std::pair<int, int> get_expected_segs_to_target(RRNodeId inode, RRNodeId target_node); + static int round_up(float x); static std::unique_ptr<RouterLookahead> make_router_lookahead_object(const t_det_routing_arch& det_routing_arch, @@ -31,8 +40,8 @@ static std::unique_ptr<RouterLookahead> make_router_lookahead_object(const t_det std::unique_ptr<RouterLookahead> make_router_lookahead(const t_det_routing_arch& det_routing_arch, e_router_lookahead router_lookahead_type, - std::string write_lookahead, - std::string read_lookahead, + const std::string& write_lookahead, + const std::string& read_lookahead, const std::vector<t_segment_inf>& segment_inf, bool is_flat) { std::unique_ptr<RouterLookahead> router_lookahead = make_router_lookahead_object(det_routing_arch, @@ -53,8 +62,7 @@ std::unique_ptr<RouterLookahead> make_router_lookahead(const t_det_routing_arch& } float ClassicLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const { - float delay_cost, cong_cost; - std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); + auto [delay_cost, cong_cost] = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); return delay_cost + cong_cost; } @@ -66,8 +74,7 @@ std::pair<float, float> ClassicLookahead::get_expected_delay_and_cong(RRNodeId n t_rr_type rr_type = rr_graph.node_type(node); if (rr_type == CHANX || rr_type == CHANY) { - int num_segs_ortho_dir = 0; - int num_segs_same_dir = get_expected_segs_to_target(node, target_node, &num_segs_ortho_dir); + auto [num_segs_same_dir, num_segs_ortho_dir] = get_expected_segs_to_target(node, target_node); auto cost_index = rr_graph.node_cost_index(node); int ortho_cost_index = device_ctx.rr_indexed_data[cost_index].ortho_cost_index; @@ -112,28 +119,27 @@ static int round_up(float x) { return std::ceil(x - 0.001); } -static int get_expected_segs_to_target(RRNodeId inode, RRNodeId target_node, int* num_segs_ortho_dir_ptr) { +static std::pair<int, int> get_expected_segs_to_target(RRNodeId inode, RRNodeId target_node) { /* Returns the number of segments the same type as inode that will be needed * * to reach target_node (not including inode) in each direction (the same * * direction (horizontal or vertical) as inode and the orthogonal direction).*/ - auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; - t_rr_type rr_type; - int target_x, target_y, num_segs_same_dir, ortho_cost_index; - RRIndexedDataId cost_index; + int num_segs_ortho_dir; + int num_segs_same_dir; + int no_need_to_pass_by_clb; - float inv_length, ortho_inv_length, ylow, yhigh, xlow, xhigh; + float ylow, yhigh, xlow, xhigh; - target_x = rr_graph.node_xlow(target_node); - target_y = rr_graph.node_ylow(target_node); + int target_x = rr_graph.node_xlow(target_node); + int target_y = rr_graph.node_ylow(target_node); - cost_index = rr_graph.node_cost_index(inode); - inv_length = device_ctx.rr_indexed_data[cost_index].inv_length; - ortho_cost_index = device_ctx.rr_indexed_data[cost_index].ortho_cost_index; - ortho_inv_length = device_ctx.rr_indexed_data[RRIndexedDataId(ortho_cost_index)].inv_length; - rr_type = rr_graph.node_type(inode); + RRIndexedDataId cost_index = rr_graph.node_cost_index(inode); + float inv_length = device_ctx.rr_indexed_data[cost_index].inv_length; + int ortho_cost_index = device_ctx.rr_indexed_data[cost_index].ortho_cost_index; + float ortho_inv_length = device_ctx.rr_indexed_data[RRIndexedDataId(ortho_cost_index)].inv_length; + t_rr_type rr_type = rr_graph.node_type(inode); if (rr_type == CHANX) { ylow = rr_graph.node_ylow(inode); @@ -143,13 +149,13 @@ static int get_expected_segs_to_target(RRNodeId inode, RRNodeId target_node, int /* Count vertical (orthogonal to inode) segs first. */ if (ylow > target_y) { /* Coming from a row above target? */ - *num_segs_ortho_dir_ptr = round_up((ylow - target_y + 1.) * ortho_inv_length); + num_segs_ortho_dir = round_up((ylow - target_y + 1.) * ortho_inv_length); no_need_to_pass_by_clb = 1; } else if (ylow < target_y - 1) { /* Below the CLB bottom? */ - *num_segs_ortho_dir_ptr = round_up((target_y - ylow) * ortho_inv_length); + num_segs_ortho_dir = round_up((target_y - ylow) * ortho_inv_length); no_need_to_pass_by_clb = 1; } else { /* In a row that passes by target CLB */ - *num_segs_ortho_dir_ptr = 0; + num_segs_ortho_dir = 0; no_need_to_pass_by_clb = 0; } @@ -170,13 +176,13 @@ static int get_expected_segs_to_target(RRNodeId inode, RRNodeId target_node, int /* Count horizontal (orthogonal to inode) segs first. */ if (xlow > target_x) { /* Coming from a column right of target? */ - *num_segs_ortho_dir_ptr = round_up((xlow - target_x + 1.) * ortho_inv_length); + num_segs_ortho_dir = round_up((xlow - target_x + 1.) * ortho_inv_length); no_need_to_pass_by_clb = 1; } else if (xlow < target_x - 1) { /* Left of and not adjacent to the CLB? */ - *num_segs_ortho_dir_ptr = round_up((target_x - xlow) * ortho_inv_length); + num_segs_ortho_dir = round_up((target_x - xlow) * ortho_inv_length); no_need_to_pass_by_clb = 1; } else { /* In a column that passes by target CLB */ - *num_segs_ortho_dir_ptr = 0; + num_segs_ortho_dir = 0; no_need_to_pass_by_clb = 0; } @@ -191,7 +197,7 @@ static int get_expected_segs_to_target(RRNodeId inode, RRNodeId target_node, int } } - return (num_segs_same_dir); + return {num_segs_same_dir, num_segs_ortho_dir}; } void invalidate_router_lookahead_cache() { @@ -201,8 +207,8 @@ void invalidate_router_lookahead_cache() { const RouterLookahead* get_cached_router_lookahead(const t_det_routing_arch& det_routing_arch, e_router_lookahead router_lookahead_type, - std::string write_lookahead, - std::string read_lookahead, + const std::string& write_lookahead, + const std::string& read_lookahead, const std::vector<t_segment_inf>& segment_inf, bool is_flat) { auto& router_ctx = g_vpr_ctx.routing(); diff --git a/vpr/src/route/router_lookahead.h b/vpr/src/route/router_lookahead.h index 0486f2fab48..2a13dd55aa1 100644 --- a/vpr/src/route/router_lookahead.h +++ b/vpr/src/route/router_lookahead.h @@ -92,8 +92,8 @@ class RouterLookahead { */ std::unique_ptr<RouterLookahead> make_router_lookahead(const t_det_routing_arch& det_routing_arch, e_router_lookahead router_lookahead_type, - std::string write_lookahead, - std::string read_lookahead, + const std::string& write_lookahead, + const std::string& read_lookahead, const std::vector<t_segment_inf>& segment_inf, bool is_flat); @@ -115,8 +115,8 @@ void invalidate_router_lookahead_cache(); */ const RouterLookahead* get_cached_router_lookahead(const t_det_routing_arch& det_routing_arch, e_router_lookahead router_lookahead_type, - std::string write_lookahead, - std::string read_lookahead, + const std::string& write_lookahead, + const std::string& read_lookahead, const std::vector<t_segment_inf>& segment_inf, bool is_flat); diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 29a39bf7af6..4c50beb175e 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -428,10 +428,9 @@ std::pair<float, float> CompressedMapLookahead::get_expected_delay_and_cong(RRNo auto& device_ctx = g_vpr_ctx.device(); auto& rr_graph = device_ctx.rr_graph; - int delta_x, delta_y; int from_layer_num = rr_graph.node_layer(from_node); int to_layer_num = rr_graph.node_layer(to_node); - util::get_xy_deltas(from_node, to_node, &delta_x, &delta_y); + auto [delta_x, delta_y] = util::get_xy_deltas(from_node, to_node); delta_x = abs(delta_x); delta_y = abs(delta_y); diff --git a/vpr/src/route/router_lookahead_extended_map.cpp b/vpr/src/route/router_lookahead_extended_map.cpp index 6db4c4ff7bc..d72f5471130 100644 --- a/vpr/src/route/router_lookahead_extended_map.cpp +++ b/vpr/src/route/router_lookahead_extended_map.cpp @@ -611,18 +611,17 @@ void ExtendedMapLookahead::read(const std::string& file) { this->src_opin_delays = util::compute_router_src_opin_lookahead(is_flat_); this->chan_ipins_delays = util::compute_router_chan_ipin_lookahead(); -#else // VTR_ENABLE_CAPNPROTO +#else // VTR_ENABLE_CAPNPROTO (void)file; VPR_THROW(VPR_ERROR_ROUTE, "MapLookahead::read not implemented"); -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO } void ExtendedMapLookahead::write(const std::string& file) const { #ifndef VTR_ENABLE_CAPNPROTO cost_map_.write(file); -#else // VTR_ENABLE_CAPNPROTO +#else // VTR_ENABLE_CAPNPROTO (void)file; VPR_THROW(VPR_ERROR_ROUTE, "MapLookahead::write not implemented"); -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO } - diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index c8666a1829a..80bb386384b 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -17,14 +17,12 @@ * which are reachable from each physical tile type's SOURCEs/OPINs (f_src_opin_delays). This is used for * SRC/OPIN -> CHANX/CHANY estimates. * - * In the case of SRC/OPIN -> SINK estimates the resuls from the two look-ups are added together (and the minimum taken - * if there are multiple possiblities). + * In the case of SRC/OPIN -> SINK estimates the results from the two look-ups are added together (and the minimum taken + * if there are multiple possibilities). */ #include <cmath> #include <vector> -#include <queue> -#include <ctime> #include "connection_router_interface.h" #include "vpr_types.h" #include "vpr_error.h" @@ -50,7 +48,7 @@ # include "serdes_utils.h" #endif /* VTR_ENABLE_CAPNPROTO */ -const int VALID_NEIGHBOR_NUMBER = 3; +static constexpr int VALID_NEIGHBOR_NUMBER = 3; /* when a list of delay/congestion entries at a coordinate in Cost_Entry is boiled down to a single * representative entry, this enum is passed-in to specify how that representative entry should be @@ -82,6 +80,7 @@ static util::Cost_Entry get_wire_cost_entry(e_rr_type rr_type, int to_layer_num); static void compute_router_wire_lookahead(const std::vector<t_segment_inf>& segment_inf); + /*** * @brief Compute the cost from pin to sinks of tiles - Compute the minimum cost to get to each tile sink from pins on the cluster * @param intra_tile_pin_primitive_pin_delay @@ -176,15 +175,12 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod VTR_ASSERT_SAFE(rr_graph.node_type(target_node) == t_rr_type::SINK); - float delay_cost = 0.; - float cong_cost = 0.; - if (is_flat_) { return get_expected_cost_flat_router(current_node, target_node, params, R_upstream); } else { if (from_rr_type == CHANX || from_rr_type == CHANY || from_rr_type == SOURCE || from_rr_type == OPIN) { // Get the total cost using the combined delay and congestion costs - std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); + auto [delay_cost, cong_cost] = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); return delay_cost + cong_cost; } else if (from_rr_type == IPIN) { /* Change if you're allowing route-throughs */ return (device_ctx.rr_indexed_data[RRIndexedDataId(SINK_COST_INDEX)].base_cost); @@ -228,9 +224,7 @@ float MapLookahead::get_expected_cost_flat_router(RRNodeId current_node, RRNodeI return delay_cost + cong_cost + delay_offset_cost + cong_offset_cost; } else if (from_rr_type == OPIN) { - if (is_inter_cluster_node(from_physical_type, - from_rr_type, - from_node_ptc_num)) { + if (is_inter_cluster_node(rr_graph, current_node)) { // Similar to CHANX and CHANY std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); @@ -258,8 +252,7 @@ float MapLookahead::get_expected_cost_flat_router(RRNodeId current_node, RRNodeI // Since we don't know which type of wires are accessible from an OPIN inside the cluster, we use // distance_based_min_cost to get an estimation of the global cost, and then, add this cost to the tile_min_cost // to have an estimation of the cost of getting into a cluster - We don't have any estimation of the cost to get out of the cluster - int delta_x, delta_y; - util::get_xy_deltas(current_node, target_node, &delta_x, &delta_y); + auto [delta_x, delta_y] = util::get_xy_deltas(current_node, target_node); delta_x = abs(delta_x); delta_y = abs(delta_y); delay_cost = params.criticality * chann_distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].delay; @@ -290,8 +283,7 @@ float MapLookahead::get_expected_cost_flat_router(RRNodeId current_node, RRNodeI delay_offset_cost = 0.; cong_offset_cost = 0.; } else { - int delta_x, delta_y; - util::get_xy_deltas(current_node, target_node, &delta_x, &delta_y); + auto [delta_x, delta_y] = util::get_xy_deltas(current_node, target_node); delta_x = abs(delta_x); delta_y = abs(delta_y); delay_cost = params.criticality * chann_distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].delay; @@ -314,10 +306,9 @@ std::pair<float, float> MapLookahead::get_expected_delay_and_cong(RRNodeId from_ auto& device_ctx = g_vpr_ctx.device(); auto& rr_graph = device_ctx.rr_graph; - int delta_x, delta_y; int from_layer_num = rr_graph.node_layer(from_node); int to_layer_num = rr_graph.node_layer(to_node); - util::get_xy_deltas(from_node, to_node, &delta_x, &delta_y); + auto [delta_x, delta_y] = util::get_xy_deltas(from_node, to_node); delta_x = abs(delta_x); delta_y = abs(delta_y); @@ -518,7 +509,6 @@ static void compute_router_wire_lookahead(const std::vector<t_segment_inf>& segm //Profile each wire segment type for (int from_layer_num = 0; from_layer_num < grid.get_num_layers(); from_layer_num++) { for (const auto& segment_inf : segment_inf_vec) { - std::map<t_rr_type, std::vector<RRNodeId>> sample_nodes; std::vector<e_rr_type> chan_types; if (segment_inf.parallel_axis == X_AXIS) chan_types.push_back(CHANX); @@ -552,10 +542,7 @@ static void compute_router_wire_lookahead(const std::vector<t_segment_inf>& segm /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map) { - int chan_index = 0; - if (chan_type == CHANY) { - chan_index = 1; - } + int chan_index = (chan_type == CHANX) ? 0 : 1; /* set the lookahead cost map entries with a representative cost entry from routing_cost_map */ for (unsigned to_layer = 0; to_layer < routing_cost_map.dim_size(0); to_layer++) { @@ -571,10 +558,7 @@ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_ /* fills in missing lookahead map entries by copying the cost of the closest valid entry */ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_type) { - int chan_index = 0; - if (chan_type == CHANY) { - chan_index = 1; - } + int chan_index = (chan_type == CHANX) ? 0 : 1; auto& device_ctx = g_vpr_ctx.device(); @@ -655,7 +639,7 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_n int to_layer_num, int segment_index, int chan_index) { - // Make sure that the given loaction doesn't have a valid entry + // Make sure that the given location doesn't have a valid entry VTR_ASSERT(std::isnan(f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_dx][missing_dy].delay)); VTR_ASSERT(std::isnan(f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_dx][missing_dy].congestion)); diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 3094c97e4d5..c48ee90e073 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -18,6 +18,13 @@ #include "route_common.h" #include "route_debug.h" +/** + * We will profile delay/congestion using this many tracks for each wire type. + * Larger values increase the time to compute the lookahead, but may give + * more accurate lookahead estimates during routing. + */ +static constexpr int MAX_TRACK_OFFSET = 16; + static void dijkstra_flood_to_wires(int itile, RRNodeId inode, util::t_src_opin_delays& src_opin_delays); static void dijkstra_flood_to_ipins(RRNodeId node, util::t_chan_ipins_delays& chan_ipins_delays); @@ -41,7 +48,7 @@ static void run_dijkstra(RRNodeId start_node, int start_x, int start_y, util::t_routing_cost_map& routing_cost_map, - util::t_dijkstra_data* data, + util::t_dijkstra_data& data, const std::unordered_map<int, std::unordered_set<int>>& sample_locs, bool sample_all_locs); @@ -51,13 +58,42 @@ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, vtr::vector<RRNodeId, bool>& node_expanded, std::priority_queue<util::PQ_Entry>& pq); -static void adjust_rr_position(const RRNodeId rr, int& x, int& y); -static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y); +/** + * @brief Computes the adjusted position of an RR graph node. + * This function does not modify the position of the given node. + * It only returns the computed adjusted position. + * @param rr The ID of the node whose adjusted position is desired. + * @return The adjusted position (x, y). + */ +static std::pair<int, int> get_adjusted_rr_position(RRNodeId rr); -static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y); +/** + * @brief Computes the adjusted location of a pin to match the position of + * the channel it can reach based on which side of the block it is at. + * @param rr The corresponding node of a pin whose adjusted positions + * is desired. + * @return The adjusted position (x, y). + */ +static std::pair<int, int> get_adjusted_rr_pin_position(RRNodeId rr); -static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y); +/** + * @brief Computed the adjusted position of a node of type + * CHANX or CHANY. For uni-directional wires, return the position + * of the driver, and for bi-directional wires, compute the middle point. + * @param rr The ID of the node whose adjusted position is desired. + * @return The adjusted position (x, y). + */ +static std::pair<int, int> get_adjusted_rr_wire_position(RRNodeId rr); + +/** + * @brief Computes the adjusted position and source and sink nodes. + * SOURCE/SINK nodes assume the full dimensions of their associated block/ + * This function computes the average position for the given node. + * @param rr SOURCE or SINK node whose adjusted position is needed. + * @return The adjusted position (x, y). + */ +static std::pair<int, int> get_adjusted_rr_src_sink_position(RRNodeId rr); // Constants needed to reduce the bounding box when expanding CHAN wires to reach the IPINs. // These are used when finding all the delays to get to the IPINs of all the different tile types @@ -90,7 +126,7 @@ static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y); // // This is used when building the SROURCE/OPIN --> CHAN lookup table that contains additional delay and // congestion data to reach the CHANX/CHANY nodes which is not present in the lookahead cost map. -#define DIRECT_CONNECT_SPECIAL_SEG_TYPE -1; +static constexpr int DIRECT_CONNECT_SPECIAL_SEG_TYPE = -1; namespace util { @@ -341,7 +377,7 @@ t_src_opin_delays compute_router_src_opin_lookahead(bool is_flat) { tile_max_ptc[itile] = get_tile_src_opin_max_ptc(itile); } - // Resize src_opin_delays to accomodate enough ptc and layer + // Resize src_opin_delays to accommodate enough ptc and layer for (int layer_num = 0; layer_num < num_layers; layer_num++) { src_opin_delays[layer_num].resize(device_ctx.physical_tile_types.size()); for (int itile = 0; itile < (int)device_ctx.physical_tile_types.size(); itile++) { @@ -373,7 +409,7 @@ t_src_opin_delays compute_router_src_opin_lookahead(bool is_flat) { if (sample_loc.x == OPEN && sample_loc.y == OPEN && sample_loc.layer_num == OPEN) { //No untried instances of the current tile type left - VTR_LOG_WARN("Found no %ssample locations for %s in %s\n", + VTR_LOG_WARN("Found no %sample locations for %s in %s\n", (num_sampled_locs == 0) ? "" : "more ", rr_node_typename[rr_type], device_ctx.physical_tile_types[itile].name); @@ -385,9 +421,7 @@ t_src_opin_delays compute_router_src_opin_lookahead(bool is_flat) { for (RRNodeId node_id : rr_nodes_at_loc) { int ptc = rr_graph.node_ptc_num(node_id); // For the time being, we decide to not let the lookahead explore the node inside the clusters - if (!is_inter_cluster_node(&device_ctx.physical_tile_types[itile], - rr_type, - ptc)) { + if (!is_inter_cluster_node(rr_graph, node_id)) { continue; } @@ -551,26 +585,22 @@ RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int t return result; } -/* returns the absolute delta_x and delta_y offset required to reach to_node from from_node */ -void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y) { +std::pair<int, int> get_xy_deltas(RRNodeId from_node, RRNodeId to_node) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; e_rr_type from_type = rr_graph.node_type(from_node); e_rr_type to_type = rr_graph.node_type(to_node); + int delta_x, delta_y; + if (!is_chan(from_type) && !is_chan(to_type)) { //Alternate formulation for non-channel types - int from_x = 0; - int from_y = 0; - adjust_rr_position(from_node, from_x, from_y); + auto [from_x, from_y] = get_adjusted_rr_position(from_node); + auto [to_x, to_y] = get_adjusted_rr_position(to_node); - int to_x = 0; - int to_y = 0; - adjust_rr_position(to_node, to_x, to_y); - - *delta_x = to_x - from_x; - *delta_y = to_y - from_y; + delta_x = to_x - from_x; + delta_y = to_y - from_y; } else { //Traditional formulation @@ -635,16 +665,18 @@ void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_ } if (from_type == CHANY) { - *delta_x = delta_chan; - *delta_y = delta_seg; + delta_x = delta_chan; + delta_y = delta_seg; } else { - *delta_x = delta_seg; - *delta_y = delta_chan; + delta_x = delta_seg; + delta_y = delta_chan; } } - VTR_ASSERT_SAFE(std::abs(*delta_x) < (int)device_ctx.grid.width()); - VTR_ASSERT_SAFE(std::abs(*delta_y) < (int)device_ctx.grid.height()); + VTR_ASSERT_SAFE(std::abs(delta_x) < (int)device_ctx.grid.width()); + VTR_ASSERT_SAFE(std::abs(delta_y) < (int)device_ctx.grid.height()); + + return {delta_x, delta_y}; } t_routing_cost_map get_routing_cost_map(int longest_seg_length, @@ -671,7 +703,7 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, //Uniquify the increments (avoid sampling the same locations repeatedly if they happen to //overlap) - std::sort(ref_increments.begin(), ref_increments.end()); + std::stable_sort(ref_increments.begin(), ref_increments.end()); ref_increments.erase(std::unique(ref_increments.begin(), ref_increments.end()), ref_increments.end()); //Upper right non-corner @@ -714,7 +746,7 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, // TODO: Temporary - After testing benchmarks this can be deleted VTR_ASSERT(rr_graph.node_layer(start_node) == from_layer_num); - sample_nodes.push_back(RRNodeId(start_node)); + sample_nodes.emplace_back(start_node); } } @@ -744,10 +776,10 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, } } - //Finally, now that we have a list of sample locations, run a Djikstra flood from + //Finally, now that we have a list of sample locations, run a Dijkstra flood from //each sample location to profile the routing network from this type - t_dijkstra_data dijkstra_data; + t_routing_cost_map routing_cost_map({static_cast<unsigned long>(device_ctx.grid.get_num_layers()), device_ctx.grid.width(), device_ctx.grid.height()}); if (sample_nodes.empty()) { @@ -759,6 +791,10 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, //reset cost for this segment routing_cost_map.fill(Expansion_Cost_Entry()); + // to avoid multiple memory allocation and de-allocations in run_dijkstra() + // dijkstra_data is created outside the for loop and passed by reference to dijkstra_data() + t_dijkstra_data dijkstra_data; + for (RRNodeId sample_node : sample_nodes) { int sample_x = rr_graph.node_xlow(sample_node); int sample_y = rr_graph.node_ylow(sample_node); @@ -772,7 +808,7 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, sample_x, sample_y, routing_cost_map, - &dijkstra_data, + dijkstra_data, sample_locs, sample_all_locs); } @@ -800,7 +836,7 @@ std::pair<float, float> get_cost_from_src_opin(const std::map<int, util::t_reach //router. So just return an arbitrary value here rather than error. //We choose to return the largest (non-infinite) value possible, but scaled - //down by a large factor to maintain some dynaimc range in case this value ends + //down by a large factor to maintain some dynamic range in case this value ends //up being processed (e.g. by the timing analyzer). // //The cost estimate should still be *extremely* large compared to a typical delay, and @@ -812,8 +848,7 @@ std::pair<float, float> get_cost_from_src_opin(const std::map<int, util::t_reach //From the current SOURCE/OPIN we look-up the wiretypes which are reachable //and then add the estimates from those wire types for the distance of interest. //If there are multiple options we use the minimum value. - for (const auto& kv : src_opin_delay_map) { - const util::t_reachable_wire_inf& reachable_wire_inf = kv.second; + for (const auto& [_, reachable_wire_inf] : src_opin_delay_map) { util::Cost_Entry wire_cost_entry; if (reachable_wire_inf.wire_rr_type == SINK) { @@ -823,7 +858,7 @@ std::pair<float, float> get_cost_from_src_opin(const std::map<int, util::t_reach wire_cost_entry.delay = 0; wire_cost_entry.congestion = 0; } else { - //For an actual accessible wire, we query the wire look-up to get it's + //For an actual accessible wire, we query the wire look-up to get its //delay and congestion cost estimates wire_cost_entry = wire_cost_func(reachable_wire_inf.wire_rr_type, reachable_wire_inf.wire_seg_index, @@ -923,8 +958,8 @@ static void dijkstra_flood_to_wires(int itile, int root_layer_num = rr_graph.node_layer(node); /* - * Perform Djikstra from the SOURCE/OPIN of interest, stopping at the the first - * reachable wires (i.e until we hit the inter-block routing network), or a SINK + * Perform Dijkstra from the SOURCE/OPIN of interest, stopping at the first + * reachable wires (i.e. until we hit the inter-block routing network), or a SINK * (via a direct-connect). * * Note that typical RR graphs are structured : @@ -988,12 +1023,8 @@ static void dijkstra_flood_to_wires(int itile, RRNodeId next_node = rr_graph.rr_nodes().edge_sink_node(edge); // For the time being, we decide to not let the lookahead explore the node inside the clusters - t_physical_tile_type_ptr physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(next_node), - rr_graph.node_ylow(next_node), - rr_graph.node_layer(next_node)}); - if (!is_inter_cluster_node(physical_type, - rr_graph.node_type(next_node), - rr_graph.node_ptc_num(next_node))) { + + if (!is_inter_cluster_node(rr_graph, next_node)) { // Don't go inside the clusters continue; } @@ -1120,7 +1151,7 @@ static int get_tile_src_opin_max_ptc(int itile) { int max_ptc = 0; // Output pin - for (const auto& class_inf: physical_tile.class_inf) { + for (const auto& class_inf : physical_tile.class_inf) { if (class_inf.type != e_pin_type::DRIVER) { continue; } @@ -1261,22 +1292,22 @@ static void run_dijkstra(RRNodeId start_node, int start_x, int start_y, util::t_routing_cost_map& routing_cost_map, - util::t_dijkstra_data* data, + util::t_dijkstra_data& data, const std::unordered_map<int, std::unordered_set<int>>& sample_locs, bool sample_all_locs) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; - auto& node_expanded = data->node_expanded; + auto& node_expanded = data.node_expanded; node_expanded.resize(rr_graph.num_nodes()); std::fill(node_expanded.begin(), node_expanded.end(), false); - auto& node_visited_costs = data->node_visited_costs; + auto& node_visited_costs = data.node_visited_costs; node_visited_costs.resize(rr_graph.num_nodes()); std::fill(node_visited_costs.begin(), node_visited_costs.end(), -1.0); /* a priority queue for expansion */ - std::priority_queue<util::PQ_Entry>& pq = data->pq; + std::priority_queue<util::PQ_Entry>& pq = data.pq; //Clear priority queue if non-empty while (!pq.empty()) { @@ -1284,9 +1315,7 @@ static void run_dijkstra(RRNodeId start_node, } /* first entry has no upstream delay or congestion */ - util::PQ_Entry first_entry(start_node, UNDEFINED, 0, 0, 0, true); - - pq.push(first_entry); + pq.emplace(start_node, UNDEFINED, 0, 0, 0, true); /* now do routing */ while (!pq.empty()) { @@ -1311,8 +1340,7 @@ static void run_dijkstra(RRNodeId start_node, int ipin_layer = rr_graph.node_layer(curr_node); if (ipin_x >= start_x && ipin_y >= start_y) { - int delta_x, delta_y; - util::get_xy_deltas(start_node, curr_node, &delta_x, &delta_y); + auto [delta_x, delta_y] = util::get_xy_deltas(start_node, curr_node); delta_x = std::abs(delta_x); delta_y = std::abs(delta_y); @@ -1353,13 +1381,8 @@ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, for (t_edge_size edge : rr_graph.edges(parent)) { RRNodeId child_node = rr_graph.edge_sink_node(parent, edge); // For the time being, we decide to not let the lookahead explore the node inside the clusters - t_physical_tile_type_ptr physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(child_node), - rr_graph.node_ylow(child_node), - rr_graph.node_layer(child_node)}); - if (!is_inter_cluster_node(physical_type, - rr_graph.node_type(child_node), - rr_graph.node_ptc_num(child_node))) { + if (!is_inter_cluster_node(rr_graph, child_node)) { continue; } int switch_ind = size_t(rr_graph.edge_switch(parent, edge)); @@ -1387,23 +1410,23 @@ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, } } -static void adjust_rr_position(const RRNodeId rr, int& x, int& y) { +static std::pair<int, int> get_adjusted_rr_position(const RRNodeId rr) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; e_rr_type rr_type = rr_graph.node_type(rr); if (is_chan(rr_type)) { - adjust_rr_wire_position(rr, x, y); + return get_adjusted_rr_wire_position(rr); } else if (is_pin(rr_type)) { - adjust_rr_pin_position(rr, x, y); + return get_adjusted_rr_pin_position(rr); } else { VTR_ASSERT_SAFE(is_src_sink(rr_type)); - adjust_rr_src_sink_position(rr, x, y); + return get_adjusted_rr_src_sink_position(rr); } } -static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y) { +static std::pair<int, int> get_adjusted_rr_pin_position(const RRNodeId rr) { /* * VPR uses a co-ordinate system where wires above and to the right of a block * are at the same location as the block: @@ -1443,8 +1466,8 @@ static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y) { VTR_ASSERT_SAFE(rr_graph.node_xlow(rr) == rr_graph.node_xhigh(rr)); VTR_ASSERT_SAFE(rr_graph.node_ylow(rr) == rr_graph.node_yhigh(rr)); - x = rr_graph.node_xlow(rr); - y = rr_graph.node_ylow(rr); + int x = rr_graph.node_xlow(rr); + int y = rr_graph.node_ylow(rr); /* Use the first side we can find * Note that this may NOT return an accurate coordinate @@ -1452,13 +1475,11 @@ static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y) { * However, current test show that the simple strategy provides * a good trade-off between runtime and quality of results */ - e_side rr_side = NUM_SIDES; - for (const e_side& candidate_side : SIDES) { - if (rr_graph.is_node_on_specific_side(rr, candidate_side)) { - rr_side = candidate_side; - break; - } - } + auto it = std::find_if(SIDES.begin(), SIDES.end(), [&](const e_side candidate_side) { + return rr_graph.is_node_on_specific_side(rr, candidate_side); + }); + + e_side rr_side = (it != SIDES.end()) ? *it : NUM_SIDES; VTR_ASSERT_SAFE(NUM_SIDES != rr_side); if (rr_side == LEFT) { @@ -1468,9 +1489,11 @@ static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y) { y -= 1; y = std::max(y, 0); } + + return {x, y}; } -static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y) { +static std::pair<int, int> get_adjusted_rr_wire_position(const RRNodeId rr) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; @@ -1479,30 +1502,30 @@ static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y) { Direction rr_dir = rr_graph.node_direction(rr); if (rr_dir == Direction::DEC) { - x = rr_graph.node_xhigh(rr); - y = rr_graph.node_yhigh(rr); + return {rr_graph.node_xhigh(rr), + rr_graph.node_yhigh(rr)}; } else if (rr_dir == Direction::INC) { - x = rr_graph.node_xlow(rr); - y = rr_graph.node_ylow(rr); + return {rr_graph.node_xlow(rr), + rr_graph.node_ylow(rr)}; } else { VTR_ASSERT_SAFE(rr_dir == Direction::BIDIR); //Not sure what to do here... //Try average for now. - x = vtr::nint((rr_graph.node_xlow(rr) + rr_graph.node_xhigh(rr)) / 2.); - y = vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.); + return {vtr::nint((rr_graph.node_xlow(rr) + rr_graph.node_xhigh(rr)) / 2.), + vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.)}; } } -static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y) { +static std::pair<int, int> get_adjusted_rr_src_sink_position(const RRNodeId rr) { //SOURCE/SINK nodes assume the full dimensions of their //associated block - // + //Use the average position. auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; VTR_ASSERT_SAFE(is_src_sink(rr_graph.node_type(rr))); - x = vtr::nint((rr_graph.node_xlow(rr) + rr_graph.node_xhigh(rr)) / 2.); - y = vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.); + return {vtr::nint((rr_graph.node_xlow(rr) + rr_graph.node_xhigh(rr)) / 2.), + vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.)}; } diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 88c677dc445..217bd0d2206 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -25,9 +25,6 @@ #include "rr_node.h" #include "rr_graph_view.h" -/* we will profile delay/congestion using this many tracks for each wire type */ -#define MAX_TRACK_OFFSET 16 - namespace util { class Cost_Entry; @@ -89,11 +86,10 @@ class Cost_Entry { bool fill; ///<Boolean specifying whether this Entry was created as a result of the cost map ///<holes filling procedure - Cost_Entry() { - delay = std::numeric_limits<float>::quiet_NaN(); - congestion = std::numeric_limits<float>::quiet_NaN(); - fill = false; - } + Cost_Entry() + : delay(std::numeric_limits<float>::quiet_NaN()) + , congestion(std::numeric_limits<float>::quiet_NaN()) + , fill(false) {} Cost_Entry(float set_delay, float set_congestion) : delay(set_delay) , congestion(set_congestion) @@ -138,7 +134,7 @@ class Expansion_Cost_Entry { float add_congestion) { Cost_Entry cost_entry(add_delay, add_congestion); if (method == SMALLEST) { - /* taking the smallest-delay entry anyway, so no need to push back multple entries */ + /* taking the smallest-delay entry anyway, so no need to push back multiple entries */ if (this->cost_vector.empty()) { this->cost_vector.push_back(cost_entry); } else { @@ -331,7 +327,15 @@ t_ipin_primitive_sink_delays compute_intra_tile_dijkstra(const RRGraphView& rr_g /* returns index of a node from which to start routing */ RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int target_y, t_rr_type rr_type, int seg_index, int track_offset); -void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y); +/** + * @brief Computes the absolute delta_x and delta_y offset + * required to reach to_node from from_node + * @param from_node The starting node + * @param to_node The destination node + * @return (delta_x, delta_y) offset required to reach to + * to_node from from_node. + */ +std::pair<int, int> get_xy_deltas(RRNodeId from_node, RRNodeId to_node); t_routing_cost_map get_routing_cost_map(int longest_seg_length, int from_layer_num, diff --git a/vpr/src/route/router_lookahead_sampling.cpp b/vpr/src/route/router_lookahead_sampling.cpp index 8060e8e7f0b..c7f2221ff9c 100644 --- a/vpr/src/route/router_lookahead_sampling.cpp +++ b/vpr/src/route/router_lookahead_sampling.cpp @@ -59,7 +59,7 @@ static std::vector<SamplePoint> choose_points(const vtr::Matrix<int>& counts, vtr::Point<int> center = sample(window, 1, 1, 2); // sort by distance from center - std::sort(points.begin(), points.end(), + std::stable_sort(points.begin(), points.end(), [&](const SamplePoint& a, const SamplePoint& b) { return manhattan_distance(a.location, center) < manhattan_distance(b.location, center); }); @@ -232,7 +232,7 @@ std::vector<SampleRegion> find_sample_regions(int num_segments) { compute_sample_regions(sample_regions, segment_counts, bounding_box_for_segment, num_segments); // sort regions - std::sort(sample_regions.begin(), sample_regions.end(), + std::stable_sort(sample_regions.begin(), sample_regions.end(), [](const SampleRegion& a, const SampleRegion& b) { return a.order < b.order; }); diff --git a/vpr/src/route/rr_graph.cpp b/vpr/src/route/rr_graph.cpp index 9eff646d2ea..71e99df6bac 100644 --- a/vpr/src/route/rr_graph.cpp +++ b/vpr/src/route/rr_graph.cpp @@ -327,14 +327,14 @@ static void add_intra_tile_edges_rr_graph(RRGraphBuilder& rr_graph_builder, * @brief Add the intra-cluster edges * @param rr_graph_builder * @param num_collapsed_nodes Return the number of nodes that are removed due to collapsing - * @param cluster_blk_id Cluser block id of the cluster that its edges are being added + * @param cluster_blk_id Cluster block id of the cluster that its edges are being added * @param i * @param j * @param cap Capacity number of the location that cluster is being mapped to * @param R_minW_nmos * @param R_minW_pmos * @param rr_edges_to_create - * @param nodes_to_collapse Sotre the nodes in the cluster that needs to be collapsed + * @param nodes_to_collapse Store the nodes in the cluster that needs to be collapsed * @param grid * @param is_flat * @param load_rr_graph @@ -398,7 +398,7 @@ static int add_edges_for_collapsed_nodes(RRGraphBuilder& rr_graph_builder, int j, bool load_rr_graph); /** - * @note This funtion is used to add the fan-in edges of the given chain node to the chain's sink with the modified delay + * @note This function is used to add the fan-in edges of the given chain node to the chain's sink with the modified delay * @param rr_graph_builder * @param rr_edges_to_create * @param num_collapsed_pins @@ -593,7 +593,7 @@ static void build_rr_graph(const t_graph_type graph_type, t_chan_width nodes_per_chan, const enum e_switch_block_type sb_type, const int Fs, - const std::vector<t_switchblock_inf> switchblocks, + const std::vector<t_switchblock_inf>& switchblocks, const std::vector<t_segment_inf>& segment_inf, const int global_route_switch, const int wire_to_arch_ipin_switch, @@ -625,7 +625,7 @@ static void build_intra_cluster_rr_graph(const t_graph_type graph_type, void create_rr_graph(const t_graph_type graph_type, const std::vector<t_physical_tile_type>& block_types, const DeviceGrid& grid, - const t_chan_width nodes_per_chan, + const t_chan_width& nodes_per_chan, t_det_routing_arch* det_routing_arch, const std::vector<t_segment_inf>& segment_inf, const t_router_opts& router_opts, @@ -765,7 +765,7 @@ void create_rr_graph(const t_graph_type graph_type, // Write out rr graph file if needed - Currently, writing the flat rr-graph is not supported since loading from a flat rr-graph is not supported. // When this function is called in any stage other than routing, the is_flat flag passed to this function is false, regardless of the flag passed - // through command line. So, the graph conrresponding to global resources will be created and written down to file if needed. During routing, if flat-routing + // through command line. So, the graph corresponding to global resources will be created and written down to file if needed. During routing, if flat-routing // is enabled, intra-cluster resources will be added to the graph, but this new bigger graph will not be written down. if (!det_routing_arch->write_rr_graph_filename.empty() && !is_flat) { write_rr_graph(&mutable_device_ctx.rr_graph_builder, @@ -936,7 +936,7 @@ static void build_rr_graph(const t_graph_type graph_type, t_chan_width nodes_per_chan, const enum e_switch_block_type sb_type, const int Fs, - const std::vector<t_switchblock_inf> switchblocks, + const std::vector<t_switchblock_inf>& switchblocks, const std::vector<t_segment_inf>& segment_inf, const int global_route_switch, const int wire_to_arch_ipin_switch, @@ -1003,16 +1003,16 @@ static void build_rr_graph(const t_graph_type graph_type, seg_details_y = alloc_and_load_global_route_seg_details(global_route_switch, &num_seg_details_y); } else { - /* Setup segments including distrubuting tracks and staggering. + /* Setup segments including distributing tracks and staggering. * If use_full_seg_groups is specified, max_chan_width may be * changed. Warning should be singled to caller if this happens. */ - /* Need to setup segments along x & y axis seperately, due to different + /* Need to setup segments along x & y axes separately, due to different * max_channel_widths and segment specifications. */ size_t max_dim = std::max(grid.width(), grid.height()) - 2; //-2 for no perim channels - /*Get x & y segments seperately*/ + /*Get x & y segments separately*/ seg_details_x = alloc_and_load_seg_details(&max_chan_width_x, max_dim, segment_inf_x, use_full_seg_groups, directionality, @@ -1078,7 +1078,7 @@ static void build_rr_graph(const t_graph_type graph_type, } } - /* get the number of 'sets' for each segment type -- unidirectial architectures have two tracks in a set, bidirectional have one */ + /* get the number of 'sets' for each segment type -- unidirectional architectures have two tracks in a set, bidirectional have one */ int total_sets = max_chan_width; int total_sets_x = max_chan_width_x; int total_sets_y = max_chan_width_y; @@ -2557,7 +2557,7 @@ static void build_bidir_rr_opins(RRGraphBuilder& rr_graph_builder, if ((i == 0 && side != RIGHT) || (i == int(grid.width() - 1) && side != LEFT) || (j == 0 && side != TOP) - || (j == int(grid.width() - 1) && side != BOTTOM)) { + || (j == int(grid.height() - 1) && side != BOTTOM)) { return; } @@ -3207,7 +3207,7 @@ static void build_rr_chan(RRGraphBuilder& rr_graph_builder, } void uniquify_edges(t_rr_edge_info_set& rr_edges_to_create) { - std::sort(rr_edges_to_create.begin(), rr_edges_to_create.end()); + std::stable_sort(rr_edges_to_create.begin(), rr_edges_to_create.end()); rr_edges_to_create.erase(std::unique(rr_edges_to_create.begin(), rr_edges_to_create.end()), rr_edges_to_create.end()); } @@ -4459,7 +4459,7 @@ static std::vector<bool> alloc_and_load_perturb_opins(const t_physical_tile_type } n = step_size / prime_factors[i]; - n = n - (float)vtr::nint(n); /* fractinal part */ + n = n - (float)vtr::nint(n); /* fractional part */ if (fabs(n) < threshold) { perturb_opins[0] = true; break; @@ -4506,7 +4506,7 @@ static RRNodeId pick_best_direct_connect_target_rr_node(const RRGraphView& rr_gr } //Include a partial unit of distance based on side alignment to ensure - //we preferr facing sides + //we prefer facing sides if ((from_side == RIGHT && to_side == LEFT) || (from_side == LEFT && to_side == RIGHT) || (from_side == TOP && to_side == BOTTOM) diff --git a/vpr/src/route/rr_graph.h b/vpr/src/route/rr_graph.h index 14d2bf903b6..c610dd6cf6a 100644 --- a/vpr/src/route/rr_graph.h +++ b/vpr/src/route/rr_graph.h @@ -24,7 +24,7 @@ enum { void create_rr_graph(const t_graph_type graph_type, const std::vector<t_physical_tile_type>& block_types, const DeviceGrid& grid, - t_chan_width nodes_per_chan, + const t_chan_width& nodes_per_chan, t_det_routing_arch* det_routing_arch, const std::vector<t_segment_inf>& segment_inf, const t_router_opts& router_opts, diff --git a/vpr/src/server/bytearray.h b/vpr/src/server/bytearray.h new file mode 100644 index 00000000000..d414ae0817c --- /dev/null +++ b/vpr/src/server/bytearray.h @@ -0,0 +1,83 @@ +#ifndef BYTEARRAY_H +#define BYTEARRAY_H + +#ifndef NO_SERVER + +#include <vector> +#include <string> +#include <cstring> + +namespace comm { + +/** + * @brief ByteArray as a simple wrapper over std::vector<char> +*/ +class ByteArray : public std::vector<char> { +public: + static const std::size_t DEFAULT_SIZE_HINT = 1024; + + ByteArray(const char* data) + : std::vector<char>(data, data + std::strlen(data)) + {} + + ByteArray(const char* data, std::size_t size) + : std::vector<char>(data, data + size) + {} + + ByteArray(std::size_t size_hint = DEFAULT_SIZE_HINT) { + reserve(size_hint); + } + + template<typename Iterator> + ByteArray(Iterator first, Iterator last): std::vector<char>(first, last) {} + + void append(const ByteArray& appendix) { + insert(end(), appendix.begin(), appendix.end()); + } + + void append(char b) { + push_back(b); + } + + std::pair<bool, std::size_t> find_sequence(const char* sequence, std::size_t sequence_size) { + const std::size_t ssize = size(); + if (ssize >= sequence_size) { + for (std::size_t i = 0; i <= ssize - sequence_size; ++i) { + bool found = true; + for (std::size_t j = 0; j < sequence_size; ++j) { + if (at(i + j) != sequence[j]) { + found = false; + break; + } + } + if (found) { + return std::make_pair(true, i); + } + } + } + return std::make_pair(false, 0); + } + + operator std::string_view() const { + return std::string_view(this->data(), this->size()); + } + + uint32_t calc_check_sum() { + return calc_check_sum<ByteArray>(*this); + } + + template<typename T> + static uint32_t calc_check_sum(const T& iterable) { + uint32_t sum = 0; + for (char c : iterable) { + sum += static_cast<unsigned int>(static_cast<unsigned char>(c)); + } + return sum; + } +}; + +} // namespace comm + +#endif /* NO_SERVER */ + +#endif /* BYTEARRAY_H */ diff --git a/vpr/src/server/commconstants.h b/vpr/src/server/commconstants.h new file mode 100644 index 00000000000..545c00a977b --- /dev/null +++ b/vpr/src/server/commconstants.h @@ -0,0 +1,40 @@ +#ifndef COMMCONSTS_H +#define COMMCONSTS_H + +#ifndef NO_SERVER + +#include <string> + +namespace comm { + +inline const std::string KEY_JOB_ID{"JOB_ID"}; +inline const std::string KEY_CMD{"CMD"}; +inline const std::string KEY_OPTIONS{"OPTIONS"}; +inline const std::string KEY_DATA{"DATA"}; +inline const std::string KEY_STATUS{"STATUS"}; +inline const std::string ECHO_DATA{"ECHO"}; + +const unsigned char ZLIB_COMPRESSOR_ID = 'z'; +const unsigned char NONE_COMPRESSOR_ID = '\x0'; + +inline const std::string OPTION_PATH_NUM{"path_num"}; +inline const std::string OPTION_PATH_TYPE{"path_type"}; +inline const std::string OPTION_DETAILS_LEVEL{"details_level"}; +inline const std::string OPTION_IS_FLAT_ROUTING{"is_flat_routing"}; +inline const std::string OPTION_PATH_ELEMENTS{"path_elements"}; +inline const std::string OPTION_HIGHLIGHT_MODE{"high_light_mode"}; +inline const std::string OPTION_DRAW_PATH_CONTOUR{"draw_path_contour"}; + +inline const std::string KEY_SETUP_PATH_LIST{"setup"}; +inline const std::string KEY_HOLD_PATH_LIST{"hold"}; + +enum CMD { + CMD_GET_PATH_LIST_ID=0, + CMD_DRAW_PATH_ID +}; + +} // namespace comm + +#endif /* NO_SERVER */ + +#endif /* COMMCONSTS_H */ diff --git a/vpr/src/server/convertutils.cpp b/vpr/src/server/convertutils.cpp new file mode 100644 index 00000000000..f176e7ffee9 --- /dev/null +++ b/vpr/src/server/convertutils.cpp @@ -0,0 +1,71 @@ +#ifndef NO_SERVER + +#include "convertutils.h" +#include <sstream> +#include <iomanip> +#include <cstring> + +#include "vtr_util.h" +#include "vtr_error.h" + +std::optional<int> try_convert_to_int(const std::string& str) { + try { + return vtr::atoi(str); + } catch (const vtr::VtrError&) { + return std::nullopt; + } +} + +static std::string get_pretty_str_from_double(double value) { + std::ostringstream ss; + ss << std::fixed << std::setprecision(2) << value; // Set precision to 2 digit after the decimal point + return ss.str(); +} + +std::string get_pretty_duration_str_from_ms(int64_t duration_ms) { + std::string result; + if (duration_ms >= 1000) { + result = get_pretty_str_from_double(duration_ms / 1000.0) + " sec"; + } else { + result = std::to_string(duration_ms); + result += " ms"; + } + return result; +} + +std::string get_pretty_size_str_from_bytes_num(int64_t bytes_num) { + std::string result; + if (bytes_num >= 1024*1024*1024) { + result = get_pretty_str_from_double(bytes_num / double(1024*1024*1024)) + "Gb"; + } else if (bytes_num >= 1024*1024) { + result = get_pretty_str_from_double(bytes_num / double(1024*1024)) + "Mb"; + } else if (bytes_num >= 1024) { + result = get_pretty_str_from_double(bytes_num / double(1024)) + "Kb"; + } else { + result = std::to_string(bytes_num) + "bytes"; + } + return result; +} + +std::string get_truncated_middle_str(const std::string& src, std::size_t num) { + std::string result; + constexpr std::size_t minimal_string_size_to_truncate = 20; + if (num < minimal_string_size_to_truncate) { + num = minimal_string_size_to_truncate; + } + constexpr const char middle_place_holder[] = "..."; + const std::size_t src_size = src.size(); + if (src_size > num) { + int prefix_num = num / 2; + int suffix_num = num / 2 - std::strlen(middle_place_holder); + result.append(src.substr(0, prefix_num)); + result.append(middle_place_holder); + result.append(src.substr(src_size - suffix_num)); + } else { + result = src; + } + + return result; +} + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/convertutils.h b/vpr/src/server/convertutils.h new file mode 100644 index 00000000000..73658145395 --- /dev/null +++ b/vpr/src/server/convertutils.h @@ -0,0 +1,19 @@ +#ifndef CONVERTUTILS_H +#define CONVERTUTILS_H + +#ifndef NO_SERVER + +#include <cstdint> +#include <optional> +#include <string> + +const std::size_t DEFAULT_PRINT_STRING_MAX_NUM = 100; + +std::optional<int> try_convert_to_int(const std::string&); +std::string get_pretty_duration_str_from_ms(int64_t durationMs); +std::string get_pretty_size_str_from_bytes_num(int64_t bytesNum); +std::string get_truncated_middle_str(const std::string& src, std::size_t num = DEFAULT_PRINT_STRING_MAX_NUM); + +#endif /* NO_SERVER */ + +#endif /* CONVERTUTILS_H */ diff --git a/vpr/src/server/gateio.cpp b/vpr/src/server/gateio.cpp new file mode 100644 index 00000000000..573797cb44e --- /dev/null +++ b/vpr/src/server/gateio.cpp @@ -0,0 +1,290 @@ +#ifndef NO_SERVER + +#include "gateio.h" + +#include "telegramparser.h" +#include "commconstants.h" +#include "convertutils.h" + +namespace server { + +GateIO::GateIO() { + m_is_running.store(false); +} + +GateIO::~GateIO() { + stop(); +} + +void GateIO::start(int port_num) { + if (!m_is_running.load()) { + m_port_num = port_num; + VTR_LOG("starting server"); + m_is_running.store(true); + m_thread = std::thread(&GateIO::start_listening, this); + } +} + +void GateIO::stop() { + if (m_is_running.load()) { + m_is_running.store(false); + if (m_thread.joinable()) { + m_thread.join(); + } + } +} + +void GateIO::take_received_tasks(std::vector<TaskPtr>& tasks) { + std::unique_lock<std::mutex> lock(m_tasks_mutex); + for (TaskPtr& task: m_received_tasks) { + m_logger.queue(LogLevel::Debug, "move task id=", task->job_id(), "for processing"); + tasks.push_back(std::move(task)); + } + m_received_tasks.clear(); +} + +void GateIO::move_tasks_to_send_queue(std::vector<TaskPtr>& tasks) { + std::unique_lock<std::mutex> lock(m_tasks_mutex); + for (TaskPtr& task: tasks) { + m_logger.queue(LogLevel::Debug, "move task id=", task->job_id(), "finished", (task->has_error() ? "with error" : "successfully"), task->error(), "to send queue"); + m_send_tasks.push_back(std::move(task)); + } + tasks.clear(); +} + +GateIO::ActivityStatus GateIO::check_client_connection(sockpp::tcp6_acceptor& tcp_server, std::optional<sockpp::tcp6_socket>& client_opt) { + ActivityStatus status = ActivityStatus::WAITING_ACTIVITY; + + sockpp::inet6_address peer; + sockpp::tcp6_socket client = tcp_server.accept(&peer); + if (client) { + m_logger.queue(LogLevel::Info, "client", client.address().to_string() , "connection accepted"); + client.set_non_blocking(true); + client_opt = std::move(client); + + status = ActivityStatus::CLIENT_ACTIVITY; + } + + return status; +} + +GateIO::ActivityStatus GateIO::handle_sending_data(sockpp::tcp6_socket& client) { + ActivityStatus status = ActivityStatus::WAITING_ACTIVITY; + std::unique_lock<std::mutex> lock(m_tasks_mutex); + + if (!m_send_tasks.empty()) { + const TaskPtr& task = m_send_tasks.at(0); + try { + std::size_t bytes_to_send = std::min(CHUNK_MAX_BYTES_NUM, task->response_buffer().size()); + std::size_t bytes_sent = client.write_n(task->response_buffer().data(), bytes_to_send); + if (bytes_sent <= task->orig_reponse_bytes_num()) { + task->chop_num_sent_bytes_from_response_buffer(bytes_sent); + m_logger.queue(LogLevel::Detail, + "sent chunk:", get_pretty_size_str_from_bytes_num(bytes_sent), + "from", get_pretty_size_str_from_bytes_num(task->orig_reponse_bytes_num()), + "left:", get_pretty_size_str_from_bytes_num(task->response_buffer().size())); + status = ActivityStatus::CLIENT_ACTIVITY; + } + } catch(...) { + m_logger.queue(LogLevel::Detail, "error while writing chunk"); + status = ActivityStatus::COMMUNICATION_PROBLEM; + } + + if (task->is_response_fully_sent()) { + m_logger.queue(LogLevel::Info, "sent:", task->telegram_header().info(), task->info()); + } + } + + // remove reported tasks + std::size_t tasks_num_before_removing = m_send_tasks.size(); + + auto partition_iter = std::partition(m_send_tasks.begin(), m_send_tasks.end(), + [](const TaskPtr& task) { return !task->is_response_fully_sent(); }); + m_send_tasks.erase(partition_iter, m_send_tasks.end()); + bool is_removing_took_place = tasks_num_before_removing != m_send_tasks.size(); + if (!m_send_tasks.empty() && is_removing_took_place) { + m_logger.queue(LogLevel::Detail, "left tasks num to send ", m_send_tasks.size()); + } + + return status; +} + +GateIO::ActivityStatus GateIO::handle_receiving_data(sockpp::tcp6_socket& client, comm::TelegramBuffer& telegram_buff, std::string& received_message) { + ActivityStatus status = ActivityStatus::WAITING_ACTIVITY; + std::size_t bytes_actually_received{0}; + try { + bytes_actually_received = client.read_n(&received_message[0], CHUNK_MAX_BYTES_NUM); + } catch(...) { + m_logger.queue(LogLevel::Error, "fail to receiving"); + status = ActivityStatus::COMMUNICATION_PROBLEM; + } + + if ((bytes_actually_received > 0) && (bytes_actually_received <= CHUNK_MAX_BYTES_NUM)) { + m_logger.queue(LogLevel::Detail, "received chunk:", get_pretty_size_str_from_bytes_num(bytes_actually_received)); + telegram_buff.append(comm::ByteArray{received_message.c_str(), bytes_actually_received}); + status = ActivityStatus::CLIENT_ACTIVITY; + } + + return status; +} + +GateIO::ActivityStatus GateIO::handle_telegrams(std::vector<comm::TelegramFramePtr>& telegram_frames, comm::TelegramBuffer& telegram_buff) { + ActivityStatus status = ActivityStatus::WAITING_ACTIVITY; + telegram_frames.clear(); + telegram_buff.take_telegram_frames(telegram_frames); + for (const comm::TelegramFramePtr& telegram_frame: telegram_frames) { + // process received data + std::string message{telegram_frame->data}; + bool is_echo_telegram = false; + if ((message.size() == comm::ECHO_DATA.size()) && (message == comm::ECHO_DATA)) { + m_logger.queue(LogLevel::Detail, "received", comm::ECHO_DATA); + is_echo_telegram = true; + status = ActivityStatus::CLIENT_ACTIVITY; + } + + if (!is_echo_telegram) { + m_logger.queue(LogLevel::Detail, "received composed", get_pretty_size_str_from_bytes_num(message.size()), ":", get_truncated_middle_str(message)); + std::optional<int> job_id_opt = comm::TelegramParser::try_extract_field_job_id(message); + std::optional<int> cmd_opt = comm::TelegramParser::try_extract_field_cmd(message); + std::optional<std::string> options_opt = comm::TelegramParser::try_extract_field_options(message); + if (job_id_opt && cmd_opt && options_opt) { + TaskPtr task = std::make_unique<Task>(job_id_opt.value(), cmd_opt.value(), options_opt.value()); + const comm::TelegramHeader& header = telegram_frame->header; + m_logger.queue(LogLevel::Info, "received:", header.info(), task->info(/*skipDuration*/true)); + std::unique_lock<std::mutex> lock(m_tasks_mutex); + m_received_tasks.push_back(std::move(task)); + } else { + m_logger.queue(LogLevel::Error, "broken telegram detected, fail extract options from", message); + } + } + } + + return status; +} + +GateIO::ActivityStatus GateIO::handle_client_alive_tracker(sockpp::tcp6_socket& client, std::unique_ptr<ClientAliveTracker>& client_alive_tracker_ptr) { + ActivityStatus status = ActivityStatus::WAITING_ACTIVITY; + if (client_alive_tracker_ptr) { + /// handle sending echo to client + if (client_alive_tracker_ptr->is_time_to_sent_echo()) { + comm::TelegramHeader echo_header = comm::TelegramHeader::construct_from_data(comm::ECHO_DATA); + std::string message{echo_header.buffer()}; + message.append(comm::ECHO_DATA); + try { + std::size_t bytes_sent = client.write(message); + if (bytes_sent == message.size()) { + m_logger.queue(LogLevel::Detail, "sent", comm::ECHO_DATA); + client_alive_tracker_ptr->on_echo_sent(); + } + } catch(...) { + m_logger.queue(LogLevel::Debug, "fail to sent", comm::ECHO_DATA); + status = ActivityStatus::COMMUNICATION_PROBLEM; + } + } + + /// handle client timeout + if (client_alive_tracker_ptr->is_client_timeout()) { + m_logger.queue(LogLevel::Error, "client didn't respond too long"); + status = ActivityStatus::COMMUNICATION_PROBLEM; + } + } + + return status; +} + +void GateIO::handle_activity_status(ActivityStatus status, std::unique_ptr<ClientAliveTracker>& client_alive_tracker_ptr, bool& is_communication_problem_detected) { + if (status == ActivityStatus::CLIENT_ACTIVITY) { + if (client_alive_tracker_ptr) { + client_alive_tracker_ptr->on_client_activity(); + } + } else if (status == ActivityStatus::COMMUNICATION_PROBLEM) { + is_communication_problem_detected = true; + } +} + +void GateIO::start_listening() { +#ifdef ENABLE_CLIENT_ALIVE_TRACKER + std::unique_ptr<ClientAliveTracker> client_alive_tracker_ptr = + std::make_unique<ClientAliveTracker>(std::chrono::milliseconds{5000}, std::chrono::milliseconds{20000}); +#else + std::unique_ptr<ClientAliveTracker> client_alive_tracker_ptr; +#endif + + comm::TelegramBuffer telegram_buff; + std::vector<comm::TelegramFramePtr> telegram_frames; + + sockpp::initialize(); + sockpp::tcp6_acceptor tcp_server(m_port_num); + tcp_server.set_non_blocking(true); + + if (tcp_server) { + m_logger.queue(LogLevel::Info, "open server, port=", m_port_num); + } else { + m_logger.queue(LogLevel::Info, "fail to open server, port=", m_port_num); + } + + std::optional<sockpp::tcp6_socket> client_opt; + + std::string received_message; + received_message.resize(CHUNK_MAX_BYTES_NUM); + + /// comm event loop + while(m_is_running.load()) { + bool is_communication_problem_detected = false; + + if (!client_opt) { + ActivityStatus status = check_client_connection(tcp_server, client_opt); + if (status == ActivityStatus::CLIENT_ACTIVITY) { + if (client_alive_tracker_ptr) { + client_alive_tracker_ptr->reset(); + } + } + } + + if (client_opt) { + sockpp::tcp6_socket& client = client_opt.value(); // shortcut + + /// handle sending + ActivityStatus status = handle_sending_data(client); + handle_activity_status(status, client_alive_tracker_ptr, is_communication_problem_detected); + + /// handle receiving + status = handle_receiving_data(client, telegram_buff, received_message); + handle_activity_status(status, client_alive_tracker_ptr, is_communication_problem_detected); + + /// handle telegrams + status = handle_telegrams(telegram_frames, telegram_buff); + handle_activity_status(status, client_alive_tracker_ptr, is_communication_problem_detected); + + // forward telegramBuffer errors + std::vector<std::string> telegram_buffer_errors; + telegram_buff.take_errors(telegram_buffer_errors); + for (const std::string& error: telegram_buffer_errors) { + m_logger.queue(LogLevel::Info, error); + } + + /// handle client alive tracker + status = handle_client_alive_tracker(client, client_alive_tracker_ptr); + handle_activity_status(status, client_alive_tracker_ptr, is_communication_problem_detected); + + /// handle communication problem + if (is_communication_problem_detected) { + client_opt = std::nullopt; + if (!telegram_buff.empty()) { + m_logger.queue(LogLevel::Debug, "clear telegramBuff"); + telegram_buff.clear(); + } + } + } + + std::this_thread::sleep_for(std::chrono::milliseconds{LOOP_INTERVAL_MS}); + } +} + +void GateIO::print_logs() { + m_logger.flush(); +} + +} // namespace server + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/gateio.h b/vpr/src/server/gateio.h new file mode 100644 index 00000000000..8dfb9ce686e --- /dev/null +++ b/vpr/src/server/gateio.h @@ -0,0 +1,236 @@ +#ifndef GATEIO_H +#define GATEIO_H + +#ifndef NO_SERVER + +#include "task.h" +#include "telegrambuffer.h" + +#include "vtr_log.h" + +#include <chrono> +#include <sstream> +#include <thread> +#include <atomic> +#include <mutex> +#include <vector> +#include <utility> +#include <optional> + +#include "sockpp/tcp6_acceptor.h" + +namespace server { + +/** + * @brief Implements the socket communication layer with the outside world. + * + * Operable only with a single client. As soon as client connection is detected + * it begins listening on the specified port number for incoming client requests, + * collects and encapsulates them into tasks. + * The incoming tasks are extracted and handled by the top-level logic (TaskResolver). + * Once the tasks are resolved by the TaskResolver, they are returned + * to be sent back to the client as a response. + * + * @note: + * - The GateIO instance should be created and managed from the main thread, while its internal processing + * and IO operations are performed asynchronously in a separate thread. This separation ensures smooth IO behavior + * and responsiveness of the application. + * - Gateio is not started automatically upon creation, you have to use the 'start' method with the port number. + * - The socket is initialized in a non-blocking mode to function properly in a multithreaded environment. +*/ +class GateIO +{ + enum class ActivityStatus : int { + WAITING_ACTIVITY, + CLIENT_ACTIVITY, + COMMUNICATION_PROBLEM + }; + + const std::size_t CHUNK_MAX_BYTES_NUM = 2*1024*1024; // 2Mb + + /** + * @brief Helper class aimed to help detecting a client offline. + * + * The ClientAliveTracker is pinged each time there is some activity from the client side. + * When the client doesn't show activity for a certain amount of time, the ClientAliveTracker generates + * an event for sending an ECHO telegram to the client. + * If, after sending the ECHO telegram, the client does not respond with an ECHO, it means the client is absent, + * and it's time to start accepting new client connections in GateIO. + */ + class ClientAliveTracker { + public: + ClientAliveTracker(const std::chrono::milliseconds& echoIntervalMs, const std::chrono::milliseconds& clientTimeoutMs) + : m_echo_interval_ms(echoIntervalMs), m_client_timeout_ms(clientTimeoutMs) { + reset(); + } + ClientAliveTracker()=default; + + void on_client_activity() { + m_last_client_activity_time = std::chrono::high_resolution_clock::now(); + } + + void on_echo_sent() { + m_last_echo_sent_time = std::chrono::high_resolution_clock::now(); + } + + bool is_time_to_sent_echo() const { + return (duration_since_last_client_activity_ms() > m_echo_interval_ms) && (durationSinceLastEchoSentMs() > m_echo_interval_ms); + } + bool is_client_timeout() const { return duration_since_last_client_activity_ms() > m_client_timeout_ms; } + + void reset() { + on_client_activity(); + } + + private: + std::chrono::high_resolution_clock::time_point m_last_client_activity_time; + std::chrono::high_resolution_clock::time_point m_last_echo_sent_time; + std::chrono::milliseconds m_echo_interval_ms; + std::chrono::milliseconds m_client_timeout_ms; + + std::chrono::milliseconds duration_since_last_client_activity_ms() const { + auto now = std::chrono::high_resolution_clock::now(); + return std::chrono::duration_cast<std::chrono::milliseconds>(now - m_last_client_activity_time); + } + std::chrono::milliseconds durationSinceLastEchoSentMs() const { + auto now = std::chrono::high_resolution_clock::now(); + return std::chrono::duration_cast<std::chrono::milliseconds>(now - m_last_echo_sent_time); + } + }; + + enum class LogLevel: int { + Error, + Info, + Detail, + Debug + }; + + class TLogger { + public: + TLogger() { + m_log_level = static_cast<int>(LogLevel::Info); + } + ~TLogger() {} + + template<typename... Args> + void queue(LogLevel logLevel, Args&&... args) { + if (static_cast<int>(logLevel) <= m_log_level) { + std::unique_lock<std::mutex> lock(m_log_stream_mutex); + if (logLevel == LogLevel::Error) { + m_log_stream << "ERROR:"; + } + ((m_log_stream << ' ' << std::forward<Args>(args)), ...); + m_log_stream << "\n"; + } + } + + void flush() { + std::unique_lock<std::mutex> lock(m_log_stream_mutex); + if (!m_log_stream.str().empty()) { + VTR_LOG(m_log_stream.str().c_str()); + m_log_stream.str(""); + } + } + + private: + std::stringstream m_log_stream; + std::mutex m_log_stream_mutex; + std::atomic<int> m_log_level; + }; + + const int LOOP_INTERVAL_MS = 100; + +public: + GateIO(); + ~GateIO(); + + GateIO(const GateIO&) = delete; + GateIO& operator=(const GateIO&) = delete; + + GateIO(GateIO&&) = delete; + GateIO& operator=(GateIO&&) = delete; + + // Check if the port listening process is currently running + bool is_running() const { return m_is_running.load(); } + + /** + * @brief Transfers ownership of received tasks to the caller. + * + * This method moves all received tasks from the internal storage to the provided vector. + * After calling this method, the internal list of received tasks will be cleared. + * + * @param tasks A reference to a vector where the received tasks will be moved. + */ + void take_received_tasks(std::vector<TaskPtr>&); + + /** + * @brief Moves tasks to the send queue. + * + * This method moves the tasks to the send queue. + * Each task is moved from the input vector to the send queue, and the input vector + * remains empty after the operation. + * + * @param tasks A reference to a vector containing the tasks to be moved to the send queue. + */ + void move_tasks_to_send_queue(std::vector<TaskPtr>&); + + /** + * @brief Prints log messages for the GateIO. + * + * @note Must be called from main thread since it's invoke std::cout. + * Calling this method from other threads may result in unexpected behavior. + */ + void print_logs(); + + /** + * @brief Starts the server on the specified port number. + * + * This method starts the server to listen for incoming connections on the specified port number. + * Once started,the server will continue running in a separate thread and will accept connection only from a single client + * attempting to connect to the specified port. + * + * @param portNum The port number on which the server will listen for incoming connection. + */ + void start(int portNum); + + /** + * @brief Stops the server and terminates the listening thread. + * + * This method stops the server and terminates the listening thread. After calling this method, + * the server will no longer accept incoming connections and the listening thread will be terminated. + * + * @note This method should be called when the server needs to be shut down gracefully. + */ + void stop(); + +private: + int m_port_num = -1; + + std::atomic<bool> m_is_running; // is true when started + + std::thread m_thread; // thread to execute socket IO work + + std::mutex m_tasks_mutex; // we used single mutex to guard both vectors m_received_tasks and m_sendTasks + std::vector<TaskPtr> m_received_tasks; // tasks from client (requests) + std::vector<TaskPtr> m_send_tasks; // tasks to client (responses) + + TLogger m_logger; + + void start_listening(); // thread worker function + + /// helper functions to be executed inside startListening + ActivityStatus check_client_connection(sockpp::tcp6_acceptor& tcp_server, std::optional<sockpp::tcp6_socket>& client_opt); + ActivityStatus handle_sending_data(sockpp::tcp6_socket& client); + ActivityStatus handle_receiving_data(sockpp::tcp6_socket& client, comm::TelegramBuffer& telegram_buff, std::string& received_message); + ActivityStatus handle_telegrams(std::vector<comm::TelegramFramePtr>& telegram_frames, comm::TelegramBuffer& telegram_buff); + ActivityStatus handle_client_alive_tracker(sockpp::tcp6_socket& client, std::unique_ptr<ClientAliveTracker>& client_alive_tracker_ptr); + void handle_activity_status(ActivityStatus status, std::unique_ptr<ClientAliveTracker>& client_alive_tracker_ptr, bool& is_communication_problem_detected); + /// +}; + +} // namespace server + +#endif /* NO_SERVER */ + +#endif /* GATEIO_H */ + diff --git a/vpr/src/server/pathhelper.cpp b/vpr/src/server/pathhelper.cpp new file mode 100644 index 00000000000..fb0dfd621b9 --- /dev/null +++ b/vpr/src/server/pathhelper.cpp @@ -0,0 +1,72 @@ +#ifndef NO_SERVER + +#include "pathhelper.h" +#include "commconstants.h" + +#include "globals.h" +#include "VprTimingGraphResolver.h" +#include "tatum/TimingReporter.hpp" +#include "RoutingDelayCalculator.h" +#include "timing_info.h" + +#include <sstream> + +namespace server { + +/** + * @brief helper function to collect crit parser metadata. + * This data is used on parser side to properly extract arrival path elements from the timing report. + */ +static void collect_crit_path_metadata(std::stringstream& ss, const std::vector<tatum::TimingPath>& paths) { + ss << "#RPT METADATA:\n"; + ss << "path_index/clock_launch_path_elements_num/arrival_path_elements_num\n"; + std::size_t counter = 0; + for (const tatum::TimingPath& path: paths) { + std::size_t offset_index = path.clock_launch_path().elements().size(); + std::size_t selectable_items = path.data_arrival_path().elements().size(); + ss << counter << "/" << offset_index << "/" << selectable_items << "\n"; + counter++; + } +} + +/** + * @brief Helper function to calculate critical path timing report with specified parameters. + */ +CritPathsResultPtr calc_critical_path(const std::string& report_type, int crit_path_num, e_timing_report_detail details_level, bool is_flat_routing) { + // shortcuts + const std::shared_ptr<SetupHoldTimingInfo>& timing_info = g_vpr_ctx.server().timing_info(); + const std::shared_ptr<RoutingDelayCalculator>& routing_delay_calc = g_vpr_ctx.server().routing_delay_calc(); + + auto& timing_ctx = g_vpr_ctx.timing(); + auto& atom_ctx = g_vpr_ctx.atom(); + // + + t_analysis_opts analysis_opts; + analysis_opts.timing_report_detail = details_level; + analysis_opts.timing_report_npaths = crit_path_num; + + VprTimingGraphResolver resolver(atom_ctx.nlist, atom_ctx.lookup, *timing_ctx.graph, *routing_delay_calc, is_flat_routing); + resolver.set_detail_level(analysis_opts.timing_report_detail); + + tatum::TimingReporter timing_reporter(resolver, *timing_ctx.graph, *timing_ctx.constraints); + + CritPathsResultPtr result = std::make_shared<CritPathsResult>(); + + std::stringstream ss; + if (report_type == comm::KEY_SETUP_PATH_LIST) { + timing_reporter.report_timing_setup(result->paths, ss, *timing_info->setup_analyzer(), analysis_opts.timing_report_npaths); + } else if (report_type == comm::KEY_HOLD_PATH_LIST) { + timing_reporter.report_timing_hold(result->paths, ss, *timing_info->hold_analyzer(), analysis_opts.timing_report_npaths); + } + + if (!result->paths.empty()) { + collect_crit_path_metadata(ss, result->paths); + result->report = ss.str(); + } + + return result; +} + +} // namespace server + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/pathhelper.h b/vpr/src/server/pathhelper.h new file mode 100644 index 00000000000..e79dc270f88 --- /dev/null +++ b/vpr/src/server/pathhelper.h @@ -0,0 +1,36 @@ +#ifndef PATHHELPER_H +#define PATHHELPER_H + +#ifndef NO_SERVER + +#include <vector> +#include <string> +#include <memory> + +#include "tatum/report/TimingPath.hpp" +#include "vpr_types.h" + +namespace server { + +/** + * @brief Structure to retain the calculation result of the critical path. + * + * It contains the critical path list and the generated report as a string. +*/ +struct CritPathsResult { + bool is_valid() const { return !report.empty(); } + std::vector<tatum::TimingPath> paths; + std::string report; +}; +using CritPathsResultPtr = std::shared_ptr<CritPathsResult>; + +/** + * @brief Helper function to calculate critical path timing report with specified parameters. + */ +CritPathsResultPtr calc_critical_path(const std::string& type, int crit_path_num, e_timing_report_detail details_level, bool is_flat_routing); + +} // namespace server + +#endif /* NO_SERVER */ + +#endif /* PATHHELPER_H */ diff --git a/vpr/src/server/serverupdate.cpp b/vpr/src/server/serverupdate.cpp new file mode 100644 index 00000000000..caf06d5e890 --- /dev/null +++ b/vpr/src/server/serverupdate.cpp @@ -0,0 +1,49 @@ +#ifndef NO_SERVER + +#include "serverupdate.h" +#include "gateio.h" +#include "taskresolver.h" +#include "globals.h" +#include "ezgl/application.hpp" + +namespace server { + +gboolean update(gpointer data) { + const bool is_running = g_vpr_ctx.server().gateIO().is_running(); + if (is_running) { + // shortcuts + ezgl::application* app = static_cast<ezgl::application*>(data); + GateIO& gate_io = g_vpr_ctx.mutable_server().mutable_gateIO(); + TaskResolver& task_resolver = g_vpr_ctx.mutable_server().mutable_task_resolver(); + + std::vector<TaskPtr> tasks_buff; + + gate_io.take_received_tasks(tasks_buff); + for (TaskPtr& task: tasks_buff) { + task_resolver.own_task(std::move(task)); + } + tasks_buff.clear(); + + const bool is_server_context_initialized = g_vpr_ctx.server().timing_info() && g_vpr_ctx.server().routing_delay_calc(); + if (is_server_context_initialized) { + bool has_finished_tasks = task_resolver.update(app); + + task_resolver.take_finished_tasks(tasks_buff); + + gate_io.move_tasks_to_send_queue(tasks_buff); + + // Call the redraw method of the application if any of task was processed + if (has_finished_tasks) { + app->refresh_drawing(); + } + } + gate_io.print_logs(); + } + + // Return TRUE to keep the timer running, or FALSE to stop it + return is_running; +} + +} // namespace server + +#endif // NO_SERVER diff --git a/vpr/src/server/serverupdate.h b/vpr/src/server/serverupdate.h new file mode 100644 index 00000000000..f3add9ed269 --- /dev/null +++ b/vpr/src/server/serverupdate.h @@ -0,0 +1,24 @@ +#ifndef SERVERUPDATE_H +#define SERVERUPDATE_H + +#ifndef NO_SERVER + +#include <glib.h> + +namespace server { + +/** + * @brief Main server update callback. + * + * This function is a periodic callback invoked at a fixed interval to manage and handle incoming client requests. + * It acts as the central control point for processing client interactions and orchestrating server-side operations + * within the specified time intervals. + */ +gboolean update(gpointer); + +} // namespace server + +#endif /* NO_SERVER */ + +#endif /* SERVERUPDATE_H */ + diff --git a/vpr/src/server/task.cpp b/vpr/src/server/task.cpp new file mode 100644 index 00000000000..bf99164aafc --- /dev/null +++ b/vpr/src/server/task.cpp @@ -0,0 +1,113 @@ +#ifndef NO_SERVER + +#include "task.h" + +#include <sstream> + +#include "convertutils.h" +#include "commconstants.h" +#include "zlibutils.h" + +namespace server { + +Task::Task(int jobId, int cmd, const std::string& options) +: m_job_id(jobId), m_cmd(cmd), m_options(options) { + m_creation_time = std::chrono::high_resolution_clock::now(); +} + +void Task::chop_num_sent_bytes_from_response_buffer(std::size_t bytes_sent_num) { + if (m_response_buffer.size() >= bytes_sent_num) { + m_response_buffer.erase(0, bytes_sent_num); + } else { + m_response_buffer.clear(); + } + + if (m_response_buffer.empty()) { + m_is_response_fully_sent = true; + } +} + +bool Task::options_match(const class std::unique_ptr<Task>& other) { + if (other->options().size() != m_options.size()) { + return false; + } + return other->options() == m_options; +} + +void Task::fail(const std::string& error) { + m_is_finished = true; + m_error = error; + bake_response(); +} + +void Task::success() { + m_is_finished = true; + bake_response(); +} + +void Task::success(std::string&& result) { + m_result = std::move(result); + m_is_finished = true; + bake_response(); +} + +std::string Task::info(bool skip_duration) const { + std::stringstream ss; + ss << "task[" + << "id=" << std::to_string(m_job_id) + << ",cmd=" << std::to_string(m_cmd); + if (!skip_duration) { + ss << ",exists=" << get_pretty_duration_str_from_ms(time_ms_elapsed()); + } + ss << "]"; + return ss.str(); +} + +int64_t Task::time_ms_elapsed() const { + auto now = std::chrono::high_resolution_clock::now(); + return std::chrono::duration_cast<std::chrono::milliseconds>(now - m_creation_time).count(); +} + +void Task::bake_response() { + std::stringstream ss; + ss << "{"; + + ss << "\"" << comm::KEY_JOB_ID << "\":\"" << m_job_id << "\","; + ss << "\"" << comm::KEY_CMD << "\":\"" << m_cmd << "\","; + ss << "\"" << comm::KEY_OPTIONS << "\":\"" << m_options << "\","; + if (has_error()) { + ss << "\"" << comm::KEY_DATA << "\":\"" << m_error << "\","; + } else { + ss << "\"" << comm::KEY_DATA << "\":\"" << m_result << "\","; + } + int status = has_error() ? 0 : 1; + ss << "\"" << comm::KEY_STATUS << "\":\"" << status << "\""; + + ss << "}"; + + std::optional<std::string> body_opt; + uint8_t compressor_id = comm::NONE_COMPRESSOR_ID; +#ifndef FORCE_DISABLE_ZLIB_TELEGRAM_COMPRESSION + body_opt = try_compress(ss.str()); + if (body_opt) { + compressor_id = comm::ZLIB_COMPRESSOR_ID; + } +#endif + if (!body_opt) { + // fail to compress, use raw + compressor_id = comm::NONE_COMPRESSOR_ID; + body_opt = ss.str(); + } + + std::string body{std::move(body_opt.value())}; + m_telegram_header = comm::TelegramHeader::construct_from_data(body, compressor_id); + + m_response_buffer.append(m_telegram_header.buffer().begin(), m_telegram_header.buffer().end()); + m_response_buffer.append(body); + + m_orig_reponse_bytes_num = m_response_buffer.size(); +} + +} // namespace server + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/task.h b/vpr/src/server/task.h new file mode 100644 index 00000000000..0082c48f223 --- /dev/null +++ b/vpr/src/server/task.h @@ -0,0 +1,78 @@ +#ifndef TASK_H +#define TASK_H + +#ifndef NO_SERVER + +#include <string> +#include <memory> +#include <chrono> + +#include "telegramheader.h" + +namespace server { + +/** + * @brief Implements the server task. + * + * This structure aids in encapsulating the client request, request result, and result status. + * It generates a JSON data structure to be sent back to the client as a response. + */ +class Task { +public: + Task(int job_id, int cmd, const std::string& options = ""); + + Task(const Task&) = delete; + Task& operator=(const Task&) = delete; + + int job_id() const { return m_job_id; } + int cmd() const { return m_cmd; } + + void chop_num_sent_bytes_from_response_buffer(std::size_t bytesSentNum); + + bool options_match(const class std::unique_ptr<Task>& other); + + const std::string& response_buffer() const { return m_response_buffer; } + + bool is_finished() const { return m_is_finished; } + bool has_error() const { return !m_error.empty(); } + const std::string& error() const { return m_error; } + + std::size_t orig_reponse_bytes_num() const { return m_orig_reponse_bytes_num; } + + bool is_response_fully_sent() const { return m_is_response_fully_sent; } + + void fail(const std::string& error); + void success(); + void success(std::string&& result); + + std::string info(bool skip_duration = false) const; + + const comm::TelegramHeader& telegram_header() const { return m_telegram_header; } + + const std::string& options() const { return m_options; } + +private: + int m_job_id = -1; + int m_cmd = -1; + std::string m_options; + std::string m_result; + std::string m_error; + bool m_is_finished = false; + comm::TelegramHeader m_telegram_header; + std::string m_response_buffer; + std::size_t m_orig_reponse_bytes_num = 0; + bool m_is_response_fully_sent = false; + + std::chrono::high_resolution_clock::time_point m_creation_time; + + int64_t time_ms_elapsed() const; + + void bake_response(); +}; +using TaskPtr = std::unique_ptr<Task>; + +} // namespace server + +#endif /* NO_SERVER */ + +#endif /* TASK_H */ diff --git a/vpr/src/server/taskresolver.cpp b/vpr/src/server/taskresolver.cpp new file mode 100644 index 00000000000..0f90cb354ca --- /dev/null +++ b/vpr/src/server/taskresolver.cpp @@ -0,0 +1,156 @@ +#ifndef NO_SERVER + +#include "taskresolver.h" + +#include "commconstants.h" +#include "globals.h" +#include "pathhelper.h" +#include "telegramoptions.h" +#include "gtkcomboboxhelper.h" + +#include <ezgl/application.hpp> + +namespace server { + +void TaskResolver::own_task(TaskPtr&& new_task) { + // pre-process task before adding, where we could quickly detect failure scenarios + for (const auto& task: m_tasks) { + if (task->cmd() == new_task->cmd()) { + if (task->options_match(new_task)) { + std::string msg = "similar task is already in execution, reject new " + new_task->info() + " and waiting for old " + task->info() + " execution"; + new_task->fail(msg); + } else { + // handle case when task has same cmd but different options + if (new_task->job_id() > task->job_id()) { + std::string msg = "old " + task->info() + " is overridden by a new " + new_task->info(); + task->fail(msg); + } + } + } + } + + // own task + m_tasks.emplace_back(std::move(new_task)); +} + +void TaskResolver::take_finished_tasks(std::vector<TaskPtr>& result) { + for (auto it=m_tasks.begin(); it != m_tasks.end();) { + TaskPtr& task = *it; + if (task->is_finished()) { + result.push_back(std::move(task)); + it = m_tasks.erase(it); + } else { + ++it; + } + } +} + +std::optional<e_timing_report_detail> TaskResolver::try_get_details_level_enum(const std::string& path_details_level_str) const { + if (path_details_level_str == "netlist") { + return e_timing_report_detail::NETLIST; + } else if (path_details_level_str == "aggregated") { + return e_timing_report_detail::AGGREGATED; + } else if (path_details_level_str == "detailed") { + return e_timing_report_detail::DETAILED_ROUTING; + } else if (path_details_level_str == "debug") { + return e_timing_report_detail::DEBUG; + } + + return std::nullopt; +} + +bool TaskResolver::update(ezgl::application* app) { + bool has_processed_task = false; + for (auto& task: m_tasks) { + if (!task->is_finished()) { + switch(task->cmd()) { + case comm::CMD_GET_PATH_LIST_ID: { + process_get_path_list_task(app, task); + has_processed_task = true; + break; + } + case comm::CMD_DRAW_PATH_ID: { + process_draw_critical_path_task(app, task); + has_processed_task = true; + break; + } + default: break; + } + } + } + + return has_processed_task; +} + +void TaskResolver::process_get_path_list_task(ezgl::application*, const TaskPtr& task) { + static const std::vector<std::string> keys{comm::OPTION_PATH_NUM, comm::OPTION_PATH_TYPE, comm::OPTION_DETAILS_LEVEL, comm::OPTION_IS_FLAT_ROUTING}; + TelegramOptions options{task->options(), keys}; + if (!options.has_errors()) { + ServerContext& server_ctx = g_vpr_ctx.mutable_server(); // shortcut + + server_ctx.clear_crit_path_elements(); // reset selection if path list options has changed + + // read options + const int n_critical_path_num = options.get_int(comm::OPTION_PATH_NUM, 1); + const std::string path_type = options.get_string(comm::OPTION_PATH_TYPE); + const std::string details_level_str = options.get_string(comm::OPTION_DETAILS_LEVEL); + const bool is_flat = options.get_bool(comm::OPTION_IS_FLAT_ROUTING, false); + + // calculate critical path depending on options and store result in server context + std::optional<e_timing_report_detail> details_level_opt = try_get_details_level_enum(details_level_str); + if (details_level_opt) { + CritPathsResultPtr crit_paths_result = calc_critical_path(path_type, n_critical_path_num, details_level_opt.value(), is_flat); + if (crit_paths_result->is_valid()) { + server_ctx.set_crit_paths(std::move(crit_paths_result->paths)); + task->success(std::move(crit_paths_result->report)); + } else { + std::string msg{"Critical paths report is empty"}; + VTR_LOG_ERROR(msg.c_str()); + task->fail(msg); + } + } else { + std::string msg{"unsupported report details level " + details_level_str}; + VTR_LOG_ERROR(msg.c_str()); + task->fail(msg); + } + } else { + std::string msg{"options errors in get crit path list telegram: " + options.errors_str()}; + VTR_LOG_ERROR(msg.c_str()); + task->fail(msg); + } +} + +void TaskResolver::process_draw_critical_path_task(ezgl::application* app, const TaskPtr& task) { + TelegramOptions options{task->options(), {comm::OPTION_PATH_ELEMENTS, comm::OPTION_HIGHLIGHT_MODE, comm::OPTION_DRAW_PATH_CONTOUR}}; + if (!options.has_errors()) { + ServerContext& server_ctx = g_vpr_ctx.mutable_server(); // shortcut + + const std::map<std::size_t, std::set<std::size_t>> path_elements = options.get_map_of_sets(comm::OPTION_PATH_ELEMENTS); + const std::string high_light_mode = options.get_string(comm::OPTION_HIGHLIGHT_MODE); + const bool draw_path_contour = options.get_bool(comm::OPTION_DRAW_PATH_CONTOUR, false); + + // set critical path elements to render + server_ctx.set_crit_path_elements(path_elements); + server_ctx.set_draw_crit_path_contour(draw_path_contour); + + // update gtk UI + GtkComboBox* toggle_crit_path = GTK_COMBO_BOX(app->get_object("ToggleCritPath")); + gint high_light_mode_index = get_item_index_by_text(toggle_crit_path, high_light_mode.c_str()); + if (high_light_mode_index != -1) { + gtk_combo_box_set_active(toggle_crit_path, high_light_mode_index); + task->success(); + } else { + std::string msg{"cannot find ToggleCritPath qcombobox index for item " + high_light_mode}; + VTR_LOG_ERROR(msg.c_str()); + task->fail(msg); + } + } else { + std::string msg{"options errors in highlight crit path telegram: " + options.errors_str()}; + VTR_LOG_ERROR(msg.c_str()); + task->fail(msg); + } +} + +} // namespace server + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/taskresolver.h b/vpr/src/server/taskresolver.h new file mode 100644 index 00000000000..9ae91f5e64a --- /dev/null +++ b/vpr/src/server/taskresolver.h @@ -0,0 +1,56 @@ +#ifndef TASKRESOLVER_H +#define TASKRESOLVER_H + +#ifndef NO_SERVER + +#include "task.h" +#include "vpr_types.h" + +#include <vector> +#include <optional> + +namespace ezgl { + class application; +} + +namespace server { + +/** + * @brief Resolve server task. + * + * Process and resolve server task, store result and status for processed task. +*/ + +class TaskResolver { +public: + TaskResolver()=default; + ~TaskResolver()=default; + + int tasks_num() const { return m_tasks.size(); } + + /* own task to process */ + void own_task(TaskPtr&&); + + /* process tasks */ + bool update(ezgl::application*); + + /* extract finished tasks */ + void take_finished_tasks(std::vector<TaskPtr>&); + + const std::vector<TaskPtr>& tasks() const { return m_tasks; } + +private: + std::vector<TaskPtr> m_tasks; + + void process_get_path_list_task(ezgl::application*, const TaskPtr&); + void process_draw_critical_path_task(ezgl::application*, const TaskPtr&); + + std::optional<e_timing_report_detail> try_get_details_level_enum(const std::string& path_details_level_str) const; +}; + +} // namespace server + +#endif /* NO_SERVER */ + +#endif /* TASKRESOLVER_H */ + diff --git a/vpr/src/server/telegrambuffer.cpp b/vpr/src/server/telegrambuffer.cpp new file mode 100644 index 00000000000..27a4e80cf3b --- /dev/null +++ b/vpr/src/server/telegrambuffer.cpp @@ -0,0 +1,82 @@ +#ifndef NO_SERVER + +#include "telegrambuffer.h" + +namespace comm { + +void TelegramBuffer::append(const ByteArray& bytes) { + m_raw_buffer.append(bytes); +} + +bool TelegramBuffer::check_telegram_header_presence() { + auto [found, signature_start_index] = m_raw_buffer.find_sequence(TelegramHeader::SIGNATURE, TelegramHeader::SIGNATURE_SIZE); + if (found) { + if (signature_start_index != 0) { + // discard bytes preceding the header start position. + m_raw_buffer.erase(m_raw_buffer.begin(), m_raw_buffer.begin() + signature_start_index); + } + return true; + } + return false; +} + +void TelegramBuffer::take_telegram_frames(std::vector<comm::TelegramFramePtr>& result) { + if (m_raw_buffer.size() <= TelegramHeader::size()) { + return; + } + + bool may_contain_full_telegram = true; + while (may_contain_full_telegram) { + may_contain_full_telegram = false; + // attempt to extract telegram header + if (!m_header_opt) { + if (check_telegram_header_presence()) { + TelegramHeader header(m_raw_buffer); + if (header.is_valid()) { + m_header_opt = std::move(header); + } + } + } + + // attempt to extract telegram frame based on the telegram header + if (m_header_opt) { + const TelegramHeader& header = m_header_opt.value(); + std::size_t expected_telegram_size = TelegramHeader::size() + header.body_bytes_num(); + if (m_raw_buffer.size() >= expected_telegram_size) { + // checksum validation + ByteArray data(m_raw_buffer.begin() + TelegramHeader::size(), m_raw_buffer.begin() + expected_telegram_size); + uint32_t actual_check_sum = data.calc_check_sum(); + if (actual_check_sum == header.body_check_sum()) { + // construct telegram frame if checksum matches + TelegramFramePtr telegram_frame_ptr = std::make_shared<TelegramFrame>(); + telegram_frame_ptr->header = header; + telegram_frame_ptr->data = std::move(data); + data.clear(); // post std::move safety step + + result.push_back(telegram_frame_ptr); + } else { + m_errors.push_back("wrong checkSums " + std::to_string(actual_check_sum) +" for " + header.info() + " , drop this chunk"); + } + m_raw_buffer.erase(m_raw_buffer.begin(), m_raw_buffer.begin() + expected_telegram_size); + m_header_opt.reset(); + may_contain_full_telegram = true; + } + } + } +} + +std::vector<comm::TelegramFramePtr> TelegramBuffer::take_telegram_frames() { + std::vector<comm::TelegramFramePtr> result; + take_telegram_frames(result); + return result; +} + +void TelegramBuffer::take_errors(std::vector<std::string>& errors) { + errors.reserve(errors.size() + m_errors.size()); + std::move(std::begin(m_errors), std::end(m_errors), std::back_inserter(errors)); + m_errors.clear(); +} + +} // namespace comm + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/telegrambuffer.h b/vpr/src/server/telegrambuffer.h new file mode 100644 index 00000000000..c781ab6dccd --- /dev/null +++ b/vpr/src/server/telegrambuffer.h @@ -0,0 +1,94 @@ +#ifndef TELEGRAMBUFFER_H +#define TELEGRAMBUFFER_H + +#ifndef NO_SERVER + +#include "bytearray.h" +#include "telegramframe.h" + +#include <vector> +#include <string> +#include <cstring> +#include <optional> + +namespace comm { + +/** + * @brief Implements Telegram Buffer as a wrapper over BytesArray + * + * It aggregates received bytes and return only well filled frames. +*/ +class TelegramBuffer +{ + static const std::size_t DEFAULT_SIZE_HINT = 1024; + +public: + TelegramBuffer(): m_raw_buffer(DEFAULT_SIZE_HINT) {} + explicit TelegramBuffer(std::size_t sizeHint): m_raw_buffer(sizeHint) {} + ~TelegramBuffer()=default; + + /** + * @brief Check if internal byte buffer is empty. + * + * @return true if the internal byte buffer is empty, false otherwise. + */ + bool empty() { return m_raw_buffer.empty(); } + + /** + * @brief Clear internal byte buffer. + */ + void clear() { m_raw_buffer.clear(); } + + /** + * @brief Append bytes to the internal byte buffer. + * + * @param data The byte array whose contents will be appended to internal byte buffer. + */ + void append(const ByteArray&); + + /** + * @brief Extracts well-formed telegram frames from the internal byte buffer. + * + * @param frames A reference to a vector where the extracted telegram frames will be stored. + */ + void take_telegram_frames(std::vector<TelegramFramePtr>&); + + /** + * @brief Extracts well-formed telegram frames from the internal byte buffer. + * + * @return std::vector<TelegramFramePtr> A vector containing pointers to the extracted telegram frames. + */ + std::vector<TelegramFramePtr> take_telegram_frames(); + + /** + * @brief Takes errors from the internal storage. + * + * This function retrieves errors stored internally and moves them into the provided vector. + * After calling this function, the internal error storage will be cleared. + * + * @param errors A vector to which the errors will be moved. + * + * @note After calling this function, the internal error storage will be cleared. + */ + void take_errors(std::vector<std::string>&); + + /** + * @brief Retrieves a constant reference to the internal byte buffer. + * + * @return A constant reference to the internal byte buffer. + */ + const ByteArray& data() const { return m_raw_buffer; } + +private: + ByteArray m_raw_buffer; + std::vector<std::string> m_errors; + std::optional<TelegramHeader> m_header_opt; + + bool check_telegram_header_presence(); +}; + +} // namespace comm + +#endif /* NO_SERVER */ + +#endif /* TELEGRAMBUFFER_H */ diff --git a/vpr/src/server/telegramframe.h b/vpr/src/server/telegramframe.h new file mode 100644 index 00000000000..c542d41d1ee --- /dev/null +++ b/vpr/src/server/telegramframe.h @@ -0,0 +1,32 @@ +#ifndef TELEGRAMFRAME_H +#define TELEGRAMFRAME_H + +#ifndef NO_SERVER + +#include "telegramheader.h" +#include "bytearray.h" + +#include <memory> + +namespace comm { + + +/** +* @brief Structure representing a TelegramFrame. +* +* A TelegramFrame consists of a TelegramHeader followed by data. +* +* @var header The TelegramHeader containing metadata about the telegram message. @see TelegramHeader +* @var data The actual data of the telegram message. +*/ +struct TelegramFrame { + TelegramHeader header; + ByteArray data; +}; +using TelegramFramePtr = std::shared_ptr<TelegramFrame>; + +} // namespace comm + +#endif /* NO_SERVER */ + +#endif /* TELEGRAMFRAME_H */ diff --git a/vpr/src/server/telegramheader.cpp b/vpr/src/server/telegramheader.cpp new file mode 100644 index 00000000000..344e8fe7422 --- /dev/null +++ b/vpr/src/server/telegramheader.cpp @@ -0,0 +1,83 @@ +#ifndef NO_SERVER + +#include "telegramheader.h" +#include "convertutils.h" + +#include <sstream> + +namespace comm { + +TelegramHeader::TelegramHeader(uint32_t length, uint32_t check_sum, uint8_t compressor_id) + : m_body_bytes_num(length) + , m_body_check_sum(check_sum) + , m_compressor_id(compressor_id) { + m_buffer.resize(TelegramHeader::size()); + + // Write signature into a buffer + std::memcpy(m_buffer.data(), TelegramHeader::SIGNATURE, TelegramHeader::SIGNATURE_SIZE); + + // Write the length into the buffer in big-endian byte order + std::memcpy(m_buffer.data() + TelegramHeader::LENGTH_OFFSET, &length, TelegramHeader::LENGTH_SIZE); + + // Write the checksum into the buffer in big-endian byte order + std::memcpy(m_buffer.data() + TelegramHeader::CHECKSUM_OFFSET, &check_sum, TelegramHeader::CHECKSUM_SIZE); + + // Write compressor id + std::memcpy(m_buffer.data() + TelegramHeader::COMPRESSORID_OFFSET, &compressor_id, TelegramHeader::COMPRESSORID_SIZE); + + m_is_valid = true; +} + +TelegramHeader::TelegramHeader(const ByteArray& buffer) { + m_buffer.resize(TelegramHeader::size()); + + bool has_error = false; + + if (buffer.size() >= TelegramHeader::size()) { + // Check the signature to ensure that this is a valid header + if (std::memcmp(buffer.data(), TelegramHeader::SIGNATURE, TelegramHeader::SIGNATURE_SIZE)) { + has_error = true; + } + + // Read the length from the buffer in big-endian byte order + std::memcpy(&m_body_bytes_num, buffer.data() + TelegramHeader::LENGTH_OFFSET, TelegramHeader::LENGTH_SIZE); + + // Read the checksum from the buffer in big-endian byte order + std::memcpy(&m_body_check_sum, buffer.data() + TelegramHeader::CHECKSUM_OFFSET, TelegramHeader::CHECKSUM_SIZE); + + // Read the checksum from the buffer in big-endian byte order + std::memcpy(&m_compressor_id, buffer.data() + TelegramHeader::COMPRESSORID_OFFSET, TelegramHeader::COMPRESSORID_SIZE); + + if (m_body_bytes_num == 0) { + has_error = false; + } + if (m_body_check_sum == 0) { + has_error = false; + } + } + + if (!has_error) { + m_is_valid = true; + } +} + +std::string TelegramHeader::info() const { + std::stringstream ss; + ss << "header" << (m_is_valid ? "" : "(INVALID)") << "[" + << "l=" << get_pretty_size_str_from_bytes_num(m_body_bytes_num) + << "/s=" << m_body_check_sum; + if (m_compressor_id) { + ss << "/c=" << m_compressor_id; + } + ss << "]"; + return ss.str(); +} + +comm::TelegramHeader TelegramHeader::construct_from_data(const std::string_view& body, uint8_t compressor_id) { + uint32_t body_check_sum = ByteArray::calc_check_sum(body); + return comm::TelegramHeader{static_cast<uint32_t>(body.size()), body_check_sum, compressor_id}; +} + +} // namespace comm + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/telegramheader.h b/vpr/src/server/telegramheader.h new file mode 100644 index 00000000000..1a3f990ddb1 --- /dev/null +++ b/vpr/src/server/telegramheader.h @@ -0,0 +1,76 @@ +#ifndef TELEGRAMHEADER_H +#define TELEGRAMHEADER_H + +#ifndef NO_SERVER + +#include "bytearray.h" + +#include <string> +#include <cstring> + +namespace comm { + +/** + * @brief The fixed size bytes sequence where the metadata of a telegram message is stored. + * + * This structure is used to describe the message frame sequence in order to successfully extract it. + * The TelegramHeader structure follows this format: + * ------------------------------------------------------ + * [ 4 bytes ][ 4 bytes ][ 4 bytes ][ 1 byte ] + * [SIGNATURE][DATA_LENGTH][DATA_CHECKSUM][COMPRESSOR_ID] + * ------------------------------------------------------ + * + * The SIGNATURE is a 4-byte constant sequence "I", "P", "A", "\0" which indicates the valid start of a TelegramHeader. + * The DATA_LENGTH is a 4-byte field where the data length is stored, allowing for proper identification of the start and end of the TelegramFrame sequence. + * The DATA_CHECKSUM is a 4-byte field where the data checksum is stored to validate the attached data. + * The COMPRESSOR_ID is a 1-byte field where the compressor id is stored. If it's NULL, it means the data is not compressed (in text/json format). + */ +class TelegramHeader { +public: + static constexpr const char SIGNATURE[] = "IPA"; + static constexpr size_t SIGNATURE_SIZE = sizeof(SIGNATURE); + static constexpr size_t LENGTH_SIZE = sizeof(uint32_t); + static constexpr size_t CHECKSUM_SIZE = LENGTH_SIZE; + static constexpr size_t COMPRESSORID_SIZE = 1; + + static constexpr size_t LENGTH_OFFSET = SIGNATURE_SIZE; + static constexpr size_t CHECKSUM_OFFSET = LENGTH_OFFSET + LENGTH_SIZE; + static constexpr size_t COMPRESSORID_OFFSET = CHECKSUM_OFFSET + CHECKSUM_SIZE; + + TelegramHeader()=default; + explicit TelegramHeader(uint32_t length, uint32_t checkSum, uint8_t compressorId = 0); + explicit TelegramHeader(const ByteArray& body); + ~TelegramHeader()=default; + + static comm::TelegramHeader construct_from_data(const std::string_view& body, uint8_t compressor_id = 0); + + static constexpr size_t size() { + return SIGNATURE_SIZE + LENGTH_SIZE + CHECKSUM_SIZE + COMPRESSORID_SIZE; + } + + bool is_valid() const { return m_is_valid; } + + const ByteArray& buffer() const { return m_buffer; } + + uint32_t body_bytes_num() const { return m_body_bytes_num; } + uint32_t body_check_sum() const { return m_body_check_sum; } + uint8_t compressor_id() const { return m_compressor_id; } + + bool is_body_compressed() const { return m_compressor_id != 0; } + + std::string info() const; + +private: + bool m_is_valid = false; + ByteArray m_buffer; + + uint32_t m_body_bytes_num = 0; + uint32_t m_body_check_sum = 0; + uint8_t m_compressor_id = 0; +}; + +} // namespace comm + +#endif /* NO_SERVER */ + +#endif /* TELEGRAMHEADER_H */ diff --git a/vpr/src/server/telegramoptions.cpp b/vpr/src/server/telegramoptions.cpp new file mode 100644 index 00000000000..fef982d1437 --- /dev/null +++ b/vpr/src/server/telegramoptions.cpp @@ -0,0 +1,118 @@ +#ifndef NO_SERVER + +#include "telegramoptions.h" +#include "convertutils.h" + +#include "vtr_util.h" + +#include <optional> + +namespace server { + +TelegramOptions::TelegramOptions(const std::string& data, const std::vector<std::string>& expected_keys) { + // parse data string + std::vector<std::string> options = vtr::split(data, ";"); + for (const std::string& option_str: options) { + std::vector<std::string> fragments = vtr::split(option_str, ":"); + if (fragments.size() == TOTAL_INDEXES_NUM) { + std::string name{std::move(fragments[INDEX_NAME])}; + Option option{std::move(fragments[INDEX_TYPE]), std::move(fragments[INDEX_VALUE])}; + if (is_data_type_supported(option.type)) { + m_options.emplace(name, std::move(option)); + } else { + m_errors.emplace_back("bad type for option [" + option_str + "]"); + } + } else { + m_errors.emplace_back("bad option [" + option_str + "]"); + } + } + + // check keys presence + check_keys_presence(expected_keys); +} + +std::map<std::size_t, std::set<std::size_t>> TelegramOptions::get_map_of_sets(const std::string& name) { + std::map<std::size_t, std::set<std::size_t>> result; + std::string data_str = get_string(name); + if (!data_str.empty()) { + std::vector<std::string> paths = vtr::split(data_str, "|"); + for (const std::string& path: paths) { + std::vector<std::string> path_struct = vtr::split(path, "#"); + if (path_struct.size() == 2) { + std::string path_index_str = path_struct[0]; + std::string path_element_indexes_str = path_struct[1]; + std::vector<std::string> path_element_indexes = vtr::split(path_element_indexes_str, ","); + std::set<std::size_t> elements; + for (const std::string& path_element_index_Str: path_element_indexes) { + if (std::optional<int> opt_value = try_convert_to_int(path_element_index_Str)) { + elements.insert(opt_value.value()); + } else { + m_errors.emplace_back("cannot extract path element index from " + path_element_index_Str); + } + } + if (std::optional<int> opt_path_index = try_convert_to_int(path_index_str)) { + result[opt_path_index.value()] = elements; + } else { + m_errors.emplace_back("cannot extract path index from " + path_index_str); + } + } else { + m_errors.emplace_back("wrong path data structure = " + path); + } + } + } + return result; +} + +std::string TelegramOptions::get_string(const std::string& name) { + std::string result; + if (auto it = m_options.find(name); it != m_options.end()) { + result = it->second.value; + } + return result; +} + +int TelegramOptions::get_int(const std::string& name, int fail_value) { + if (std::optional<int> opt = try_convert_to_int(m_options[name].value)) { + return opt.value(); + } else { + m_errors.emplace_back("cannot get int value for option " + name); + return fail_value; + } +} + +bool TelegramOptions::get_bool(const std::string& name, bool fail_value) { + if (std::optional<int> opt = try_convert_to_int(m_options[name].value)) { + return opt.value(); + } else { + m_errors.emplace_back("cannot get bool value for option " + name); + return fail_value; + } +} + +std::string TelegramOptions::errors_str() const { + std::string result; + for (const std::string& error: m_errors) { + result += error + ';'; + } + return result; +} + +bool TelegramOptions::is_data_type_supported(const std::string& type) const { + constexpr std::array<std::string_view, 3> supported_types{"int", "string", "bool"}; + return std::find(supported_types.begin(), supported_types.end(), type) != supported_types.end(); +} + +bool TelegramOptions::check_keys_presence(const std::vector<std::string>& keys) { + bool result = true; + for (const std::string& key: keys) { + if (m_options.find(key) == m_options.end()) { + m_errors.emplace_back("cannot find required option " + std::string(key)); + result = false; + } + } + return result; +} + +} // namespace server + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/telegramoptions.h b/vpr/src/server/telegramoptions.h new file mode 100644 index 00000000000..2d3a00b8952 --- /dev/null +++ b/vpr/src/server/telegramoptions.h @@ -0,0 +1,64 @@ +#ifndef TELEGRAMOPTIONS_H +#define TELEGRAMOPTIONS_H + +#ifndef NO_SERVER + +#include <set> +#include <vector> +#include <unordered_map> +#include <map> +#include <string> + +namespace server { + +/** + * @brief Option class Parser + * + * Parse the string of options in the format "TYPE:KEY1:VALUE1;TYPE:KEY2:VALUE2", + * for example "int:path_num:11;string:path_type:debug;int:details_level:3;bool:is_flat_routing:0". + * It provides a simple interface to check value presence and access them. +*/ + +class TelegramOptions { +private: + enum { + INDEX_TYPE=0, + INDEX_NAME, + INDEX_VALUE, + TOTAL_INDEXES_NUM + }; + + struct Option { + std::string type; + std::string value; + }; + +public: + TelegramOptions(const std::string& data, const std::vector<std::string>& expected_keys); + ~TelegramOptions()=default; + + bool has_errors() const { return !m_errors.empty(); } + + std::map<std::size_t, std::set<std::size_t>> get_map_of_sets(const std::string& name); + + std::string get_string(const std::string& name); + + int get_int(const std::string& name, int fail_value); + + bool get_bool(const std::string& name, bool fail_value); + + std::string errors_str() const; + +private: + std::unordered_map<std::string, Option> m_options; + std::vector<std::string> m_errors; + + bool is_data_type_supported(const std::string& type) const; + bool check_keys_presence(const std::vector<std::string>& keys); +}; + +} // namespace server + +#endif /* NO_SERVER */ + +#endif /* TELEGRAMOPTIONS_H */ diff --git a/vpr/src/server/telegramparser.cpp b/vpr/src/server/telegramparser.cpp new file mode 100644 index 00000000000..ed691841097 --- /dev/null +++ b/vpr/src/server/telegramparser.cpp @@ -0,0 +1,73 @@ +#ifndef NO_SERVER + +#include "telegramparser.h" +#include "convertutils.h" +#include "commconstants.h" + +#include <cstring> + +namespace comm { + +std::optional<std::string> TelegramParser::try_extract_json_value_str(const std::string& json_string, const std::string& key) { + constexpr const char end_key_pattern[] = {"\":\""}; + + // Find the position of the key + size_t key_pos = json_string.find('\"' + key + end_key_pattern); + + if (key_pos == std::string::npos) { + // Key not found + return std::nullopt; + } + + // Find the position of the value after the key + size_t value_pos_start = json_string.find('\"', key_pos + key.length() + std::strlen(end_key_pattern)); + + if (value_pos_start == std::string::npos) { + // Value not found + return std::nullopt; + } + + // Find the position of the closing quote for the value + size_t value_end = json_string.find('\"', value_pos_start + sizeof('\"')); + + if (value_end == std::string::npos) { + // Closing quote not found + return std::nullopt; + } + + // Extract the value substring + return json_string.substr(value_pos_start + 1, (value_end - value_pos_start) - 1); +} + +std::optional<int> TelegramParser::try_extract_field_job_id(const std::string& message) { + if (std::optional<std::string> str_opt = try_extract_json_value_str(message, comm::KEY_JOB_ID)) { + return try_convert_to_int(str_opt.value()); + } + return std::nullopt; +} + +std::optional<int> TelegramParser::try_extract_field_cmd(const std::string& message) { + if (std::optional<std::string> str_opt = try_extract_json_value_str(message, comm::KEY_CMD)) { + return try_convert_to_int(str_opt.value()); + } + return std::nullopt; +} + +std::optional<std::string> TelegramParser::try_extract_field_options(const std::string& message) { + return try_extract_json_value_str(message, comm::KEY_OPTIONS); +} + +std::optional<std::string> TelegramParser::try_extract_field_data(const std::string& message) { + return try_extract_json_value_str(message, comm::KEY_DATA); +} + +std::optional<int> TelegramParser::try_extract_field_status(const std::string& message) { + if (std::optional<std::string> str_opt = try_extract_json_value_str(message, comm::KEY_STATUS)) { + return try_convert_to_int(str_opt.value()); + } + return std::nullopt; +} + +} // namespace comm + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/telegramparser.h b/vpr/src/server/telegramparser.h new file mode 100644 index 00000000000..8ebb49955f2 --- /dev/null +++ b/vpr/src/server/telegramparser.h @@ -0,0 +1,33 @@ +#ifndef TELEGRAMPARSER_H +#define TELEGRAMPARSER_H + +#ifndef NO_SERVER + +#include <string> +#include <optional> + +namespace comm { + +/** + * @brief Dummy JSON parser using regular expressions. + * + * This module provides helper methods to extract values for a keys as "JOB_ID", "CMD", or "OPTIONS" + * from a JSON schema structured as follows: {JOB_ID:num, CMD:enum, OPTIONS:string}. + */ +class TelegramParser { +public: + static std::optional<int> try_extract_field_job_id(const std::string& message); + static std::optional<int> try_extract_field_cmd(const std::string& message); + static std::optional<std::string> try_extract_field_options(const std::string& message); + static std::optional<std::string> try_extract_field_data(const std::string& message); + static std::optional<int> try_extract_field_status(const std::string& message); + +private: + static std::optional<std::string> try_extract_json_value_str(const std::string& json_string, const std::string& key); +}; + +} // namespace comm + +#endif /* NO_SERVER */ + +#endif /* TELEGRAMPARSER_H */ diff --git a/vpr/src/server/zlibutils.cpp b/vpr/src/server/zlibutils.cpp new file mode 100644 index 00000000000..01ed104f6cc --- /dev/null +++ b/vpr/src/server/zlibutils.cpp @@ -0,0 +1,83 @@ +#ifndef NO_SERVER + +#include "zlibutils.h" + +#include <cstring> // Include cstring for memset +#include <zlib.h> + +std::optional<std::string> try_compress(const std::string& decompressed) { + z_stream zs; + memset(&zs, 0, sizeof(zs)); + + if (deflateInit(&zs, Z_BEST_COMPRESSION) != Z_OK) { + return std::nullopt; + } + + zs.next_in = reinterpret_cast<Bytef*>(const_cast<char*>(decompressed.data())); + zs.avail_in = decompressed.size(); + + int ret_code; + char* result_buffer = new char[BYTES_NUM_IN_32KB]; + std::string result; + + do { + zs.next_out = reinterpret_cast<Bytef*>(result_buffer); + zs.avail_out = sizeof(result_buffer); + + ret_code = deflate(&zs, Z_FINISH); + + if (result.size() < zs.total_out) { + result.append(result_buffer, zs.total_out - result.size()); + } + } while (ret_code == Z_OK); + + delete[] result_buffer; + + deflateEnd(&zs); + + if (ret_code != Z_STREAM_END) { + return std::nullopt; + } + + return result; +} + +std::optional<std::string> try_decompress(const std::string& compressed) { + z_stream zs; + memset(&zs, 0, sizeof(zs)); + + if (inflateInit(&zs) != Z_OK) { + return std::nullopt; + } + + zs.next_in = reinterpret_cast<Bytef*>(const_cast<char*>(compressed.data())); + zs.avail_in = compressed.size(); + + int ret_code; + char* result_buffer = new char[BYTES_NUM_IN_32KB]; + std::string result; + + do { + zs.next_out = reinterpret_cast<Bytef*>(result_buffer); + zs.avail_out = sizeof(result_buffer); + + ret_code = inflate(&zs, 0); + + if (result.size() < zs.total_out) { + result.append(result_buffer, zs.total_out - result.size()); + } + + } while (ret_code == Z_OK); + + delete[] result_buffer; + + inflateEnd(&zs); + + if (ret_code != Z_STREAM_END) { + return std::nullopt; + } + + return result; +} + +#endif /* NO_SERVER */ diff --git a/vpr/src/server/zlibutils.h b/vpr/src/server/zlibutils.h new file mode 100644 index 00000000000..a531fe2bcc9 --- /dev/null +++ b/vpr/src/server/zlibutils.h @@ -0,0 +1,41 @@ +#ifndef ZLIBUTILS_H +#define ZLIBUTILS_H + +#ifndef NO_SERVER + +#include <string> +#include <optional> + +constexpr const int BYTES_NUM_IN_32KB = 32768; + +/** +* @brief Compresses the input sequence using zlib. +* +* This function takes a string representing the decompressed data as input +* and compresses it using zlib. If compression is successful, the compressed +* data is returned as an optional string. If compression fails, an empty optional +* is returned. +* +* @param decompressed The input string representing the decompressed data. +* @return An optional string containing the compressed data if compression is successful, +* or an empty optional if compression fails. +*/ +std::optional<std::string> try_compress(const std::string& decompressed); + +/** +* @brief Decompresses the compressed sequence using zlib. +* +* This function takes a string representing the compressed data as input +* and decompresses it using zlib. If decompression is successful, the decompressed +* data is returned as an optional string. If decompression fails, an empty optional +* is returned. +* +* @param compressed The input string representing the compressed data. +* @return An optional string containing the decompressed data if decompression is successful, +* or an empty optional if decompression fails. +*/ +std::optional<std::string> try_decompress(const std::string& compressed); + +#endif /* NO_SERVER */ + +#endif /* ZLIBUTILS_H */ diff --git a/vpr/src/util/vpr_utils.cpp b/vpr/src/util/vpr_utils.cpp index cda599c1712..3b86afe1e15 100644 --- a/vpr/src/util/vpr_utils.cpp +++ b/vpr/src/util/vpr_utils.cpp @@ -1,14 +1,12 @@ -#include <cstring> #include <unordered_set> #include <regex> #include <algorithm> #include <sstream> -#include <string.h> +#include <cstring> #include "vtr_assert.h" #include "vtr_log.h" #include "vtr_memory.h" -#include "vtr_random.h" #include "vpr_types.h" #include "vpr_error.h" @@ -17,8 +15,6 @@ #include "globals.h" #include "vpr_utils.h" #include "cluster_placement.h" -#include "place_macro.h" -#include "pack_types.h" #include "device_grid.h" #include "timing_fail_error.h" #include "route_constraint.h" @@ -29,7 +25,7 @@ /* This defines the maximum string length that could be parsed by functions * * in vpr_utils. */ -#define MAX_STRING_LEN 128 +static constexpr size_t MAX_STRING_LEN = 512; /******************** File-scope variables declarations **********************/ @@ -2274,17 +2270,21 @@ std::vector<const t_pb_graph_node*> get_all_pb_graph_node_primitives(const t_pb_ return primitives; } -bool is_inter_cluster_node(t_physical_tile_type_ptr physical_tile, - t_rr_type node_type, - int node_ptc) { +bool is_inter_cluster_node(const RRGraphView& rr_graph_view, + RRNodeId node_id) { + auto node_type = rr_graph_view.node_type(node_id); if (node_type == CHANX || node_type == CHANY) { return true; } else { - VTR_ASSERT(node_type == IPIN || node_type == SINK || node_type == OPIN || node_type == SOURCE); + int x_low = rr_graph_view.node_xlow(node_id); + int y_low = rr_graph_view.node_ylow(node_id); + int layer = rr_graph_view.node_layer(node_id); + int node_ptc = rr_graph_view.node_ptc_num(node_id); + const t_physical_tile_type_ptr physical_tile = g_vpr_ctx.device().grid.get_physical_type({x_low, y_low, layer}); if (node_type == IPIN || node_type == OPIN) { return is_pin_on_tile(physical_tile, node_ptc); } else { - VTR_ASSERT(node_type == SINK || node_type == SOURCE); + VTR_ASSERT_DEBUG(node_type == SINK || node_type == SOURCE); return is_class_on_tile(physical_tile, node_ptc); } } diff --git a/vpr/src/util/vpr_utils.h b/vpr/src/util/vpr_utils.h index d05236f5a2d..94faf77f70d 100644 --- a/vpr/src/util/vpr_utils.h +++ b/vpr/src/util/vpr_utils.h @@ -258,9 +258,8 @@ void print_timing_stats(const std::string& name, std::vector<const t_pb_graph_node*> get_all_pb_graph_node_primitives(const t_pb_graph_node* pb_graph_node); -bool is_inter_cluster_node(t_physical_tile_type_ptr physical_tile, - t_rr_type node_type, - int node_ptc); +bool is_inter_cluster_node(const RRGraphView& rr_graph_view, + RRNodeId node_id); int get_rr_node_max_ptc(const RRGraphView& rr_graph_view, RRNodeId node_id, diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 0f0da5a5086..5475205d933 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -1214,7 +1214,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // now check whether the expected noc costs that we manually calculated above match the noc costs found through the test function (we allow for a tolerance of difference) REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); - std::cout << golden_total_noc_latency_overrun_cost << " " << test_noc_costs.latency_overrun << std::endl; + std::cout << golden_total_noc_latency_overrun_cost << " " << test_noc_costs.latency_overrun << std::endl; REQUIRE(vtr::isclose(golden_total_noc_latency_overrun_cost, test_noc_costs.latency_overrun)); REQUIRE(vtr::isclose(golden_total_noc_congestion_cost, test_noc_costs.congestion)); @@ -1686,7 +1686,6 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { const NocLink& current_link = noc_ctx.noc_model.get_single_noc_link(current_link_id); REQUIRE(golden_link_bandwidths[current_link_id] == current_link.get_bandwidth_usage()); - } for (int traffic_flow_number = 0; traffic_flow_number < NUM_OF_TRAFFIC_FLOWS_NOC_PLACE_UTILS_TEST; traffic_flow_number++) { diff --git a/vpr/test/test_server_convertutils.cpp b/vpr/test/test_server_convertutils.cpp new file mode 100644 index 00000000000..d3f64b81816 --- /dev/null +++ b/vpr/test/test_server_convertutils.cpp @@ -0,0 +1,21 @@ +#ifndef NO_SERVER + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +#include "convertutils.h" + +TEST_CASE("test_server_convert_utils_to_int", "[vpr]") +{ + REQUIRE(std::optional<int>{-2} == try_convert_to_int("-2")); + REQUIRE(std::optional<int>{0} == try_convert_to_int("0")); + REQUIRE(std::optional<int>{2} == try_convert_to_int("2")); + REQUIRE(std::nullopt == try_convert_to_int("2.")); + REQUIRE(std::nullopt == try_convert_to_int("2.0")); + REQUIRE(std::nullopt == try_convert_to_int("two")); + REQUIRE(std::nullopt == try_convert_to_int("2k")); + REQUIRE(std::nullopt == try_convert_to_int("k2")); +} + +#endif /* NO_SERVER */ + diff --git a/vpr/test/test_server_taskresolver.cpp b/vpr/test/test_server_taskresolver.cpp new file mode 100644 index 00000000000..4e9ff388341 --- /dev/null +++ b/vpr/test/test_server_taskresolver.cpp @@ -0,0 +1,112 @@ +#ifndef NO_SERVER + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +#include "taskresolver.h" +#include <memory> + +TEST_CASE("test_server_taskresolver_cmdSpamFilter", "[vpr]") { + server::TaskResolver resolver; + const int cmd = 10; + + { + server::TaskPtr task0 = std::make_unique<server::Task>(1, cmd); + server::TaskPtr task1 = std::make_unique<server::Task>(2, cmd); + server::TaskPtr task2 = std::make_unique<server::Task>(3, cmd); + server::TaskPtr task3 = std::make_unique<server::Task>(4, cmd); + server::TaskPtr task4 = std::make_unique<server::Task>(5, cmd); + + resolver.own_task(std::move(task0)); + resolver.own_task(std::move(task1)); + resolver.own_task(std::move(task2)); + resolver.own_task(std::move(task3)); + resolver.own_task(std::move(task4)); + } + + std::vector<server::TaskPtr> finished; + resolver.take_finished_tasks(finished); + + REQUIRE(finished.size() == 4); + + for (const auto& task: finished) { + REQUIRE(task->is_finished()); + REQUIRE(task->has_error()); + REQUIRE(task->job_id() != 1); + REQUIRE(task->cmd() == cmd); + } + REQUIRE(resolver.tasks_num() == 1); + const server::TaskPtr& task = resolver.tasks().at(0); + REQUIRE(task->job_id() == 1); + REQUIRE(task->cmd() == cmd); +} + +TEST_CASE("test_server_taskresolver_cmdOverrideFilter", "[vpr]") { + server::TaskResolver resolver; + const int cmd = 10; + + { + server::TaskPtr task0 = std::make_unique<server::Task>(1, cmd, "1"); + server::TaskPtr task1 = std::make_unique<server::Task>(2, cmd, "11"); + server::TaskPtr task2 = std::make_unique<server::Task>(3, cmd, "222"); + + resolver.own_task(std::move(task0)); + resolver.own_task(std::move(task1)); + resolver.own_task(std::move(task2)); + } + + std::vector<server::TaskPtr> finished; + resolver.take_finished_tasks(finished); + + REQUIRE(finished.size() == 2); + + for (const server::TaskPtr& task: finished) { + REQUIRE(task->is_finished()); + REQUIRE(task->has_error()); + REQUIRE(task->job_id() != 3); + } + REQUIRE(resolver.tasks_num() == 1); + const server::TaskPtr& task = resolver.tasks().at(0); + REQUIRE(task->job_id() == 3); + REQUIRE(task->cmd() == cmd); + REQUIRE(task->options() == "222"); +} + +TEST_CASE("test_server_taskresolver_cmdSpamAndOverrideOptions", "[vpr]") { + server::TaskResolver resolver; + + { + server::TaskPtr task0 = std::make_unique<server::Task>(1, 2, "1"); + server::TaskPtr task1 = std::make_unique<server::Task>(2, 2, "11"); + server::TaskPtr task2 = std::make_unique<server::Task>(3, 2, "222"); + server::TaskPtr task3 = std::make_unique<server::Task>(4, 2, "222"); + server::TaskPtr task4 = std::make_unique<server::Task>(5, 1); + server::TaskPtr task5 = std::make_unique<server::Task>(6, 1); + server::TaskPtr task6 = std::make_unique<server::Task>(7, 1); + + resolver.own_task(std::move(task0)); + resolver.own_task(std::move(task1)); + resolver.own_task(std::move(task2)); + resolver.own_task(std::move(task3)); + resolver.own_task(std::move(task4)); + resolver.own_task(std::move(task5)); + resolver.own_task(std::move(task6)); + } + + std::vector<server::TaskPtr> finished; + resolver.take_finished_tasks(finished); + + REQUIRE(resolver.tasks_num() == 2); + const server::TaskPtr& task0 = resolver.tasks().at(0); + const server::TaskPtr& task1 = resolver.tasks().at(1); + + REQUIRE(task0->job_id() == 3); + REQUIRE(task0->cmd() == 2); + REQUIRE(task0->options() == "222"); + + REQUIRE(task1->job_id() == 5); + REQUIRE(task1->cmd() == 1); + REQUIRE(task1->options() == ""); +} + +#endif /* NO_SERVER */ \ No newline at end of file diff --git a/vpr/test/test_server_telegrambuffer.cpp b/vpr/test/test_server_telegrambuffer.cpp new file mode 100644 index 00000000000..767b4892030 --- /dev/null +++ b/vpr/test/test_server_telegrambuffer.cpp @@ -0,0 +1,146 @@ +#ifndef NO_SERVER + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +#include "telegrambuffer.h" + +TEST_CASE("test_server_bytearray", "[vpr]") { + comm::ByteArray array1{"111"}; + comm::ByteArray array2{"222"}; + comm::ByteArray array{array1}; + array.append(array2); + + REQUIRE(array.at(0) == '1'); + REQUIRE(array.at(1) == '1'); + REQUIRE(array.at(2) == '1'); + REQUIRE(array.at(3) == '2'); + REQUIRE(array.at(4) == '2'); + REQUIRE(array.at(5) == '2'); + + REQUIRE(std::string_view{array} == "111222"); + + REQUIRE(array.size() == 6); + + array.append('3'); + + REQUIRE(array.size() == 7); + REQUIRE(std::string_view{array} == "1112223"); + + REQUIRE(array.at(6) == '3'); + + array.clear(); + + REQUIRE(array.size() == 0); + REQUIRE(std::string_view{array} == ""); +} + +TEST_CASE("test_server_telegrambuffer_oneOpened", "[vpr]") { + comm::TelegramBuffer buff; + buff.append(comm::ByteArray{"111"}); + buff.append(comm::ByteArray{"222"}); + + auto frames = buff.take_telegram_frames(); + REQUIRE(frames.size() == 0); + + REQUIRE(std::string_view{buff.data()} == "111222"); +} + +TEST_CASE("test_server_telegrambuffer_notFilledTelegramButWithPrependedRubish", "[vpr]") +{ + comm::TelegramBuffer tBuff; + + const comm::ByteArray rubbish{"#@!"}; + const comm::ByteArray msgBody{"some message"}; + const comm::TelegramHeader msgHeader{comm::TelegramHeader::construct_from_data(msgBody)}; + + tBuff.append(rubbish); + tBuff.append(msgHeader.buffer()); + + auto frames = tBuff.take_telegram_frames(); + REQUIRE(0 == frames.size()); + + REQUIRE(msgHeader.buffer() == tBuff.data()); // the rubbish prefix fragment will be absent here +} + +TEST_CASE("test_server_telegrambuffer__oneFinishedOneOpened", "[vpr]") +{ + comm::TelegramBuffer tBuff; + + const comm::ByteArray msgBody1{"message1"}; + const comm::ByteArray msgBody2{"message2"}; + + const comm::TelegramHeader msgHeader1{comm::TelegramHeader::construct_from_data(msgBody1)}; + const comm::TelegramHeader msgHeader2{comm::TelegramHeader::construct_from_data(msgBody2)}; + + comm::ByteArray t1(msgHeader1.buffer()); + t1.append(msgBody1); + + comm::ByteArray t2(msgHeader2.buffer()); + t2.append(msgBody2); + t2.resize(t2.size()-2); // drop 2 last elements + + tBuff.append(t1); + tBuff.append(t2); + + auto frames = tBuff.take_telegram_frames(); + REQUIRE(1 == frames.size()); + + REQUIRE(msgBody1 == frames[0]->data); + + REQUIRE(t2 == tBuff.data()); +} + +TEST_CASE("test_server_telegrambuffer_twoFinished", "[vpr]") +{ + comm::TelegramBuffer tBuff; + + const comm::ByteArray msgBody1{"message1"}; + const comm::ByteArray msgBody2{"message2"}; + + const comm::TelegramHeader msgHeader1{comm::TelegramHeader::construct_from_data(msgBody1)}; + const comm::TelegramHeader msgHeader2{comm::TelegramHeader::construct_from_data(msgBody2)}; + + comm::ByteArray t1(msgHeader1.buffer()); + t1.append(msgBody1); + + comm::ByteArray t2(msgHeader2.buffer()); + t2.append(msgBody2); + + tBuff.append(t1); + tBuff.append(t2); + + auto frames = tBuff.take_telegram_frames(); + REQUIRE(2 == frames.size()); + + REQUIRE(msgBody1 == frames[0]->data); + REQUIRE(msgBody2 == frames[1]->data); + + REQUIRE(comm::ByteArray{} == tBuff.data()); +} + +TEST_CASE("test_server_telegrambuffer_clear", "[vpr]") +{ + comm::TelegramBuffer tBuff; + + const comm::ByteArray msgBody1{"message1"}; + const comm::ByteArray msgBody2{"message2"}; + + const comm::TelegramHeader msgHeader1{comm::TelegramHeader::construct_from_data(msgBody1)}; + const comm::TelegramHeader msgHeader2{comm::TelegramHeader::construct_from_data(msgBody2)}; + + comm::ByteArray t1(msgHeader1.buffer()); + t1.append(msgBody1); + + comm::ByteArray t2(msgHeader2.buffer()); + t2.append(msgBody2); + + tBuff.clear(); + + auto frames = tBuff.take_telegram_frames(); + REQUIRE(0 == frames.size()); + + REQUIRE(comm::ByteArray{} == tBuff.data()); +} + +#endif /* NO_SERVER */ \ No newline at end of file diff --git a/vpr/test/test_server_telegramoptions.cpp b/vpr/test/test_server_telegramoptions.cpp new file mode 100644 index 00000000000..d7b3160a0d1 --- /dev/null +++ b/vpr/test/test_server_telegramoptions.cpp @@ -0,0 +1,30 @@ +#ifndef NO_SERVER + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +#include "telegramoptions.h" + +TEST_CASE("test_server_telegramoptions", "[vpr]") { + server::TelegramOptions options{"int:path_num:11;string:path_type:debug;int:details_level:3;bool:is_flat_routing:0", {"path_num", "path_type", "details_level", "is_flat_routing"}}; + + REQUIRE(options.errors_str() == ""); + + REQUIRE(options.get_string("path_type") == "debug"); + REQUIRE(options.get_int("path_num", -1) == 11); + REQUIRE(options.get_int("details_level", -1) == 3); + REQUIRE(options.get_bool("is_flat_routing", true) == false); +} + +TEST_CASE("test_server_telegramoptions_get_wrong_keys", "[vpr]") { + server::TelegramOptions options{"int:path_num:11;string:path_type:debug;int:details_level:3;bool:is_flat_routing:0", {"_path_num", "_path_type", "_details_level", "_is_flat_routing"}}; + + REQUIRE(!options.errors_str().empty()); + + REQUIRE(options.get_string("_path_type") == ""); + REQUIRE(options.get_int("_path_num", -1) == -1); + REQUIRE(options.get_int("_details_level", -1) == -1); + REQUIRE(options.get_bool("_is_flat_routing", true) == true); +} + +#endif /* NO_SERVER */ \ No newline at end of file diff --git a/vpr/test/test_server_telegramparser.cpp b/vpr/test/test_server_telegramparser.cpp new file mode 100644 index 00000000000..0d7f0c2276c --- /dev/null +++ b/vpr/test/test_server_telegramparser.cpp @@ -0,0 +1,39 @@ +#ifndef NO_SERVER + +#include "telegramparser.h" + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +TEST_CASE("test_server_telegram_parser_base", "[vpr]") +{ + const std::string tdata{R"({"JOB_ID":"7","CMD":"2","OPTIONS":"type1:name1:value1;type2:name2:v a l u e 2;t3:n3:v3","DATA":"some_data...","STATUS":"1"})"}; + + REQUIRE(std::optional<int>{7} == comm::TelegramParser::try_extract_field_job_id(tdata)); + REQUIRE(std::optional<int>{2} == comm::TelegramParser::try_extract_field_cmd(tdata)); + REQUIRE(std::optional<std::string>{"type1:name1:value1;type2:name2:v a l u e 2;t3:n3:v3"} == comm::TelegramParser::try_extract_field_options(tdata)); + REQUIRE(std::optional<std::string>{"some_data..."} == comm::TelegramParser::try_extract_field_data(tdata)); + REQUIRE(std::optional<int>{1} == comm::TelegramParser::try_extract_field_status(tdata)); +} + +TEST_CASE("test_server_telegram_parser_invalid_keys", "[vpr]") +{ + const std::string tBadData{R"({"_JOB_ID":"7","_CMD":"2","_OPTIONS":"type1:name1:value1;type2:name2:v a l u e 2;t3:n3:v3","_DATA":"some_data...","_STATUS":"1"})"}; + + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_job_id(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_cmd(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_options(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_data(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_status(tBadData)); +} + +TEST_CASE("test_server_telegram_parser_invalid_types", "[vpr]") +{ + const std::string tBadData{R"({"JOB_ID":"x","CMD":"y","STATUS":"z"})"}; + + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_job_id(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_cmd(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_status(tBadData)); +} + +#endif /* NO_SERVER */ \ No newline at end of file diff --git a/vpr/test/test_server_zlibutils.cpp b/vpr/test/test_server_zlibutils.cpp new file mode 100644 index 00000000000..c8978ab999f --- /dev/null +++ b/vpr/test/test_server_zlibutils.cpp @@ -0,0 +1,27 @@ +#ifndef NO_SERVER + +#include "zlibutils.h" + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +TEST_CASE("test_server_zlib_utils", "[vpr]") +{ + const std::string orig{"This string is going to be compressed now"}; + + std::optional<std::string> compressedOpt = try_compress(orig); + REQUIRE(compressedOpt); + REQUIRE(orig != compressedOpt.value()); + + std::optional<std::string> decompressedOpt = try_decompress(compressedOpt.value()); + REQUIRE(decompressedOpt); + + REQUIRE(orig == decompressedOpt.value()); +} + +#endif /* NO_SERVER */ + + + + + diff --git a/vpr/test/test_vpr_constraints.cpp b/vpr/test/test_vpr_constraints.cpp index f9a5d7e5bd4..f0fb486d76a 100644 --- a/vpr/test/test_vpr_constraints.cpp +++ b/vpr/test/test_vpr_constraints.cpp @@ -53,7 +53,7 @@ TEST_CASE("PartitionRegion", "[vpr]") { pr1.add_to_part_region(r1); - std::vector<Region> pr_regions = pr1.get_partition_region(); + const std::vector<Region>& pr_regions = pr1.get_regions(); REQUIRE(pr_regions[0].get_sub_tile() == 3); const auto pr_reg_coord = pr_regions[0].get_region_rect(); @@ -80,8 +80,8 @@ TEST_CASE("Partition", "[vpr]") { part_reg.add_to_part_region(r1); part.set_part_region(part_reg); - PartitionRegion part_reg_2 = part.get_part_region(); - std::vector<Region> regions = part_reg_2.get_partition_region(); + const PartitionRegion& part_reg_2 = part.get_part_region(); + const std::vector<Region>& regions = part_reg_2.get_regions(); REQUIRE(regions[0].get_sub_tile() == 3); @@ -121,8 +121,7 @@ TEST_CASE("VprConstraints", "[vpr]") { vprcon.add_partition(part); - Partition got_part; - got_part = vprcon.get_partition(part_id); + const Partition& got_part = vprcon.get_partition(part_id); REQUIRE(got_part.get_name() == "part_name"); std::vector<AtomBlockId> partition_atoms; @@ -235,7 +234,7 @@ TEST_CASE("PartRegionIntersect", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector<Region> regions = int_pr.get_partition_region(); + const std::vector<Region>& regions = int_pr.get_regions(); vtr::Rect<int> int_rect(0, 0, 1, 1); vtr::Rect<int> int_rect_2(1, 1, 2, 2); @@ -268,7 +267,7 @@ TEST_CASE("PartRegionIntersect2", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector<Region> regions = int_pr.get_partition_region(); + const std::vector<Region>& regions = int_pr.get_regions(); vtr::Rect<int> int_rect(0, 0, 2, 2); REQUIRE(regions.size() == 1); const auto first_reg_coord = regions[0].get_region_rect(); @@ -304,9 +303,9 @@ TEST_CASE("PartRegionIntersect3", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector<Region> regions = int_pr.get_partition_region(); + const std::vector<Region>& regions = int_pr.get_regions(); - REQUIRE(regions.size() == 0); + REQUIRE(regions.empty()); } //2x2 regions, 1 overlap @@ -337,7 +336,7 @@ TEST_CASE("PartRegionIntersect4", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector<Region> regions = int_pr.get_partition_region(); + const std::vector<Region>& regions = int_pr.get_regions(); vtr::Rect<int> intersect(1, 2, 3, 4); @@ -374,7 +373,7 @@ TEST_CASE("PartRegionIntersect5", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector<Region> regions = int_pr.get_partition_region(); + const std::vector<Region>& regions = int_pr.get_regions(); vtr::Rect<int> int_r1r3(2, 6, 4, 7); vtr::Rect<int> int_r2r4(6, 4, 8, 5); @@ -415,7 +414,7 @@ TEST_CASE("PartRegionIntersect6", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector<Region> regions = int_pr.get_partition_region(); + const std::vector<Region>& regions = int_pr.get_regions(); vtr::Rect<int> int_r1r3(2, 3, 4, 4); vtr::Rect<int> int_r1r4(2, 6, 4, 7); @@ -455,7 +454,7 @@ TEST_CASE("MacroConstraints", "[vpr]") { PartitionRegion macro_pr = update_macro_member_pr(head_pr, offset, grid_pr, pl_macro); - std::vector<Region> mac_regions = macro_pr.get_partition_region(); + const std::vector<Region>& mac_regions = macro_pr.get_regions(); const auto mac_first_reg_coord = mac_regions[0].get_region_rect(); diff --git a/vtr_flow/arch/multi_die/README.md b/vtr_flow/arch/multi_die/README.md index 23aa7bcff79..d8e511368eb 100644 --- a/vtr_flow/arch/multi_die/README.md +++ b/vtr_flow/arch/multi_die/README.md @@ -28,6 +28,7 @@ This directory contains architecture files for 3D FPGAs. The architectures are d - The architecture has two dice. - Both dice are SIV-like FPGA fabric. - All pins can cross die. + - This is a completely hypothetical architecture, as the area required to place drivers on every channel segment to drive an IPIN on the other die would be too large. For the purpose of this scenario, we assume an inter-die connection delay of 0. - `3d_full_OPIN_inter_die_stratixiv_arch.timing.xml` - The architecture has two dice. - Both dice are SIV-like FPGA fabric. diff --git a/vtr_flow/arch/multi_die/stratixiv_3d/3d_full_OPIN_inter_die_stratixiv_arch.timing.xml b/vtr_flow/arch/multi_die/stratixiv_3d/3d_full_OPIN_inter_die_stratixiv_arch.timing.xml index fdf81e678b4..206a64dd7eb 100644 --- a/vtr_flow/arch/multi_die/stratixiv_3d/3d_full_OPIN_inter_die_stratixiv_arch.timing.xml +++ b/vtr_flow/arch/multi_die/stratixiv_3d/3d_full_OPIN_inter_die_stratixiv_arch.timing.xml @@ -5115,9 +5115,11 @@ while keeping the switch delay a reasonable (see comment in <segmentlist>) portion of the overall delay. --> <switch type="mux" name="seg4_driver" R="450" Cin="0.60e-15" Cout="4.82e-15" Tdel="59e-12" mux_trans_size="2.630740" buf_size="27.645901"/> + <switch type="mux" name="seg4_inter_layer_driver" R="0.0" Cin="0.0" Cout="0.0" Tdel="138.82e-12" mux_trans_size="2.630740" buf_size="27.645901"/> <!-- KEM: Since the L16 wires are 4x as long as the L4s, it is not unreasonable to have the L16 drivers be at least 3x as powerful. --> <switch type="mux" name="seg16_driver" R="150" Cin="1.80e-15" Cout="14.5e-15" Tdel="87e-12" mux_trans_size="2.630740" buf_size="27.645901"/> + <switch type="mux" name="seg16_inter_layer_driver" R="0.0" Cin="0.0" Cout="0.0" Tdel="166.82e-12" mux_trans_size="2.630740" buf_size="27.645901"/> <!--switch ipin_cblock resistance set to yeild for 4x minimum drive strength buffer--> <switch type="mux" name="ipin_cblock" R="2231.5" Cout="0." Cin="1.47e-15" Tdel="0e0" mux_trans_size="1.222260" buf_size="auto"/> <switch type="mux" name="die_connection" R="2231.5" Cout="0" Cin="1.47e-15" Tdel="0e0" mux_trans_size="1.222260" buf_size="auto"/> @@ -5215,14 +5217,14 @@ --> <segment name="L4" freq="260" length="4" type="unidir" Rmetal="201.7" Cmetal="18.0e-15"> <mux name="seg4_driver"/> - <mux_inter_die name="seg4_driver"/> + <mux_inter_die name="seg4_inter_layer_driver"/> <!-- L4 connect to connection block L4 and L4prime --> <sb type="pattern">1 1 1 1 1</sb> <cb type="pattern">1 1 1 1</cb> </segment> <segment name="L16" freq="40" length="16" type="unidir" Rmetal="50.42" Cmetal="20.7e-15"> <mux name="seg16_driver"/> - <mux_inter_die name="seg16_driver"/> + <mux_inter_die name="seg16_inter_layer_driver"/> <!-- L16 connect to L16 and L4 --> <!-- Vias from the top of the metal stack (global layers, where the long wires are implemented) down to the middle/bottom of the metal stack (semi-global layers, diff --git a/vtr_flow/arch/titan/stratix10_arch.timing.xml b/vtr_flow/arch/titan/stratix10_arch.timing.xml index 3d20484998f..2d4c021f209 100644 --- a/vtr_flow/arch/titan/stratix10_arch.timing.xml +++ b/vtr_flow/arch/titan/stratix10_arch.timing.xml @@ -6425,13 +6425,21 @@ <connection_block input_switch_name="ipin_cblock"/> </device> <switchlist> - <!-- AA: July 19, 2020 - We define three types of drivers, one for each of the segment types (see comment in <segmentlist> section) - In the model for Stratix 10 we put all the delay on switch and connection blocks in the form of Tdel; Meaning that we assume the resistance and capticance of the switches are set to 0. - Stratix 10 is modelled here to have 3 different segment wire types per horizational/vertical channel. - --> + + <!-- + NOTE: In the model for Stratix 10 we put all the delay on switch and connection blocks in the form of Tdel; Meaning that we assume the resistance and capticance of the switches are set to 0. + + The average delays of the actual wires using Quartus PrimePro came to be the following: + Wire delays: + + V2: 95 ps H2: 89 ps + V3: 194 ps H4: 133 ps + V4: 146 ps H10: 203 ps + V16: 213 ps H24: 188 ps + --> <!-- AA: The mux_tran_size and buf_size parameters for switches are kept the same as ones in Startix IV since modelling area in Stratix 10 is of little intrest and difficult.--> + <switch Cin="0" Cout="0" R="0" Tdel="89e-12" buf_size="27.647901" mux_trans_size="2.630740" name="seg_h2_driver" type="mux"/> <switch Cin="0" Cout="0" R="0" Tdel="133e-12" buf_size="27.647901" mux_trans_size="2.630740" name="seg_h4_driver" type="mux"/> <switch Cin="0" Cout="0" R="0" Tdel="203e-12" buf_size="27.647901" mux_trans_size="2.630740" name="seg_h10_driver" type="mux"/> @@ -6446,85 +6454,23 @@ <switch Cin="1.47e-15" Cout="0." R="2231.5" Tdel="0e0" buf_size="auto" mux_trans_size="1.222260" name="ipin_cblock" type="mux"/> </switchlist> <segmentlist> - <!-- AA: July 19, 2021 - + <!-- Wire distribution: - In stratix 10 there are eight types of wires: R2,R4,R10,R20,C2,C3,C4,C12 - R wires are row wires running horizontally accross the chip - C wires are column wires running vertically accross the chip - - The wire counts for Stratix IV channels are: - R2 : 152 - C2 : 160 - R4 : 152 - C3 : 160 - R10: 210 - C4 : 160 - R24: 48 - C16: 32 - Total horizontal tracks: 562 - Total vertical tracks: 512 - - 6.5% of vertical & 8.5% of horizontal wires are long. (7.5% in average) - - 92.5% of horizontal wires & 93.5% of veritcal wires are intermediate wires. - - We will divide the wires into L2, L4, and L20 wires keeping in mind that VPR doesn't support non-uniform horizontal and vertical channels: - - The combination of R2,C2, and C3 wires gives the channel width for L2 wires: - - (152+160+160)/2=236 - - The combination of R4,C4,and R10 wires gives the channel width for L4: - - (210+152+152)/3=257 - - The average of C16 and R24 wires gives the channel width for L20 wires: - - (32+48)/2=40 - - The average channel width for the horizontal and vertical channels is: (562+512)/2=537 ~540 - - The difference between the target channel width and total of wires thus far will be added to L4 wires since the total of R4,C4, and R10 - wires is larger than R2,C2, and C3 wires: - - 540-257-236-40=6 -> 6 additional L4 wires - - Finally we have: - - L2:236 - L4:264 - L20:40 - - We get the following ratios with this distribution: - - 7.4% are long wires. - 92.6% are short wires. - - which is reasonable. - - Thus to model the routing we have the following: - - A channel width of 540 wires (provided on the command line) - - 7.4% of wires are L20 wires - - 42.4% of wires are L4 wires - - 50.2% of wires are L2 wires - - NOTE: In Startix10 we do not model metal data since all the delay is put on the driving muxes. - - Wire delays: - - The average delays of the actual wires using Quartus PrimePro came to be the following: - - C2: 95 ps R2: 89 ps - C3: 194 ps R4: 133 ps - C4: 146 ps R10: 203 ps - C16: 213 ps R24: 188 ps - - - Averaging accross wires of the same type we attempt to make the wires total delays correlate to the following values: - L2: 92 ps - L4: 140 ps - L20: 201 ps + In stratix 10 there are eight types of wires: H2,H4,H10,H24,V2,V3,V4,V16 + H wires are row wires running horizontally accross the chip + V wires are column wires running vertically accross the chip + + The wire counts for Stratix 10 channels are: + H2 : 40 + V2 : 24 + H4 : 112 + V3 : 72 + H10: 200 + V4 : 64 + H24: 48 + V16: 32 + Total horizontal tracks: 400 + Total vertical tracks: 192 NOTE: We don't model minimum capacitances for Stratix10. --> diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt index 8b656e52590..51a5186031a 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt @@ -1,221 +1,221 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_003bits.v common 2.50 vpr 61.55 MiB -1 -1 0.06 20088 1 0.03 -1 -1 35244 -1 -1 2 7 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63024 7 4 21 25 1 15 13 17 17 289 -1 unnamed_device 23.2 MiB 0.00 55 61.5 MiB 0.00 0.00 0.581048 -5.66613 -0.581048 0.581048 0.69 1.4315e-05 9.705e-06 0.000468601 0.00035802 22 122 4 6.55708e+06 24110 420624. 1455.45 0.42 0.00231112 0.00181759 20158 92377 -1 120 3 25 25 1518 477 0 0 1518 477 25 25 0 0 102 80 0 0 121 110 0 0 25 25 0 0 653 112 0 0 592 125 0 0 25 0 0 0 0 0 25 0 0 0.71851 0.71851 -7.79053 -0.71851 0 0 500653. 1732.36 0.17 0.00 0.06 -1 -1 0.17 0.000381608 0.000338832 10 4 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_004bits.v common 2.79 vpr 61.42 MiB -1 -1 0.06 20060 2 0.04 -1 -1 34892 -1 -1 2 9 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 62892 9 5 28 33 1 21 16 17 17 289 -1 unnamed_device 23.0 MiB 0.01 135 61.4 MiB 0.00 0.00 0.819447 -9.91401 -0.819447 0.819447 0.77 1.6854e-05 1.1896e-05 0.000457886 0.000380645 20 237 7 6.55708e+06 24110 394039. 1363.46 0.38 0.00122463 0.00104305 19870 87366 -1 231 9 77 78 5534 1447 0 0 5534 1447 78 77 0 0 338 284 0 0 402 358 0 0 78 78 0 0 2379 349 0 0 2259 301 0 0 78 0 0 1 1 0 82 0 0 0.819447 0.819447 -11.9182 -0.819447 0 0 477104. 1650.88 0.16 0.00 0.05 -1 -1 0.16 0.000708773 0.000617175 13 6 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_005bits.v common 3.06 vpr 61.61 MiB -1 -1 0.07 19892 2 0.04 -1 -1 35008 -1 -1 2 11 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63088 11 6 34 40 1 24 19 17 17 289 -1 unnamed_device 23.2 MiB 0.01 60 61.6 MiB 0.00 0.00 0.819447 -9.14504 -0.819447 0.819447 0.86 2.2575e-05 1.6691e-05 0.000752375 0.000578518 26 173 11 6.55708e+06 24110 477104. 1650.88 0.59 0.00390233 0.00310741 21022 109990 -1 150 7 73 77 3320 1212 0 0 3320 1212 77 74 0 0 281 224 0 0 400 337 0 0 77 77 0 0 1376 235 0 0 1109 265 0 0 77 0 0 4 4 0 93 0 0 0.821448 0.821448 -12.8712 -0.821448 0 0 585099. 2024.56 0.21 0.00 0.07 -1 -1 0.21 0.000844167 0.000746601 16 7 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.94 vpr 61.72 MiB -1 -1 0.07 19824 3 0.03 -1 -1 35424 -1 -1 3 13 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63204 13 7 41 48 1 32 23 17 17 289 -1 unnamed_device 23.2 MiB 0.01 111 61.7 MiB 0.01 0.00 1.50711 -14.1678 -1.50711 1.50711 0.76 2.6936e-05 2.0026e-05 0.000894816 0.000710741 26 279 12 6.55708e+06 36165 477104. 1650.88 1.53 0.00862662 0.00698278 21022 109990 -1 250 8 103 131 8867 2344 0 0 8867 2344 131 122 0 0 488 400 0 0 705 568 0 0 131 131 0 0 3331 638 0 0 4081 485 0 0 131 0 0 28 21 7 243 0 0 1.50711 1.50711 -17.4132 -1.50711 0 0 585099. 2024.56 0.20 0.00 0.07 -1 -1 0.20 0.00105267 0.000936601 19 9 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_007bits.v common 4.59 vpr 61.66 MiB -1 -1 0.07 19956 3 0.04 -1 -1 35480 -1 -1 3 15 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63136 15 8 47 55 1 38 26 17 17 289 -1 unnamed_device 23.1 MiB 0.01 97 61.7 MiB 0.01 0.00 1.05785 -13.991 -1.05785 1.05785 0.75 2.8898e-05 2.1655e-05 0.00099176 0.000788272 26 349 21 6.55708e+06 36165 477104. 1650.88 2.06 0.0100615 0.00814744 21022 109990 -1 344 13 190 216 11678 3837 0 0 11678 3837 216 197 0 0 859 701 0 0 1313 1081 0 0 216 199 0 0 4111 867 0 0 4963 792 0 0 216 0 0 26 24 7 325 0 0 1.05785 1.05785 -20.1212 -1.05785 0 0 585099. 2024.56 0.37 0.01 0.07 -1 -1 0.37 0.0015175 0.00131536 23 10 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_008bits.v common 4.34 vpr 61.95 MiB -1 -1 0.08 19736 3 0.03 -1 -1 35192 -1 -1 4 17 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63432 17 9 56 65 1 42 30 17 17 289 -1 unnamed_device 23.3 MiB 0.06 259 61.9 MiB 0.01 0.00 1.46791 -20.75 -1.46791 1.46791 0.76 3.5634e-05 2.7693e-05 0.00125439 0.00102946 26 483 17 6.55708e+06 48220 477104. 1650.88 1.88 0.0146136 0.0120219 21022 109990 -1 438 20 142 155 10559 2616 0 0 10559 2616 155 151 0 0 593 461 0 0 810 681 0 0 155 152 0 0 4949 514 0 0 3897 657 0 0 155 0 0 13 10 4 208 0 0 1.58811 1.58811 -25.3176 -1.58811 0 0 585099. 2024.56 0.21 0.01 0.07 -1 -1 0.21 0.00233355 0.00203733 25 14 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_009bits.v common 4.36 vpr 61.82 MiB -1 -1 0.08 19848 4 0.03 -1 -1 35192 -1 -1 4 19 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63304 19 10 60 70 1 48 33 17 17 289 -1 unnamed_device 23.2 MiB 0.01 175 61.8 MiB 0.01 0.00 1.50711 -21.3868 -1.50711 1.50711 0.74 3.7691e-05 2.8558e-05 0.00229382 0.00181713 28 420 14 6.55708e+06 48220 500653. 1732.36 2.02 0.0122307 0.0101465 21310 115450 -1 380 11 159 186 9586 2818 0 0 9586 2818 186 165 0 0 707 539 0 0 964 784 0 0 186 175 0 0 3641 622 0 0 3902 533 0 0 186 0 0 27 16 25 320 0 0 1.50711 1.50711 -25.5938 -1.50711 0 0 612192. 2118.31 0.22 0.01 0.07 -1 -1 0.22 0.00177795 0.00158373 29 13 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_010bits.v common 4.75 vpr 61.95 MiB -1 -1 0.07 20084 4 0.04 -1 -1 35504 -1 -1 5 21 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63440 21 11 69 80 1 53 37 17 17 289 -1 unnamed_device 23.6 MiB 0.02 200 62.0 MiB 0.01 0.00 1.46791 -23.299 -1.46791 1.46791 0.76 4.4863e-05 3.5164e-05 0.00193329 0.00162216 30 511 15 6.55708e+06 60275 526063. 1820.29 2.00 0.0164129 0.0136648 21886 126133 -1 433 13 170 223 12926 3564 0 0 12926 3564 223 180 0 0 853 660 0 0 1225 1037 0 0 223 203 0 0 4942 807 0 0 5460 677 0 0 223 0 0 53 49 9 456 0 0 1.46791 1.46791 -28.5878 -1.46791 0 0 666494. 2306.21 0.44 0.01 0.08 -1 -1 0.44 0.00211555 0.00188011 33 17 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.12 vpr 62.02 MiB -1 -1 0.07 19856 5 0.05 -1 -1 34940 -1 -1 6 23 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63504 23 12 76 88 1 61 41 17 17 289 -1 unnamed_device 23.5 MiB 0.01 208 62.0 MiB 0.01 0.00 1.7455 -26.3998 -1.7455 1.7455 0.77 4.8941e-05 3.7713e-05 0.00223528 0.00178346 26 580 13 6.55708e+06 72330 477104. 1650.88 0.55 0.00984164 0.00819163 21022 109990 -1 511 11 279 368 21714 6243 0 0 21714 6243 368 304 0 0 1462 1210 0 0 2213 1773 0 0 368 328 0 0 8153 1372 0 0 9150 1256 0 0 368 0 0 89 42 103 822 0 0 1.74951 1.74951 -33.3714 -1.74951 0 0 585099. 2024.56 0.35 0.03 0.07 -1 -1 0.35 0.00237044 0.00211827 37 19 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.08 vpr 61.91 MiB -1 -1 0.07 20080 5 0.03 -1 -1 35084 -1 -1 6 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63392 25 13 83 96 1 66 44 17 17 289 -1 unnamed_device 23.4 MiB 0.01 261 61.9 MiB 0.01 0.00 1.53464 -29.3154 -1.53464 1.53464 0.79 5.2238e-05 4.0697e-05 0.00163465 0.00133534 26 696 14 6.55708e+06 72330 477104. 1650.88 0.53 0.00969162 0.0081243 21022 109990 -1 604 14 275 391 20940 5867 0 0 20940 5867 391 319 0 0 1504 1214 0 0 2317 1808 0 0 391 332 0 0 7782 1150 0 0 8555 1044 0 0 391 0 0 116 91 114 978 0 0 1.82851 1.82851 -37.8047 -1.82851 0 0 585099. 2024.56 0.21 0.01 0.07 -1 -1 0.21 0.00271879 0.00241745 40 21 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_013bits.v common 2.84 vpr 61.88 MiB -1 -1 0.07 20440 5 0.04 -1 -1 35240 -1 -1 7 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63368 27 14 91 105 1 70 48 17 17 289 -1 unnamed_device 23.4 MiB 0.01 318 61.9 MiB 0.02 0.00 1.49544 -32.4063 -1.49544 1.49544 0.73 5.7993e-05 4.5293e-05 0.00386642 0.00310411 26 733 12 6.55708e+06 84385 477104. 1650.88 0.52 0.0121193 0.0101209 21022 109990 -1 661 11 259 370 22109 6201 0 0 22109 6201 370 307 0 0 1435 1137 0 0 2125 1691 0 0 370 327 0 0 9191 1361 0 0 8618 1378 0 0 370 0 0 111 113 110 1018 0 0 1.61765 1.61765 -40.4365 -1.61765 0 0 585099. 2024.56 0.24 0.01 0.07 -1 -1 0.24 0.00258623 0.00232211 42 24 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_014bits.v common 4.07 vpr 62.10 MiB -1 -1 0.08 20164 6 0.04 -1 -1 35160 -1 -1 7 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63592 29 15 95 110 1 74 51 17 17 289 -1 unnamed_device 23.6 MiB 0.03 426 62.1 MiB 0.01 0.00 2.15556 -41.608 -2.15556 2.15556 0.74 6.4749e-05 5.2103e-05 0.00196204 0.00164986 26 873 10 6.55708e+06 84385 477104. 1650.88 1.61 0.0200598 0.0169424 21022 109990 -1 809 8 240 320 21917 5232 0 0 21917 5232 320 247 0 0 1200 923 0 0 1699 1365 0 0 320 259 0 0 9379 1232 0 0 8999 1206 0 0 320 0 0 80 81 108 797 0 0 2.2243 2.2243 -49.3842 -2.2243 0 0 585099. 2024.56 0.26 0.01 0.07 -1 -1 0.26 0.00234489 0.00212828 45 23 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_015bits.v common 4.81 vpr 62.27 MiB -1 -1 0.08 20128 6 0.04 -1 -1 35288 -1 -1 10 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63768 31 16 104 120 1 81 57 17 17 289 -1 unnamed_device 23.6 MiB 0.03 369 62.3 MiB 0.02 0.00 1.73384 -38.7759 -1.73384 1.73384 0.77 7.0185e-05 5.4585e-05 0.00330473 0.00266585 28 932 12 6.55708e+06 120550 500653. 1732.36 2.06 0.0206288 0.0173385 21310 115450 -1 835 12 345 513 31086 8016 0 0 31086 8016 513 387 0 0 1876 1430 0 0 2901 2305 0 0 513 417 0 0 12440 1806 0 0 12843 1671 0 0 513 0 0 168 164 175 1508 0 0 1.9467 1.9467 -47.3101 -1.9467 0 0 612192. 2118.31 0.25 0.01 0.08 -1 -1 0.25 0.00320021 0.00287124 50 27 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.93 vpr 62.23 MiB -1 -1 0.08 20396 7 0.05 -1 -1 35292 -1 -1 7 33 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63724 33 17 112 129 1 86 57 17 17 289 -1 unnamed_device 23.9 MiB 0.04 294 62.2 MiB 0.01 0.00 2.2223 -42.4636 -2.2223 2.2223 0.90 7.0214e-05 5.6131e-05 0.0026666 0.00220712 26 887 13 6.55708e+06 84385 477104. 1650.88 1.37 0.0257412 0.0218466 21022 109990 -1 716 13 316 421 24690 7048 0 0 24690 7048 421 338 0 0 1622 1247 0 0 2512 2049 0 0 421 365 0 0 9043 1655 0 0 10671 1394 0 0 421 0 0 105 108 18 888 0 0 2.3425 2.3425 -52.4402 -2.3425 0 0 585099. 2024.56 0.21 0.01 0.07 -1 -1 0.21 0.00341644 0.00306632 52 30 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_018bits.v common 4.04 vpr 62.42 MiB -1 -1 0.07 20328 7 0.04 -1 -1 35668 -1 -1 10 37 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63920 37 19 127 146 1 99 66 17 17 289 -1 unnamed_device 23.9 MiB 0.02 498 62.4 MiB 0.03 0.00 2.75256 -61.0465 -2.75256 2.75256 0.74 8.8182e-05 7.1624e-05 0.00539847 0.00447629 26 1107 10 6.55708e+06 120550 477104. 1650.88 1.63 0.0480834 0.0385398 21022 109990 -1 1023 12 364 491 32777 8641 0 0 32777 8641 491 409 0 0 1923 1539 0 0 2940 2337 0 0 491 426 0 0 13551 1890 0 0 13381 2040 0 0 491 0 0 127 96 137 1173 0 0 2.87276 2.87276 -70.9029 -2.87276 0 0 585099. 2024.56 0.22 0.01 0.08 -1 -1 0.22 0.00380307 0.00344027 59 35 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_020bits.v common 3.31 vpr 62.45 MiB -1 -1 0.08 20228 8 0.06 -1 -1 35224 -1 -1 11 41 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63948 41 21 139 160 1 110 73 17 17 289 -1 unnamed_device 23.9 MiB 0.04 579 62.4 MiB 0.03 0.00 2.4215 -62.5706 -2.4215 2.4215 0.75 8.8023e-05 7.0954e-05 0.00595745 0.00490814 26 1454 49 6.55708e+06 132605 477104. 1650.88 0.71 0.0266792 0.0226773 21022 109990 -1 1201 13 485 653 50243 12652 0 0 50243 12652 653 544 0 0 2388 1852 0 0 3706 2817 0 0 653 562 0 0 21702 3405 0 0 21141 3472 0 0 653 0 0 168 112 142 1457 0 0 2.5417 2.5417 -74.9513 -2.5417 0 0 585099. 2024.56 0.23 0.02 0.11 -1 -1 0.23 0.00511676 0.00468097 67 37 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_022bits.v common 4.65 vpr 62.57 MiB -1 -1 0.08 20228 9 0.05 -1 -1 35296 -1 -1 13 45 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64076 45 23 153 176 1 123 81 17 17 289 -1 unnamed_device 24.1 MiB 0.21 537 62.6 MiB 0.03 0.00 2.78916 -69.2589 -2.78916 2.78916 0.74 9.8634e-05 7.9712e-05 0.00612327 0.00505691 28 1337 37 6.55708e+06 156715 500653. 1732.36 1.92 0.0481541 0.0413727 21310 115450 -1 1162 17 548 696 44008 12243 0 0 44008 12243 696 609 0 0 2596 2073 0 0 3912 3100 0 0 696 623 0 0 17765 2997 0 0 18343 2841 0 0 696 0 0 148 95 127 1362 0 0 3.02956 3.02956 -85.4859 -3.02956 0 0 612192. 2118.31 0.22 0.02 0.07 -1 -1 0.22 0.00588433 0.00527486 74 41 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_024bits.v common 4.88 vpr 62.43 MiB -1 -1 0.09 20040 10 0.04 -1 -1 35132 -1 -1 12 49 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63924 49 25 166 191 1 129 86 17 17 289 -1 unnamed_device 23.9 MiB 0.06 710 62.4 MiB 0.03 0.00 3.51862 -88.1514 -3.51862 3.51862 0.91 0.000106692 8.674e-05 0.00634728 0.00528218 28 1501 12 6.55708e+06 144660 500653. 1732.36 1.97 0.034654 0.0299512 21310 115450 -1 1351 9 477 636 50722 12433 0 0 50722 12433 636 532 0 0 2453 1939 0 0 3570 2929 0 0 636 546 0 0 22916 3249 0 0 20511 3238 0 0 636 0 0 159 90 171 1418 0 0 3.63882 3.63882 -100.893 -3.63882 0 0 612192. 2118.31 0.24 0.02 0.09 -1 -1 0.24 0.00463865 0.00426312 79 44 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_028bits.v common 5.21 vpr 62.57 MiB -1 -1 0.09 20048 11 0.06 -1 -1 35452 -1 -1 14 57 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64076 57 29 198 227 1 159 100 17 17 289 -1 unnamed_device 24.1 MiB 0.17 896 62.6 MiB 0.05 0.00 3.76868 -108.384 -3.76868 3.76868 0.75 0.000137892 0.000115367 0.00954169 0.00801579 26 1981 32 6.55708e+06 168770 477104. 1650.88 2.30 0.065232 0.0566756 21022 109990 -1 1779 59 755 1063 416437 286231 0 0 416437 286231 1063 872 0 0 4109 3413 0 0 12197 7333 0 0 1063 895 0 0 196004 138244 0 0 202001 135474 0 0 1063 0 0 308 326 294 2651 0 0 3.95762 3.95762 -127.018 -3.95762 0 0 585099. 2024.56 0.36 0.12 0.07 -1 -1 0.36 0.0185493 0.0162813 93 56 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_032bits.v common 4.77 vpr 62.75 MiB -1 -1 0.09 20416 13 0.06 -1 -1 34900 -1 -1 16 65 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64256 65 33 224 257 1 180 114 17 17 289 -1 unnamed_device 24.2 MiB 0.22 1005 62.8 MiB 0.06 0.00 4.06216 -130.977 -4.06216 4.06216 0.75 0.000159749 0.000134247 0.0121674 0.0102394 28 2028 28 6.55708e+06 192880 500653. 1732.36 2.04 0.0641105 0.0557099 21310 115450 -1 1856 15 673 922 60285 14629 0 0 60285 14629 922 746 0 0 3315 2612 0 0 5132 3870 0 0 922 782 0 0 25938 3242 0 0 24056 3377 0 0 922 0 0 249 173 260 2136 0 0 4.42276 4.42276 -152.012 -4.42276 0 0 612192. 2118.31 0.23 0.02 0.07 -1 -1 0.23 0.00767389 0.00696098 107 62 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_048bits.v common 3.70 vpr 63.83 MiB -1 -1 0.11 20520 19 0.08 -1 -1 35488 -1 -1 24 97 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65364 97 49 340 389 1 266 170 17 17 289 -1 unnamed_device 25.1 MiB 0.19 1490 63.8 MiB 0.11 0.00 6.49539 -245.46 -6.49539 6.49539 0.76 0.000252414 0.000213901 0.0222849 0.0190447 30 3055 16 6.55708e+06 289320 526063. 1820.29 0.73 0.0636615 0.0559481 21886 126133 -1 2579 16 879 1264 71520 18401 0 0 71520 18401 1264 990 0 0 4405 3451 0 0 6172 4896 0 0 1264 1028 0 0 29362 3929 0 0 29053 4107 0 0 1264 0 0 385 267 467 3313 0 0 6.85599 6.85599 -274.19 -6.85599 0 0 666494. 2306.21 0.23 0.04 0.08 -1 -1 0.23 0.0131021 0.0120146 161 98 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_064bits.v common 6.01 vpr 64.80 MiB -1 -1 0.14 20804 26 0.10 -1 -1 35632 -1 -1 35 129 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66352 129 65 453 518 1 353 229 17 17 289 -1 unnamed_device 26.1 MiB 0.20 2092 64.8 MiB 0.16 0.00 8.91496 -417.828 -8.91496 8.91496 0.76 0.000371318 0.000323636 0.0313651 0.0274748 36 3997 12 6.55708e+06 421925 612192. 2118.31 2.85 0.163346 0.147082 22750 144809 -1 3614 12 1158 1601 114563 26993 0 0 114563 26993 1601 1256 0 0 6027 4760 0 0 9249 7302 0 0 1601 1320 0 0 49191 6207 0 0 46894 6148 0 0 1601 0 0 443 334 393 3741 0 0 9.39576 9.39576 -457.615 -9.39576 0 0 782063. 2706.10 0.31 0.04 0.12 -1 -1 0.31 0.0160592 0.0149228 213 131 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 0.39 abc 32.12 MiB -1 -1 0.07 19808 1 0.01 -1 -1 32892 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24268 7 4 24 25 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.37 abc 32.28 MiB -1 -1 0.06 19976 1 0.00 -1 -1 33056 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24016 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.44 abc 32.15 MiB -1 -1 0.07 19780 1 0.01 -1 -1 32924 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24104 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.35 abc 32.20 MiB -1 -1 0.07 19804 1 0.01 -1 -1 32976 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24004 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.51 abc 32.18 MiB -1 -1 0.06 20124 1 0.01 -1 -1 32952 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24268 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.40 abc 32.29 MiB -1 -1 0.07 20192 1 0.01 -1 -1 33064 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24264 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.43 abc 32.21 MiB -1 -1 0.07 19768 1 0.01 -1 -1 32988 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24112 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.34 abc 32.16 MiB -1 -1 0.07 19908 1 0.00 -1 -1 32936 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24048 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.37 abc 32.27 MiB -1 -1 0.06 20040 1 0.01 -1 -1 33040 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24196 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.35 abc 32.30 MiB -1 -1 0.07 20020 1 0.00 -1 -1 33076 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24224 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.38 abc 31.94 MiB -1 -1 0.07 20132 1 0.01 -1 -1 32708 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24328 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.41 abc 32.35 MiB -1 -1 0.07 20088 1 0.00 -1 -1 33128 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24284 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.40 abc 32.14 MiB -1 -1 0.07 19948 1 0.01 -1 -1 32908 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24304 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.47 abc 32.29 MiB -1 -1 0.08 19944 1 0.00 -1 -1 33068 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24144 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.35 abc 32.26 MiB -1 -1 0.07 20304 1 0.01 -1 -1 33032 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24544 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.47 abc 32.02 MiB -1 -1 0.07 20320 1 0.00 -1 -1 32792 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24448 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.54 abc 32.18 MiB -1 -1 0.08 20344 1 0.01 -1 -1 32948 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24332 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.49 abc 32.23 MiB -1 -1 0.07 20120 1 0.01 -1 -1 33000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24592 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.44 abc 32.38 MiB -1 -1 0.07 20044 1 0.01 -1 -1 33156 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24608 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.47 abc 32.43 MiB -1 -1 0.08 20316 1 0.01 -1 -1 33208 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24464 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.39 abc 32.40 MiB -1 -1 0.09 20704 1 0.01 -1 -1 33176 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24872 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.43 abc 32.65 MiB -1 -1 0.09 20400 1 0.02 -1 -1 33432 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24900 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 0.37 abc 32.29 MiB -1 -1 0.06 19812 1 0.01 -1 -1 33060 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23948 7 4 24 25 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.53 abc 32.33 MiB -1 -1 0.07 20020 1 0.00 -1 -1 33104 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23812 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.37 abc 32.15 MiB -1 -1 0.07 19812 1 0.01 -1 -1 32920 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23676 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.39 abc 32.12 MiB -1 -1 0.07 19696 1 0.00 -1 -1 32888 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23968 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.54 abc 32.08 MiB -1 -1 0.07 19832 1 0.01 -1 -1 32848 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23944 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.41 abc 32.43 MiB -1 -1 0.08 19868 1 0.00 -1 -1 33208 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23992 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.33 abc 32.18 MiB -1 -1 0.06 20132 1 0.01 -1 -1 32948 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24000 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.43 abc 32.19 MiB -1 -1 0.07 19820 1 0.00 -1 -1 32960 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23860 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.51 abc 32.41 MiB -1 -1 0.07 19920 1 0.01 -1 -1 33184 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24144 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.47 abc 32.23 MiB -1 -1 0.07 19776 1 0.01 -1 -1 33000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24112 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.46 abc 32.13 MiB -1 -1 0.08 20016 1 0.01 -1 -1 32904 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23900 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.34 abc 32.19 MiB -1 -1 0.07 20052 1 0.01 -1 -1 32964 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23860 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.46 abc 32.23 MiB -1 -1 0.08 19812 1 0.01 -1 -1 33008 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24016 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.47 abc 32.32 MiB -1 -1 0.07 19916 1 0.01 -1 -1 33096 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24272 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.46 abc 32.30 MiB -1 -1 0.07 19880 1 0.01 -1 -1 33080 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24312 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.41 abc 32.31 MiB -1 -1 0.08 20264 1 0.01 -1 -1 33088 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23948 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.52 abc 32.20 MiB -1 -1 0.08 20280 1 0.01 -1 -1 32968 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 23944 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.52 abc 32.30 MiB -1 -1 0.06 20252 1 0.01 -1 -1 33072 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24084 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.42 abc 32.19 MiB -1 -1 0.07 20412 1 0.00 -1 -1 32960 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24516 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.31 abc 32.32 MiB -1 -1 0.08 20348 1 0.01 -1 -1 33096 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24332 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.38 abc 32.63 MiB -1 -1 0.09 20452 1 0.01 -1 -1 33412 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 24460 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.47 abc 32.64 MiB -1 -1 0.09 20572 1 0.02 -1 -1 33424 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 25064 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 4.07 vpr 62.00 MiB -1 -1 0.07 20004 1 0.00 -1 -1 33064 -1 -1 2 7 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63492 7 4 27 28 1 14 13 17 17 289 -1 unnamed_device 23.6 MiB 0.01 34 62.0 MiB 0.00 0.00 0.649848 -5.97153 -0.649848 0.649848 1.49 1.6441e-05 1.1554e-05 0.000365921 0.000284627 18 97 8 6.64007e+06 25116 355633. 1230.56 0.48 0.00266071 0.00210682 20242 81429 -1 62 5 24 24 771 282 0 0 771 282 24 24 0 0 82 44 0 0 110 83 0 0 24 24 0 0 206 68 0 0 325 39 0 0 24 0 0 0 0 0 24 0 0 0.71851 0.71851 -6.45233 -0.71851 0 0 448715. 1552.65 0.24 0.00 0.07 -1 -1 0.24 0.000563262 0.000495706 10 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 5.08 vpr 62.38 MiB -1 -1 0.07 19688 1 0.00 -1 -1 32988 -1 -1 2 9 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63880 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 23.9 MiB 0.01 46 62.4 MiB 0.00 0.00 0.671848 -7.32322 -0.671848 0.671848 1.24 1.7917e-05 1.2869e-05 0.000653716 0.000498631 26 120 22 6.64007e+06 25116 477104. 1650.88 1.60 0.0161437 0.0142834 21682 110474 -1 111 12 101 101 3954 1441 0 0 3954 1441 101 101 0 0 385 319 0 0 585 478 0 0 101 101 0 0 1293 269 0 0 1489 173 0 0 101 0 0 0 0 0 101 0 0 0.890248 0.890248 -9.21042 -0.890248 0 0 585099. 2024.56 0.33 0.01 0.09 -1 -1 0.33 0.000991696 0.000846905 13 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 3.66 vpr 61.94 MiB -1 -1 0.07 20020 1 0.01 -1 -1 32896 -1 -1 2 11 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63428 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 23.5 MiB 0.01 58 61.9 MiB 0.00 0.00 0.682848 -9.22145 -0.682848 0.682848 1.02 2.2701e-05 1.6544e-05 0.00085201 0.000652036 26 211 29 6.64007e+06 25116 477104. 1650.88 0.84 0.0171047 0.0160691 21682 110474 -1 174 26 232 232 13871 4498 0 0 13871 4498 232 232 0 0 944 809 0 0 1528 1180 0 0 232 232 0 0 4998 1099 0 0 5937 946 0 0 232 0 0 0 0 0 232 0 0 1.02145 1.02145 -12.529 -1.02145 0 0 585099. 2024.56 0.32 0.01 0.10 -1 -1 0.32 0.00160998 0.00132224 16 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.06 vpr 62.11 MiB -1 -1 0.07 19884 1 0.01 -1 -1 32924 -1 -1 4 13 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63596 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 23.5 MiB 0.01 78 62.1 MiB 0.00 0.00 0.704848 -10.9187 -0.704848 0.704848 0.89 2.5633e-05 1.9305e-05 0.000751681 0.000596515 26 279 27 6.64007e+06 50232 477104. 1650.88 0.53 0.00539609 0.00436895 21682 110474 -1 220 16 180 180 14507 4652 0 0 14507 4652 180 180 0 0 706 547 0 0 1079 853 0 0 180 180 0 0 5656 1464 0 0 6706 1428 0 0 180 0 0 0 0 0 180 0 0 1.06545 1.06545 -16.3277 -1.06545 0 0 585099. 2024.56 0.28 0.02 0.07 -1 -1 0.28 0.00146245 0.00124459 20 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 4.64 vpr 62.23 MiB -1 -1 0.07 20096 1 0.00 -1 -1 32880 -1 -1 3 15 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63728 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 23.7 MiB 0.01 109 62.2 MiB 0.01 0.00 0.944958 -13.5599 -0.944958 0.944958 0.75 2.6962e-05 1.9922e-05 0.00113682 0.000895722 32 232 11 6.64007e+06 37674 554710. 1919.41 2.16 0.00794336 0.00642038 22834 132086 -1 230 9 125 125 6580 1940 0 0 6580 1940 125 125 0 0 455 360 0 0 641 520 0 0 125 125 0 0 2537 422 0 0 2697 388 0 0 125 0 0 0 0 0 125 0 0 0.834048 0.834048 -16.5924 -0.834048 0 0 701300. 2426.64 0.27 0.00 0.10 -1 -1 0.27 0.00127474 0.00112686 22 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 4.10 vpr 62.17 MiB -1 -1 0.07 20024 1 0.00 -1 -1 32992 -1 -1 4 17 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63660 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 23.5 MiB 0.04 125 62.2 MiB 0.01 0.00 0.955958 -15.7312 -0.955958 0.955958 0.81 3.2344e-05 2.4425e-05 0.00159967 0.00125043 28 334 11 6.64007e+06 50232 500653. 1732.36 1.53 0.0116894 0.00943068 21970 115934 -1 292 14 175 175 10074 3120 0 0 10074 3120 175 175 0 0 725 618 0 0 1012 865 0 0 175 175 0 0 3410 737 0 0 4577 550 0 0 175 0 0 0 0 0 175 0 0 0.943248 0.943248 -20.6052 -0.943248 0 0 612192. 2118.31 0.23 0.01 0.07 -1 -1 0.23 0.00168505 0.00146175 25 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.40 vpr 62.19 MiB -1 -1 0.08 19944 1 0.00 -1 -1 32888 -1 -1 4 19 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63680 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 23.8 MiB 0.02 130 62.2 MiB 0.01 0.00 0.966958 -18.0338 -0.966958 0.966958 0.91 3.5677e-05 2.7166e-05 0.00139986 0.00111003 26 376 20 6.64007e+06 50232 477104. 1650.88 0.56 0.00739945 0.00604275 21682 110474 -1 325 13 180 180 10068 2780 0 0 10068 2780 180 180 0 0 661 493 0 0 870 698 0 0 180 180 0 0 3768 684 0 0 4409 545 0 0 180 0 0 0 0 0 180 0 0 1.09645 1.09645 -23.7262 -1.09645 0 0 585099. 2024.56 0.21 0.01 0.07 -1 -1 0.21 0.00171788 0.00149496 28 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 4.04 vpr 62.34 MiB -1 -1 0.07 19864 1 0.01 -1 -1 33124 -1 -1 5 21 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63840 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 23.9 MiB 0.02 149 62.3 MiB 0.01 0.00 0.977958 -19.6261 -0.977958 0.977958 0.75 3.8141e-05 2.9107e-05 0.00159859 0.00127888 28 393 11 6.64007e+06 62790 500653. 1732.36 1.75 0.0202842 0.0178116 21970 115934 -1 379 14 262 262 20258 6291 0 0 20258 6291 262 262 0 0 1040 884 0 0 1421 1201 0 0 262 262 0 0 7880 1978 0 0 9393 1704 0 0 262 0 0 0 0 0 262 0 0 0.998248 0.998248 -26.8613 -0.998248 0 0 612192. 2118.31 0.23 0.01 0.07 -1 -1 0.23 0.00207764 0.00181124 31 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.05 vpr 62.27 MiB -1 -1 0.07 19872 1 0.01 -1 -1 32920 -1 -1 5 23 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63764 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 23.8 MiB 0.02 183 62.3 MiB 0.01 0.00 0.988958 -22.3112 -0.988958 0.988958 0.74 4.1749e-05 3.1571e-05 0.0022251 0.00174344 28 435 15 6.64007e+06 62790 500653. 1732.36 0.61 0.00888342 0.00732586 21970 115934 -1 380 21 314 314 18524 5394 0 0 18524 5394 314 314 0 0 1173 902 0 0 1689 1355 0 0 314 314 0 0 6697 1400 0 0 8337 1109 0 0 314 0 0 0 0 0 314 0 0 1.02145 1.02145 -27.3237 -1.02145 0 0 612192. 2118.31 0.25 0.01 0.07 -1 -1 0.25 0.00259909 0.00224677 34 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 4.28 vpr 62.33 MiB -1 -1 0.08 20152 1 0.01 -1 -1 33080 -1 -1 5 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63824 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 23.9 MiB 0.02 222 62.3 MiB 0.02 0.00 0.999958 -25.0074 -0.999958 0.999958 0.82 4.6142e-05 3.5519e-05 0.00269434 0.00215559 28 561 16 6.64007e+06 62790 500653. 1732.36 1.63 0.0220038 0.0188386 21970 115934 -1 491 11 255 255 19661 5101 0 0 19661 5101 255 255 0 0 1027 832 0 0 1343 1143 0 0 255 255 0 0 8066 1422 0 0 8715 1194 0 0 255 0 0 0 0 0 255 0 0 1.15145 1.15145 -33.2485 -1.15145 0 0 612192. 2118.31 0.40 0.01 0.07 -1 -1 0.40 0.00201505 0.00177679 37 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.37 vpr 62.34 MiB -1 -1 0.07 20048 1 0.01 -1 -1 33156 -1 -1 6 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63832 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 23.8 MiB 0.02 203 62.3 MiB 0.02 0.00 1.01096 -26.5125 -1.01096 1.01096 0.83 4.9366e-05 3.7938e-05 0.00263216 0.00210475 28 633 24 6.64007e+06 75348 500653. 1732.36 0.61 0.0116219 0.00961623 21970 115934 -1 570 23 420 420 33504 9138 0 0 33504 9138 420 420 0 0 1633 1330 0 0 2308 1897 0 0 420 420 0 0 13267 2710 0 0 15456 2361 0 0 420 0 0 0 0 0 420 0 0 1.17465 1.17465 -36.1815 -1.17465 0 0 612192. 2118.31 0.21 0.01 0.07 -1 -1 0.21 0.00341635 0.00293719 40 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 4.15 vpr 62.64 MiB -1 -1 0.07 20132 1 0.01 -1 -1 33048 -1 -1 7 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64144 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 24.1 MiB 0.02 264 62.6 MiB 0.02 0.00 1.02196 -28.6296 -1.02196 1.02196 0.74 5.3687e-05 4.1661e-05 0.00317455 0.00253243 30 642 18 6.64007e+06 87906 526063. 1820.29 1.67 0.0255025 0.0219875 22546 126617 -1 475 11 253 253 12493 3725 0 0 12493 3725 253 253 0 0 901 741 0 0 1129 943 0 0 253 253 0 0 5019 782 0 0 4938 753 0 0 253 0 0 0 0 0 253 0 0 0.956248 0.956248 -33.521 -0.956248 0 0 666494. 2306.21 0.30 0.01 0.08 -1 -1 0.30 0.0022962 0.00203459 44 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 4.15 vpr 62.50 MiB -1 -1 0.07 20512 1 0.01 -1 -1 32724 -1 -1 7 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64000 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 23.9 MiB 0.03 288 62.5 MiB 0.02 0.00 1.26207 -31.7081 -1.26207 1.26207 0.74 5.8486e-05 4.5753e-05 0.00298297 0.00240088 28 665 12 6.64007e+06 87906 500653. 1732.36 1.70 0.0231936 0.0193728 21970 115934 -1 640 14 385 385 28824 7516 0 0 28824 7516 385 385 0 0 1452 1196 0 0 2008 1605 0 0 385 385 0 0 12026 2099 0 0 12568 1846 0 0 385 0 0 0 0 0 385 0 0 1.08425 1.08425 -39.9542 -1.08425 0 0 612192. 2118.31 0.24 0.01 0.07 -1 -1 0.24 0.00298908 0.00264054 46 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 4.19 vpr 62.61 MiB -1 -1 0.08 20360 1 0.01 -1 -1 32908 -1 -1 7 33 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64108 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 24.2 MiB 0.03 278 62.6 MiB 0.02 0.00 1.27307 -33.1147 -1.27307 1.27307 0.94 5.9755e-05 4.6761e-05 0.00307304 0.00249147 30 575 17 6.64007e+06 87906 526063. 1820.29 1.61 0.023346 0.0194181 22546 126617 -1 495 14 214 214 12161 3747 0 0 12161 3747 214 214 0 0 845 679 0 0 1022 887 0 0 214 214 0 0 4778 965 0 0 5088 788 0 0 214 0 0 0 0 0 214 0 0 0.964048 0.964048 -37.7696 -0.964048 0 0 666494. 2306.21 0.24 0.01 0.08 -1 -1 0.24 0.00297281 0.00264606 49 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 4.78 vpr 62.67 MiB -1 -1 0.07 20420 1 0.00 -1 -1 32940 -1 -1 8 37 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64176 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 24.2 MiB 0.03 450 62.7 MiB 0.02 0.00 1.29507 -41.7306 -1.29507 1.29507 0.85 6.8952e-05 5.4679e-05 0.00438265 0.00355566 32 922 12 6.64007e+06 100464 554710. 1919.41 2.14 0.0228023 0.0191226 22834 132086 -1 820 14 356 356 25781 6391 0 0 25781 6391 356 356 0 0 1364 1127 0 0 2057 1635 0 0 356 356 0 0 10948 1522 0 0 10700 1395 0 0 356 0 0 0 0 0 356 0 0 1.20445 1.20445 -50.2315 -1.20445 0 0 701300. 2426.64 0.25 0.01 0.08 -1 -1 0.25 0.00325038 0.00287761 55 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 4.26 vpr 62.61 MiB -1 -1 0.08 19980 1 0.01 -1 -1 32968 -1 -1 8 41 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64112 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 24.0 MiB 0.03 384 62.6 MiB 0.03 0.00 1.31707 -42.5775 -1.31707 1.31707 0.77 7.6622e-05 6.078e-05 0.00598531 0.00488994 30 981 24 6.64007e+06 100464 526063. 1820.29 1.67 0.0331684 0.0276836 22546 126617 -1 765 17 511 511 32242 9205 0 0 32242 9205 511 511 0 0 1867 1507 0 0 2416 2015 0 0 511 511 0 0 13085 2421 0 0 13852 2240 0 0 511 0 0 0 0 0 511 0 0 1.12945 1.12945 -50.6718 -1.12945 0 0 666494. 2306.21 0.24 0.01 0.08 -1 -1 0.24 0.00405642 0.00357854 61 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 3.26 vpr 62.67 MiB -1 -1 0.08 20276 1 0.01 -1 -1 33040 -1 -1 10 45 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64176 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 24.0 MiB 0.02 578 62.7 MiB 0.03 0.00 1.33907 -52.3632 -1.33907 1.33907 0.76 8.1982e-05 6.5734e-05 0.00589503 0.00482363 32 1138 16 6.64007e+06 125580 554710. 1919.41 0.63 0.0188743 0.0159271 22834 132086 -1 967 15 431 431 30195 7481 0 0 30195 7481 431 431 0 0 1625 1342 0 0 2204 1788 0 0 431 431 0 0 13858 1657 0 0 11646 1832 0 0 431 0 0 0 0 0 431 0 0 1.15025 1.15025 -59.8518 -1.15025 0 0 701300. 2426.64 0.25 0.01 0.14 -1 -1 0.25 0.00404252 0.00359121 68 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 4.75 vpr 62.80 MiB -1 -1 0.07 20124 1 0.01 -1 -1 33040 -1 -1 10 49 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64308 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 24.4 MiB 0.03 794 62.8 MiB 0.04 0.00 1.59018 -63.2522 -1.59018 1.59018 0.76 0.000101302 8.3557e-05 0.00627933 0.00517207 30 1432 16 6.64007e+06 125580 526063. 1820.29 2.18 0.0333449 0.028348 22546 126617 -1 1259 18 530 530 36366 8560 0 0 36366 8560 530 530 0 0 1881 1527 0 0 2376 1994 0 0 530 530 0 0 16881 1903 0 0 14168 2076 0 0 530 0 0 0 0 0 530 0 0 1.17025 1.17025 -71.1517 -1.17025 0 0 666494. 2306.21 0.30 0.02 0.08 -1 -1 0.30 0.00515217 0.00456815 73 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 4.69 vpr 62.86 MiB -1 -1 0.08 20148 1 0.00 -1 -1 32964 -1 -1 11 57 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64364 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 24.3 MiB 0.08 793 62.9 MiB 0.04 0.00 1.63418 -71.2188 -1.63418 1.63418 0.77 0.000111925 9.1778e-05 0.00712962 0.00587944 30 1571 17 6.64007e+06 138138 526063. 1820.29 2.22 0.039206 0.0334032 22546 126617 -1 1387 15 538 538 37863 9009 0 0 37863 9009 538 538 0 0 1934 1533 0 0 2335 2007 0 0 538 538 0 0 15424 2422 0 0 17094 1971 0 0 538 0 0 0 0 0 538 0 0 1.20325 1.20325 -79.9752 -1.20325 0 0 666494. 2306.21 0.24 0.02 0.08 -1 -1 0.24 0.00513032 0.00460288 85 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 3.55 vpr 63.08 MiB -1 -1 0.08 20016 1 0.01 -1 -1 32988 -1 -1 13 65 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64592 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 24.7 MiB 0.07 1052 63.1 MiB 0.06 0.00 1.90729 -88.9548 -1.90729 1.90729 0.92 0.000135708 0.000113344 0.0104943 0.00904605 28 1917 20 6.64007e+06 163254 500653. 1732.36 0.74 0.0339855 0.0296285 21970 115934 -1 1767 17 729 729 65975 15033 0 0 65975 15033 729 729 0 0 2805 2197 0 0 3844 3165 0 0 729 729 0 0 30086 4093 0 0 27782 4120 0 0 729 0 0 0 0 0 729 0 0 1.25625 1.25625 -96.6275 -1.25625 0 0 612192. 2118.31 0.24 0.04 0.07 -1 -1 0.24 0.00734537 0.00628097 97 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 3.63 vpr 63.79 MiB -1 -1 0.08 20580 1 0.02 -1 -1 33224 -1 -1 19 97 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65324 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 25.0 MiB 0.04 1578 63.8 MiB 0.12 0.00 2.54151 -146.769 -2.54151 2.54151 0.86 0.000214834 0.000181456 0.0177484 0.0151874 32 2859 14 6.64007e+06 238602 554710. 1919.41 0.71 0.050507 0.0444166 22834 132086 -1 2571 15 1103 1103 93954 21507 0 0 93954 21507 1103 1103 0 0 4270 3484 0 0 6018 4810 0 0 1103 1103 0 0 42578 5394 0 0 38882 5613 0 0 1103 0 0 0 0 0 1103 0 0 1.51745 1.51745 -149.472 -1.51745 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.0095106 0.00859984 145 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 3.93 vpr 64.48 MiB -1 -1 0.09 20716 1 0.01 -1 -1 33600 -1 -1 25 129 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66032 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 25.9 MiB 0.06 1985 64.5 MiB 0.27 0.01 3.17573 -209.442 -3.17573 3.17573 0.76 0.000331329 0.000288144 0.0306229 0.0269238 32 4085 26 6.64007e+06 313950 554710. 1919.41 0.84 0.0885901 0.0793588 22834 132086 -1 3438 13 1324 1324 118678 27515 0 0 118678 27515 1324 1324 0 0 5166 4152 0 0 7087 5653 0 0 1324 1324 0 0 52251 7356 0 0 51526 7706 0 0 1324 0 0 0 0 0 1324 0 0 1.83045 1.83045 -211.548 -1.83045 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.0128623 0.0118248 193 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 2.81 vpr 62.10 MiB -1 -1 0.06 20128 1 0.01 -1 -1 33104 -1 -1 2 7 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63592 7 4 27 28 1 14 13 17 17 289 -1 unnamed_device 23.6 MiB 0.00 30 62.1 MiB 0.00 0.00 0.649848 -5.93533 -0.649848 0.649848 0.96 1.7867e-05 1.3093e-05 0.000418027 0.000328918 14 113 8 6.65987e+06 25356 279208. 966.117 0.33 0.00283539 0.00224662 19378 63921 -1 103 11 62 62 3397 1147 0 0 3397 1147 62 62 0 0 257 206 0 0 307 286 0 0 62 62 0 0 1089 310 0 0 1620 221 0 0 62 0 0 0 0 0 62 0 0 0.890248 0.890248 -7.97873 -0.890248 0 0 355633. 1230.56 0.13 0.00 0.04 -1 -1 0.13 0.00108386 0.000964278 10 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 3.35 vpr 61.80 MiB -1 -1 0.06 19980 1 0.00 -1 -1 33120 -1 -1 2 9 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63280 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 23.3 MiB 0.01 43 61.8 MiB 0.00 0.00 0.660848 -7.17696 -0.660848 0.660848 0.75 1.9311e-05 1.4105e-05 0.000718818 0.000536827 18 153 10 6.65987e+06 25356 355633. 1230.56 1.06 0.0048621 0.00380332 20242 81429 -1 135 17 148 148 6812 2329 0 0 6812 2329 148 148 0 0 556 405 0 0 785 586 0 0 148 148 0 0 2255 508 0 0 2920 534 0 0 148 0 0 0 0 0 148 0 0 0.790989 0.790989 -10.324 -0.790989 0 0 448715. 1552.65 0.16 0.01 0.06 -1 -1 0.16 0.00107941 0.000896919 13 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 4.51 vpr 62.22 MiB -1 -1 0.07 19800 1 0.00 -1 -1 32892 -1 -1 2 11 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63712 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 23.7 MiB 0.01 60 62.2 MiB 0.00 0.00 0.682848 -9.22145 -0.682848 0.682848 0.75 2.3203e-05 1.7082e-05 0.000898156 0.000694822 28 214 25 6.65987e+06 25356 500653. 1732.36 1.92 0.00882029 0.00692739 21970 115934 -1 164 20 198 198 9941 3205 0 0 9941 3205 198 198 0 0 717 589 0 0 1061 832 0 0 198 198 0 0 3391 804 0 0 4376 584 0 0 198 0 0 0 0 0 198 0 0 1.02145 1.02145 -11.5674 -1.02145 0 0 612192. 2118.31 0.33 0.01 0.07 -1 -1 0.33 0.00199016 0.00174176 16 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 4.91 vpr 62.06 MiB -1 -1 0.07 19880 1 0.01 -1 -1 32888 -1 -1 4 13 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63552 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 23.5 MiB 0.02 105 62.1 MiB 0.00 0.00 0.704848 -11.7601 -0.704848 0.704848 0.76 2.3856e-05 1.7529e-05 0.000808604 0.000634251 32 253 15 6.65987e+06 50712 554710. 1919.41 1.99 0.00999329 0.00793827 22834 132086 -1 237 14 163 163 11129 3082 0 0 11129 3082 163 163 0 0 672 571 0 0 1072 868 0 0 163 163 0 0 4566 634 0 0 4493 683 0 0 163 0 0 0 0 0 163 0 0 0.972389 0.972389 -16.2582 -0.972389 0 0 701300. 2426.64 0.36 0.02 0.14 -1 -1 0.36 0.00147597 0.00126506 20 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 4.49 vpr 61.86 MiB -1 -1 0.07 19792 1 0.01 -1 -1 32824 -1 -1 3 15 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63340 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 23.2 MiB 0.01 110 61.9 MiB 0.01 0.00 0.944958 -13.8003 -0.944958 0.944958 0.80 2.8446e-05 2.1096e-05 0.00114354 0.000896579 30 277 15 6.65987e+06 38034 526063. 1820.29 1.85 0.0110606 0.00883289 22546 126617 -1 213 13 122 122 5687 1781 0 0 5687 1781 122 122 0 0 441 349 0 0 606 517 0 0 122 122 0 0 2280 328 0 0 2116 343 0 0 122 0 0 0 0 0 122 0 0 0.834048 0.834048 -16.5924 -0.834048 0 0 666494. 2306.21 0.23 0.01 0.08 -1 -1 0.23 0.00151176 0.00131867 22 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 2.90 vpr 62.11 MiB -1 -1 0.07 20008 1 0.01 -1 -1 33140 -1 -1 4 17 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63600 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 23.5 MiB 0.01 123 62.1 MiB 0.01 0.00 0.955958 -15.9716 -0.955958 0.955958 0.75 3.2026e-05 2.3608e-05 0.00175107 0.0013477 26 373 15 6.65987e+06 50712 477104. 1650.88 0.58 0.0174711 0.016006 21682 110474 -1 316 14 196 196 20827 5470 0 0 20827 5470 196 196 0 0 801 675 0 0 1564 1253 0 0 196 196 0 0 8627 1636 0 0 9443 1514 0 0 196 0 0 0 0 0 196 0 0 1.22765 1.22765 -23.126 -1.22765 0 0 585099. 2024.56 0.22 0.01 0.07 -1 -1 0.22 0.00170252 0.00147464 25 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.18 vpr 62.04 MiB -1 -1 0.06 19760 1 0.01 -1 -1 32960 -1 -1 4 19 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63528 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 23.6 MiB 0.01 130 62.0 MiB 0.01 0.00 0.966958 -17.6732 -0.966958 0.966958 0.76 3.4655e-05 2.613e-05 0.00163591 0.00129254 32 388 16 6.65987e+06 50712 554710. 1919.41 0.79 0.00738058 0.00606041 22834 132086 -1 305 12 173 173 10957 3146 0 0 10957 3146 173 173 0 0 701 571 0 0 1076 882 0 0 173 173 0 0 3945 773 0 0 4889 574 0 0 173 0 0 0 0 0 173 0 0 1.07445 1.07445 -23.0152 -1.07445 0 0 701300. 2426.64 0.31 0.01 0.08 -1 -1 0.31 0.00177656 0.00157002 28 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 4.14 vpr 62.04 MiB -1 -1 0.07 19860 1 0.00 -1 -1 32968 -1 -1 5 21 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63528 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 23.6 MiB 0.01 146 62.0 MiB 0.01 0.00 0.977958 -20.1069 -0.977958 0.977958 0.78 3.8089e-05 2.9087e-05 0.00227139 0.00178564 30 423 25 6.65987e+06 63390 526063. 1820.29 1.47 0.0149484 0.0121896 22546 126617 -1 334 14 240 240 12728 3903 0 0 12728 3903 240 240 0 0 917 784 0 0 1215 1058 0 0 240 240 0 0 5049 775 0 0 5067 806 0 0 240 0 0 0 0 0 240 0 0 0.987248 0.987248 -25.1256 -0.987248 0 0 666494. 2306.21 0.24 0.01 0.09 -1 -1 0.24 0.0019859 0.00173488 31 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 4.35 vpr 62.06 MiB -1 -1 0.06 20136 1 0.01 -1 -1 33068 -1 -1 5 23 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63552 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 23.6 MiB 0.01 167 62.1 MiB 0.01 0.00 0.988958 -21.59 -0.988958 0.988958 0.75 4.2098e-05 3.2642e-05 0.00175093 0.00142586 32 462 21 6.65987e+06 63390 554710. 1919.41 2.08 0.0197578 0.0164197 22834 132086 -1 394 19 310 310 21728 6370 0 0 21728 6370 310 310 0 0 1359 1171 0 0 2221 1768 0 0 310 310 0 0 7975 1470 0 0 9553 1341 0 0 310 0 0 0 0 0 310 0 0 1.02025 1.02025 -27.8788 -1.02025 0 0 701300. 2426.64 0.24 0.01 0.08 -1 -1 0.24 0.0025492 0.00219966 34 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.09 vpr 62.24 MiB -1 -1 0.07 19904 1 0.01 -1 -1 33096 -1 -1 5 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63736 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 23.7 MiB 0.02 219 62.2 MiB 0.01 0.00 0.999958 -24.6468 -0.999958 0.999958 0.74 4.4921e-05 3.4216e-05 0.00259812 0.00206854 30 494 18 6.65987e+06 63390 526063. 1820.29 0.59 0.0100002 0.00824473 22546 126617 -1 450 18 244 244 15168 4066 0 0 15168 4066 244 244 0 0 904 715 0 0 1215 1031 0 0 244 244 0 0 6540 912 0 0 6021 920 0 0 244 0 0 0 0 0 244 0 0 1.12945 1.12945 -32.1701 -1.12945 0 0 666494. 2306.21 0.28 0.01 0.08 -1 -1 0.28 0.00278625 0.00243423 37 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.91 vpr 62.55 MiB -1 -1 0.07 19916 1 0.01 -1 -1 32908 -1 -1 6 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64056 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 24.0 MiB 0.01 241 62.6 MiB 0.02 0.00 1.01096 -26.6327 -1.01096 1.01096 0.85 5.0297e-05 3.8875e-05 0.00272725 0.00220924 30 580 15 6.65987e+06 76068 526063. 1820.29 1.55 0.0178237 0.0148855 22546 126617 -1 472 13 300 300 16127 4843 0 0 16127 4843 300 300 0 0 1182 1019 0 0 1496 1337 0 0 300 300 0 0 6218 1015 0 0 6631 872 0 0 300 0 0 0 0 0 300 0 0 1.00925 1.00925 -32.6892 -1.00925 0 0 666494. 2306.21 0.25 0.01 0.08 -1 -1 0.25 0.0024604 0.00218426 40 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 4.92 vpr 62.11 MiB -1 -1 0.07 19952 1 0.01 -1 -1 32924 -1 -1 7 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63600 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 23.5 MiB 0.01 264 62.1 MiB 0.02 0.00 1.02196 -29.1104 -1.02196 1.02196 0.90 5.3831e-05 4.1667e-05 0.0027004 0.00214936 30 584 17 6.65987e+06 88746 526063. 1820.29 2.14 0.0180588 0.014999 22546 126617 -1 505 14 298 298 16381 4655 0 0 16381 4655 298 298 0 0 1110 900 0 0 1470 1269 0 0 298 298 0 0 6992 895 0 0 6213 995 0 0 298 0 0 0 0 0 298 0 0 1.04225 1.04225 -35.946 -1.04225 0 0 666494. 2306.21 0.28 0.01 0.08 -1 -1 0.28 0.00280604 0.00249325 44 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.22 vpr 62.16 MiB -1 -1 0.07 20488 1 0.01 -1 -1 32932 -1 -1 7 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63648 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 23.6 MiB 0.01 288 62.2 MiB 0.02 0.00 1.26207 -31.3475 -1.26207 1.26207 0.86 5.8077e-05 4.4132e-05 0.00288873 0.00228026 32 722 14 6.65987e+06 88746 554710. 1919.41 0.68 0.0118886 0.00993717 22834 132086 -1 614 17 373 373 32089 8455 0 0 32089 8455 373 373 0 0 1554 1324 0 0 2746 2252 0 0 373 373 0 0 13402 2104 0 0 13641 2029 0 0 373 0 0 0 0 0 373 0 0 1.28065 1.28065 -42.7998 -1.28065 0 0 701300. 2426.64 0.25 0.01 0.08 -1 -1 0.25 0.00338189 0.0029862 46 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 4.53 vpr 62.43 MiB -1 -1 0.08 20284 1 0.01 -1 -1 32924 -1 -1 7 33 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63928 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 24.0 MiB 0.01 292 62.4 MiB 0.06 0.00 1.27307 -33.3551 -1.27307 1.27307 0.95 6.0357e-05 4.7151e-05 0.00460899 0.00395481 28 796 37 6.65987e+06 88746 500653. 1732.36 1.86 0.0313521 0.0255857 21970 115934 -1 652 16 373 373 31736 8443 0 0 31736 8443 373 373 0 0 1461 1214 0 0 2109 1758 0 0 373 373 0 0 13489 2531 0 0 13931 2194 0 0 373 0 0 0 0 0 373 0 0 1.20565 1.20565 -44.0458 -1.20565 0 0 612192. 2118.31 0.22 0.01 0.07 -1 -1 0.22 0.00338232 0.00298209 49 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 4.45 vpr 62.39 MiB -1 -1 0.07 20152 1 0.01 -1 -1 32984 -1 -1 8 37 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63884 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 23.9 MiB 0.02 392 62.4 MiB 0.03 0.00 1.29507 -39.6872 -1.29507 1.29507 0.81 6.8064e-05 5.3897e-05 0.00449432 0.00364587 28 926 38 6.65987e+06 101424 500653. 1732.36 1.97 0.0337131 0.0283501 21970 115934 -1 754 14 382 382 31267 7884 0 0 31267 7884 382 382 0 0 1513 1256 0 0 2127 1799 0 0 382 382 0 0 13311 2125 0 0 13552 1940 0 0 382 0 0 0 0 0 382 0 0 1.08425 1.08425 -46.9422 -1.08425 0 0 612192. 2118.31 0.23 0.01 0.07 -1 -1 0.23 0.00364889 0.00326274 55 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 3.44 vpr 62.77 MiB -1 -1 0.07 20108 1 0.01 -1 -1 32928 -1 -1 8 41 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64276 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 24.2 MiB 0.02 386 62.8 MiB 0.03 0.00 1.31707 -43.4189 -1.31707 1.31707 0.77 7.7829e-05 6.2035e-05 0.00609323 0.00496568 32 1113 38 6.65987e+06 101424 554710. 1919.41 0.68 0.0221179 0.0186856 22834 132086 -1 870 17 515 515 46001 11695 0 0 46001 11695 515 515 0 0 2111 1780 0 0 3671 2903 0 0 515 515 0 0 19329 3077 0 0 19860 2905 0 0 515 0 0 0 0 0 515 0 0 1.36865 1.36865 -57.6558 -1.36865 0 0 701300. 2426.64 0.40 0.02 0.08 -1 -1 0.40 0.00412444 0.00364829 61 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 5.66 vpr 62.49 MiB -1 -1 0.08 20156 1 0.01 -1 -1 32904 -1 -1 10 45 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63992 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 24.1 MiB 0.02 499 62.5 MiB 0.03 0.00 1.33907 -49.7188 -1.33907 1.33907 0.84 8.4397e-05 6.7519e-05 0.0064121 0.00530621 36 1011 19 6.65987e+06 126780 612192. 2118.31 2.82 0.0341019 0.0281392 23410 145293 -1 889 17 414 414 32968 8306 0 0 32968 8306 414 414 0 0 1633 1368 0 0 2310 1905 0 0 414 414 0 0 13933 2233 0 0 14264 1972 0 0 414 0 0 0 0 0 414 0 0 1.15025 1.15025 -58.0488 -1.15025 0 0 782063. 2706.10 0.27 0.02 0.14 -1 -1 0.27 0.00481016 0.00428958 68 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 3.26 vpr 62.55 MiB -1 -1 0.07 20292 1 0.01 -1 -1 32908 -1 -1 10 49 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64048 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 24.1 MiB 0.02 794 62.5 MiB 0.04 0.00 1.59018 -63.0118 -1.59018 1.59018 0.77 9.2549e-05 7.4668e-05 0.00631525 0.0051979 32 1492 38 6.65987e+06 126780 554710. 1919.41 0.73 0.0271005 0.0233462 22834 132086 -1 1381 16 592 592 59162 13443 0 0 59162 13443 592 592 0 0 2437 2061 0 0 3955 3219 0 0 592 592 0 0 26999 3554 0 0 24587 3425 0 0 592 0 0 0 0 0 592 0 0 1.30145 1.30145 -75.6278 -1.30145 0 0 701300. 2426.64 0.25 0.02 0.08 -1 -1 0.25 0.00491022 0.00438903 73 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 3.10 vpr 62.74 MiB -1 -1 0.08 20296 1 0.00 -1 -1 33200 -1 -1 11 57 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64248 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 24.1 MiB 0.02 850 62.7 MiB 0.05 0.00 1.63418 -73.9834 -1.63418 1.63418 0.75 0.000119329 9.9345e-05 0.00781504 0.00657464 30 1616 17 6.65987e+06 139458 526063. 1820.29 0.65 0.0256105 0.0221116 22546 126617 -1 1425 15 563 563 43403 10139 0 0 43403 10139 563 563 0 0 2114 1684 0 0 2613 2268 0 0 563 563 0 0 18218 2677 0 0 19332 2384 0 0 563 0 0 0 0 0 563 0 0 1.24725 1.24725 -83.351 -1.24725 0 0 666494. 2306.21 0.27 0.02 0.08 -1 -1 0.27 0.00551933 0.00496738 85 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 4.91 vpr 62.97 MiB -1 -1 0.08 20104 1 0.01 -1 -1 33012 -1 -1 13 65 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64480 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 24.5 MiB 0.02 1051 63.0 MiB 0.06 0.00 1.90729 -88.9548 -1.90729 1.90729 0.93 0.000127384 0.00010537 0.00860757 0.00715879 32 1970 19 6.65987e+06 164814 554710. 1919.41 2.15 0.0472319 0.0405193 22834 132086 -1 1757 17 783 783 64920 14968 0 0 64920 14968 783 783 0 0 3046 2503 0 0 4692 3688 0 0 783 783 0 0 28670 3626 0 0 26946 3585 0 0 783 0 0 0 0 0 783 0 0 1.28925 1.28925 -96.2835 -1.28925 0 0 701300. 2426.64 0.31 0.02 0.09 -1 -1 0.31 0.00721646 0.00648147 97 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 5.14 vpr 63.75 MiB -1 -1 0.08 20416 1 0.01 -1 -1 33160 -1 -1 19 97 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65280 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 24.9 MiB 0.03 1550 63.8 MiB 0.12 0.00 2.54151 -146.288 -2.54151 2.54151 0.76 0.000221626 0.000190527 0.0171015 0.0145444 36 2735 17 6.65987e+06 240882 612192. 2118.31 2.45 0.092384 0.0812177 23410 145293 -1 2474 16 921 921 74637 17068 0 0 74637 17068 921 921 0 0 3518 2787 0 0 4925 4058 0 0 921 921 0 0 33217 4274 0 0 31135 4107 0 0 921 0 0 0 0 0 921 0 0 1.52845 1.52845 -146.508 -1.52845 0 0 782063. 2706.10 0.33 0.03 0.09 -1 -1 0.33 0.0108476 0.00990369 145 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 5.83 vpr 64.48 MiB -1 -1 0.09 20664 1 0.02 -1 -1 33296 -1 -1 25 129 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66024 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 25.9 MiB 0.05 1949 64.5 MiB 0.18 0.00 3.17573 -211.846 -3.17573 3.17573 0.89 0.000353029 0.000310239 0.0285208 0.0249814 36 3778 18 6.65987e+06 316950 612192. 2118.31 2.64 0.156103 0.135419 23410 145293 -1 3242 15 1293 1293 106994 25701 0 0 106994 25701 1293 1293 0 0 4974 4074 0 0 6829 5669 0 0 1293 1293 0 0 46668 6468 0 0 45937 6904 0 0 1293 0 0 0 0 0 1293 0 0 1.77039 1.77039 -203.07 -1.77039 0 0 782063. 2706.10 0.27 0.05 0.09 -1 -1 0.27 0.0140198 0.0128862 193 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_003bits.v common 2.53 vpr 62.84 MiB -1 -1 0.06 20084 1 0.00 -1 -1 33108 -1 -1 1 7 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64348 7 4 27 28 1 12 12 17 17 289 -1 unnamed_device 24.4 MiB 0.01 77 62.8 MiB 0.00 0.00 0.942216 -8.23033 -0.942216 0.942216 0.86 2.0778e-05 1.3657e-05 0.000196851 0.000166374 8 125 9 6.95648e+06 14475.7 166176. 575.005 0.23 0.00102943 0.000869229 20866 45572 -1 121 5 20 20 1899 476 0 0 1899 476 20 20 0 0 79 54 0 0 88 79 0 0 20 20 0 0 845 145 0 0 847 158 0 0 20 0 0 0 0 0 20 0 0 0.942216 0.942216 -9.23274 -0.942216 0 0 202963. 702.294 0.08 0.00 0.03 -1 -1 0.08 0.000565629 0.000497204 5 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_004bits.v common 4.10 vpr 62.89 MiB -1 -1 0.07 19828 1 0.00 -1 -1 32980 -1 -1 1 9 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64400 9 5 34 35 1 15 15 17 17 289 -1 unnamed_device 24.4 MiB 0.02 33 62.9 MiB 0.00 0.00 0.583992 -7.62477 -0.583992 0.583992 0.94 1.8769e-05 1.3214e-05 0.000743785 0.00056405 18 138 11 6.95648e+06 14475.7 376052. 1301.22 1.25 0.00456886 0.00358671 22882 88689 -1 98 7 44 44 1942 698 0 0 1942 698 44 44 0 0 164 143 0 0 223 175 0 0 44 44 0 0 616 157 0 0 851 135 0 0 44 0 0 0 0 0 44 0 0 0.834592 0.834592 -9.50427 -0.834592 0 0 470940. 1629.55 0.18 0.00 0.06 -1 -1 0.18 0.000741296 0.000650684 7 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_005bits.v common 3.10 vpr 63.06 MiB -1 -1 0.08 20112 1 0.00 -1 -1 32892 -1 -1 1 11 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64576 11 6 41 42 1 19 18 17 17 289 -1 unnamed_device 24.5 MiB 0.03 45 63.1 MiB 0.00 0.00 0.701895 -10.0315 -0.701895 0.701895 0.91 2.1586e-05 1.554e-05 0.000827469 0.000648735 26 162 16 6.95648e+06 14475.7 503264. 1741.40 0.59 0.00454648 0.00366697 24322 120374 -1 138 10 66 66 4464 1386 0 0 4464 1386 66 66 0 0 274 235 0 0 342 295 0 0 66 66 0 0 1721 358 0 0 1995 366 0 0 66 0 0 0 0 0 66 0 0 0.74674 0.74674 -12.5375 -0.74674 0 0 618332. 2139.56 0.24 0.00 0.08 -1 -1 0.24 0.00109895 0.000963707 8 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_006bits.v common 3.18 vpr 62.66 MiB -1 -1 0.06 19780 1 0.01 -1 -1 32932 -1 -1 2 13 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64164 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 24.1 MiB 0.03 58 62.7 MiB 0.01 0.00 0.745895 -12.7431 -0.745895 0.745895 0.79 2.5224e-05 1.8445e-05 0.00111902 0.000863795 26 176 10 6.95648e+06 28951.4 503264. 1741.40 0.69 0.00515298 0.00417575 24322 120374 -1 166 10 89 89 3722 1353 0 0 3722 1353 89 89 0 0 337 285 0 0 471 393 0 0 89 89 0 0 1277 288 0 0 1459 209 0 0 89 0 0 0 0 0 89 0 0 0.802432 0.802432 -15.6773 -0.802432 0 0 618332. 2139.56 0.21 0.00 0.08 -1 -1 0.21 0.00124805 0.00110335 10 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_007bits.v common 3.96 vpr 62.85 MiB -1 -1 0.07 20128 1 0.01 -1 -1 32908 -1 -1 2 15 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64356 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 24.2 MiB 0.03 84 62.8 MiB 0.00 0.00 0.727332 -14.8036 -0.727332 0.727332 0.89 2.8649e-05 2.1705e-05 0.000881576 0.000714259 20 293 9 6.95648e+06 28951.4 414966. 1435.87 1.39 0.00767109 0.00624226 23170 95770 -1 267 11 161 161 10957 3380 0 0 10957 3380 161 161 0 0 680 582 0 0 896 747 0 0 161 161 0 0 3797 877 0 0 5262 852 0 0 161 0 0 0 0 0 161 0 0 1.04203 1.04203 -19.7843 -1.04203 0 0 503264. 1741.40 0.18 0.01 0.06 -1 -1 0.18 0.00138121 0.00120802 11 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_008bits.v common 4.89 vpr 62.81 MiB -1 -1 0.07 19944 1 0.00 -1 -1 32956 -1 -1 2 17 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64316 17 9 62 63 1 37 28 17 17 289 -1 unnamed_device 24.5 MiB 0.03 103 62.8 MiB 0.01 0.00 0.942216 -16.9019 -0.942216 0.942216 0.81 3.1956e-05 2.3766e-05 0.001046 0.000848463 32 327 24 6.95648e+06 28951.4 586450. 2029.24 2.27 0.0148055 0.0121329 25474 144626 -1 263 9 146 146 7662 2529 0 0 7662 2529 146 146 0 0 588 517 0 0 884 683 0 0 146 146 0 0 2777 544 0 0 3121 493 0 0 146 0 0 0 0 0 146 0 0 0.960732 0.960732 -20.9096 -0.960732 0 0 744469. 2576.02 0.25 0.01 0.09 -1 -1 0.25 0.00137963 0.00123131 13 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_009bits.v common 4.67 vpr 63.00 MiB -1 -1 0.08 20064 1 0.00 -1 -1 33068 -1 -1 2 19 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64512 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 24.6 MiB 0.03 168 63.0 MiB 0.01 0.00 0.760332 -18.4143 -0.760332 0.760332 0.85 3.4799e-05 2.6884e-05 0.00150125 0.00122015 30 419 15 6.95648e+06 28951.4 556674. 1926.21 1.97 0.0143203 0.011703 25186 138497 -1 405 16 253 253 18478 4518 0 0 18478 4518 253 253 0 0 908 747 0 0 1290 999 0 0 253 253 0 0 8360 1014 0 0 7414 1252 0 0 253 0 0 0 0 0 253 0 0 1.08603 1.08603 -26.1011 -1.08603 0 0 706193. 2443.58 0.26 0.01 0.08 -1 -1 0.26 0.00205937 0.00179515 14 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_010bits.v common 5.51 vpr 63.16 MiB -1 -1 0.06 19892 1 0.01 -1 -1 33000 -1 -1 2 21 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64676 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 24.7 MiB 0.03 127 63.2 MiB 0.01 0.00 0.771332 -20.8321 -0.771332 0.771332 0.80 4.2584e-05 3.2978e-05 0.00193603 0.00156216 36 432 32 6.95648e+06 28951.4 648988. 2245.63 2.93 0.0181196 0.0147541 26050 158493 -1 358 22 333 333 19934 6070 0 0 19934 6070 333 333 0 0 1184 1054 0 0 1901 1353 0 0 333 333 0 0 6868 1715 0 0 9315 1282 0 0 333 0 0 0 0 0 333 0 0 1.14723 1.14723 -27.3447 -1.14723 0 0 828058. 2865.25 0.28 0.01 0.10 -1 -1 0.28 0.00276904 0.00237989 16 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_011bits.v common 4.07 vpr 63.29 MiB -1 -1 0.08 20016 1 0.01 -1 -1 32908 -1 -1 3 23 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64812 23 12 83 84 1 55 38 17 17 289 -1 unnamed_device 24.8 MiB 0.03 285 63.3 MiB 0.01 0.00 0.765132 -25.7294 -0.765132 0.765132 0.78 4.2584e-05 3.1952e-05 0.00155378 0.00125338 30 659 23 6.95648e+06 43427 556674. 1926.21 1.48 0.0153849 0.0126151 25186 138497 -1 590 15 335 335 33884 7251 0 0 33884 7251 335 335 0 0 1200 1008 0 0 1818 1362 0 0 335 335 0 0 15567 2051 0 0 14629 2160 0 0 335 0 0 0 0 0 335 0 0 1.16733 1.16733 -33.6052 -1.16733 0 0 706193. 2443.58 0.24 0.01 0.09 -1 -1 0.24 0.00240645 0.00212247 17 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_012bits.v common 4.11 vpr 63.13 MiB -1 -1 0.07 20044 1 0.01 -1 -1 32964 -1 -1 3 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64644 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 24.6 MiB 0.03 204 63.1 MiB 0.01 0.00 0.816915 -24.4477 -0.816915 0.816915 0.85 4.3231e-05 3.3072e-05 0.00152426 0.00123845 30 596 19 6.95648e+06 43427 556674. 1926.21 1.47 0.017158 0.0139873 25186 138497 -1 477 16 318 318 20202 5759 0 0 20202 5759 318 318 0 0 1101 940 0 0 1667 1235 0 0 318 318 0 0 7533 1421 0 0 9265 1527 0 0 318 0 0 0 0 0 318 0 0 1.20033 1.20033 -35.5436 -1.20033 0 0 706193. 2443.58 0.25 0.01 0.08 -1 -1 0.25 0.00255272 0.00223926 19 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_013bits.v common 3.96 vpr 63.09 MiB -1 -1 0.07 19880 1 0.01 -1 -1 32904 -1 -1 3 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64600 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 24.6 MiB 0.03 436 63.1 MiB 0.03 0.00 0.826332 -34.4783 -0.826332 0.826332 0.88 5.3348e-05 4.1859e-05 0.00222185 0.00185062 34 880 16 6.95648e+06 43427 618332. 2139.56 1.25 0.0131852 0.0109946 25762 151098 -1 829 13 357 357 42262 8653 0 0 42262 8653 357 357 0 0 1363 1182 0 0 2290 1596 0 0 357 357 0 0 19459 2717 0 0 18436 2444 0 0 357 0 0 0 0 0 357 0 0 1.27733 1.27733 -45.9127 -1.27733 0 0 787024. 2723.27 0.27 0.01 0.10 -1 -1 0.27 0.00255998 0.0022649 20 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_014bits.v common 3.85 vpr 63.08 MiB -1 -1 0.08 19828 1 0.01 -1 -1 32884 -1 -1 4 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64596 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 24.6 MiB 0.02 469 63.1 MiB 0.01 0.00 0.826332 -37.0057 -0.826332 0.826332 0.89 5.1384e-05 4.1019e-05 0.00265928 0.00220733 34 972 14 6.95648e+06 57902.7 618332. 2139.56 1.13 0.0167619 0.0140226 25762 151098 -1 916 19 461 461 56404 11191 0 0 56404 11191 461 461 0 0 1608 1415 0 0 2791 1850 0 0 461 461 0 0 25783 3791 0 0 25300 3213 0 0 461 0 0 0 0 0 461 0 0 1.15203 1.15203 -48.5262 -1.15203 0 0 787024. 2723.27 0.33 0.02 0.11 -1 -1 0.33 0.00350085 0.00306696 23 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_015bits.v common 3.94 vpr 63.19 MiB -1 -1 0.07 20204 1 0.01 -1 -1 33032 -1 -1 3 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64704 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 24.9 MiB 0.04 264 63.2 MiB 0.02 0.00 1.08336 -32.6216 -1.08336 1.08336 0.77 5.6338e-05 4.4314e-05 0.00335799 0.00272262 32 898 31 6.95648e+06 43427 586450. 2029.24 1.20 0.0189299 0.0159762 25474 144626 -1 653 24 491 491 52434 12757 0 0 52434 12757 491 491 0 0 1781 1554 0 0 3129 2045 0 0 491 491 0 0 22848 4026 0 0 23694 4150 0 0 491 0 0 0 0 0 491 0 0 1.31453 1.31453 -46.3757 -1.31453 0 0 744469. 2576.02 0.25 0.02 0.09 -1 -1 0.25 0.00428191 0.00374381 24 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_016bits.v common 3.99 vpr 63.24 MiB -1 -1 0.06 20548 1 0.00 -1 -1 32996 -1 -1 4 33 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64756 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 24.8 MiB 0.06 280 63.2 MiB 0.02 0.00 1.09436 -35.1295 -1.09436 1.09436 0.79 6.3565e-05 5.0428e-05 0.00374796 0.00306497 34 867 18 6.95648e+06 57902.7 618332. 2139.56 1.15 0.0214524 0.0185472 25762 151098 -1 654 18 447 447 37952 9614 0 0 37952 9614 447 447 0 0 1632 1418 0 0 2744 1938 0 0 447 447 0 0 15127 2889 0 0 17555 2475 0 0 447 0 0 0 0 0 447 0 0 1.31933 1.31933 -49.0729 -1.31933 0 0 787024. 2723.27 0.27 0.01 0.10 -1 -1 0.27 0.00368181 0.00323176 25 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_018bits.v common 3.94 vpr 63.21 MiB -1 -1 0.07 20180 1 0.00 -1 -1 32720 -1 -1 4 37 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64724 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 24.8 MiB 0.07 310 63.2 MiB 0.03 0.00 1.11636 -39.6418 -1.11636 1.11636 0.84 9.7823e-05 8.1657e-05 0.00471496 0.00390367 34 889 28 6.95648e+06 57902.7 618332. 2139.56 1.23 0.0265108 0.0224273 25762 151098 -1 713 18 512 512 46721 11700 0 0 46721 11700 512 512 0 0 1898 1695 0 0 3254 2334 0 0 512 512 0 0 20329 3215 0 0 20216 3432 0 0 512 0 0 0 0 0 512 0 0 1.35233 1.35233 -54.2252 -1.35233 0 0 787024. 2723.27 0.26 0.02 0.10 -1 -1 0.26 0.00435942 0.00387072 28 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_020bits.v common 4.24 vpr 63.66 MiB -1 -1 0.08 20020 1 0.01 -1 -1 33128 -1 -1 4 41 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65192 41 21 146 147 1 96 66 17 17 289 -1 unnamed_device 25.1 MiB 0.07 350 63.7 MiB 0.02 0.00 1.13836 -44.3233 -1.13836 1.13836 0.76 7.5058e-05 5.9607e-05 0.00495286 0.00401632 34 1075 28 6.95648e+06 57902.7 618332. 2139.56 1.48 0.0298285 0.0251595 25762 151098 -1 833 17 513 513 52208 12213 0 0 52208 12213 513 513 0 0 1839 1599 0 0 3018 2030 0 0 513 513 0 0 22144 3947 0 0 24181 3611 0 0 513 0 0 0 0 0 513 0 0 1.27723 1.27723 -59.1063 -1.27723 0 0 787024. 2723.27 0.45 0.05 0.10 -1 -1 0.45 0.0214118 0.0208519 31 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_022bits.v common 4.06 vpr 63.26 MiB -1 -1 0.07 20340 1 0.01 -1 -1 33028 -1 -1 5 45 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64776 45 23 160 161 1 108 73 17 17 289 -1 unnamed_device 24.7 MiB 0.08 398 63.3 MiB 0.03 0.00 1.16036 -49.0488 -1.16036 1.16036 0.77 8.513e-05 6.8494e-05 0.0058991 0.00488477 36 1127 23 6.95648e+06 72378.4 648988. 2245.63 1.43 0.0325613 0.0276788 26050 158493 -1 944 22 661 661 70162 16241 0 0 70162 16241 661 661 0 0 2331 2052 0 0 3924 2707 0 0 661 661 0 0 30079 5227 0 0 32506 4933 0 0 661 0 0 0 0 0 661 0 0 1.41833 1.41833 -68.7529 -1.41833 0 0 828058. 2865.25 0.28 0.02 0.10 -1 -1 0.28 0.00794832 0.00722832 34 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_024bits.v common 4.51 vpr 63.79 MiB -1 -1 0.08 20124 1 0.01 -1 -1 32900 -1 -1 5 49 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65324 49 25 174 175 1 119 79 17 17 289 -1 unnamed_device 25.4 MiB 0.08 454 63.8 MiB 0.04 0.00 1.18236 -53.693 -1.18236 1.18236 1.03 9.0751e-05 7.2569e-05 0.00739754 0.00615064 38 1291 38 6.95648e+06 72378.4 678818. 2348.85 1.57 0.0399406 0.03423 26626 170182 -1 1033 18 725 725 60715 16971 0 0 60715 16971 725 725 0 0 2533 2236 0 0 3986 2817 0 0 725 725 0 0 24711 5491 0 0 28035 4977 0 0 725 0 0 0 0 0 725 0 0 1.47293 1.47293 -71.9016 -1.47293 0 0 902133. 3121.57 0.30 0.02 0.11 -1 -1 0.30 0.00579795 0.00519465 37 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_028bits.v common 6.64 vpr 63.35 MiB -1 -1 0.07 20320 1 0.01 -1 -1 32976 -1 -1 6 57 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64872 57 29 202 203 1 142 92 17 17 289 -1 unnamed_device 24.8 MiB 0.06 720 63.4 MiB 0.04 0.00 1.22636 -68.3761 -1.22636 1.22636 0.76 0.000105901 8.6762e-05 0.00799504 0.00663199 36 1630 50 6.95648e+06 86854.1 648988. 2245.63 4.13 0.0704574 0.0602201 26050 158493 -1 1337 17 770 770 85936 18635 0 0 85936 18635 770 770 0 0 2747 2410 0 0 4375 3198 0 0 770 770 0 0 39290 5945 0 0 37984 5542 0 0 770 0 0 0 0 0 770 0 0 1.45133 1.45133 -88.764 -1.45133 0 0 828058. 2865.25 0.28 0.02 0.10 -1 -1 0.28 0.00613179 0.00550864 43 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_032bits.v common 6.20 vpr 63.61 MiB -1 -1 0.08 20152 1 0.01 -1 -1 32992 -1 -1 7 65 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65132 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 25.2 MiB 0.07 753 63.6 MiB 0.05 0.00 1.50539 -78.0667 -1.50539 1.50539 0.90 0.000126253 0.000103192 0.00922406 0.00767664 44 1563 15 6.95648e+06 101330 787024. 2723.27 3.13 0.0589574 0.0508205 27778 195446 -1 1278 14 795 795 63045 14749 0 0 63045 14749 795 795 0 0 2718 2422 0 0 4499 3163 0 0 795 795 0 0 26727 3961 0 0 27511 3613 0 0 795 0 0 0 0 0 795 0 0 1.30393 1.30393 -90.3271 -1.30393 0 0 997811. 3452.63 0.42 0.04 0.12 -1 -1 0.42 0.0065108 0.00591406 49 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_048bits.v common 6.80 vpr 64.46 MiB -1 -1 0.09 20452 1 0.01 -1 -1 33396 -1 -1 10 97 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66004 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 25.7 MiB 0.08 1453 64.5 MiB 0.09 0.00 1.91642 -137.228 -1.91642 1.91642 0.77 0.000213653 0.00018202 0.0160223 0.0137481 50 2733 37 6.95648e+06 144757 902133. 3121.57 3.90 0.148794 0.133909 28642 213929 -1 2443 17 1110 1110 118780 25374 0 0 118780 25374 1110 1110 0 0 4023 3507 0 0 6503 4756 0 0 1110 1110 0 0 53180 7140 0 0 52854 7751 0 0 1110 0 0 0 0 0 1110 0 0 1.59703 1.59703 -158.976 -1.59703 0 0 1.08113e+06 3740.92 0.37 0.04 0.16 -1 -1 0.37 0.0112007 0.0101985 73 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml adder_064bits.v common 5.92 vpr 65.25 MiB -1 -1 0.10 20656 1 0.01 -1 -1 33468 -1 -1 13 129 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66812 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 26.4 MiB 0.17 2042 65.2 MiB 0.13 0.00 2.32745 -201.714 -2.32745 2.32745 0.80 0.000333429 0.000291028 0.0222511 0.0195904 50 3914 48 6.95648e+06 188184 902133. 3121.57 2.63 0.154346 0.140807 28642 213929 -1 3483 19 1438 1438 159180 32034 0 0 159180 32034 1438 1438 0 0 5067 4384 0 0 8321 5842 0 0 1438 1438 0 0 71880 9691 0 0 71036 9241 0 0 1438 0 0 0 0 0 1438 0 0 1.73803 1.73803 -217.561 -1.73803 0 0 1.08113e+06 3740.92 0.36 0.06 0.14 -1 -1 0.36 0.0186169 0.0171151 97 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_003bits.v common 3.15 vpr 62.59 MiB -1 -1 0.07 20108 1 0.00 -1 -1 33132 -1 -1 1 7 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64092 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 24.2 MiB 0.01 62 62.6 MiB 0.00 0.00 0.816915 -8.12034 -0.816915 0.816915 0.90 2.1868e-05 1.4993e-05 0.000198598 0.000167496 14 112 10 6.99608e+06 14715.7 292583. 1012.40 0.59 0.00150327 0.00122895 22018 70521 -1 146 8 38 38 3136 964 0 0 3136 964 38 38 0 0 178 164 0 0 231 216 0 0 38 38 0 0 1158 286 0 0 1493 222 0 0 38 0 0 0 0 0 38 0 0 0.942216 0.942216 -10.8769 -0.942216 0 0 376052. 1301.22 0.13 0.00 0.05 -1 -1 0.13 0.000637288 0.00055024 5 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_004bits.v common 3.17 vpr 62.88 MiB -1 -1 0.07 19708 1 0.01 -1 -1 32964 -1 -1 1 9 0 0 exited with return code 2 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64392 9 5 34 35 1 17 15 17 17 289 -1 unnamed_device 24.4 MiB 0.01 35 62.9 MiB 0.00 0.00 0.712895 -8.47096 -0.712895 0.712895 1.05 2.1394e-05 1.5874e-05 0.00083861 0.000653329 18 149 17 6.99608e+06 14715.7 376052. 1301.22 0.42 0.00205775 0.00167702 22882 88689 -1 -1 -1 363 363 733654 210989 0 0 733654 210989 363 363 0 0 1159 842 0 0 7695 1263 0 0 363 363 0 0 514294 99963 0 0 209780 108195 0 0 363 0 0 0 0 0 363 0 0 -1 -1 -1 -1 -1 -1 -1 -1 0.16 0.14 0.06 -1 -1 0.16 -1 -1 7 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_005bits.v common 3.04 vpr 62.69 MiB -1 -1 0.06 19952 1 0.00 -1 -1 32700 -1 -1 1 11 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64196 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 24.2 MiB 0.01 46 62.7 MiB 0.00 0.00 0.837432 -11.8241 -0.837432 0.837432 0.82 2.2253e-05 1.6154e-05 0.000663897 0.000529632 28 146 5 6.99608e+06 14715.7 531479. 1839.03 0.57 0.00358838 0.0029183 24610 126494 -1 143 6 41 41 3002 931 0 0 3002 931 41 41 0 0 176 156 0 0 224 196 0 0 41 41 0 0 1147 256 0 0 1373 241 0 0 41 0 0 0 0 0 41 0 0 0.837432 0.837432 -14.0795 -0.837432 0 0 648988. 2245.63 0.23 0.00 0.08 -1 -1 0.23 0.000838838 0.000749994 8 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_006bits.v common 3.40 vpr 62.94 MiB -1 -1 0.07 19916 1 0.01 -1 -1 32904 -1 -1 2 13 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64452 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 24.4 MiB 0.01 63 62.9 MiB 0.01 0.00 0.699132 -12.7017 -0.699132 0.699132 0.80 2.8326e-05 2.1437e-05 0.00140711 0.00109842 20 188 11 6.99608e+06 29431.4 414966. 1435.87 1.00 0.00882818 0.00707052 23170 95770 -1 163 11 108 108 5539 1901 0 0 5539 1901 108 108 0 0 432 373 0 0 537 468 0 0 108 108 0 0 1851 481 0 0 2503 363 0 0 108 0 0 0 0 0 108 0 0 0.74674 0.74674 -14.8318 -0.74674 0 0 503264. 1741.40 0.17 0.00 0.06 -1 -1 0.17 0.00116341 0.00101702 10 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_007bits.v common 4.57 vpr 62.70 MiB -1 -1 0.06 20156 1 0.00 -1 -1 32888 -1 -1 2 15 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64200 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 24.1 MiB 0.01 81 62.7 MiB 0.01 0.00 0.859432 -15.6972 -0.859432 0.859432 0.76 2.7965e-05 2.0756e-05 0.0010553 0.000836151 26 255 12 6.99608e+06 29431.4 503264. 1741.40 1.74 0.0115092 0.00927422 24322 120374 -1 229 12 151 151 13146 4002 0 0 13146 4002 151 151 0 0 653 566 0 0 892 750 0 0 151 151 0 0 4861 1308 0 0 6438 1076 0 0 151 0 0 0 0 0 151 0 0 0.99734 0.99734 -19.4185 -0.99734 0 0 618332. 2139.56 0.22 0.01 0.09 -1 -1 0.22 0.00138874 0.00120173 11 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_008bits.v common 4.09 vpr 62.80 MiB -1 -1 0.07 19856 1 0.01 -1 -1 33020 -1 -1 2 17 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64308 17 9 62 63 1 36 28 17 17 289 -1 unnamed_device 24.1 MiB 0.01 100 62.8 MiB 0.01 0.00 0.710132 -15.8578 -0.710132 0.710132 0.78 3.2491e-05 2.4159e-05 0.00105742 0.000816533 36 230 21 6.99608e+06 29431.4 648988. 2245.63 1.73 0.0143474 0.0115451 26050 158493 -1 224 14 186 186 8873 3042 0 0 8873 3042 186 186 0 0 735 629 0 0 1030 840 0 0 186 186 0 0 3283 602 0 0 3453 599 0 0 186 0 0 0 0 0 186 0 0 1.07503 1.07503 -19.8204 -1.07503 0 0 828058. 2865.25 0.28 0.01 0.10 -1 -1 0.28 0.00185702 0.00162904 13 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_009bits.v common 4.88 vpr 62.60 MiB -1 -1 0.07 20080 1 0.01 -1 -1 32720 -1 -1 2 19 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64104 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 24.2 MiB 0.01 116 62.6 MiB 0.01 0.00 0.743132 -18.2879 -0.743132 0.743132 0.75 3.5188e-05 2.685e-05 0.00129422 0.00103082 30 416 14 6.99608e+06 29431.4 556674. 1926.21 2.33 0.0110753 0.00895514 25186 138497 -1 318 14 214 214 12527 3787 0 0 12527 3787 214 214 0 0 763 628 0 0 1020 816 0 0 214 214 0 0 4509 954 0 0 5807 961 0 0 214 0 0 0 0 0 214 0 0 0.973679 0.973679 -24.4206 -0.973679 0 0 706193. 2443.58 0.26 0.01 0.23 -1 -1 0.26 0.00196644 0.00172217 14 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_010bits.v common 4.90 vpr 62.70 MiB -1 -1 0.07 19828 1 0.00 -1 -1 32824 -1 -1 2 21 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64200 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 24.2 MiB 0.01 128 62.7 MiB 0.01 0.00 0.732132 -20.0804 -0.732132 0.732132 0.77 3.9502e-05 3.0291e-05 0.00150579 0.00120551 34 442 39 6.99608e+06 29431.4 618332. 2139.56 2.31 0.0181121 0.0147241 25762 151098 -1 359 16 287 287 17623 5297 0 0 17623 5297 287 287 0 0 1013 850 0 0 1544 1122 0 0 287 287 0 0 6322 1508 0 0 8170 1243 0 0 287 0 0 0 0 0 287 0 0 1.08603 1.08603 -25.9857 -1.08603 0 0 787024. 2723.27 0.40 0.01 0.13 -1 -1 0.40 0.00213433 0.00184835 16 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_011bits.v common 4.88 vpr 62.78 MiB -1 -1 0.07 19920 1 0.01 -1 -1 32836 -1 -1 3 23 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64284 23 12 83 84 1 54 38 17 17 289 -1 unnamed_device 24.3 MiB 0.01 263 62.8 MiB 0.01 0.00 0.834592 -24.7199 -0.834592 0.834592 0.76 4.3525e-05 3.2374e-05 0.00171758 0.00137872 34 620 15 6.99608e+06 44147 618332. 2139.56 2.11 0.0160455 0.0131479 25762 151098 -1 559 13 220 220 19730 4552 0 0 19730 4552 220 220 0 0 821 677 0 0 1226 951 0 0 220 220 0 0 8623 1208 0 0 8620 1276 0 0 220 0 0 0 0 0 220 0 0 1.08603 1.08603 -32.2403 -1.08603 0 0 787024. 2723.27 0.27 0.01 0.10 -1 -1 0.27 0.00208377 0.0018335 17 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_012bits.v common 4.31 vpr 62.93 MiB -1 -1 0.08 19692 1 0.01 -1 -1 33028 -1 -1 3 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64444 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 24.5 MiB 0.01 197 62.9 MiB 0.01 0.00 0.765132 -24.2158 -0.765132 0.765132 0.98 4.2935e-05 3.3112e-05 0.00148999 0.00121165 26 723 17 6.99608e+06 44147 503264. 1741.40 1.69 0.0150449 0.0123237 24322 120374 -1 572 14 334 334 29884 8481 0 0 29884 8481 334 334 0 0 1334 1182 0 0 2034 1559 0 0 334 334 0 0 12169 2300 0 0 13679 2772 0 0 334 0 0 0 0 0 334 0 0 1.15398 1.15398 -37.0055 -1.15398 0 0 618332. 2139.56 0.21 0.01 0.08 -1 -1 0.21 0.00241772 0.00212353 19 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_013bits.v common 3.68 vpr 62.68 MiB -1 -1 0.07 20020 1 0.01 -1 -1 33028 -1 -1 3 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64188 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 24.2 MiB 0.01 432 62.7 MiB 0.01 0.00 0.787132 -33.7773 -0.787132 0.787132 0.76 4.7229e-05 3.7086e-05 0.00198104 0.00163001 34 884 12 6.99608e+06 44147 618332. 2139.56 1.10 0.0146612 0.0121414 25762 151098 -1 840 16 358 358 37993 7912 0 0 37993 7912 358 358 0 0 1280 1113 0 0 2182 1549 0 0 358 358 0 0 17105 2374 0 0 16710 2160 0 0 358 0 0 0 0 0 358 0 0 1.15203 1.15203 -43.3033 -1.15203 0 0 787024. 2723.27 0.40 0.01 0.14 -1 -1 0.40 0.00282319 0.00246392 20 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_014bits.v common 4.54 vpr 63.02 MiB -1 -1 0.07 19872 1 0.00 -1 -1 33120 -1 -1 4 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64532 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 24.4 MiB 0.01 521 63.0 MiB 0.01 0.00 0.798132 -35.6277 -0.798132 0.798132 0.78 5.1493e-05 4.0522e-05 0.00249584 0.00183271 34 972 14 6.99608e+06 58862.7 618332. 2139.56 1.94 0.0252505 0.0208742 25762 151098 -1 914 14 353 353 38898 8040 0 0 38898 8040 353 353 0 0 1347 1166 0 0 1991 1534 0 0 353 353 0 0 18805 2274 0 0 16049 2360 0 0 353 0 0 0 0 0 353 0 0 1.13003 1.13003 -46.7311 -1.13003 0 0 787024. 2723.27 0.27 0.01 0.12 -1 -1 0.27 0.00269864 0.00238043 23 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_015bits.v common 4.57 vpr 62.97 MiB -1 -1 0.08 20324 1 0.01 -1 -1 32992 -1 -1 3 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64480 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 24.4 MiB 0.02 266 63.0 MiB 0.02 0.00 1.04416 -32.4115 -1.04416 1.04416 0.83 5.7979e-05 4.5419e-05 0.00356962 0.00292961 30 879 32 6.99608e+06 44147 556674. 1926.21 1.95 0.0264354 0.022097 25186 138497 -1 550 14 360 360 19919 5402 0 0 19919 5402 360 360 0 0 1199 1041 0 0 1747 1270 0 0 360 360 0 0 7807 1227 0 0 8446 1144 0 0 360 0 0 0 0 0 360 0 0 1.16103 1.16103 -40.7421 -1.16103 0 0 706193. 2443.58 0.24 0.01 0.09 -1 -1 0.24 0.00291894 0.00258425 24 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_016bits.v common 3.81 vpr 62.94 MiB -1 -1 0.06 20092 1 0.01 -1 -1 32900 -1 -1 4 33 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64452 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 24.3 MiB 0.02 280 62.9 MiB 0.02 0.00 1.05516 -34.379 -1.05516 1.05516 0.90 5.965e-05 4.6796e-05 0.00358087 0.00289246 34 801 16 6.99608e+06 58862.7 618332. 2139.56 1.02 0.0163016 0.0136407 25762 151098 -1 613 18 394 394 36074 9197 0 0 36074 9197 394 394 0 0 1525 1370 0 0 2626 1839 0 0 394 394 0 0 14799 2646 0 0 16336 2554 0 0 394 0 0 0 0 0 394 0 0 1.34133 1.34133 -48.4889 -1.34133 0 0 787024. 2723.27 0.26 0.01 0.09 -1 -1 0.26 0.00364797 0.00321321 25 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_018bits.v common 3.83 vpr 63.00 MiB -1 -1 0.08 20320 1 0.01 -1 -1 32732 -1 -1 4 37 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64516 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 24.6 MiB 0.02 365 63.0 MiB 0.02 0.00 1.08816 -40.2538 -1.08816 1.08816 0.77 7.3611e-05 5.9625e-05 0.00445878 0.00364622 34 1005 50 6.99608e+06 58862.7 618332. 2139.56 1.25 0.0233505 0.019594 25762 151098 -1 767 14 430 430 39121 9099 0 0 39121 9099 430 430 0 0 1506 1316 0 0 2328 1702 0 0 430 430 0 0 16522 2782 0 0 17905 2439 0 0 430 0 0 0 0 0 430 0 0 1.24903 1.24903 -54.0538 -1.24903 0 0 787024. 2723.27 0.40 0.01 0.09 -1 -1 0.40 0.00345785 0.00308041 28 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_020bits.v common 3.84 vpr 63.11 MiB -1 -1 0.08 20136 1 0.01 -1 -1 32908 -1 -1 4 41 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64620 41 21 146 147 1 94 66 17 17 289 -1 unnamed_device 24.7 MiB 0.02 346 63.1 MiB 0.02 0.00 1.09916 -44.1677 -1.09916 1.09916 0.86 7.3764e-05 5.8453e-05 0.00475998 0.003878 34 1110 29 6.99608e+06 58862.7 618332. 2139.56 1.11 0.0232789 0.0196588 25762 151098 -1 792 21 529 529 52785 12921 0 0 52785 12921 529 529 0 0 1935 1691 0 0 3360 2202 0 0 529 529 0 0 22434 4042 0 0 23998 3928 0 0 529 0 0 0 0 0 529 0 0 1.19403 1.19403 -56.5346 -1.19403 0 0 787024. 2723.27 0.36 0.02 0.10 -1 -1 0.36 0.00481206 0.00424912 31 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_022bits.v common 5.37 vpr 63.21 MiB -1 -1 0.07 20240 1 0.01 -1 -1 33212 -1 -1 5 45 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64732 45 23 160 161 1 107 73 17 17 289 -1 unnamed_device 24.7 MiB 0.02 464 63.2 MiB 0.07 0.00 1.12116 -49.1907 -1.12116 1.12116 0.79 8.9899e-05 7.3912e-05 0.0163892 0.0152992 30 1288 29 6.99608e+06 73578.4 556674. 1926.21 2.80 0.0552934 0.0485105 25186 138497 -1 870 15 626 626 54005 16626 0 0 54005 16626 626 626 0 0 2308 2050 0 0 3076 2432 0 0 626 626 0 0 23493 5643 0 0 23876 5249 0 0 626 0 0 0 0 0 626 0 0 1.29498 1.29498 -67.0436 -1.29498 0 0 706193. 2443.58 0.24 0.02 0.09 -1 -1 0.24 0.00471483 0.00423295 34 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_024bits.v common 4.13 vpr 63.23 MiB -1 -1 0.08 20364 1 0.01 -1 -1 33036 -1 -1 5 49 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64752 49 25 174 175 1 118 79 17 17 289 -1 unnamed_device 24.8 MiB 0.02 443 63.2 MiB 0.04 0.00 1.14316 -53.0048 -1.14316 1.14316 0.76 9.6691e-05 7.877e-05 0.00693051 0.00568162 36 1373 24 6.99608e+06 73578.4 648988. 2245.63 1.39 0.0306227 0.026151 26050 158493 -1 1004 17 635 635 54060 13300 0 0 54060 13300 635 635 0 0 2284 1970 0 0 3626 2595 0 0 635 635 0 0 22713 3786 0 0 24167 3679 0 0 635 0 0 0 0 0 635 0 0 1.33033 1.33033 -69.9442 -1.33033 0 0 828058. 2865.25 0.28 0.02 0.10 -1 -1 0.28 0.00501991 0.0044766 37 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_028bits.v common 4.43 vpr 63.38 MiB -1 -1 0.08 20424 1 0.00 -1 -1 33096 -1 -1 6 57 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64900 57 29 202 203 1 141 92 17 17 289 -1 unnamed_device 24.8 MiB 0.03 563 63.4 MiB 0.04 0.00 1.18716 -62.7696 -1.18716 1.18716 0.78 0.000125862 0.000102292 0.00818708 0.0067827 40 1524 35 6.99608e+06 88294.1 706193. 2443.58 1.79 0.04703 0.0402429 26914 176310 -1 1229 17 847 847 85010 21210 0 0 85010 21210 847 847 0 0 3125 2723 0 0 5338 3738 0 0 847 847 0 0 36068 6649 0 0 38785 6406 0 0 847 0 0 0 0 0 847 0 0 1.35618 1.35618 -82.1548 -1.35618 0 0 926341. 3205.33 0.32 0.03 0.12 -1 -1 0.32 0.0064501 0.00580517 43 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_032bits.v common 4.85 vpr 63.63 MiB -1 -1 0.08 20208 1 0.01 -1 -1 33020 -1 -1 7 65 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65156 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 25.2 MiB 0.03 752 63.6 MiB 0.05 0.00 1.47719 -78.6599 -1.47719 1.47719 0.76 0.00012519 0.000102335 0.00907963 0.00750609 38 1746 50 6.99608e+06 103010 678818. 2348.85 1.96 0.0582654 0.0503493 26626 170182 -1 1428 16 820 820 80240 18069 0 0 80240 18069 820 820 0 0 2910 2541 0 0 4646 3301 0 0 820 820 0 0 36579 5180 0 0 34465 5407 0 0 820 0 0 0 0 0 820 0 0 1.44933 1.44933 -98.3496 -1.44933 0 0 902133. 3121.57 0.39 0.02 0.11 -1 -1 0.39 0.00673128 0.00605421 49 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_048bits.v common 7.10 vpr 64.55 MiB -1 -1 0.09 20420 1 0.02 -1 -1 33236 -1 -1 10 97 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66096 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 25.8 MiB 0.11 1410 64.5 MiB 0.09 0.00 1.88822 -134.864 -1.88822 1.88822 0.89 0.000227693 0.000195479 0.0164655 0.0141915 52 2729 18 6.99608e+06 147157 926341. 3205.33 4.01 0.10151 0.089571 29218 227130 -1 2302 17 987 987 106104 21984 0 0 106104 21984 987 987 0 0 3540 2987 0 0 5567 4050 0 0 987 987 0 0 46175 6716 0 0 48848 6257 0 0 987 0 0 0 0 0 987 0 0 1.50903 1.50903 -150.391 -1.50903 0 0 1.14541e+06 3963.36 0.39 0.04 0.15 -1 -1 0.39 0.0115392 0.0105572 73 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml adder_064bits.v common 5.35 vpr 64.95 MiB -1 -1 0.09 20576 1 0.02 -1 -1 33472 -1 -1 13 129 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66504 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 26.2 MiB 0.06 2173 64.9 MiB 0.14 0.00 2.29925 -202.532 -2.29925 2.29925 0.86 0.000324211 0.000283473 0.0232953 0.0204609 52 3996 46 6.99608e+06 191304 926341. 3205.33 2.16 0.118497 0.106431 29218 227130 -1 3449 17 1441 1441 174648 34761 0 0 174648 34761 1441 1441 0 0 4961 4284 0 0 8691 5753 0 0 1441 1441 0 0 83299 10413 0 0 74815 11429 0 0 1441 0 0 0 0 0 1441 0 0 1.77103 1.77103 -220.94 -1.77103 0 0 1.14541e+06 3963.36 0.40 0.06 0.16 -1 -1 0.40 0.0174204 0.0160875 97 -1 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_003bits.v common 3.03 vpr 61.98 MiB -1 -1 0.06 20020 1 0.03 -1 -1 35380 -1 -1 1 7 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63464 7 4 21 25 1 11 12 17 17 289 -1 unnamed_device 23.6 MiB 0.00 64 62.0 MiB 0.01 0.00 0.942216 -7.18451 -0.942216 0.942216 1.03 1.9809e-05 1.2823e-05 0.000201231 0.000169788 18 95 2 6.79088e+06 13472 376052. 1301.22 0.42 0.000744669 0.000646915 22222 88205 -1 93 2 12 12 598 176 0 0 598 176 12 12 0 0 47 30 0 0 58 47 0 0 12 12 0 0 267 37 0 0 202 38 0 0 12 0 0 0 0 0 12 0 0 0.942216 0.942216 -7.93631 -0.942216 0 0 470940. 1629.55 0.16 0.00 0.06 -1 -1 0.16 0.000485788 0.000437432 6 4 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_004bits.v common 3.15 vpr 62.24 MiB -1 -1 0.07 19800 2 0.03 -1 -1 35172 -1 -1 1 9 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63732 9 5 28 33 1 16 15 17 17 289 -1 unnamed_device 23.8 MiB 0.01 35 62.2 MiB 0.00 0.00 0.883748 -8.8411 -0.883748 0.883748 0.87 2.047e-05 1.4909e-05 0.000776618 0.000603557 24 114 7 6.79088e+06 13472 470940. 1629.55 0.59 0.00332163 0.00264848 23374 113417 -1 87 8 46 46 1300 526 0 0 1300 526 46 46 0 0 161 118 0 0 230 182 0 0 46 46 0 0 335 102 0 0 482 32 0 0 46 0 0 0 0 0 46 0 0 0.883748 0.883748 -9.5929 -0.883748 0 0 586450. 2029.24 0.20 0.00 0.07 -1 -1 0.20 0.000789424 0.000694047 8 6 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_005bits.v common 3.93 vpr 61.99 MiB -1 -1 0.07 19784 2 0.03 -1 -1 35132 -1 -1 2 11 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63476 11 6 34 40 1 23 19 17 17 289 -1 unnamed_device 23.6 MiB 0.01 59 62.0 MiB 0.00 0.00 1.02368 -11.2072 -1.02368 1.02368 0.76 2.2705e-05 1.6338e-05 0.000610891 0.000491717 22 190 13 6.79088e+06 26944 443629. 1535.05 1.53 0.00717227 0.00571703 22798 101617 -1 167 8 60 68 3327 1053 0 0 3327 1053 68 62 0 0 237 201 0 0 320 257 0 0 68 63 0 0 1166 253 0 0 1468 217 0 0 68 0 0 8 6 2 100 0 0 1.02368 1.02368 -14.4258 -1.02368 0 0 531479. 1839.03 0.19 0.00 0.09 -1 -1 0.19 0.000946106 0.000837631 10 7 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_006bits.v common 4.32 vpr 61.94 MiB -1 -1 0.07 19868 3 0.03 -1 -1 35156 -1 -1 2 13 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63424 13 7 41 48 1 30 22 17 17 289 -1 unnamed_device 23.5 MiB 0.01 86 61.9 MiB 0.00 0.00 1.05944 -13.8628 -1.05944 1.05944 0.91 2.5001e-05 1.8634e-05 0.000577402 0.000472423 26 235 8 6.79088e+06 26944 503264. 1741.40 1.78 0.00807482 0.00650366 23662 119890 -1 214 10 93 97 5083 1675 0 0 5083 1675 97 94 0 0 387 332 0 0 546 451 0 0 97 96 0 0 1821 344 0 0 2135 358 0 0 97 0 0 4 2 2 113 0 0 1.05944 1.05944 -17.4181 -1.05944 0 0 618332. 2139.56 0.21 0.00 0.13 -1 -1 0.21 0.00116519 0.00102848 11 9 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_007bits.v common 4.46 vpr 62.21 MiB -1 -1 0.07 19808 3 0.03 -1 -1 35356 -1 -1 2 15 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63708 15 8 47 55 1 36 25 17 17 289 -1 unnamed_device 23.7 MiB 0.01 90 62.2 MiB 0.01 0.00 1.13784 -16.3026 -1.13784 1.13784 0.85 2.9669e-05 2.2385e-05 0.00114849 0.00091185 26 340 10 6.79088e+06 26944 503264. 1741.40 1.96 0.00799352 0.00651583 23662 119890 -1 271 10 158 179 7439 2692 0 0 7439 2692 179 170 0 0 633 515 0 0 1003 774 0 0 179 172 0 0 2354 582 0 0 3091 479 0 0 179 0 0 21 11 19 272 0 0 1.13784 1.13784 -19.2706 -1.13784 0 0 618332. 2139.56 0.21 0.01 0.07 -1 -1 0.21 0.00136675 0.00121308 13 10 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_008bits.v common 4.26 vpr 62.33 MiB -1 -1 0.08 20036 3 0.04 -1 -1 35440 -1 -1 2 17 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63828 17 9 56 65 1 43 28 17 17 289 -1 unnamed_device 23.8 MiB 0.04 259 62.3 MiB 0.01 0.00 1.27433 -22.4371 -1.27433 1.27433 0.89 3.6666e-05 2.8066e-05 0.00122118 0.000992798 28 512 12 6.79088e+06 26944 531479. 1839.03 1.58 0.0115094 0.00944994 23950 126010 -1 498 12 197 236 21242 4861 0 0 21242 4861 236 223 0 0 897 772 0 0 1405 1066 0 0 236 225 0 0 9541 1211 0 0 8927 1364 0 0 236 0 0 39 27 39 439 0 0 1.27433 1.27433 -27.1593 -1.27433 0 0 648988. 2245.63 0.34 0.01 0.11 -1 -1 0.34 0.00181355 0.00161511 16 14 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_009bits.v common 4.41 vpr 62.14 MiB -1 -1 0.06 19736 4 0.03 -1 -1 35176 -1 -1 3 19 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63628 19 10 60 70 1 49 32 17 17 289 -1 unnamed_device 23.6 MiB 0.04 132 62.1 MiB 0.01 0.00 1.1736 -20.6259 -1.1736 1.1736 0.94 3.8086e-05 2.9079e-05 0.00176592 0.00140821 28 481 45 6.79088e+06 40416 531479. 1839.03 1.68 0.0183592 0.014997 23950 126010 -1 400 12 244 254 15313 4813 0 0 15313 4813 254 247 0 0 942 829 0 0 1366 1088 0 0 254 249 0 0 5927 1210 0 0 6570 1190 0 0 254 0 0 10 11 14 309 0 0 1.34919 1.34919 -26.2115 -1.34919 0 0 648988. 2245.63 0.23 0.01 0.08 -1 -1 0.23 0.0018321 0.00161759 17 13 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_010bits.v common 3.33 vpr 62.32 MiB -1 -1 0.07 20024 4 0.04 -1 -1 35112 -1 -1 3 21 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63816 21 11 69 80 1 55 35 17 17 289 -1 unnamed_device 24.0 MiB 0.16 159 62.3 MiB 0.01 0.00 1.60338 -26.0076 -1.60338 1.60338 0.86 4.1426e-05 3.185e-05 0.00257023 0.00203649 28 598 26 6.79088e+06 40416 531479. 1839.03 0.65 0.0113728 0.00942098 23950 126010 -1 515 14 306 366 20049 6422 0 0 20049 6422 366 322 0 0 1338 1152 0 0 2133 1666 0 0 366 328 0 0 7378 1543 0 0 8468 1411 0 0 366 0 0 60 68 34 648 0 0 1.60338 1.60338 -32.3979 -1.60338 0 0 648988. 2245.63 0.21 0.01 0.08 -1 -1 0.21 0.00236702 0.0020968 21 17 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_011bits.v common 3.50 vpr 62.22 MiB -1 -1 0.06 19928 5 0.05 -1 -1 34624 -1 -1 3 23 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63712 23 12 76 88 1 61 38 17 17 289 -1 unnamed_device 23.8 MiB 0.09 207 62.2 MiB 0.01 0.00 1.67834 -28.9869 -1.67834 1.67834 0.74 5.1262e-05 4.035e-05 0.00223683 0.00184574 34 522 15 6.79088e+06 40416 618332. 2139.56 0.95 0.0145695 0.0121691 25102 150614 -1 468 11 230 278 16053 4659 0 0 16053 4659 278 253 0 0 1097 942 0 0 1629 1299 0 0 278 255 0 0 5566 1086 0 0 7205 824 0 0 278 0 0 48 50 10 490 0 0 1.72519 1.72519 -33.2384 -1.72519 0 0 787024. 2723.27 0.26 0.01 0.09 -1 -1 0.26 0.00239149 0.00215511 22 19 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_012bits.v common 5.09 vpr 62.56 MiB -1 -1 0.07 20084 5 0.04 -1 -1 35100 -1 -1 3 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64064 25 13 83 96 1 66 41 17 17 289 -1 unnamed_device 24.1 MiB 0.16 395 62.6 MiB 0.01 0.00 1.67834 -38.0476 -1.67834 1.67834 0.74 5.5477e-05 4.4303e-05 0.00274077 0.00223234 34 812 13 6.79088e+06 40416 618332. 2139.56 2.40 0.0246888 0.0206201 25102 150614 -1 738 13 246 294 19211 4497 0 0 19211 4497 294 262 0 0 1047 834 0 0 1552 1202 0 0 294 266 0 0 8455 942 0 0 7569 991 0 0 294 0 0 48 15 43 496 0 0 1.68948 1.68948 -43.8671 -1.68948 0 0 787024. 2723.27 0.27 0.01 0.09 -1 -1 0.27 0.00273652 0.00244498 23 21 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_013bits.v common 5.11 vpr 62.37 MiB -1 -1 0.08 20104 5 0.05 -1 -1 35212 -1 -1 4 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63868 27 14 91 105 1 72 45 17 17 289 -1 unnamed_device 23.9 MiB 0.25 335 62.4 MiB 0.01 0.00 1.81483 -36.8868 -1.81483 1.81483 0.83 5.6195e-05 4.4292e-05 0.00279486 0.00228044 34 748 14 6.79088e+06 53888 618332. 2139.56 2.30 0.022438 0.0188421 25102 150614 -1 687 14 268 365 30390 7128 0 0 30390 7128 365 298 0 0 1304 1088 0 0 2333 1592 0 0 365 304 0 0 13217 1898 0 0 12806 1948 0 0 365 0 0 97 53 100 819 0 0 1.81483 1.81483 -44.0289 -1.81483 0 0 787024. 2723.27 0.36 0.03 0.10 -1 -1 0.36 0.00333413 0.00300244 27 24 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_014bits.v common 4.88 vpr 62.43 MiB -1 -1 0.08 20380 6 0.04 -1 -1 35156 -1 -1 4 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63924 29 15 95 110 1 77 48 17 17 289 -1 unnamed_device 23.9 MiB 0.18 230 62.4 MiB 0.02 0.00 2.06549 -38.9139 -2.06549 2.06549 1.00 6.3924e-05 5.1345e-05 0.00370408 0.00305221 30 764 49 6.79088e+06 53888 556674. 1926.21 2.01 0.0289213 0.0242351 24526 138013 -1 573 27 408 477 81681 51038 0 0 81681 51038 477 426 0 0 1665 1460 0 0 3766 2607 0 0 477 431 0 0 39220 23780 0 0 36076 22334 0 0 477 0 0 69 73 42 799 0 0 2.06549 2.06549 -45.9307 -2.06549 0 0 706193. 2443.58 0.24 0.03 0.09 -1 -1 0.24 0.00477458 0.00417776 28 23 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_015bits.v common 4.23 vpr 62.51 MiB -1 -1 0.08 20168 6 0.04 -1 -1 35164 -1 -1 5 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64012 31 16 104 120 1 82 52 17 17 289 -1 unnamed_device 24.0 MiB 0.34 239 62.5 MiB 0.01 0.00 2.14389 -42.4289 -2.14389 2.14389 0.91 6.2211e-05 4.9396e-05 0.00307133 0.00254929 36 728 50 6.79088e+06 67360 648988. 2245.63 1.20 0.0218706 0.0183596 25390 158009 -1 591 16 393 555 31490 10203 0 0 31490 10203 555 414 0 0 1900 1620 0 0 3029 2216 0 0 555 426 0 0 12377 2741 0 0 13074 2786 0 0 555 0 0 162 183 105 1417 0 0 2.31598 2.31598 -50.8908 -2.31598 0 0 828058. 2865.25 0.33 0.01 0.13 -1 -1 0.33 0.00374942 0.00333443 31 27 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_016bits.v common 4.12 vpr 62.59 MiB -1 -1 0.08 20212 7 0.04 -1 -1 35228 -1 -1 5 33 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64092 33 17 112 129 1 88 55 17 17 289 -1 unnamed_device 24.2 MiB 0.62 556 62.6 MiB 0.03 0.00 2.39454 -57.2401 -2.39454 2.39454 0.90 6.9486e-05 5.5494e-05 0.0052807 0.00434155 34 1114 15 6.79088e+06 67360 618332. 2139.56 0.97 0.0232246 0.0195951 25102 150614 -1 1022 12 344 445 36019 8191 0 0 36019 8191 445 386 0 0 1661 1390 0 0 2726 2004 0 0 445 406 0 0 15886 2038 0 0 14856 1967 0 0 445 0 0 101 98 86 978 0 0 2.39454 2.39454 -63.3406 -2.39454 0 0 787024. 2723.27 0.26 0.01 0.09 -1 -1 0.26 0.00386796 0.00348179 32 30 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_018bits.v common 5.67 vpr 62.75 MiB -1 -1 0.09 20104 7 0.05 -1 -1 35396 -1 -1 6 37 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64252 37 19 127 146 1 99 62 17 17 289 -1 unnamed_device 24.3 MiB 1.09 365 62.7 MiB 0.01 0.00 3.00001 -61.4437 -3.00001 3.00001 0.83 8.5815e-05 7.0265e-05 0.00306396 0.00260118 26 1105 46 6.79088e+06 80832 503264. 1741.40 1.92 0.0282967 0.0242808 23662 119890 -1 926 11 377 462 28459 8277 0 0 28459 8277 462 398 0 0 1697 1397 0 0 2671 1933 0 0 462 416 0 0 10525 1976 0 0 12642 2157 0 0 462 0 0 85 83 67 867 0 0 3.12531 3.12531 -73.5978 -3.12531 0 0 618332. 2139.56 0.39 0.01 0.07 -1 -1 0.39 0.00434328 0.003977 37 35 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_020bits.v common 3.68 vpr 62.73 MiB -1 -1 0.08 20252 8 0.04 -1 -1 35124 -1 -1 6 41 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64236 41 21 139 160 1 106 68 17 17 289 -1 unnamed_device 24.2 MiB 0.40 488 62.7 MiB 0.02 0.00 2.60599 -64.8181 -2.60599 2.60599 0.76 8.913e-05 7.241e-05 0.0036273 0.00305602 30 1266 30 6.79088e+06 80832 556674. 1926.21 0.72 0.0213524 0.0183555 24526 138013 -1 1073 14 469 593 48159 11835 0 0 48159 11835 593 505 0 0 2071 1777 0 0 3262 2422 0 0 593 510 0 0 20031 3313 0 0 21609 3308 0 0 593 0 0 124 72 141 1194 0 0 2.60599 2.60599 -77.3481 -2.60599 0 0 706193. 2443.58 0.34 0.02 0.10 -1 -1 0.34 0.00475294 0.00430766 41 37 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_022bits.v common 3.99 vpr 62.74 MiB -1 -1 0.09 20356 9 0.05 -1 -1 35024 -1 -1 6 45 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64244 45 23 153 176 1 119 74 17 17 289 -1 unnamed_device 24.2 MiB 0.36 488 62.7 MiB 0.03 0.00 2.98195 -75.096 -2.98195 2.98195 0.74 9.6051e-05 7.7368e-05 0.00623628 0.00517535 34 1162 32 6.79088e+06 80832 618332. 2139.56 1.15 0.0297926 0.0256582 25102 150614 -1 990 16 406 495 33959 8793 0 0 33959 8793 495 427 0 0 1816 1562 0 0 2740 2082 0 0 495 434 0 0 14526 2117 0 0 13887 2171 0 0 495 0 0 89 55 87 932 0 0 2.98195 2.98195 -85.582 -2.98195 0 0 787024. 2723.27 0.27 0.02 0.10 -1 -1 0.27 0.00546738 0.00492702 43 41 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_024bits.v common 6.90 vpr 62.95 MiB -1 -1 0.09 20220 10 0.05 -1 -1 35404 -1 -1 8 49 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64460 49 25 166 191 1 133 82 17 17 289 -1 unnamed_device 24.5 MiB 0.84 417 62.9 MiB 0.03 0.00 3.1857 -81.7769 -3.1857 3.1857 0.75 0.000103454 8.3196e-05 0.00726505 0.00608279 42 1223 42 6.79088e+06 107776 744469. 2576.02 3.29 0.0624513 0.0534964 26542 182613 -1 859 23 591 687 90067 46576 0 0 90067 46576 687 630 0 0 2513 2199 0 0 4921 3565 0 0 687 643 0 0 41151 19783 0 0 40108 19756 0 0 687 0 0 96 66 111 1152 0 0 3.1857 3.1857 -88.1672 -3.1857 0 0 949917. 3286.91 0.40 0.03 0.12 -1 -1 0.40 0.0078382 0.00702426 48 44 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_028bits.v common 7.03 vpr 62.95 MiB -1 -1 0.09 20144 11 0.05 -1 -1 35176 -1 -1 8 57 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64464 57 29 198 227 1 158 94 17 17 289 -1 unnamed_device 24.3 MiB 1.13 739 63.0 MiB 0.04 0.00 3.77654 -110.336 -3.77654 3.77654 0.75 0.000255203 0.000231613 0.00933438 0.00797875 36 1787 26 6.79088e+06 107776 648988. 2245.63 3.24 0.0682585 0.0599672 25390 158009 -1 1554 13 630 871 61399 14860 0 0 61399 14860 871 705 0 0 3124 2676 0 0 5165 3653 0 0 871 734 0 0 24111 3763 0 0 27257 3329 0 0 871 0 0 241 215 206 2097 0 0 3.90184 3.90184 -126.336 -3.90184 0 0 828058. 2865.25 0.25 0.02 0.10 -1 -1 0.25 0.00669981 0.00613233 59 56 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_032bits.v common 5.92 vpr 63.09 MiB -1 -1 0.08 20404 13 0.06 -1 -1 35196 -1 -1 9 65 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64600 65 33 224 257 1 176 107 17 17 289 -1 unnamed_device 24.6 MiB 1.38 978 63.1 MiB 0.06 0.00 4.44928 -138.947 -4.44928 4.44928 0.76 0.000147123 0.000121454 0.0121151 0.0101285 30 2113 19 6.79088e+06 121248 556674. 1926.21 2.04 0.0628112 0.054227 24526 138013 -1 1752 13 638 823 49472 12407 0 0 49472 12407 823 674 0 0 2898 2408 0 0 3942 3063 0 0 823 688 0 0 20657 2738 0 0 20329 2836 0 0 823 0 0 185 90 204 1680 0 0 4.44928 4.44928 -153.106 -4.44928 0 0 706193. 2443.58 0.24 0.02 0.08 -1 -1 0.24 0.00781818 0.00712236 66 62 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_048bits.v common 7.31 vpr 64.07 MiB -1 -1 0.12 20652 19 0.09 -1 -1 35224 -1 -1 13 97 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65608 97 49 340 389 1 267 159 17 17 289 -1 unnamed_device 25.4 MiB 2.73 1350 64.1 MiB 0.07 0.00 6.71973 -260.111 -6.71973 6.71973 0.79 0.000258713 0.000219546 0.0142828 0.0123068 34 3400 44 6.79088e+06 175136 618332. 2139.56 1.67 0.0869368 0.0775065 25102 150614 -1 2874 14 1086 1469 111661 26701 0 0 111661 26701 1469 1253 0 0 5301 4488 0 0 8750 6399 0 0 1469 1279 0 0 47799 6473 0 0 46873 6809 0 0 1469 0 0 383 322 371 3389 0 0 6.80583 6.80583 -290.76 -6.80583 0 0 787024. 2723.27 0.24 0.04 0.09 -1 -1 0.24 0.0135979 0.0125698 100 98 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml adder_064bits.v common 7.97 vpr 64.83 MiB -1 -1 0.12 21008 26 0.09 -1 -1 35696 -1 -1 18 129 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66384 129 65 453 518 1 350 212 17 17 289 -1 unnamed_device 26.1 MiB 3.61 1996 64.8 MiB 0.16 0.00 9.19737 -440.937 -9.19737 9.19737 0.76 0.000377527 0.000327523 0.0349416 0.0305215 36 4210 30 6.79088e+06 242496 648988. 2245.63 1.37 0.12314 0.110208 25390 158009 -1 3458 13 1274 1710 114779 27867 0 0 114779 27867 1710 1412 0 0 6056 5047 0 0 9571 7081 0 0 1710 1445 0 0 48317 6406 0 0 47415 6476 0 0 1710 0 0 436 312 382 3784 0 0 9.19737 9.19737 -464.618 -9.19737 0 0 828058. 2865.25 0.38 0.04 0.10 -1 -1 0.38 0.0182077 0.0169171 129 131 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_003bits.v common 2.77 vpr 62.43 MiB -1 -1 0.07 19996 1 0.01 -1 -1 32976 -1 -1 1 7 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63924 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 24.0 MiB 0.02 38 62.4 MiB 0.00 0.00 0.488083 -5.72471 -0.488083 0.488083 0.88 1.8175e-05 1.1892e-05 0.000330992 0.000252048 12 80 4 6.87369e+06 13973.8 243793. 843.575 0.32 0.000971923 0.000804442 21730 64085 -1 89 5 32 32 2061 627 0 0 2061 627 32 32 0 0 135 91 0 0 167 135 0 0 32 32 0 0 698 192 0 0 997 145 0 0 32 0 0 0 0 0 32 0 0 0.74674 0.74674 -7.98012 -0.74674 0 0 332735. 1151.33 0.13 0.00 0.05 -1 -1 0.13 0.000582792 0.000513938 8 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_004bits.v common 3.79 vpr 62.30 MiB -1 -1 0.07 19824 1 0.01 -1 -1 33020 -1 -1 2 9 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63796 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 23.9 MiB 0.03 41 62.3 MiB 0.00 0.00 0.663773 -8.29102 -0.663773 0.663773 0.80 1.9208e-05 1.3724e-05 0.000543111 0.000423266 26 108 8 6.87369e+06 27947.7 503264. 1741.40 1.32 0.0062435 0.00489364 24322 120374 -1 121 11 55 55 3150 1018 0 0 3150 1018 55 55 0 0 218 172 0 0 284 233 0 0 55 55 0 0 1210 264 0 0 1328 239 0 0 55 0 0 0 0 0 55 0 0 0.789073 0.789073 -10.2958 -0.789073 0 0 618332. 2139.56 0.22 0.00 0.08 -1 -1 0.22 0.000885137 0.000758912 10 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_005bits.v common 3.09 vpr 62.52 MiB -1 -1 0.07 20068 1 0.00 -1 -1 33004 -1 -1 3 11 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64024 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 24.0 MiB 0.04 91 62.5 MiB 0.00 0.00 0.685773 -10.5905 -0.685773 0.685773 0.76 2.3069e-05 1.6448e-05 0.000466995 0.000369053 16 234 10 6.87369e+06 41921.5 332735. 1151.33 0.82 0.00213905 0.00176006 22306 75877 -1 215 10 133 133 7015 2206 0 0 7015 2206 133 133 0 0 480 382 0 0 587 492 0 0 133 133 0 0 2402 566 0 0 3280 500 0 0 133 0 0 0 0 0 133 0 0 1.02867 1.02867 -15.1686 -1.02867 0 0 414966. 1435.87 0.14 0.00 0.05 -1 -1 0.14 0.000957704 0.000820831 13 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_006bits.v common 5.29 vpr 62.68 MiB -1 -1 0.08 20112 1 0.00 -1 -1 32896 -1 -1 3 13 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64188 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 24.1 MiB 0.04 80 62.7 MiB 0.00 0.00 0.707773 -12.5849 -0.707773 0.707773 0.76 2.4111e-05 1.7871e-05 0.000933683 0.000723202 34 271 33 6.87369e+06 41921.5 618332. 2139.56 2.32 0.0135672 0.0105314 25762 151098 -1 218 20 301 301 17367 5523 0 0 17367 5523 301 301 0 0 1216 1041 0 0 1703 1403 0 0 301 301 0 0 6602 1132 0 0 7244 1345 0 0 301 0 0 0 0 0 301 0 0 0.958373 0.958373 -16.5945 -0.958373 0 0 787024. 2723.27 0.38 0.03 0.10 -1 -1 0.38 0.0125413 0.0122443 15 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_007bits.v common 3.18 vpr 62.59 MiB -1 -1 0.06 20084 1 0.01 -1 -1 33120 -1 -1 3 15 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64092 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 24.1 MiB 0.08 112 62.6 MiB 0.01 0.00 1.13846 -15.98 -1.13846 1.13846 0.88 2.8794e-05 2.1429e-05 0.00137984 0.00109913 26 304 17 6.87369e+06 41921.5 503264. 1741.40 0.53 0.00588592 0.00475905 24322 120374 -1 240 16 161 161 6837 2474 0 0 6837 2474 161 161 0 0 635 543 0 0 972 778 0 0 161 161 0 0 2371 437 0 0 2537 394 0 0 161 0 0 0 0 0 161 0 0 1.12264 1.12264 -18.7281 -1.12264 0 0 618332. 2139.56 0.21 0.01 0.08 -1 -1 0.21 0.0016185 0.00139953 17 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_008bits.v common 3.22 vpr 62.52 MiB -1 -1 0.06 20144 1 0.01 -1 -1 32924 -1 -1 3 17 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64016 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 23.9 MiB 0.05 123 62.5 MiB 0.01 0.00 0.964803 -17.8777 -0.964803 0.964803 0.76 3.6032e-05 2.7638e-05 0.00201013 0.00158931 26 319 15 6.87369e+06 41921.5 503264. 1741.40 0.80 0.00757369 0.00594565 24322 120374 -1 286 17 175 175 10718 3393 0 0 10718 3393 175 175 0 0 707 624 0 0 1203 1010 0 0 175 175 0 0 3866 770 0 0 4592 639 0 0 175 0 0 0 0 0 175 0 0 1.20697 1.20697 -23.626 -1.20697 0 0 618332. 2139.56 0.24 0.01 0.11 -1 -1 0.24 0.00214307 0.00187705 18 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_009bits.v common 4.43 vpr 62.43 MiB -1 -1 0.06 19956 1 0.01 -1 -1 33028 -1 -1 3 19 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63932 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 23.8 MiB 0.05 132 62.4 MiB 0.01 0.00 0.975803 -19.7482 -0.975803 0.975803 0.76 3.987e-05 3.077e-05 0.00229654 0.00183054 28 386 18 6.87369e+06 41921.5 531479. 1839.03 1.90 0.0384589 0.0125133 24610 126494 -1 326 13 214 214 14496 4104 0 0 14496 4104 214 214 0 0 834 733 0 0 1180 973 0 0 214 214 0 0 5524 1084 0 0 6530 886 0 0 214 0 0 0 0 0 214 0 0 1.13667 1.13667 -26.1199 -1.13667 0 0 648988. 2245.63 0.24 0.01 0.08 -1 -1 0.24 0.00174029 0.00151337 20 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_010bits.v common 3.14 vpr 62.82 MiB -1 -1 0.07 19984 1 0.01 -1 -1 32892 -1 -1 3 21 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64324 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 24.4 MiB 0.05 153 62.8 MiB 0.01 0.00 0.986803 -22.4034 -0.986803 0.986803 0.82 3.8826e-05 2.9667e-05 0.00237388 0.00185956 28 442 24 6.87369e+06 41921.5 531479. 1839.03 0.60 0.00910422 0.00743675 24610 126494 -1 321 19 305 305 15557 4886 0 0 15557 4886 305 305 0 0 1140 991 0 0 1802 1412 0 0 305 305 0 0 5914 905 0 0 6091 968 0 0 305 0 0 0 0 0 305 0 0 1.14767 1.14767 -28.1842 -1.14767 0 0 648988. 2245.63 0.22 0.01 0.08 -1 -1 0.22 0.00232385 0.00199243 22 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_011bits.v common 5.15 vpr 62.88 MiB -1 -1 0.07 20016 1 0.00 -1 -1 32912 -1 -1 4 23 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64392 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 24.4 MiB 0.05 160 62.9 MiB 0.01 0.00 0.997803 -24.6718 -0.997803 0.997803 1.04 4.1025e-05 3.123e-05 0.00294112 0.00237866 32 451 12 6.87369e+06 55895.4 586450. 2029.24 2.22 0.0138935 0.0113748 25474 144626 -1 401 13 238 238 18203 4910 0 0 18203 4910 238 238 0 0 988 841 0 0 1458 1186 0 0 238 238 0 0 7501 1156 0 0 7780 1251 0 0 238 0 0 0 0 0 238 0 0 1.11467 1.11467 -31.1105 -1.11467 0 0 744469. 2576.02 0.28 0.01 0.09 -1 -1 0.28 0.00198468 0.00173206 24 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_012bits.v common 3.48 vpr 62.82 MiB -1 -1 0.07 19868 1 0.01 -1 -1 32904 -1 -1 4 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64328 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 24.4 MiB 0.05 197 62.8 MiB 0.01 0.00 1.0088 -27.5776 -1.0088 1.0088 0.77 4.5197e-05 3.4592e-05 0.0026224 0.00207088 32 666 20 6.87369e+06 55895.4 586450. 2029.24 0.71 0.0105093 0.00871659 25474 144626 -1 501 17 373 373 32112 8189 0 0 32112 8189 373 373 0 0 1443 1251 0 0 2280 1758 0 0 373 373 0 0 12748 2405 0 0 14895 2029 0 0 373 0 0 0 0 0 373 0 0 1.27297 1.27297 -35.8269 -1.27297 0 0 744469. 2576.02 0.38 0.01 0.10 -1 -1 0.38 0.00264124 0.00229599 26 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_013bits.v common 5.17 vpr 62.69 MiB -1 -1 0.07 20072 1 0.01 -1 -1 33016 -1 -1 4 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64196 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 24.2 MiB 0.05 211 62.7 MiB 0.02 0.00 1.0198 -28.9909 -1.0198 1.0198 0.78 4.9137e-05 3.7862e-05 0.00335875 0.00266566 34 760 33 6.87369e+06 55895.4 618332. 2139.56 2.57 0.0273812 0.0230697 25762 151098 -1 569 20 477 477 40000 10484 0 0 40000 10484 477 477 0 0 1850 1549 0 0 2758 2194 0 0 477 477 0 0 15365 3184 0 0 19073 2603 0 0 477 0 0 0 0 0 477 0 0 1.17597 1.17597 -38.285 -1.17597 0 0 787024. 2723.27 0.27 0.01 0.09 -1 -1 0.27 0.00316329 0.0027227 28 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_014bits.v common 3.81 vpr 62.78 MiB -1 -1 0.07 19784 1 0.00 -1 -1 32708 -1 -1 5 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64288 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 24.3 MiB 0.08 223 62.8 MiB 0.02 0.00 1.0308 -31.2922 -1.0308 1.0308 0.76 5.1352e-05 3.984e-05 0.0030693 0.00245236 34 797 32 6.87369e+06 69869.2 618332. 2139.56 1.08 0.0187325 0.0155147 25762 151098 -1 626 15 448 448 34542 10578 0 0 34542 10578 448 448 0 0 1742 1522 0 0 2542 2079 0 0 448 448 0 0 13676 3201 0 0 15686 2880 0 0 448 0 0 0 0 0 448 0 0 1.19167 1.19167 -41.2249 -1.19167 0 0 787024. 2723.27 0.27 0.01 0.10 -1 -1 0.27 0.00281145 0.00246102 31 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_015bits.v common 5.17 vpr 62.82 MiB -1 -1 0.08 20084 1 0.01 -1 -1 32988 -1 -1 5 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64324 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 24.3 MiB 0.10 290 62.8 MiB 0.02 0.00 1.27683 -33.8219 -1.27683 1.27683 0.76 6.4421e-05 5.1676e-05 0.00393255 0.00319237 36 641 13 6.87369e+06 69869.2 648988. 2245.63 2.46 0.0241641 0.0201201 26050 158493 -1 568 15 373 373 29444 7578 0 0 29444 7578 373 373 0 0 1458 1256 0 0 2033 1640 0 0 373 373 0 0 12916 1954 0 0 12291 1982 0 0 373 0 0 0 0 0 373 0 0 1.22267 1.22267 -43.074 -1.22267 0 0 828058. 2865.25 0.28 0.01 0.10 -1 -1 0.28 0.00275581 0.00241357 33 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_016bits.v common 3.20 vpr 62.84 MiB -1 -1 0.07 20024 1 0.01 -1 -1 33016 -1 -1 5 33 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64348 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 24.2 MiB 0.09 305 62.8 MiB 0.02 0.00 1.28783 -36.5233 -1.28783 1.28783 0.76 6.0864e-05 4.786e-05 0.00401562 0.00322596 32 807 20 6.87369e+06 69869.2 586450. 2029.24 0.64 0.0138472 0.0114974 25474 144626 -1 659 11 399 399 29624 8099 0 0 29624 8099 399 399 0 0 1658 1444 0 0 2427 2023 0 0 399 399 0 0 12330 1919 0 0 12411 1915 0 0 399 0 0 0 0 0 399 0 0 1.30397 1.30397 -48.266 -1.30397 0 0 744469. 2576.02 0.25 0.01 0.09 -1 -1 0.25 0.00284267 0.00256046 34 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_018bits.v common 3.24 vpr 63.00 MiB -1 -1 0.07 20112 1 0.01 -1 -1 33036 -1 -1 5 37 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64516 37 19 132 133 1 89 61 17 17 289 -1 unnamed_device 24.6 MiB 0.08 336 63.0 MiB 0.02 0.00 1.30983 -42.093 -1.30983 1.30983 0.85 6.8145e-05 5.3792e-05 0.0045456 0.00368288 32 1005 23 6.87369e+06 69869.2 586450. 2029.24 0.67 0.0161676 0.0135236 25474 144626 -1 813 18 527 527 53719 12759 0 0 53719 12759 527 527 0 0 2135 1882 0 0 3414 2642 0 0 527 527 0 0 22865 3761 0 0 24251 3420 0 0 527 0 0 0 0 0 527 0 0 1.35897 1.35897 -56.455 -1.35897 0 0 744469. 2576.02 0.28 0.02 0.09 -1 -1 0.28 0.00396399 0.0034818 38 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_020bits.v common 3.91 vpr 62.95 MiB -1 -1 0.08 20152 1 0.01 -1 -1 32904 -1 -1 6 41 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64460 41 21 146 147 1 101 68 17 17 289 -1 unnamed_device 24.4 MiB 0.08 390 62.9 MiB 0.03 0.00 1.33183 -48.3704 -1.33183 1.33183 0.79 7.4236e-05 5.925e-05 0.00490329 0.00399213 34 1186 33 6.87369e+06 83843 618332. 2139.56 1.26 0.0288413 0.0242224 25762 151098 -1 914 19 625 625 59108 14554 0 0 59108 14554 625 625 0 0 2402 2117 0 0 3546 2867 0 0 625 625 0 0 27307 3795 0 0 24603 4525 0 0 625 0 0 0 0 0 625 0 0 1.25567 1.25567 -60.7006 -1.25567 0 0 787024. 2723.27 0.26 0.02 0.10 -1 -1 0.26 0.00430959 0.00377666 42 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_022bits.v common 4.06 vpr 63.11 MiB -1 -1 0.08 20388 1 0.01 -1 -1 33196 -1 -1 7 45 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64624 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 24.5 MiB 0.11 461 63.1 MiB 0.03 0.00 1.35383 -52.9004 -1.35383 1.35383 0.78 8.5313e-05 6.7999e-05 0.00552054 0.00443607 36 1179 22 6.87369e+06 97816.9 648988. 2245.63 1.26 0.0299977 0.0252712 26050 158493 -1 989 18 693 693 59044 14540 0 0 59044 14540 693 693 0 0 2572 2238 0 0 3828 2987 0 0 693 693 0 0 26198 3844 0 0 25060 4085 0 0 693 0 0 0 0 0 693 0 0 1.26667 1.26667 -64.8803 -1.26667 0 0 828058. 2865.25 0.32 0.02 0.17 -1 -1 0.32 0.00451701 0.00399678 47 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_024bits.v common 4.02 vpr 63.19 MiB -1 -1 0.08 20212 1 0.01 -1 -1 32840 -1 -1 7 49 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64708 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 24.9 MiB 0.14 593 63.2 MiB 0.04 0.00 1.61086 -62.2859 -1.61086 1.61086 0.76 9.1151e-05 7.356e-05 0.00649089 0.00533009 34 1376 20 6.87369e+06 97816.9 618332. 2139.56 1.11 0.0315428 0.0268085 25762 151098 -1 1196 16 600 600 54974 12968 0 0 54974 12968 600 600 0 0 2404 2078 0 0 3412 2773 0 0 600 600 0 0 23435 3468 0 0 24523 3449 0 0 600 0 0 0 0 0 600 0 0 1.47327 1.47327 -77.646 -1.47327 0 0 787024. 2723.27 0.27 0.02 0.09 -1 -1 0.27 0.00492615 0.00438304 50 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_028bits.v common 3.82 vpr 63.11 MiB -1 -1 0.08 20292 1 0.01 -1 -1 32900 -1 -1 8 57 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64620 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 24.6 MiB 0.09 839 63.1 MiB 0.04 0.00 1.65486 -79.936 -1.65486 1.65486 0.75 0.000107903 8.8732e-05 0.00698204 0.00584868 34 1730 23 6.87369e+06 111791 618332. 2139.56 1.09 0.0389282 0.0346115 25762 151098 -1 1538 19 813 813 77020 17360 0 0 77020 17360 813 813 0 0 3138 2726 0 0 4882 3779 0 0 813 813 0 0 32765 4863 0 0 34609 4366 0 0 813 0 0 0 0 0 813 0 0 1.26037 1.26037 -90.0756 -1.26037 0 0 787024. 2723.27 0.27 0.03 0.10 -1 -1 0.27 0.00625639 0.00553823 58 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_032bits.v common 4.13 vpr 63.46 MiB -1 -1 0.08 20356 1 0.01 -1 -1 33184 -1 -1 9 65 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64984 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 25.1 MiB 0.09 932 63.5 MiB 0.05 0.00 1.93389 -92.6375 -1.93389 1.93389 0.77 0.000121927 0.000100721 0.00899717 0.00758259 34 1944 27 6.87369e+06 125765 618332. 2139.56 1.03 0.0443307 0.0380912 25762 151098 -1 1709 17 840 840 67579 16371 0 0 67579 16371 840 840 0 0 3324 2860 0 0 4909 3872 0 0 840 840 0 0 29442 3793 0 0 28224 4166 0 0 840 0 0 0 0 0 840 0 0 1.42297 1.42297 -105.235 -1.42297 0 0 787024. 2723.27 0.43 0.02 0.09 -1 -1 0.43 0.00629463 0.00559701 66 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_048bits.v common 4.21 vpr 64.35 MiB -1 -1 0.09 20440 1 0.02 -1 -1 33360 -1 -1 13 97 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65892 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 25.6 MiB 0.09 1457 64.3 MiB 0.11 0.00 2.57995 -155.956 -2.57995 2.57995 0.85 0.000210397 0.000179583 0.0171147 0.0146379 34 3232 21 6.87369e+06 181660 618332. 2139.56 1.37 0.0776454 0.0684068 25762 151098 -1 2758 19 1279 1279 149428 32476 0 0 149428 32476 1279 1279 0 0 5018 4361 0 0 7448 6006 0 0 1279 1279 0 0 66170 10042 0 0 68234 9509 0 0 1279 0 0 0 0 0 1279 0 0 1.55767 1.55767 -161.699 -1.55767 0 0 787024. 2723.27 0.28 0.04 0.10 -1 -1 0.28 0.0115317 0.0104301 98 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml adder_064bits.v common 5.52 vpr 64.68 MiB -1 -1 0.09 20952 1 0.02 -1 -1 33464 -1 -1 17 129 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66228 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 26.0 MiB 0.11 1996 64.7 MiB 0.18 0.00 3.22602 -227.983 -3.22602 3.22602 0.92 0.000334683 0.000292457 0.0294185 0.025802 34 4846 36 6.87369e+06 237555 618332. 2139.56 2.16 0.134703 0.1209 25762 151098 -1 3862 18 1663 1663 179620 41011 0 0 179620 41011 1663 1663 0 0 6479 5557 0 0 9924 7929 0 0 1663 1663 0 0 78998 11578 0 0 80893 12621 0 0 1663 0 0 0 0 0 1663 0 0 2.00227 2.00227 -237.661 -2.00227 0 0 787024. 2723.27 0.28 0.06 0.10 -1 -1 0.28 0.0161181 0.0147457 130 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_003bits.v common 2.51 vpr 62.36 MiB -1 -1 0.07 19900 1 0.00 -1 -1 33056 -1 -1 1 7 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63852 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 23.9 MiB 0.02 38 62.4 MiB 0.00 0.00 0.488083 -5.72471 -0.488083 0.488083 0.77 1.87e-05 1.2284e-05 0.000350869 0.000270629 12 80 4 6.89349e+06 14093.8 243793. 843.575 0.29 0.00097457 0.000808007 21730 64085 -1 89 5 32 32 2061 627 0 0 2061 627 32 32 0 0 135 91 0 0 167 135 0 0 32 32 0 0 698 192 0 0 997 145 0 0 32 0 0 0 0 0 32 0 0 0.74674 0.74674 -7.98012 -0.74674 0 0 332735. 1151.33 0.13 0.00 0.04 -1 -1 0.13 0.000534735 0.000464911 8 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_004bits.v common 4.43 vpr 62.46 MiB -1 -1 0.07 19804 1 0.01 -1 -1 32708 -1 -1 2 9 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63964 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 24.0 MiB 0.06 44 62.5 MiB 0.00 0.00 0.663773 -8.45852 -0.663773 0.663773 0.78 1.9243e-05 1.379e-05 0.000535061 0.000412772 22 152 9 6.89349e+06 28187.7 443629. 1535.05 1.90 0.00493574 0.00389231 23458 102101 -1 110 20 103 103 5696 2019 0 0 5696 2019 103 103 0 0 423 385 0 0 684 580 0 0 103 103 0 0 2073 408 0 0 2310 440 0 0 103 0 0 0 0 0 103 0 0 0.79102 0.79102 -10.0933 -0.79102 0 0 531479. 1839.03 0.18 0.01 0.06 -1 -1 0.18 0.00115647 0.000937789 10 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_005bits.v common 3.45 vpr 62.46 MiB -1 -1 0.08 20080 1 0.00 -1 -1 32984 -1 -1 3 11 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63956 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 24.0 MiB 0.03 75 62.5 MiB 0.00 0.00 0.691973 -10.697 -0.691973 0.691973 0.78 2.3649e-05 1.7143e-05 0.000502505 0.000401322 16 276 30 6.89349e+06 42281.5 332735. 1151.33 0.84 0.00366967 0.00295557 22306 75877 -1 224 11 108 108 6460 2034 0 0 6460 2034 108 108 0 0 411 354 0 0 516 453 0 0 108 108 0 0 2162 518 0 0 3155 493 0 0 108 0 0 0 0 0 108 0 0 0.942573 0.942573 -15.3211 -0.942573 0 0 414966. 1435.87 0.15 0.00 0.14 -1 -1 0.15 0.000965131 0.000828931 13 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_006bits.v common 3.20 vpr 62.21 MiB -1 -1 0.06 19828 1 0.00 -1 -1 32956 -1 -1 3 13 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63708 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 23.7 MiB 0.04 80 62.2 MiB 0.00 0.00 0.707773 -12.3375 -0.707773 0.707773 0.79 2.4329e-05 1.8167e-05 0.00110832 0.000646301 28 293 34 6.89349e+06 42281.5 531479. 1839.03 0.61 0.00606129 0.00464147 24610 126494 -1 261 20 254 254 16945 5294 0 0 16945 5294 254 254 0 0 962 845 0 0 1664 1300 0 0 254 254 0 0 6186 1421 0 0 7625 1220 0 0 254 0 0 0 0 0 254 0 0 1.08367 1.08367 -17.2242 -1.08367 0 0 648988. 2245.63 0.23 0.01 0.08 -1 -1 0.23 0.00154127 0.00127976 15 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_007bits.v common 2.90 vpr 62.45 MiB -1 -1 0.07 19992 1 0.00 -1 -1 32932 -1 -1 3 15 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63948 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 23.9 MiB 0.06 110 62.4 MiB 0.01 0.00 1.13846 -15.98 -1.13846 1.13846 0.74 2.7601e-05 2.0159e-05 0.00122857 0.000952939 26 266 11 6.89349e+06 42281.5 503264. 1741.40 0.53 0.00542952 0.00437636 24322 120374 -1 251 12 144 144 6626 2203 0 0 6626 2203 144 144 0 0 563 459 0 0 783 639 0 0 144 144 0 0 2382 416 0 0 2610 401 0 0 144 0 0 0 0 0 144 0 0 0.96932 0.96932 -19.1597 -0.96932 0 0 618332. 2139.56 0.27 0.00 0.08 -1 -1 0.27 0.00125738 0.00109218 17 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_008bits.v common 2.96 vpr 62.34 MiB -1 -1 0.07 20108 1 0.00 -1 -1 33132 -1 -1 3 17 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63832 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 23.8 MiB 0.04 125 62.3 MiB 0.01 0.00 0.964803 -18.1535 -0.964803 0.964803 0.77 3.3704e-05 2.4859e-05 0.00189875 0.00148351 26 324 18 6.89349e+06 42281.5 503264. 1741.40 0.55 0.00725969 0.00584262 24322 120374 -1 280 14 215 215 17058 4813 0 0 17058 4813 215 215 0 0 892 787 0 0 1464 1189 0 0 215 215 0 0 6556 1299 0 0 7716 1108 0 0 215 0 0 0 0 0 215 0 0 1.00232 1.00232 -22.1233 -1.00232 0 0 618332. 2139.56 0.21 0.01 0.07 -1 -1 0.21 0.00170731 0.00148174 18 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_009bits.v common 4.59 vpr 62.60 MiB -1 -1 0.07 19884 1 0.00 -1 -1 32960 -1 -1 3 19 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64104 19 10 69 70 1 46 32 17 17 289 -1 unnamed_device 24.0 MiB 0.04 134 62.6 MiB 0.01 0.00 0.975803 -20.1021 -0.975803 0.975803 0.76 3.5952e-05 2.715e-05 0.00181677 0.0014168 28 359 16 6.89349e+06 42281.5 531479. 1839.03 1.94 0.0115861 0.00936133 24610 126494 -1 296 10 180 180 12065 3431 0 0 12065 3431 180 180 0 0 722 598 0 0 1004 851 0 0 180 180 0 0 4561 920 0 0 5418 702 0 0 180 0 0 0 0 0 180 0 0 1.13667 1.13667 -25.4714 -1.13667 0 0 648988. 2245.63 0.23 0.01 0.09 -1 -1 0.23 0.00160534 0.00140887 20 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_010bits.v common 4.24 vpr 62.61 MiB -1 -1 0.07 20120 1 0.01 -1 -1 33068 -1 -1 3 21 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64112 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 24.2 MiB 0.04 153 62.6 MiB 0.01 0.00 0.986803 -22.4254 -0.986803 0.986803 0.77 3.8721e-05 2.9203e-05 0.00237349 0.00185262 28 446 19 6.89349e+06 42281.5 531479. 1839.03 1.55 0.0149397 0.0121196 24610 126494 -1 345 15 230 230 17182 4895 0 0 17182 4895 230 230 0 0 941 815 0 0 1330 1129 0 0 230 230 0 0 6612 1339 0 0 7839 1152 0 0 230 0 0 0 0 0 230 0 0 1.11467 1.11467 -28.5767 -1.11467 0 0 648988. 2245.63 0.30 0.01 0.11 -1 -1 0.30 0.00193384 0.00166799 22 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_011bits.v common 3.92 vpr 62.52 MiB -1 -1 0.08 20076 1 0.01 -1 -1 33068 -1 -1 4 23 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64020 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 24.1 MiB 0.04 160 62.5 MiB 0.01 0.00 0.997803 -24.8191 -0.997803 0.997803 0.76 4.6611e-05 3.6778e-05 0.00286671 0.00230389 30 470 19 6.89349e+06 56375.4 556674. 1926.21 1.41 0.0159916 0.0130708 25186 138497 -1 361 17 269 269 15701 4339 0 0 15701 4339 269 269 0 0 956 810 0 0 1266 1056 0 0 269 269 0 0 6727 906 0 0 6214 1029 0 0 269 0 0 0 0 0 269 0 0 1.01137 1.01137 -28.6692 -1.01137 0 0 706193. 2443.58 0.28 0.01 0.10 -1 -1 0.28 0.00230915 0.00200057 24 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_012bits.v common 4.97 vpr 62.63 MiB -1 -1 0.08 20028 1 0.01 -1 -1 32724 -1 -1 4 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64132 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 24.2 MiB 0.05 188 62.6 MiB 0.01 0.00 1.0088 -26.8478 -1.0088 1.0088 0.92 4.531e-05 3.47e-05 0.00283174 0.00212768 32 652 27 6.89349e+06 56375.4 586450. 2029.24 2.29 0.0177745 0.0144421 25474 144626 -1 483 14 292 292 27366 6990 0 0 27366 6990 292 292 0 0 1166 986 0 0 1925 1506 0 0 292 292 0 0 10992 2119 0 0 12699 1795 0 0 292 0 0 0 0 0 292 0 0 1.15867 1.15867 -34.0813 -1.15867 0 0 744469. 2576.02 0.25 0.01 0.09 -1 -1 0.25 0.00225507 0.00195704 26 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_013bits.v common 4.57 vpr 62.53 MiB -1 -1 0.07 20172 1 0.01 -1 -1 32892 -1 -1 4 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64032 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 24.0 MiB 0.05 211 62.5 MiB 0.02 0.00 1.0198 -29.2635 -1.0198 1.0198 0.79 4.6835e-05 3.5901e-05 0.00324734 0.002558 32 670 34 6.89349e+06 56375.4 586450. 2029.24 2.12 0.026086 0.0215309 25474 144626 -1 549 14 327 327 28499 7706 0 0 28499 7706 327 327 0 0 1367 1157 0 0 2221 1781 0 0 327 327 0 0 11847 2010 0 0 12410 2104 0 0 327 0 0 0 0 0 327 0 0 1.30597 1.30597 -40.0377 -1.30597 0 0 744469. 2576.02 0.27 0.01 0.09 -1 -1 0.27 0.00243228 0.00212975 28 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_014bits.v common 5.66 vpr 62.74 MiB -1 -1 0.06 19824 1 0.01 -1 -1 32904 -1 -1 5 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64248 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 24.2 MiB 0.07 228 62.7 MiB 0.02 0.00 1.0308 -31.4175 -1.0308 1.0308 0.89 5.1523e-05 4.0252e-05 0.00300805 0.00239951 44 629 17 6.89349e+06 70469.2 787024. 2723.27 2.74 0.024864 0.0205786 27778 195446 -1 488 17 396 396 26155 7053 0 0 26155 7053 396 396 0 0 1424 1204 0 0 2129 1737 0 0 396 396 0 0 10060 1738 0 0 11750 1582 0 0 396 0 0 0 0 0 396 0 0 0.947373 0.947373 -35.0232 -0.947373 0 0 997811. 3452.63 0.34 0.01 0.13 -1 -1 0.34 0.00295815 0.00256704 31 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_015bits.v common 3.51 vpr 62.60 MiB -1 -1 0.07 20492 1 0.01 -1 -1 32996 -1 -1 5 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64104 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 24.0 MiB 0.09 290 62.6 MiB 0.02 0.00 1.27683 -33.8219 -1.27683 1.27683 0.99 5.7246e-05 4.4986e-05 0.00371065 0.00298039 30 732 24 6.89349e+06 70469.2 556674. 1926.21 0.67 0.0137643 0.0113737 25186 138497 -1 570 13 374 374 21817 6118 0 0 21817 6118 374 374 0 0 1396 1168 0 0 1812 1539 0 0 374 374 0 0 9234 1294 0 0 8627 1369 0 0 374 0 0 0 0 0 374 0 0 1.06437 1.06437 -41.5171 -1.06437 0 0 706193. 2443.58 0.27 0.01 0.09 -1 -1 0.27 0.00282418 0.00251312 33 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_016bits.v common 3.28 vpr 62.55 MiB -1 -1 0.07 20256 1 0.01 -1 -1 33044 -1 -1 5 33 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64052 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 24.0 MiB 0.10 305 62.6 MiB 0.02 0.00 1.28783 -36.5265 -1.28783 1.28783 0.77 6.07e-05 4.7577e-05 0.00391733 0.00313487 30 763 24 6.89349e+06 70469.2 556674. 1926.21 0.66 0.0143956 0.0119021 25186 138497 -1 631 16 408 408 27345 7375 0 0 27345 7375 408 408 0 0 1570 1332 0 0 2226 1908 0 0 408 408 0 0 12010 1608 0 0 10723 1711 0 0 408 0 0 0 0 0 408 0 0 1.23367 1.23367 -47.4754 -1.23367 0 0 706193. 2443.58 0.32 0.01 0.08 -1 -1 0.32 0.00306399 0.00267569 34 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_018bits.v common 4.27 vpr 62.77 MiB -1 -1 0.07 20092 1 0.01 -1 -1 32900 -1 -1 5 37 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64280 37 19 132 133 1 90 61 17 17 289 -1 unnamed_device 24.4 MiB 0.07 340 62.8 MiB 0.02 0.00 1.30983 -42.5349 -1.30983 1.30983 0.76 6.9685e-05 5.5546e-05 0.00448678 0.00361604 30 924 21 6.89349e+06 70469.2 556674. 1926.21 1.86 0.0280123 0.0234128 25186 138497 -1 765 18 476 476 37469 9211 0 0 37469 9211 476 476 0 0 1723 1406 0 0 2182 1854 0 0 476 476 0 0 16018 2619 0 0 16594 2380 0 0 476 0 0 0 0 0 476 0 0 1.11467 1.11467 -51.366 -1.11467 0 0 706193. 2443.58 0.25 0.01 0.08 -1 -1 0.25 0.00378465 0.00331495 38 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_020bits.v common 3.77 vpr 62.91 MiB -1 -1 0.07 20280 1 0.01 -1 -1 32916 -1 -1 6 41 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64416 41 21 146 147 1 102 68 17 17 289 -1 unnamed_device 24.4 MiB 0.07 384 62.9 MiB 0.03 0.00 1.33183 -47.7879 -1.33183 1.33183 0.81 7.4998e-05 5.94e-05 0.00511893 0.00419008 34 1192 23 6.89349e+06 84563 618332. 2139.56 1.25 0.0294717 0.0250602 25762 151098 -1 876 22 578 578 75397 24455 0 0 75397 24455 578 578 0 0 2167 1763 0 0 3970 2949 0 0 578 578 0 0 34304 9418 0 0 33800 9169 0 0 578 0 0 0 0 0 578 0 0 1.53457 1.53457 -61.0012 -1.53457 0 0 787024. 2723.27 0.26 0.02 0.10 -1 -1 0.26 0.00489754 0.00424409 42 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_022bits.v common 6.08 vpr 63.27 MiB -1 -1 0.08 20228 1 0.00 -1 -1 33196 -1 -1 7 45 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64784 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 24.7 MiB 0.09 436 63.3 MiB 0.03 0.00 1.35383 -53.0257 -1.35383 1.35383 0.76 8.4718e-05 6.816e-05 0.00515711 0.00421732 38 1139 28 6.89349e+06 98656.9 678818. 2348.85 3.47 0.0492148 0.0420098 26626 170182 -1 930 15 636 636 48508 12290 0 0 48508 12290 636 636 0 0 2297 1915 0 0 3263 2651 0 0 636 636 0 0 21810 3071 0 0 19866 3381 0 0 636 0 0 0 0 0 636 0 0 1.20462 1.20462 -62.5171 -1.20462 0 0 902133. 3121.57 0.29 0.02 0.11 -1 -1 0.29 0.00421138 0.00372277 47 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_024bits.v common 3.77 vpr 63.09 MiB -1 -1 0.08 20104 1 0.00 -1 -1 33156 -1 -1 7 49 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64608 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 24.5 MiB 0.09 657 63.1 MiB 0.04 0.00 1.61086 -63.9148 -1.61086 1.61086 0.84 9.7114e-05 7.8951e-05 0.00603868 0.00499161 34 1354 18 6.89349e+06 98656.9 618332. 2139.56 1.07 0.023843 0.0202966 25762 151098 -1 1243 11 520 520 47607 11018 0 0 47607 11018 520 520 0 0 1998 1679 0 0 2786 2292 0 0 520 520 0 0 21104 2961 0 0 20679 3046 0 0 520 0 0 0 0 0 520 0 0 1.25087 1.25087 -75.1898 -1.25087 0 0 787024. 2723.27 0.27 0.02 0.09 -1 -1 0.27 0.00414377 0.00373523 50 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_028bits.v common 3.94 vpr 63.32 MiB -1 -1 0.06 20192 1 0.01 -1 -1 33112 -1 -1 8 57 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64840 57 29 202 203 1 143 94 17 17 289 -1 unnamed_device 24.9 MiB 0.07 894 63.3 MiB 0.04 0.00 1.65486 -81.8847 -1.65486 1.65486 0.78 0.00011697 9.7535e-05 0.00704155 0.00583436 34 1760 21 6.89349e+06 112751 618332. 2139.56 1.28 0.0315232 0.0270537 25762 151098 -1 1611 16 743 743 71332 15953 0 0 71332 15953 743 743 0 0 2892 2451 0 0 4544 3665 0 0 743 743 0 0 31305 4196 0 0 31105 4155 0 0 743 0 0 0 0 0 743 0 0 1.28867 1.28867 -90.9124 -1.28867 0 0 787024. 2723.27 0.29 0.03 0.10 -1 -1 0.29 0.00636389 0.00573336 58 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_032bits.v common 4.61 vpr 63.20 MiB -1 -1 0.08 20336 1 0.01 -1 -1 33100 -1 -1 9 65 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64716 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 24.9 MiB 0.12 937 63.2 MiB 0.08 0.00 1.93389 -92.387 -1.93389 1.93389 1.15 0.000160862 0.000136083 0.0167586 0.0136789 34 1976 19 6.89349e+06 126845 618332. 2139.56 1.17 0.0505661 0.0428915 25762 151098 -1 1763 16 798 798 75945 17453 0 0 75945 17453 798 798 0 0 3209 2677 0 0 4607 3767 0 0 798 798 0 0 34302 4455 0 0 32231 4958 0 0 798 0 0 0 0 0 798 0 0 1.43397 1.43397 -104.441 -1.43397 0 0 787024. 2723.27 0.31 0.03 0.10 -1 -1 0.31 0.00689383 0.00616947 66 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_048bits.v common 5.16 vpr 64.42 MiB -1 -1 0.08 20400 1 0.01 -1 -1 33176 -1 -1 13 97 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65964 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 25.7 MiB 0.10 1547 64.4 MiB 0.16 0.00 2.57995 -158.212 -2.57995 2.57995 0.99 0.000213606 0.000180883 0.0260243 0.0232267 34 3172 19 6.89349e+06 183220 618332. 2139.56 1.70 0.0991204 0.089339 25762 151098 -1 2822 14 1126 1126 112200 24280 0 0 112200 24280 1126 1126 0 0 4279 3532 0 0 6034 4847 0 0 1126 1126 0 0 49337 6970 0 0 50298 6679 0 0 1126 0 0 0 0 0 1126 0 0 1.61067 1.61067 -166.885 -1.61067 0 0 787024. 2723.27 0.33 0.04 0.17 -1 -1 0.33 0.00932628 0.00846771 98 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml adder_064bits.v common 5.33 vpr 64.70 MiB -1 -1 0.09 20748 1 0.02 -1 -1 33360 -1 -1 17 129 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66252 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 26.0 MiB 0.20 2069 64.7 MiB 0.20 0.00 3.22602 -231.867 -3.22602 3.22602 0.95 0.000322693 0.00028285 0.0287281 0.0255126 34 4582 22 6.89349e+06 239595 618332. 2139.56 1.71 0.141234 0.128328 25762 151098 -1 3943 19 1530 1530 175078 36774 0 0 175078 36774 1530 1530 0 0 5923 4921 0 0 8643 6911 0 0 1530 1530 0 0 77972 11242 0 0 79480 10640 0 0 1530 0 0 0 0 0 1530 0 0 1.75832 1.75832 -225.369 -1.75832 0 0 787024. 2723.27 0.33 0.06 0.10 -1 -1 0.33 0.017955 0.0158629 130 -1 -1 -1 -1 -1 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_003bits.v common 3.27 vpr 62.58 MiB -1 -1 0.09 19524 1 0.05 -1 -1 35660 -1 -1 2 7 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64084 7 4 21 25 1 15 13 17 17 289 -1 unnamed_device 24.2 MiB 0.01 55 298 80 193 25 62.6 MiB 0.00 0.00 0.581048 -5.66613 -0.581048 0.581048 0.92 5.8754e-05 5.2891e-05 0.00118515 0.00104434 22 122 4 6.55708e+06 24110 420624. 1455.45 0.60 0.00741233 0.00617867 20158 92377 -1 120 3 25 25 1518 477 0.71851 0.71851 -7.79053 -0.71851 0 0 500653. 1732.36 0.22 0.00 0.09 -1 -1 0.22 0.00173924 0.00162342 10 4 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_004bits.v common 3.26 vpr 62.64 MiB -1 -1 0.09 19520 2 0.06 -1 -1 35764 -1 -1 2 9 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64140 9 5 28 33 1 21 16 17 17 289 -1 unnamed_device 24.2 MiB 0.01 135 256 56 188 12 62.6 MiB 0.00 0.00 0.819447 -9.91401 -0.819447 0.819447 0.92 6.3611e-05 5.6507e-05 0.00111123 0.00100134 20 237 8 6.55708e+06 24110 394039. 1363.46 0.56 0.0038338 0.00342634 19870 87366 -1 234 8 73 74 4983 1323 0.819447 0.819447 -12.0384 -0.819447 0 0 477104. 1650.88 0.21 0.01 0.09 -1 -1 0.21 0.00238449 0.00215178 13 6 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_005bits.v common 3.48 vpr 62.50 MiB -1 -1 0.09 19524 2 0.06 -1 -1 35408 -1 -1 2 11 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64000 11 6 34 40 1 24 19 17 17 289 -1 unnamed_device 24.0 MiB 0.01 60 619 173 441 5 62.5 MiB 0.01 0.00 0.819447 -9.14504 -0.819447 0.819447 0.95 7.7116e-05 6.9281e-05 0.00225411 0.00202824 26 169 10 6.55708e+06 24110 477104. 1650.88 0.68 0.0118009 0.00993312 21022 109990 -1 154 7 80 84 4013 1433 0.821448 0.821448 -12.8712 -0.821448 0 0 585099. 2024.56 0.25 0.01 0.09 -1 -1 0.25 0.0025954 0.00234975 16 7 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.52 vpr 62.67 MiB -1 -1 0.09 19632 3 0.06 -1 -1 35476 -1 -1 3 13 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64176 13 7 41 48 1 32 23 17 17 289 -1 unnamed_device 24.2 MiB 0.01 111 759 157 597 5 62.7 MiB 0.01 0.00 1.50711 -14.1678 -1.50711 1.50711 0.96 8.9329e-05 8.0258e-05 0.00256457 0.00232405 26 268 8 6.55708e+06 36165 477104. 1650.88 0.70 0.0124355 0.0105786 21022 109990 -1 258 9 91 110 6664 1768 1.50711 1.50711 -17.1728 -1.50711 0 0 585099. 2024.56 0.24 0.01 0.11 -1 -1 0.24 0.00326019 0.00293262 19 9 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_007bits.v common 4.09 vpr 62.78 MiB -1 -1 0.09 19832 3 0.06 -1 -1 35608 -1 -1 3 15 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64288 15 8 47 55 1 38 26 17 17 289 -1 unnamed_device 24.2 MiB 0.01 97 900 163 610 127 62.8 MiB 0.01 0.00 1.05785 -13.991 -1.05785 1.05785 0.92 0.000111352 0.000101489 0.00265204 0.00239794 24 373 25 6.55708e+06 36165 448715. 1552.65 1.37 0.0213435 0.0177301 20734 103517 -1 312 12 180 199 10107 3346 1.13885 1.13885 -19.0838 -1.13885 0 0 554710. 1919.41 0.23 0.01 0.10 -1 -1 0.23 0.00386935 0.00342211 23 10 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.63 vpr 63.11 MiB -1 -1 0.09 19592 3 0.06 -1 -1 35304 -1 -1 4 17 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64624 17 9 56 65 1 42 30 17 17 289 -1 unnamed_device 24.6 MiB 0.06 259 1088 235 780 73 63.1 MiB 0.01 0.00 1.46791 -20.75 -1.46791 1.46791 0.96 0.000129343 0.000117699 0.0034198 0.00309427 26 484 17 6.55708e+06 48220 477104. 1650.88 0.71 0.0184822 0.015743 21022 109990 -1 444 20 145 160 11287 2809 1.58811 1.58811 -25.2034 -1.58811 0 0 585099. 2024.56 0.26 0.01 0.11 -1 -1 0.26 0.00471692 0.00415982 25 14 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.64 vpr 62.96 MiB -1 -1 0.09 19472 4 0.06 -1 -1 35768 -1 -1 4 19 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64476 19 10 60 70 1 48 33 17 17 289 -1 unnamed_device 24.5 MiB 0.01 175 2581 881 1113 587 63.0 MiB 0.02 0.00 1.50711 -21.3868 -1.50711 1.50711 0.95 0.000130866 0.00011805 0.00699701 0.00632139 28 410 14 6.55708e+06 48220 500653. 1732.36 0.76 0.0227809 0.0196292 21310 115450 -1 373 7 145 171 8454 2547 1.50711 1.50711 -25.714 -1.50711 0 0 612192. 2118.31 0.27 0.01 0.11 -1 -1 0.27 0.00390637 0.00354532 29 13 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.73 vpr 62.68 MiB -1 -1 0.11 19560 4 0.06 -1 -1 35696 -1 -1 5 21 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64188 21 11 69 80 1 53 37 17 17 289 -1 unnamed_device 24.1 MiB 0.03 200 1623 420 995 208 62.7 MiB 0.01 0.00 1.46791 -23.299 -1.46791 1.46791 0.95 0.000158315 0.000144629 0.0045414 0.00412834 30 503 16 6.55708e+06 60275 526063. 1820.29 0.81 0.0215519 0.0184848 21886 126133 -1 422 10 158 200 10528 2954 1.46791 1.46791 -28.3474 -1.46791 0 0 666494. 2306.21 0.28 0.01 0.12 -1 -1 0.28 0.00484288 0.00435108 33 17 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.68 vpr 62.93 MiB -1 -1 0.10 19708 5 0.07 -1 -1 35752 -1 -1 6 23 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64444 23 12 76 88 1 61 41 17 17 289 -1 unnamed_device 24.3 MiB 0.02 208 2631 815 1525 291 62.9 MiB 0.02 0.00 1.7455 -26.3998 -1.7455 1.7455 0.97 0.000160537 0.000145431 0.00682976 0.00618809 26 554 14 6.55708e+06 72330 477104. 1650.88 0.76 0.0260908 0.0224941 21022 109990 -1 542 13 263 344 20510 5872 1.74951 1.74951 -33.131 -1.74951 0 0 585099. 2024.56 0.25 0.02 0.11 -1 -1 0.25 0.00566229 0.00502783 37 19 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.62 vpr 63.04 MiB -1 -1 0.09 19840 5 0.07 -1 -1 35272 -1 -1 6 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64556 25 13 83 96 1 66 44 17 17 289 -1 unnamed_device 24.7 MiB 0.02 261 1738 311 1416 11 63.0 MiB 0.01 0.00 1.53464 -29.3154 -1.53464 1.53464 0.93 0.000169886 0.000153542 0.00465521 0.00423563 26 731 15 6.55708e+06 72330 477104. 1650.88 0.74 0.0253327 0.0218191 21022 109990 -1 597 16 302 424 23967 6700 1.82851 1.82851 -38.0411 -1.82851 0 0 585099. 2024.56 0.25 0.02 0.11 -1 -1 0.25 0.00702172 0.00617679 40 21 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.70 vpr 63.01 MiB -1 -1 0.09 19572 5 0.07 -1 -1 35576 -1 -1 7 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64520 27 14 91 105 1 70 48 17 17 289 -1 unnamed_device 24.6 MiB 0.02 318 4920 1489 2739 692 63.0 MiB 0.03 0.00 1.49544 -32.4063 -1.49544 1.49544 0.97 0.00018962 0.000171455 0.0115768 0.0105137 26 748 11 6.55708e+06 84385 477104. 1650.88 0.75 0.0332578 0.0289936 21022 109990 -1 667 11 284 409 25186 7080 1.61765 1.61765 -40.6417 -1.61765 0 0 585099. 2024.56 0.25 0.02 0.10 -1 -1 0.25 0.00638432 0.00569885 42 24 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.73 vpr 63.03 MiB -1 -1 0.10 19836 6 0.07 -1 -1 35412 -1 -1 7 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64544 29 15 95 110 1 74 51 17 17 289 -1 unnamed_device 24.7 MiB 0.04 426 2025 404 1499 122 63.0 MiB 0.02 0.00 2.15556 -41.608 -2.15556 2.15556 0.97 0.000200409 0.000181825 0.00526967 0.00480561 26 872 9 6.55708e+06 84385 477104. 1650.88 0.78 0.0273333 0.0236159 21022 109990 -1 799 8 243 322 22496 5389 2.2243 2.2243 -49.262 -2.2243 0 0 585099. 2024.56 0.24 0.02 0.11 -1 -1 0.24 0.00565463 0.0051215 45 23 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.83 vpr 63.08 MiB -1 -1 0.10 19520 6 0.07 -1 -1 35836 -1 -1 10 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64592 31 16 104 120 1 81 57 17 17 289 -1 unnamed_device 24.7 MiB 0.04 369 4090 1380 2192 518 63.1 MiB 0.03 0.00 1.73384 -38.7759 -1.73384 1.73384 0.96 0.000203127 0.000183983 0.00914227 0.00831853 28 901 14 6.55708e+06 120550 500653. 1732.36 0.82 0.0344089 0.029908 21310 115450 -1 810 12 345 511 30400 7807 1.9467 1.9467 -46.8293 -1.9467 0 0 612192. 2118.31 0.27 0.02 0.12 -1 -1 0.27 0.0094551 0.00867602 50 27 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.67 vpr 63.07 MiB -1 -1 0.10 19708 7 0.07 -1 -1 35696 -1 -1 7 33 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64580 33 17 112 129 1 86 57 17 17 289 -1 unnamed_device 24.7 MiB 0.05 294 3000 777 1848 375 63.1 MiB 0.02 0.00 2.2223 -42.4636 -2.2223 2.2223 0.92 0.000214708 0.000194486 0.00720292 0.00653595 26 946 15 6.55708e+06 84385 477104. 1650.88 0.80 0.0336832 0.0291411 21022 109990 -1 701 11 322 418 25585 7241 2.3425 2.3425 -52.482 -2.3425 0 0 585099. 2024.56 0.25 0.02 0.10 -1 -1 0.25 0.00682709 0.00610986 52 30 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.81 vpr 63.37 MiB -1 -1 0.12 19900 7 0.07 -1 -1 35860 -1 -1 10 37 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64888 37 19 127 146 1 99 66 17 17 289 -1 unnamed_device 24.9 MiB 0.02 498 7115 2016 4080 1019 63.4 MiB 0.04 0.00 2.75256 -61.0465 -2.75256 2.75256 0.97 0.000253144 0.000228534 0.0147745 0.0134253 26 1086 13 6.55708e+06 120550 477104. 1650.88 0.77 0.0444043 0.0388889 21022 109990 -1 1032 12 364 496 31418 8425 2.87276 2.87276 -70.4913 -2.87276 0 0 585099. 2024.56 0.26 0.02 0.11 -1 -1 0.26 0.00846512 0.00756901 59 35 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_020bits.v common 5.83 vpr 63.23 MiB -1 -1 0.11 19988 8 0.08 -1 -1 36092 -1 -1 11 41 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64748 41 21 139 160 1 110 73 17 17 289 -1 unnamed_device 24.7 MiB 0.05 579 8889 2597 4633 1659 63.2 MiB 0.05 0.00 2.4215 -62.5706 -2.4215 2.4215 0.98 0.00027664 0.000251159 0.017604 0.0160415 30 1227 28 6.55708e+06 132605 526063. 1820.29 2.70 0.101319 0.087345 21886 126133 -1 1060 11 361 482 31376 7772 2.5417 2.5417 -73.3887 -2.5417 0 0 666494. 2306.21 0.30 0.02 0.12 -1 -1 0.30 0.00909 0.00818499 67 37 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_022bits.v common 4.18 vpr 63.43 MiB -1 -1 0.11 19760 9 0.08 -1 -1 36072 -1 -1 13 45 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64956 45 23 153 176 1 123 81 17 17 289 -1 unnamed_device 24.9 MiB 0.24 537 9181 3601 5269 311 63.4 MiB 0.05 0.00 2.78916 -69.2589 -2.78916 2.78916 0.96 0.00029993 0.000272073 0.0172621 0.0157289 28 1345 16 6.55708e+06 156715 500653. 1732.36 0.92 0.0544136 0.0478072 21310 115450 -1 1157 13 531 666 39606 10957 3.11056 3.11056 -86.7453 -3.11056 0 0 612192. 2118.31 0.26 0.03 0.11 -1 -1 0.26 0.0103549 0.00926035 74 41 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_024bits.v common 4.02 vpr 63.50 MiB -1 -1 0.11 19848 10 0.08 -1 -1 36280 -1 -1 12 49 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65028 49 25 166 191 1 129 86 17 17 289 -1 unnamed_device 25.1 MiB 0.07 710 9536 2690 5195 1651 63.5 MiB 0.05 0.00 3.51862 -88.1514 -3.51862 3.51862 0.98 0.00032536 0.00029583 0.0180472 0.0164755 28 1501 12 6.55708e+06 144660 500653. 1732.36 0.90 0.0557348 0.0491109 21310 115450 -1 1343 11 453 611 43327 10878 3.63882 3.63882 -100.051 -3.63882 0 0 612192. 2118.31 0.26 0.03 0.12 -1 -1 0.26 0.0101253 0.00906512 79 44 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_028bits.v common 6.35 vpr 63.61 MiB -1 -1 0.12 20048 11 0.09 -1 -1 35704 -1 -1 14 57 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65132 57 29 198 227 1 159 100 17 17 289 -1 unnamed_device 25.1 MiB 0.21 896 14716 3450 9646 1620 63.6 MiB 0.07 0.00 3.76868 -108.384 -3.76868 3.76868 0.96 0.000402461 0.000363001 0.0258254 0.0235424 36 1692 13 6.55708e+06 168770 612192. 2118.31 2.95 0.133236 0.116251 22750 144809 -1 1531 12 508 677 42784 10146 3.76868 3.76868 -119.208 -3.76868 0 0 782063. 2706.10 0.33 0.03 0.14 -1 -1 0.33 0.0124029 0.0111312 93 56 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_032bits.v common 4.44 vpr 63.85 MiB -1 -1 0.13 20480 13 0.09 -1 -1 36160 -1 -1 16 65 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65384 65 33 224 257 1 180 114 17 17 289 -1 unnamed_device 25.3 MiB 0.28 1005 19710 6632 11536 1542 63.9 MiB 0.09 0.00 4.06216 -130.977 -4.06216 4.06216 0.98 0.000443358 0.000398044 0.0330876 0.0301583 28 2060 15 6.55708e+06 192880 500653. 1732.36 0.97 0.0851367 0.0755577 21310 115450 -1 1863 14 676 911 64698 15397 4.42276 4.42276 -151.652 -4.42276 0 0 612192. 2118.31 0.25 0.04 0.11 -1 -1 0.25 0.0151234 0.01349 107 62 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_048bits.v common 4.67 vpr 64.81 MiB -1 -1 0.15 20108 19 0.12 -1 -1 36084 -1 -1 24 97 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 97 49 340 389 1 266 170 17 17 289 -1 unnamed_device 26.1 MiB 0.24 1490 37770 11919 22076 3775 64.8 MiB 0.16 0.00 6.49539 -245.46 -6.49539 6.49539 0.98 0.000618356 0.000568324 0.0535377 0.0487381 30 3062 35 6.55708e+06 289320 526063. 1820.29 1.04 0.158747 0.142348 21886 126133 -1 2541 16 892 1266 73432 19002 6.85599 6.85599 -273.709 -6.85599 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0245157 0.0221719 161 98 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_064bits.v common 5.96 vpr 65.39 MiB -1 -1 0.16 20640 26 0.12 -1 -1 35880 -1 -1 35 129 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 129 65 453 518 1 353 229 17 17 289 -1 unnamed_device 26.6 MiB 0.21 2092 52029 14749 32079 5201 65.4 MiB 0.21 0.00 8.91496 -417.828 -8.91496 8.91496 0.96 0.000834983 0.000761293 0.0661168 0.0604097 34 4117 50 6.55708e+06 421925 585099. 2024.56 2.28 0.282397 0.252916 22462 138074 -1 3753 12 1223 1709 121820 28789 9.39576 9.39576 -461.101 -9.39576 0 0 742403. 2568.87 0.30 0.07 0.13 -1 -1 0.30 0.0274776 0.0250877 213 131 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 0.26 abc 32.51 MiB -1 -1 0.09 19520 1 0.02 -1 -1 33288 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24168 7 4 24 25 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.26 abc 32.79 MiB -1 -1 0.08 19520 1 0.02 -1 -1 33576 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24504 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.27 abc 32.79 MiB -1 -1 0.08 19640 1 0.02 -1 -1 33576 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24756 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.27 abc 32.55 MiB -1 -1 0.09 19576 1 0.02 -1 -1 33328 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24880 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.27 abc 32.63 MiB -1 -1 0.10 19796 1 0.02 -1 -1 33412 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25220 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.26 abc 32.67 MiB -1 -1 0.09 19504 1 0.02 -1 -1 33452 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25032 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.27 abc 32.96 MiB -1 -1 0.08 19920 1 0.02 -1 -1 33752 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25180 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.27 abc 32.75 MiB -1 -1 0.08 19716 1 0.02 -1 -1 33540 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25164 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.27 abc 32.85 MiB -1 -1 0.09 19648 1 0.02 -1 -1 33640 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24960 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.28 abc 32.84 MiB -1 -1 0.10 19596 1 0.02 -1 -1 33632 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25376 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.27 abc 32.67 MiB -1 -1 0.10 19720 1 0.02 -1 -1 33456 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25080 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.28 abc 32.98 MiB -1 -1 0.10 19584 1 0.02 -1 -1 33768 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24824 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.27 abc 32.84 MiB -1 -1 0.10 19588 1 0.02 -1 -1 33624 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25080 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.29 abc 32.74 MiB -1 -1 0.09 19524 1 0.02 -1 -1 33524 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25044 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.27 abc 32.80 MiB -1 -1 0.09 19520 1 0.02 -1 -1 33584 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25268 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.28 abc 33.04 MiB -1 -1 0.10 19756 1 0.02 -1 -1 33828 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25220 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.27 abc 33.17 MiB -1 -1 0.10 19784 1 0.02 -1 -1 33968 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25156 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.29 abc 33.24 MiB -1 -1 0.11 19992 1 0.02 -1 -1 34040 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25248 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.29 abc 32.89 MiB -1 -1 0.11 20048 1 0.03 -1 -1 33680 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25412 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.30 abc 32.55 MiB -1 -1 0.11 19904 1 0.03 -1 -1 33332 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25448 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.31 abc 33.05 MiB -1 -1 0.11 20272 1 0.03 -1 -1 33848 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25540 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.33 abc 33.24 MiB -1 -1 0.13 20112 1 0.03 -1 -1 34040 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25864 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 0.27 abc 32.80 MiB -1 -1 0.10 19572 1 0.02 -1 -1 33584 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24900 7 4 24 25 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.27 abc 32.64 MiB -1 -1 0.09 19492 1 0.02 -1 -1 33424 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24900 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.26 abc 32.64 MiB -1 -1 0.09 19484 1 0.02 -1 -1 33420 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24928 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.26 abc 32.47 MiB -1 -1 0.10 19620 1 0.02 -1 -1 33248 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25072 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.27 abc 32.63 MiB -1 -1 0.09 19556 1 0.02 -1 -1 33416 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24976 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.26 abc 32.93 MiB -1 -1 0.10 19520 1 0.02 -1 -1 33720 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24596 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.27 abc 32.56 MiB -1 -1 0.09 19680 1 0.02 -1 -1 33344 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24592 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.26 abc 32.86 MiB -1 -1 0.10 19604 1 0.02 -1 -1 33648 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24868 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.27 abc 32.76 MiB -1 -1 0.10 19564 1 0.02 -1 -1 33544 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25028 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.27 abc 32.89 MiB -1 -1 0.11 19524 1 0.02 -1 -1 33676 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24832 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.27 abc 32.66 MiB -1 -1 0.11 19604 1 0.02 -1 -1 33440 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24784 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.27 abc 33.02 MiB -1 -1 0.10 19592 1 0.02 -1 -1 33812 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25116 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.28 abc 32.71 MiB -1 -1 0.10 19720 1 0.02 -1 -1 33496 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24864 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.27 abc 32.88 MiB -1 -1 0.09 19532 1 0.02 -1 -1 33664 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24984 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.28 abc 33.06 MiB -1 -1 0.10 19580 1 0.02 -1 -1 33852 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25136 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.27 abc 33.24 MiB -1 -1 0.10 19580 1 0.02 -1 -1 34040 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24744 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.31 abc 33.09 MiB -1 -1 0.11 19732 1 0.02 -1 -1 33880 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 23908 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.32 abc 32.76 MiB -1 -1 0.10 19452 1 0.02 -1 -1 33544 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24316 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.30 abc 32.84 MiB -1 -1 0.11 20136 1 0.03 -1 -1 33628 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24236 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.30 abc 32.48 MiB -1 -1 0.10 19824 1 0.03 -1 -1 33264 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 24480 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.33 abc 32.82 MiB -1 -1 0.12 20324 1 0.03 -1 -1 33604 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25516 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.34 abc 33.32 MiB -1 -1 0.12 20092 1 0.03 -1 -1 34120 -1 -1 -1 -1 -1 -1 exited with return code 134 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 25724 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 3.31 vpr 63.05 MiB -1 -1 0.10 19500 1 0.02 -1 -1 33624 -1 -1 2 7 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64560 7 4 27 28 1 14 13 17 17 289 -1 unnamed_device 24.6 MiB 0.01 34 193 62 127 4 63.0 MiB 0.00 0.00 0.649848 -5.97153 -0.649848 0.649848 0.98 4.3862e-05 3.863e-05 0.000882234 0.000790199 18 97 8 6.64007e+06 25116 355633. 1230.56 0.54 0.00782689 0.00653351 20242 81429 -1 62 5 24 24 771 282 0.71851 0.71851 -6.45233 -0.71851 0 0 448715. 1552.65 0.19 0.00 0.08 -1 -1 0.19 0.00200128 0.00185033 10 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 3.53 vpr 63.19 MiB -1 -1 0.10 19456 1 0.02 -1 -1 33632 -1 -1 2 9 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64704 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 24.7 MiB 0.01 46 536 146 333 57 63.2 MiB 0.01 0.00 0.671848 -7.32322 -0.671848 0.671848 0.98 6.2881e-05 5.6276e-05 0.00202316 0.00181912 26 118 18 6.64007e+06 25116 477104. 1650.88 0.69 0.0102638 0.00860962 21682 110474 -1 105 12 94 94 3683 1319 0.890248 0.890248 -8.84682 -0.890248 0 0 585099. 2024.56 0.25 0.01 0.10 -1 -1 0.25 0.00280256 0.00249123 13 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 3.64 vpr 63.06 MiB -1 -1 0.10 19872 1 0.02 -1 -1 33440 -1 -1 2 11 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64576 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 24.6 MiB 0.01 58 719 204 451 64 63.1 MiB 0.01 0.00 0.682848 -9.22145 -0.682848 0.682848 0.98 7.5907e-05 6.8286e-05 0.0025417 0.00229215 26 211 26 6.64007e+06 25116 477104. 1650.88 0.73 0.0145388 0.0121839 21682 110474 -1 170 21 213 213 11456 3833 1.03245 1.03245 -12.6712 -1.03245 0 0 585099. 2024.56 0.25 0.01 0.11 -1 -1 0.25 0.00406893 0.00348422 16 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.46 vpr 63.33 MiB -1 -1 0.08 19452 1 0.02 -1 -1 33648 -1 -1 4 13 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64852 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 24.8 MiB 0.01 78 670 149 435 86 63.3 MiB 0.01 0.00 0.704848 -10.9187 -0.704848 0.704848 0.93 9.3677e-05 8.4064e-05 0.00227317 0.00204579 26 253 20 6.64007e+06 50232 477104. 1650.88 0.69 0.0136742 0.0114632 21682 110474 -1 209 19 161 161 10868 3349 1.06545 1.06545 -15.9671 -1.06545 0 0 585099. 2024.56 0.25 0.01 0.10 -1 -1 0.25 0.00465423 0.00405729 20 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 3.77 vpr 63.10 MiB -1 -1 0.09 19648 1 0.02 -1 -1 33312 -1 -1 3 15 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64616 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 24.6 MiB 0.02 109 1166 373 619 174 63.1 MiB 0.01 0.00 0.944958 -13.5599 -0.944958 0.944958 0.98 9.4698e-05 8.499e-05 0.00364782 0.00331602 32 232 11 6.64007e+06 37674 554710. 1919.41 0.83 0.0152134 0.0130115 22834 132086 -1 239 12 139 139 7641 2168 0.932248 0.932248 -17.3898 -0.932248 0 0 701300. 2426.64 0.28 0.01 0.14 -1 -1 0.28 0.00341461 0.00304938 22 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.57 vpr 63.39 MiB -1 -1 0.10 19676 1 0.02 -1 -1 33780 -1 -1 4 17 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64908 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 24.8 MiB 0.02 125 1870 524 952 394 63.4 MiB 0.01 0.00 0.955958 -15.7312 -0.955958 0.955958 0.94 0.000110851 9.9941e-05 0.00504207 0.0045593 28 315 9 6.64007e+06 50232 500653. 1732.36 0.74 0.0179374 0.0153475 21970 115934 -1 281 14 171 171 9560 2963 0.943248 0.943248 -20.485 -0.943248 0 0 612192. 2118.31 0.25 0.01 0.11 -1 -1 0.25 0.00466005 0.0040984 25 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.60 vpr 63.34 MiB -1 -1 0.10 19848 1 0.02 -1 -1 33744 -1 -1 4 19 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64860 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 24.8 MiB 0.03 130 1593 402 884 307 63.3 MiB 0.01 0.00 0.966958 -18.0338 -0.966958 0.966958 0.95 0.000122701 0.00011109 0.0042931 0.00390374 26 368 11 6.64007e+06 50232 477104. 1650.88 0.75 0.0191985 0.0164468 21682 110474 -1 328 10 165 165 9263 2563 1.07445 1.07445 -23.3758 -1.07445 0 0 585099. 2024.56 0.25 0.01 0.11 -1 -1 0.25 0.00407487 0.00364558 28 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.68 vpr 63.15 MiB -1 -1 0.10 19824 1 0.02 -1 -1 33504 -1 -1 5 21 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64668 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 24.6 MiB 0.03 149 1928 465 1128 335 63.2 MiB 0.01 0.00 0.977958 -19.6261 -0.977958 0.977958 0.96 0.000137391 0.000124888 0.00485382 0.00438983 28 397 17 6.64007e+06 62790 500653. 1732.36 0.78 0.0218829 0.0187356 21970 115934 -1 380 11 232 232 16626 5128 0.998248 0.998248 -26.8612 -0.998248 0 0 612192. 2118.31 0.28 0.01 0.11 -1 -1 0.28 0.00481071 0.00429465 31 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.71 vpr 63.25 MiB -1 -1 0.09 19756 1 0.02 -1 -1 33484 -1 -1 5 23 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64768 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 24.7 MiB 0.03 183 3032 982 1399 651 63.2 MiB 0.02 0.00 0.988958 -22.3112 -0.988958 0.988958 0.96 0.000145198 0.00013127 0.00720091 0.00653527 28 435 19 6.64007e+06 62790 500653. 1732.36 0.81 0.0261165 0.0224801 21970 115934 -1 385 19 286 286 17065 4944 1.02145 1.02145 -26.8467 -1.02145 0 0 612192. 2118.31 0.27 0.02 0.12 -1 -1 0.27 0.00659426 0.00576131 34 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.66 vpr 63.33 MiB -1 -1 0.09 19860 1 0.02 -1 -1 33760 -1 -1 5 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64852 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 24.8 MiB 0.03 222 3568 1271 1605 692 63.3 MiB 0.02 0.00 0.999958 -25.0074 -0.999958 0.999958 0.94 0.000166191 0.000150837 0.00827938 0.00752059 28 543 11 6.64007e+06 62790 500653. 1732.36 0.78 0.02598 0.022526 21970 115934 -1 479 9 226 226 15781 4138 1.11845 1.11845 -31.8307 -1.11845 0 0 612192. 2118.31 0.28 0.01 0.12 -1 -1 0.28 0.0046646 0.00417661 37 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.84 vpr 62.55 MiB -1 -1 0.10 19880 1 0.02 -1 -1 33672 -1 -1 6 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64052 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 23.9 MiB 0.03 203 3659 1207 1646 806 62.6 MiB 0.02 0.00 1.01096 -26.5125 -1.01096 1.01096 0.98 0.000164473 0.000148831 0.00784852 0.00712446 28 630 21 6.64007e+06 75348 500653. 1732.36 0.86 0.029987 0.0259129 21970 115934 -1 572 17 358 358 33511 8988 1.27285 1.27285 -36.0353 -1.27285 0 0 612192. 2118.31 0.28 0.02 0.12 -1 -1 0.28 0.00725479 0.00632241 40 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.86 vpr 62.77 MiB -1 -1 0.10 19720 1 0.02 -1 -1 33572 -1 -1 7 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64272 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 24.4 MiB 0.02 264 4845 1957 2787 101 62.8 MiB 0.03 0.00 1.02196 -28.6296 -1.02196 1.02196 0.98 0.000174692 0.000157902 0.00976867 0.00886745 30 630 18 6.64007e+06 87906 526063. 1820.29 0.86 0.0321904 0.0280059 22546 126617 -1 478 10 236 236 11089 3342 0.956248 0.956248 -33.521 -0.956248 0 0 666494. 2306.21 0.30 0.01 0.13 -1 -1 0.30 0.00536137 0.00480342 44 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.71 vpr 63.14 MiB -1 -1 0.10 19524 1 0.02 -1 -1 33708 -1 -1 7 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64652 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 24.8 MiB 0.02 288 4338 1448 1916 974 63.1 MiB 0.03 0.00 1.26207 -31.7081 -1.26207 1.26207 0.96 0.000188704 0.000171276 0.00878224 0.00796286 28 699 13 6.64007e+06 87906 500653. 1732.36 0.78 0.0305007 0.026552 21970 115934 -1 621 13 368 368 25527 6745 1.07325 1.07325 -39.076 -1.07325 0 0 612192. 2118.31 0.28 0.02 0.12 -1 -1 0.28 0.00660736 0.00585133 46 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.87 vpr 63.53 MiB -1 -1 0.11 19560 1 0.02 -1 -1 33992 -1 -1 7 33 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65056 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 25.1 MiB 0.03 278 4635 1269 2510 856 63.5 MiB 0.03 0.00 1.27307 -33.1147 -1.27307 1.27307 0.98 0.000195663 0.000177906 0.00943014 0.00860671 30 552 15 6.64007e+06 87906 526063. 1820.29 0.85 0.0339276 0.0295426 22546 126617 -1 506 13 247 247 14359 4260 1.06225 1.06225 -39.2866 -1.06225 0 0 666494. 2306.21 0.30 0.02 0.12 -1 -1 0.30 0.00716268 0.00635917 49 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.94 vpr 63.63 MiB -1 -1 0.10 19832 1 0.02 -1 -1 33964 -1 -1 8 37 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65160 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 25.1 MiB 0.03 450 7176 2889 4070 217 63.6 MiB 0.04 0.00 1.29507 -41.7306 -1.29507 1.29507 0.98 0.000223059 0.000203036 0.0136472 0.0124464 32 898 14 6.64007e+06 100464 554710. 1919.41 0.88 0.0399834 0.0350358 22834 132086 -1 833 12 398 398 32792 8035 1.11725 1.11725 -50.0443 -1.11725 0 0 701300. 2426.64 0.31 0.02 0.13 -1 -1 0.31 0.00736096 0.00655949 55 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 3.89 vpr 63.74 MiB -1 -1 0.10 19832 1 0.02 -1 -1 33712 -1 -1 8 41 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65268 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 25.2 MiB 0.03 384 9862 3616 4182 2064 63.7 MiB 0.05 0.00 1.31707 -42.5775 -1.31707 1.31707 0.95 0.000241523 0.000218676 0.0177482 0.0160472 30 1009 22 6.64007e+06 100464 526063. 1820.29 0.89 0.0496743 0.0434439 22546 126617 -1 769 16 500 500 31882 9705 1.11845 1.11845 -50.739 -1.11845 0 0 666494. 2306.21 0.30 0.03 0.12 -1 -1 0.30 0.00985625 0.00874658 61 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 4.00 vpr 63.63 MiB -1 -1 0.10 19736 1 0.03 -1 -1 34000 -1 -1 10 45 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65160 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 25.1 MiB 0.03 578 10536 4389 6034 113 63.6 MiB 0.06 0.00 1.33907 -52.3632 -1.33907 1.33907 0.99 0.000280379 0.000256515 0.0183888 0.0168169 32 1176 16 6.64007e+06 125580 554710. 1919.41 0.89 0.0500386 0.0441382 22834 132086 -1 961 17 453 453 31195 7774 1.16125 1.16125 -60.3262 -1.16125 0 0 701300. 2426.64 0.31 0.03 0.13 -1 -1 0.31 0.0106694 0.00945448 68 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 4.00 vpr 63.75 MiB -1 -1 0.11 19952 1 0.03 -1 -1 33868 -1 -1 10 49 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65276 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 25.2 MiB 0.04 794 11064 4061 6210 793 63.7 MiB 0.06 0.00 1.59018 -63.2522 -1.59018 1.59018 0.99 0.000293997 0.000268004 0.0190646 0.017446 30 1396 15 6.64007e+06 125580 526063. 1820.29 0.87 0.0532329 0.0470532 22546 126617 -1 1275 17 557 557 40692 9436 1.18125 1.18125 -71.5059 -1.18125 0 0 666494. 2306.21 0.30 0.03 0.12 -1 -1 0.30 0.011423 0.0101185 73 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 3.88 vpr 63.89 MiB -1 -1 0.11 19804 1 0.03 -1 -1 33448 -1 -1 11 57 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65420 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 25.5 MiB 0.04 793 13195 3197 9369 629 63.9 MiB 0.06 0.00 1.63418 -71.2188 -1.63418 1.63418 0.93 0.00032557 0.000297529 0.0197959 0.0179769 30 1545 18 6.64007e+06 138138 526063. 1820.29 0.88 0.0579391 0.0509304 22546 126617 -1 1387 14 552 552 44006 10193 1.20325 1.20325 -79.855 -1.20325 0 0 666494. 2306.21 0.30 0.03 0.11 -1 -1 0.30 0.0114172 0.0102391 85 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 4.15 vpr 64.09 MiB -1 -1 0.10 19860 1 0.03 -1 -1 33996 -1 -1 13 65 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65628 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 25.6 MiB 0.04 1052 16603 5796 8906 1901 64.1 MiB 0.08 0.00 1.90729 -88.9548 -1.90729 1.90729 0.98 0.000383579 0.000351123 0.0254386 0.0232767 28 1923 17 6.64007e+06 163254 500653. 1732.36 1.07 0.075021 0.0665168 21970 115934 -1 1768 13 739 739 76020 16828 1.25745 1.25745 -97.1391 -1.25745 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0117195 0.0104266 97 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 4.28 vpr 65.18 MiB -1 -1 0.12 20064 1 0.03 -1 -1 33800 -1 -1 19 97 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 26.4 MiB 0.06 1578 33161 13797 19221 143 65.2 MiB 0.17 0.00 2.54151 -146.769 -2.54151 2.54151 0.94 0.000578083 0.000530179 0.0438382 0.040187 32 2818 19 6.64007e+06 238602 554710. 1919.41 1.03 0.115612 0.103457 22834 132086 -1 2583 16 1055 1055 96184 21564 1.51745 1.51745 -148.9 -1.51745 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.019773 0.0177127 145 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 4.56 vpr 65.39 MiB -1 -1 0.13 20584 1 0.03 -1 -1 33948 -1 -1 25 129 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 26.9 MiB 0.07 1985 53598 17102 32033 4463 65.4 MiB 0.24 0.00 3.17573 -209.442 -3.17573 3.17573 0.93 0.000676068 0.000617646 0.0621421 0.0569793 32 3963 27 6.64007e+06 313950 554710. 1919.41 1.14 0.168913 0.152144 22834 132086 -1 3402 16 1343 1343 133597 30486 1.79745 1.79745 -207.776 -1.79745 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.027052 0.0244437 193 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 3.15 vpr 62.47 MiB -1 -1 0.09 19464 1 0.02 -1 -1 33576 -1 -1 2 7 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 63972 7 4 27 28 1 14 13 17 17 289 -1 unnamed_device 23.9 MiB 0.01 30 193 66 124 3 62.5 MiB 0.00 0.00 0.649848 -5.93533 -0.649848 0.649848 0.97 5.2883e-05 4.7161e-05 0.000976535 0.000862942 14 113 8 6.65987e+06 25356 279208. 966.117 0.46 0.00826128 0.0069363 19378 63921 -1 103 11 62 62 3397 1147 0.890248 0.890248 -7.97873 -0.890248 0 0 355633. 1230.56 0.16 0.01 0.07 -1 -1 0.16 0.00256854 0.00229681 10 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 3.23 vpr 62.47 MiB -1 -1 0.10 19520 1 0.02 -1 -1 33188 -1 -1 2 9 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 63972 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 24.0 MiB 0.01 43 496 117 326 53 62.5 MiB 0.01 0.00 0.660848 -7.17696 -0.660848 0.660848 0.94 6.2542e-05 5.6041e-05 0.00193579 0.00173708 18 157 15 6.65987e+06 25356 355633. 1230.56 0.54 0.0103831 0.00871531 20242 81429 -1 121 14 131 131 5569 1919 0.890248 0.890248 -9.5689 -0.890248 0 0 448715. 1552.65 0.20 0.01 0.08 -1 -1 0.20 0.00327708 0.00287437 13 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 3.62 vpr 62.94 MiB -1 -1 0.10 19436 1 0.02 -1 -1 33244 -1 -1 2 11 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64452 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 24.4 MiB 0.01 60 744 205 423 116 62.9 MiB 0.01 0.00 0.682848 -9.22145 -0.682848 0.682848 0.97 7.901e-05 7.1129e-05 0.00267995 0.0023982 28 198 22 6.65987e+06 25356 500653. 1732.36 0.75 0.0135359 0.0113857 21970 115934 -1 182 26 219 219 10542 3455 1.02145 1.02145 -12.2886 -1.02145 0 0 612192. 2118.31 0.28 0.02 0.11 -1 -1 0.28 0.00462035 0.00395454 16 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.62 vpr 63.12 MiB -1 -1 0.09 19604 1 0.02 -1 -1 33360 -1 -1 4 13 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64636 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 24.6 MiB 0.01 105 806 237 473 96 63.1 MiB 0.01 0.00 0.704848 -11.7601 -0.704848 0.704848 0.92 9.2671e-05 8.3392e-05 0.00246635 0.00222757 32 270 13 6.65987e+06 50712 554710. 1919.41 0.80 0.0136676 0.011528 22834 132086 -1 239 16 183 183 11521 3292 0.901248 0.901248 -15.8563 -0.901248 0 0 701300. 2426.64 0.30 0.01 0.13 -1 -1 0.30 0.00427793 0.00374568 20 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 3.63 vpr 63.23 MiB -1 -1 0.09 19480 1 0.02 -1 -1 33472 -1 -1 3 15 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64744 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 24.7 MiB 0.01 110 1166 376 594 196 63.2 MiB 0.01 0.00 0.944958 -13.8003 -0.944958 0.944958 0.93 9.5469e-05 8.5347e-05 0.00346496 0.00312446 30 272 15 6.65987e+06 38034 526063. 1820.29 0.78 0.0164074 0.013945 22546 126617 -1 214 12 122 122 5801 1808 0.845048 0.845048 -16.7676 -0.845048 0 0 666494. 2306.21 0.29 0.01 0.12 -1 -1 0.29 0.00394083 0.00350937 22 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.54 vpr 63.04 MiB -1 -1 0.09 19584 1 0.02 -1 -1 33640 -1 -1 4 17 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64552 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 24.5 MiB 0.01 123 2054 818 1188 48 63.0 MiB 0.01 0.00 0.955958 -15.9716 -0.955958 0.955958 0.95 9.3522e-05 8.3913e-05 0.00528104 0.00478287 26 345 17 6.65987e+06 50712 477104. 1650.88 0.72 0.0201979 0.0171712 21682 110474 -1 317 15 186 186 19260 5045 1.22179 1.22179 -22.2633 -1.22179 0 0 585099. 2024.56 0.25 0.01 0.11 -1 -1 0.25 0.00482568 0.00423823 25 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.74 vpr 62.98 MiB -1 -1 0.09 19708 1 0.02 -1 -1 33672 -1 -1 4 19 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64488 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 24.3 MiB 0.01 130 1957 662 1093 202 63.0 MiB 0.01 0.00 0.966958 -17.6732 -0.966958 0.966958 0.95 0.000122418 0.000110846 0.00532101 0.00482621 32 383 16 6.65987e+06 50712 554710. 1919.41 0.82 0.0207806 0.0178427 22834 132086 -1 312 18 207 207 16073 4383 1.07445 1.07445 -23.2556 -1.07445 0 0 701300. 2426.64 0.29 0.02 0.13 -1 -1 0.29 0.00583582 0.0050884 28 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.73 vpr 63.13 MiB -1 -1 0.10 19412 1 0.02 -1 -1 33460 -1 -1 5 21 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64644 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 24.5 MiB 0.01 146 3026 912 1453 661 63.1 MiB 0.02 0.00 0.977958 -20.1069 -0.977958 0.977958 0.96 0.000139967 0.000127008 0.00729914 0.00661591 30 398 20 6.65987e+06 63390 526063. 1820.29 0.81 0.0247602 0.0212861 22546 126617 -1 340 12 227 227 11174 3527 0.998248 0.998248 -25.5391 -0.998248 0 0 666494. 2306.21 0.30 0.01 0.12 -1 -1 0.30 0.00489291 0.00434995 31 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.75 vpr 63.12 MiB -1 -1 0.10 19864 1 0.02 -1 -1 33740 -1 -1 5 23 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64636 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 24.6 MiB 0.01 167 2012 514 1199 299 63.1 MiB 0.01 0.00 0.988958 -21.59 -0.988958 0.988958 0.94 0.00015878 0.000145108 0.00510336 0.004631 32 478 23 6.65987e+06 63390 554710. 1919.41 0.86 0.0249329 0.0213485 22834 132086 -1 407 21 315 315 25034 7123 1.10745 1.10745 -29.0894 -1.10745 0 0 701300. 2426.64 0.29 0.02 0.13 -1 -1 0.29 0.00724853 0.00632278 34 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.75 vpr 63.11 MiB -1 -1 0.10 19560 1 0.02 -1 -1 33652 -1 -1 5 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64624 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 24.7 MiB 0.01 219 3568 1328 1911 329 63.1 MiB 0.02 0.00 0.999958 -24.6468 -0.999958 0.999958 0.97 0.00015678 0.000141964 0.00825378 0.00750465 30 521 16 6.65987e+06 63390 526063. 1820.29 0.81 0.027636 0.0239402 22546 126617 -1 442 13 257 257 16317 4444 1.02145 1.02145 -29.9661 -1.02145 0 0 666494. 2306.21 0.29 0.02 0.12 -1 -1 0.29 0.00579507 0.00514713 37 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.73 vpr 63.16 MiB -1 -1 0.11 19584 1 0.02 -1 -1 33632 -1 -1 6 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64676 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 24.8 MiB 0.02 241 3659 1213 1772 674 63.2 MiB 0.02 0.00 1.01096 -26.6327 -1.01096 1.01096 0.96 0.000174067 0.000157139 0.00829862 0.00754997 30 566 15 6.65987e+06 76068 526063. 1820.29 0.82 0.027462 0.0238116 22546 126617 -1 461 12 291 291 16178 4804 1.02025 1.02025 -32.8813 -1.02025 0 0 666494. 2306.21 0.28 0.02 0.12 -1 -1 0.28 0.00556518 0.00495607 40 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.72 vpr 63.34 MiB -1 -1 0.10 19488 1 0.02 -1 -1 33476 -1 -1 7 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64860 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 24.9 MiB 0.02 264 3905 1553 2300 52 63.3 MiB 0.02 0.00 1.02196 -29.1104 -1.02196 1.02196 0.94 0.000169635 0.000153059 0.0076084 0.0069049 30 591 16 6.65987e+06 88746 526063. 1820.29 0.82 0.0291981 0.0253123 22546 126617 -1 508 13 301 301 16593 4596 1.05325 1.05325 -36.2122 -1.05325 0 0 666494. 2306.21 0.29 0.02 0.12 -1 -1 0.29 0.00666765 0.00591348 44 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.86 vpr 63.39 MiB -1 -1 0.10 19572 1 0.02 -1 -1 33928 -1 -1 7 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64912 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 24.9 MiB 0.02 288 4236 1690 2447 99 63.4 MiB 0.03 0.00 1.26207 -31.3475 -1.26207 1.26207 0.97 0.000194403 0.000176354 0.00891091 0.00808402 32 738 19 6.65987e+06 88746 554710. 1919.41 0.86 0.0327717 0.0284346 22834 132086 -1 634 14 365 365 31119 8311 1.19345 1.19345 -41.7204 -1.19345 0 0 701300. 2426.64 0.29 0.02 0.13 -1 -1 0.29 0.0071307 0.00629966 46 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.69 vpr 63.35 MiB -1 -1 0.10 19708 1 0.02 -1 -1 33540 -1 -1 7 33 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64872 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 24.9 MiB 0.02 292 4962 1899 2806 257 63.4 MiB 0.03 0.00 1.27307 -33.3551 -1.27307 1.27307 0.94 0.000199282 0.000180987 0.0102901 0.00938793 28 746 21 6.65987e+06 88746 500653. 1732.36 0.79 0.0367853 0.0319739 21970 115934 -1 619 17 367 367 28698 7666 1.12239 1.12239 -42.2263 -1.12239 0 0 612192. 2118.31 0.26 0.02 0.12 -1 -1 0.26 0.00831786 0.00730478 49 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.83 vpr 63.41 MiB -1 -1 0.11 19576 1 0.02 -1 -1 34016 -1 -1 8 37 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64928 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 24.9 MiB 0.02 392 7176 2936 4133 107 63.4 MiB 0.04 0.00 1.29507 -39.6872 -1.29507 1.29507 0.94 0.000224658 0.000204625 0.0134825 0.0122661 28 896 44 6.65987e+06 101424 500653. 1732.36 0.90 0.0516861 0.0448864 21970 115934 -1 758 14 397 397 36061 8753 1.23745 1.23745 -49.9241 -1.23745 0 0 612192. 2118.31 0.27 0.02 0.12 -1 -1 0.27 0.00817405 0.00724571 55 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 3.79 vpr 63.52 MiB -1 -1 0.10 20148 1 0.02 -1 -1 33796 -1 -1 8 41 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65040 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 25.0 MiB 0.02 386 9862 4118 5538 206 63.5 MiB 0.05 0.00 1.31707 -43.4189 -1.31707 1.31707 0.88 0.00021069 0.00019142 0.0166453 0.0151567 32 1111 34 6.65987e+06 101424 554710. 1919.41 0.89 0.0513807 0.0448252 22834 132086 -1 841 20 515 515 47077 12080 1.35765 1.35765 -57.0942 -1.35765 0 0 701300. 2426.64 0.28 0.03 0.13 -1 -1 0.28 0.011503 0.0101321 61 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 5.65 vpr 63.61 MiB -1 -1 0.11 19900 1 0.03 -1 -1 33568 -1 -1 10 45 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65136 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 25.0 MiB 0.02 499 10536 4328 6046 162 63.6 MiB 0.05 0.00 1.33907 -49.7188 -1.33907 1.33907 0.96 0.000264097 0.000241339 0.0178993 0.0163194 32 1217 30 6.65987e+06 126780 554710. 1919.41 2.61 0.108442 0.0938047 22834 132086 -1 965 18 522 522 46242 11884 1.28145 1.28145 -61.8888 -1.28145 0 0 701300. 2426.64 0.30 0.03 0.13 -1 -1 0.30 0.0113385 0.0100323 68 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 4.00 vpr 63.64 MiB -1 -1 0.11 19944 1 0.03 -1 -1 33616 -1 -1 10 49 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65164 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 25.3 MiB 0.03 794 11064 3985 5953 1126 63.6 MiB 0.06 0.00 1.59018 -63.0118 -1.59018 1.59018 0.97 0.000303493 0.000277365 0.0192189 0.0175949 32 1505 20 6.65987e+06 126780 554710. 1919.41 0.93 0.0563481 0.0497672 22834 132086 -1 1360 17 577 577 56223 12826 1.32345 1.32345 -76.3311 -1.32345 0 0 701300. 2426.64 0.30 0.03 0.14 -1 -1 0.30 0.011308 0.0100014 73 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 3.91 vpr 63.66 MiB -1 -1 0.10 19720 1 0.03 -1 -1 33432 -1 -1 11 57 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65192 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 25.3 MiB 0.03 850 13195 3455 8896 844 63.7 MiB 0.07 0.00 1.63418 -73.9834 -1.63418 1.63418 0.96 0.000336359 0.000307053 0.0213655 0.0195081 30 1570 22 6.65987e+06 139458 526063. 1820.29 0.89 0.0651521 0.0575168 22546 126617 -1 1405 14 532 532 40580 9391 1.22525 1.22525 -81.4428 -1.22525 0 0 666494. 2306.21 0.28 0.03 0.12 -1 -1 0.28 0.0106603 0.00952213 85 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 3.99 vpr 63.79 MiB -1 -1 0.12 19832 1 0.03 -1 -1 33720 -1 -1 13 65 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65320 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 25.3 MiB 0.03 1051 16603 5613 9068 1922 63.8 MiB 0.08 0.00 1.90729 -88.9548 -1.90729 1.90729 0.95 0.000376407 0.000344269 0.0256197 0.0234758 32 1956 20 6.65987e+06 164814 554710. 1919.41 0.91 0.0728866 0.0644218 22834 132086 -1 1777 16 782 782 68901 15411 1.28925 1.28925 -97.0017 -1.28925 0 0 701300. 2426.64 0.30 0.04 0.13 -1 -1 0.30 0.0139195 0.01234 97 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 6.58 vpr 64.96 MiB -1 -1 0.12 20168 1 0.03 -1 -1 33808 -1 -1 19 97 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 26.4 MiB 0.04 1550 31353 13012 18220 121 65.0 MiB 0.16 0.00 2.54151 -146.288 -2.54151 2.54151 0.96 0.00058745 0.000540589 0.0416276 0.0382096 32 2937 28 6.65987e+06 240882 554710. 1919.41 3.31 0.233986 0.206893 22834 132086 -1 2616 16 1074 1074 103927 23287 1.52845 1.52845 -147.87 -1.52845 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.020775 0.018675 145 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 5.06 vpr 65.00 MiB -1 -1 0.12 20308 1 0.03 -1 -1 34136 -1 -1 25 129 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 26.4 MiB 0.06 1949 53598 16062 32882 4654 65.0 MiB 0.24 0.00 3.17573 -211.846 -3.17573 3.17573 0.94 0.000676799 0.000618186 0.0596114 0.0545287 34 4019 40 6.65987e+06 316950 585099. 2024.56 1.69 0.209064 0.187033 23122 138558 -1 3444 19 1364 1364 129890 30846 1.77039 1.77039 -204.873 -1.77039 0 0 742403. 2568.87 0.30 0.08 0.13 -1 -1 0.30 0.0289898 0.0260461 193 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_003bits.v common 2.94 vpr 63.80 MiB -1 -1 0.10 19520 1 0.02 -1 -1 33232 -1 -1 1 7 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65332 7 4 27 28 1 12 12 17 17 289 -1 unnamed_device 25.2 MiB 0.02 77 38 20 18 0 63.8 MiB 0.00 0.00 0.942216 -8.23033 -0.942216 0.942216 0.95 6.3961e-05 5.5109e-05 0.000444422 0.00040276 8 125 9 6.95648e+06 14475.7 166176. 575.005 0.29 0.00304729 0.00273346 20866 45572 -1 121 5 20 20 1899 476 0.942216 0.942216 -9.23274 -0.942216 0 0 202963. 702.294 0.11 0.01 0.05 -1 -1 0.11 0.00203118 0.00187298 5 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_004bits.v common 3.38 vpr 63.76 MiB -1 -1 0.10 19564 1 0.02 -1 -1 33560 -1 -1 1 9 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65292 9 5 34 35 1 15 15 17 17 289 -1 unnamed_device 25.3 MiB 0.02 33 519 172 322 25 63.8 MiB 0.01 0.00 0.583992 -7.62477 -0.583992 0.583992 0.97 8.027e-05 5.7217e-05 0.00222831 0.00197832 18 138 11 6.95648e+06 14475.7 376052. 1301.22 0.57 0.0110288 0.00927884 22882 88689 -1 98 7 44 44 1942 698 0.834592 0.834592 -9.50427 -0.834592 0 0 470940. 1629.55 0.20 0.01 0.09 -1 -1 0.20 0.00250323 0.00228356 7 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_005bits.v common 3.69 vpr 63.80 MiB -1 -1 0.09 19700 1 0.02 -1 -1 33536 -1 -1 1 11 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65336 11 6 41 42 1 19 18 17 17 289 -1 unnamed_device 25.3 MiB 0.03 45 570 137 409 24 63.8 MiB 0.01 0.00 0.701895 -10.0315 -0.701895 0.701895 0.99 7.5117e-05 6.7416e-05 0.00228094 0.00206044 26 152 18 6.95648e+06 14475.7 503264. 1741.40 0.75 0.0137565 0.011533 24322 120374 -1 130 7 59 59 4009 1240 0.74674 0.74674 -12.4122 -0.74674 0 0 618332. 2139.56 0.26 0.01 0.12 -1 -1 0.26 0.00281127 0.00255584 8 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_006bits.v common 3.72 vpr 63.83 MiB -1 -1 0.10 19556 1 0.02 -1 -1 33468 -1 -1 2 13 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65360 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 25.3 MiB 0.03 58 1012 297 560 155 63.8 MiB 0.01 0.00 0.745895 -12.7431 -0.745895 0.745895 0.99 9.2073e-05 7.6871e-05 0.00343746 0.00309659 26 174 28 6.95648e+06 28951.4 503264. 1741.40 0.76 0.0170148 0.0143811 24322 120374 -1 164 15 121 121 5114 1815 0.834592 0.834592 -15.8026 -0.834592 0 0 618332. 2139.56 0.26 0.01 0.12 -1 -1 0.26 0.00418345 0.00367974 10 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_007bits.v common 3.47 vpr 64.08 MiB -1 -1 0.10 19644 1 0.02 -1 -1 33748 -1 -1 2 15 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65620 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 25.6 MiB 0.04 84 673 131 525 17 64.1 MiB 0.01 0.00 0.727332 -14.8036 -0.727332 0.727332 0.95 9.167e-05 8.1922e-05 0.00230856 0.00207935 20 282 11 6.95648e+06 28951.4 414966. 1435.87 0.63 0.0145958 0.0123472 23170 95770 -1 246 13 160 160 10011 3125 1.06403 1.06403 -19.7841 -1.06403 0 0 503264. 1741.40 0.22 0.01 0.10 -1 -1 0.22 0.00417426 0.00368924 11 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_008bits.v common 3.75 vpr 63.78 MiB -1 -1 0.09 19660 1 0.02 -1 -1 33748 -1 -1 2 17 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65312 17 9 62 63 1 37 28 17 17 289 -1 unnamed_device 25.2 MiB 0.04 103 826 283 535 8 63.8 MiB 0.01 0.00 0.942216 -16.9019 -0.942216 0.942216 0.94 9.5349e-05 8.501e-05 0.00238344 0.00214826 32 343 26 6.95648e+06 28951.4 586450. 2029.24 0.83 0.0175282 0.0147084 25474 144626 -1 260 25 197 197 10739 3425 1.10323 1.10323 -21.3623 -1.10323 0 0 744469. 2576.02 0.29 0.02 0.14 -1 -1 0.29 0.00633139 0.00546774 13 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_009bits.v common 3.84 vpr 63.70 MiB -1 -1 0.09 19564 1 0.02 -1 -1 33340 -1 -1 2 19 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65232 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 25.1 MiB 0.04 168 1471 292 1164 15 63.7 MiB 0.01 0.00 0.760332 -18.4143 -0.760332 0.760332 0.98 0.000126582 0.000115479 0.00438659 0.00400702 30 425 13 6.95648e+06 28951.4 556674. 1926.21 0.83 0.01973 0.0169651 25186 138497 -1 400 13 213 213 13972 3527 1.07503 1.07503 -25.5921 -1.07503 0 0 706193. 2443.58 0.29 0.01 0.13 -1 -1 0.29 0.00482474 0.00427675 14 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_010bits.v common 4.46 vpr 63.95 MiB -1 -1 0.10 19856 1 0.02 -1 -1 33476 -1 -1 2 21 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65488 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 25.6 MiB 0.04 127 1904 549 1062 293 64.0 MiB 0.01 0.00 0.771332 -20.8321 -0.771332 0.771332 0.97 0.000131184 0.000118484 0.00521336 0.00473872 36 378 25 6.95648e+06 28951.4 648988. 2245.63 1.39 0.0352861 0.0297962 26050 158493 -1 380 28 397 397 26324 7698 1.11423 1.11423 -27.1954 -1.11423 0 0 828058. 2865.25 0.33 0.02 0.15 -1 -1 0.33 0.00826554 0.00712508 16 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_011bits.v common 5.12 vpr 64.04 MiB -1 -1 0.10 19852 1 0.02 -1 -1 33732 -1 -1 3 23 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65576 23 12 83 84 1 55 38 17 17 289 -1 unnamed_device 25.4 MiB 0.04 197 1676 381 1256 39 64.0 MiB 0.01 0.00 0.99734 -24.1005 -0.99734 0.99734 0.99 0.000145965 0.000131583 0.00460598 0.00418901 26 607 28 6.95648e+06 43427 503264. 1741.40 2.12 0.0455056 0.0382772 24322 120374 -1 518 12 241 241 17920 5163 1.21133 1.21133 -34.393 -1.21133 0 0 618332. 2139.56 0.26 0.02 0.11 -1 -1 0.26 0.00528861 0.0047004 17 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_012bits.v common 3.87 vpr 64.02 MiB -1 -1 0.10 19428 1 0.02 -1 -1 33496 -1 -1 3 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65552 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 25.7 MiB 0.04 204 1651 326 1280 45 64.0 MiB 0.01 0.00 0.816915 -24.4477 -0.816915 0.816915 0.95 0.000148673 0.000134189 0.00440947 0.00400789 30 590 15 6.95648e+06 43427 556674. 1926.21 0.86 0.0236768 0.0203449 25186 138497 -1 466 17 282 282 17658 5080 1.17833 1.17833 -33.7316 -1.17833 0 0 706193. 2443.58 0.31 0.02 0.13 -1 -1 0.31 0.00688864 0.00606125 19 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_013bits.v common 4.38 vpr 64.17 MiB -1 -1 0.10 19584 1 0.02 -1 -1 33640 -1 -1 3 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65708 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 25.8 MiB 0.04 436 2354 661 1335 358 64.2 MiB 0.01 0.00 0.826332 -34.4783 -0.826332 0.826332 0.94 0.000159457 0.000144871 0.00514894 0.0047072 34 895 23 6.95648e+06 43427 618332. 2139.56 1.39 0.0401846 0.0341142 25762 151098 -1 828 16 378 378 49927 10005 1.27733 1.27733 -45.7482 -1.27733 0 0 787024. 2723.27 0.30 0.02 0.15 -1 -1 0.30 0.00694137 0.00609601 20 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_014bits.v common 4.50 vpr 64.27 MiB -1 -1 0.09 19592 1 0.02 -1 -1 33484 -1 -1 4 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65808 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 25.9 MiB 0.03 469 3354 893 1987 474 64.3 MiB 0.02 0.00 0.826332 -37.0057 -0.826332 0.826332 0.98 0.000173608 0.000157854 0.00739704 0.00675537 34 1001 26 6.95648e+06 57902.7 618332. 2139.56 1.45 0.0470931 0.0402402 25762 151098 -1 899 15 431 431 47758 9684 1.15203 1.15203 -47.3124 -1.15203 0 0 787024. 2723.27 0.31 0.02 0.14 -1 -1 0.31 0.00698628 0.00617521 23 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_015bits.v common 6.09 vpr 64.12 MiB -1 -1 0.10 19800 1 0.02 -1 -1 33768 -1 -1 3 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65660 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 25.7 MiB 0.05 264 4466 1831 2594 41 64.1 MiB 0.03 0.00 1.08336 -32.6216 -1.08336 1.08336 0.99 0.00018983 0.000172994 0.0102628 0.00935491 34 850 25 6.95648e+06 43427 618332. 2139.56 2.99 0.0612625 0.0523138 25762 151098 -1 587 17 426 426 35389 8904 1.17203 1.17203 -41.6975 -1.17203 0 0 787024. 2723.27 0.30 0.02 0.15 -1 -1 0.30 0.00832816 0.00733352 24 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_016bits.v common 4.40 vpr 64.19 MiB -1 -1 0.09 19876 1 0.02 -1 -1 33916 -1 -1 4 33 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65732 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 25.8 MiB 0.07 280 5052 2060 2940 52 64.2 MiB 0.03 0.00 1.09436 -35.1295 -1.09436 1.09436 0.95 0.000193657 0.000175801 0.0110118 0.0100393 34 863 30 6.95648e+06 57902.7 618332. 2139.56 1.37 0.055425 0.047455 25762 151098 -1 644 17 403 403 36110 9049 1.33033 1.33033 -47.3616 -1.33033 0 0 787024. 2723.27 0.31 0.02 0.14 -1 -1 0.31 0.00848615 0.00744275 25 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_018bits.v common 6.78 vpr 64.31 MiB -1 -1 0.10 19444 1 0.03 -1 -1 34024 -1 -1 4 37 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 25.8 MiB 0.09 310 6378 2629 3693 56 64.3 MiB 0.03 0.00 1.11636 -39.6418 -1.11636 1.11636 0.98 0.00023123 0.000210626 0.0125653 0.0114693 36 802 23 6.95648e+06 57902.7 648988. 2245.63 3.61 0.0743797 0.0639251 26050 158493 -1 630 20 441 441 39487 9311 1.13903 1.13903 -48.8116 -1.13903 0 0 828058. 2865.25 0.32 0.03 0.16 -1 -1 0.32 0.00970715 0.00855539 28 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_020bits.v common 4.94 vpr 63.99 MiB -1 -1 0.10 20012 1 0.02 -1 -1 33772 -1 -1 4 41 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65524 41 21 146 147 1 96 66 17 17 289 -1 unnamed_device 25.5 MiB 0.09 350 7381 3123 4222 36 64.0 MiB 0.04 0.00 1.13836 -44.3233 -1.13836 1.13836 0.98 0.000250455 0.000227605 0.0150795 0.0137902 34 1059 43 6.95648e+06 57902.7 618332. 2139.56 1.77 0.0774978 0.0669751 25762 151098 -1 845 15 495 495 49569 11739 1.59193 1.59193 -64.2466 -1.59193 0 0 787024. 2723.27 0.31 0.03 0.15 -1 -1 0.31 0.00950147 0.00844223 31 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_022bits.v common 5.12 vpr 64.30 MiB -1 -1 0.10 19864 1 0.03 -1 -1 33704 -1 -1 5 45 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 45 23 160 161 1 108 73 17 17 289 -1 unnamed_device 25.7 MiB 0.10 398 8889 3723 5117 49 64.3 MiB 0.05 0.00 1.16036 -49.0488 -1.16036 1.16036 0.99 0.000274677 0.000250107 0.0178675 0.016304 36 1221 33 6.95648e+06 72378.4 648988. 2245.63 1.88 0.0843603 0.0737352 26050 158493 -1 959 20 646 646 67969 15861 1.35233 1.35233 -66.5113 -1.35233 0 0 828058. 2865.25 0.31 0.04 0.15 -1 -1 0.31 0.0121313 0.0106977 34 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_024bits.v common 5.41 vpr 64.34 MiB -1 -1 0.11 19976 1 0.02 -1 -1 34012 -1 -1 5 49 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 49 25 174 175 1 119 79 17 17 289 -1 unnamed_device 25.8 MiB 0.10 454 11402 4778 6545 79 64.3 MiB 0.06 0.00 1.18236 -53.693 -1.18236 1.18236 0.98 0.000278614 0.000253229 0.0209336 0.0191348 38 1384 25 6.95648e+06 72378.4 678818. 2348.85 2.13 0.0882723 0.0772535 26626 170182 -1 1032 16 695 695 57726 15270 1.54363 1.54363 -76.294 -1.54363 0 0 902133. 3121.57 0.35 0.03 0.17 -1 -1 0.35 0.0107534 0.00959479 37 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_028bits.v common 5.48 vpr 64.53 MiB -1 -1 0.11 19824 1 0.03 -1 -1 33692 -1 -1 6 57 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 57 29 202 203 1 142 92 17 17 289 -1 unnamed_device 26.2 MiB 0.08 720 13754 5849 7844 61 64.5 MiB 0.07 0.00 1.22636 -68.3761 -1.22636 1.22636 0.99 0.000332871 0.000304832 0.0236579 0.0216821 36 1585 28 6.95648e+06 86854.1 648988. 2245.63 2.18 0.101163 0.0887212 26050 158493 -1 1296 15 739 739 74163 16266 1.31503 1.31503 -84.3761 -1.31503 0 0 828058. 2865.25 0.34 0.04 0.15 -1 -1 0.34 0.0123181 0.0110032 43 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_032bits.v common 9.96 vpr 64.65 MiB -1 -1 0.11 19824 1 0.03 -1 -1 33664 -1 -1 7 65 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 26.2 MiB 0.09 753 15913 6786 8985 142 64.7 MiB 0.08 0.00 1.50539 -78.0667 -1.50539 1.50539 0.96 0.000390444 0.000355706 0.0268841 0.02458 40 1659 45 6.95648e+06 101330 706193. 2443.58 6.67 0.207618 0.180864 26914 176310 -1 1462 16 859 859 87953 20292 1.55893 1.55893 -100.6 -1.55893 0 0 926341. 3205.33 0.34 0.04 0.17 -1 -1 0.34 0.0142633 0.0127324 49 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_048bits.v common 6.42 vpr 65.85 MiB -1 -1 0.12 19968 1 0.03 -1 -1 33720 -1 -1 10 97 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67428 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 27.1 MiB 0.10 1453 28648 8981 18078 1589 65.8 MiB 0.12 0.00 1.91642 -137.228 -1.91642 1.91642 0.99 0.000501162 0.000460012 0.038284 0.0350492 50 2766 42 6.95648e+06 144757 902133. 3121.57 2.86 0.183566 0.162951 28642 213929 -1 2488 19 1133 1133 164591 45077 1.68933 1.68933 -164.534 -1.68933 0 0 1.08113e+06 3740.92 0.44 0.07 0.20 -1 -1 0.44 0.0232347 0.0208363 73 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_064bits.v common 6.47 vpr 66.16 MiB -1 -1 0.13 20324 1 0.03 -1 -1 34056 -1 -1 13 129 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67752 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 27.4 MiB 0.12 2042 36927 11401 22933 2593 66.2 MiB 0.18 0.01 2.32745 -201.714 -2.32745 2.32745 0.94 0.000732722 0.000669999 0.0490909 0.0451532 48 3936 36 6.95648e+06 188184 865456. 2994.66 2.76 0.235516 0.211405 28354 207349 -1 3608 32 1541 1541 368744 131245 1.66773 1.66773 -214.539 -1.66773 0 0 1.05005e+06 3633.38 0.40 0.16 0.20 -1 -1 0.40 0.0465285 0.0417724 97 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_003bits.v common 3.14 vpr 63.50 MiB -1 -1 0.10 19644 1 0.02 -1 -1 33648 -1 -1 1 7 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65020 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 25.1 MiB 0.01 62 38 18 20 0 63.5 MiB 0.00 0.00 0.816915 -8.12034 -0.816915 0.816915 0.93 5.9569e-05 5.1423e-05 0.000403073 0.000365818 14 112 10 6.99608e+06 14715.7 292583. 1012.40 0.44 0.00303841 0.00271185 22018 70521 -1 146 8 38 38 3136 964 0.942216 0.942216 -10.8769 -0.942216 0 0 376052. 1301.22 0.16 0.01 0.07 -1 -1 0.16 0.0034479 0.00310235 5 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_004bits.v common 3.58 vpr 63.58 MiB -1 -1 0.09 19556 1 0.02 -1 -1 33340 -1 -1 1 9 0 0 exited with return code 2 b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65108 9 5 34 35 1 17 15 17 17 289 -1 unnamed_device 25.1 MiB 0.01 35 537 170 325 42 63.6 MiB 0.01 0.00 0.712895 -8.47096 -0.712895 0.712895 0.97 6.1414e-05 5.4785e-05 0.00225 0.00202158 18 134 24 6.99608e+06 14715.7 376052. 1301.22 0.54 0.0068012 0.00591831 22882 88689 -1 -1 -1 396 396 1120306 330783 -1 -1 -1 -1 -1 -1 -1 -1 0.19 0.31 0.09 -1 -1 0.19 -1 -1 7 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_005bits.v common 3.63 vpr 63.36 MiB -1 -1 0.09 19576 1 0.02 -1 -1 33564 -1 -1 1 11 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64884 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 24.8 MiB 0.01 46 432 103 316 13 63.4 MiB 0.01 0.00 0.837432 -11.8241 -0.837432 0.837432 0.97 8.7063e-05 7.8506e-05 0.00184283 0.0016673 28 138 7 6.99608e+06 14715.7 531479. 1839.03 0.78 0.0110038 0.0093492 24610 126494 -1 135 7 43 43 3240 988 0.837432 0.837432 -13.9542 -0.837432 0 0 648988. 2245.63 0.26 0.01 0.12 -1 -1 0.26 0.00273962 0.00249714 8 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_006bits.v common 3.41 vpr 63.45 MiB -1 -1 0.09 19696 1 0.02 -1 -1 33672 -1 -1 2 13 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64976 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 25.0 MiB 0.01 63 1252 510 727 15 63.5 MiB 0.01 0.00 0.699132 -12.7017 -0.699132 0.699132 0.95 8.4941e-05 7.6022e-05 0.00403029 0.00362653 20 187 11 6.99608e+06 29431.4 414966. 1435.87 0.61 0.0148617 0.0126464 23170 95770 -1 154 8 88 88 5077 1662 0.74674 0.74674 -14.4559 -0.74674 0 0 503264. 1741.40 0.21 0.01 0.10 -1 -1 0.21 0.00305512 0.00276331 10 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_007bits.v common 3.65 vpr 63.54 MiB -1 -1 0.10 19700 1 0.02 -1 -1 33480 -1 -1 2 15 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65060 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 25.0 MiB 0.01 81 925 286 554 85 63.5 MiB 0.01 0.00 0.859432 -15.6972 -0.859432 0.859432 0.97 9.8968e-05 8.9391e-05 0.00304644 0.00275082 26 246 14 6.99608e+06 29431.4 503264. 1741.40 0.76 0.0153784 0.0130865 24322 120374 -1 222 11 142 142 11339 3470 0.927732 0.927732 -19.1679 -0.927732 0 0 618332. 2139.56 0.27 0.01 0.12 -1 -1 0.27 0.00378076 0.00336853 11 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_008bits.v common 4.36 vpr 63.44 MiB -1 -1 0.09 19696 1 0.02 -1 -1 33640 -1 -1 2 17 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64964 17 9 62 63 1 36 28 17 17 289 -1 unnamed_device 24.9 MiB 0.01 100 952 357 591 4 63.4 MiB 0.01 0.00 0.710132 -15.8578 -0.710132 0.710132 0.98 0.000114132 0.000103215 0.00311926 0.00282445 36 245 31 6.99608e+06 29431.4 648988. 2245.63 1.35 0.0294168 0.0245414 26050 158493 -1 213 15 194 194 10361 3346 1.05303 1.05303 -18.5094 -1.05303 0 0 828058. 2865.25 0.32 0.01 0.15 -1 -1 0.32 0.00444668 0.00389301 13 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_009bits.v common 3.79 vpr 63.79 MiB -1 -1 0.10 19528 1 0.02 -1 -1 33728 -1 -1 2 19 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65316 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 25.2 MiB 0.02 116 1279 262 994 23 63.8 MiB 0.01 0.00 0.743132 -18.2879 -0.743132 0.743132 0.94 0.000120697 0.00010931 0.00384166 0.00348822 30 389 17 6.99608e+06 29431.4 556674. 1926.21 0.85 0.0196176 0.016749 25186 138497 -1 325 12 193 193 11417 3432 1.04203 1.04203 -24.5653 -1.04203 0 0 706193. 2443.58 0.30 0.01 0.13 -1 -1 0.30 0.00465264 0.00414318 14 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_010bits.v common 4.19 vpr 64.05 MiB -1 -1 0.09 19664 1 0.02 -1 -1 33528 -1 -1 2 21 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65588 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 25.4 MiB 0.02 128 1629 516 983 130 64.1 MiB 0.01 0.00 0.732132 -20.0804 -0.732132 0.732132 0.93 0.00012894 0.000115571 0.00442854 0.00402204 34 437 27 6.99608e+06 29431.4 618332. 2139.56 1.27 0.0339504 0.0284939 25762 151098 -1 349 23 336 336 19726 5767 1.07503 1.07503 -25.557 -1.07503 0 0 787024. 2723.27 0.30 0.02 0.14 -1 -1 0.30 0.00748048 0.0064584 16 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_011bits.v common 4.32 vpr 63.85 MiB -1 -1 0.10 19588 1 0.02 -1 -1 33740 -1 -1 3 23 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65380 23 12 83 84 1 54 38 17 17 289 -1 unnamed_device 25.2 MiB 0.02 263 1865 448 1360 57 63.8 MiB 0.01 0.00 0.834592 -24.7199 -0.834592 0.834592 0.97 0.000150329 0.000135431 0.00511394 0.00464303 34 616 13 6.99608e+06 44147 618332. 2139.56 1.33 0.0338569 0.0285807 25762 151098 -1 561 10 222 222 18881 4540 1.13003 1.13003 -33.7415 -1.13003 0 0 787024. 2723.27 0.30 0.01 0.15 -1 -1 0.30 0.00484958 0.00434322 17 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_012bits.v common 3.76 vpr 63.82 MiB -1 -1 0.09 19920 1 0.02 -1 -1 33512 -1 -1 3 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65348 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 25.2 MiB 0.02 197 1791 337 1419 35 63.8 MiB 0.01 0.00 0.765132 -24.2158 -0.765132 0.765132 0.97 0.000152772 0.000138549 0.00473571 0.00432177 26 686 19 6.99608e+06 44147 503264. 1741.40 0.84 0.0253789 0.0217636 24322 120374 -1 585 16 349 349 30715 8566 1.23333 1.23333 -36.9969 -1.23333 0 0 618332. 2139.56 0.26 0.02 0.12 -1 -1 0.26 0.00657088 0.00578091 19 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_013bits.v common 4.39 vpr 63.73 MiB -1 -1 0.10 19536 1 0.02 -1 -1 33600 -1 -1 3 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65256 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 25.4 MiB 0.02 432 2431 692 1436 303 63.7 MiB 0.02 0.00 0.787132 -33.7773 -0.787132 0.787132 0.96 0.000157306 0.000143006 0.00590793 0.00540932 34 849 14 6.99608e+06 44147 618332. 2139.56 1.34 0.039007 0.0332072 25762 151098 -1 823 13 351 351 34461 7409 1.10803 1.10803 -42.4323 -1.10803 0 0 787024. 2723.27 0.33 0.02 0.15 -1 -1 0.33 0.0063476 0.00562461 20 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_014bits.v common 4.39 vpr 63.89 MiB -1 -1 0.10 19784 1 0.02 -1 -1 33572 -1 -1 4 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65420 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 25.6 MiB 0.02 521 2832 697 1760 375 63.9 MiB 0.02 0.00 0.798132 -35.6277 -0.798132 0.798132 0.97 0.000170603 0.000154959 0.00644761 0.00588567 34 981 19 6.99608e+06 58862.7 618332. 2139.56 1.34 0.0430153 0.0367473 25762 151098 -1 910 16 420 420 47634 9574 1.12098 1.12098 -46.5605 -1.12098 0 0 787024. 2723.27 0.31 0.03 0.15 -1 -1 0.31 0.00748996 0.00660645 23 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_015bits.v common 5.90 vpr 63.69 MiB -1 -1 0.09 19532 1 0.02 -1 -1 33988 -1 -1 3 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65220 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 25.3 MiB 0.02 266 4466 1791 2633 42 63.7 MiB 0.03 0.00 1.04416 -32.4115 -1.04416 1.04416 0.99 0.000194342 0.000176249 0.0101974 0.00926868 32 870 30 6.99608e+06 44147 586450. 2029.24 2.86 0.054628 0.0467834 25474 144626 -1 593 12 370 370 27056 7112 1.26433 1.26433 -43.3087 -1.26433 0 0 744469. 2576.02 0.29 0.02 0.14 -1 -1 0.29 0.00619784 0.00554191 24 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_016bits.v common 4.50 vpr 64.09 MiB -1 -1 0.10 19848 1 0.02 -1 -1 33948 -1 -1 4 33 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65624 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 25.7 MiB 0.02 280 5154 2117 2985 52 64.1 MiB 0.03 0.00 1.05516 -34.379 -1.05516 1.05516 0.99 0.000205526 0.000186249 0.0111222 0.0100916 34 807 24 6.99608e+06 58862.7 618332. 2139.56 1.43 0.0543516 0.0466507 25762 151098 -1 642 18 407 407 36299 9115 1.30833 1.30833 -48.0107 -1.30833 0 0 787024. 2723.27 0.32 0.03 0.14 -1 -1 0.32 0.00885325 0.0078062 25 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_018bits.v common 4.57 vpr 63.99 MiB -1 -1 0.10 19612 1 0.02 -1 -1 33588 -1 -1 4 37 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65528 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 25.6 MiB 0.02 365 6144 2591 3510 43 64.0 MiB 0.03 0.00 1.08816 -40.2538 -1.08816 1.08816 0.99 0.00020361 0.000184033 0.0123315 0.0112426 34 906 20 6.99608e+06 58862.7 618332. 2139.56 1.46 0.0597129 0.0514099 25762 151098 -1 775 18 500 500 56407 12476 1.23998 1.23998 -53.7736 -1.23998 0 0 787024. 2723.27 0.31 0.03 0.15 -1 -1 0.31 0.00942518 0.00829776 28 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_020bits.v common 4.70 vpr 64.07 MiB -1 -1 0.10 19760 1 0.02 -1 -1 33644 -1 -1 4 41 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65604 41 21 146 147 1 94 66 17 17 289 -1 unnamed_device 25.6 MiB 0.03 346 7248 3002 4174 72 64.1 MiB 0.04 0.00 1.09916 -44.1677 -1.09916 1.09916 0.98 0.000228175 0.000207968 0.0135753 0.0124093 34 1013 22 6.99608e+06 58862.7 618332. 2139.56 1.60 0.0677453 0.0580122 25762 151098 -1 798 16 522 522 50166 12497 1.33848 1.33848 -58.7959 -1.33848 0 0 787024. 2723.27 0.31 0.04 0.15 -1 -1 0.31 0.0100819 0.00896382 31 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_022bits.v common 4.23 vpr 64.27 MiB -1 -1 0.10 19908 1 0.03 -1 -1 33868 -1 -1 5 45 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 45 23 160 161 1 107 73 17 17 289 -1 unnamed_device 25.8 MiB 0.03 464 9497 4025 5408 64 64.3 MiB 0.05 0.00 1.12116 -49.1907 -1.12116 1.12116 0.99 0.000276928 0.000253039 0.0180547 0.016482 30 1304 47 6.99608e+06 73578.4 556674. 1926.21 1.15 0.0646715 0.0565033 25186 138497 -1 872 18 638 638 51428 13275 1.24618 1.24618 -64.7605 -1.24618 0 0 706193. 2443.58 0.30 0.03 0.13 -1 -1 0.30 0.0115361 0.0101838 34 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_024bits.v common 5.45 vpr 64.25 MiB -1 -1 0.10 20132 1 0.03 -1 -1 33988 -1 -1 5 49 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 49 25 174 175 1 118 79 17 17 289 -1 unnamed_device 25.7 MiB 0.03 443 11402 4717 6577 108 64.2 MiB 0.06 0.00 1.14316 -53.0048 -1.14316 1.14316 0.98 0.000290304 0.000263145 0.0211326 0.0193063 36 1303 36 6.99608e+06 73578.4 648988. 2245.63 2.27 0.0939962 0.0821603 26050 158493 -1 985 15 622 622 50641 12631 1.49963 1.49963 -71.8806 -1.49963 0 0 828058. 2865.25 0.33 0.04 0.16 -1 -1 0.33 0.0126024 0.0112697 37 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_028bits.v common 7.55 vpr 64.50 MiB -1 -1 0.12 20024 1 0.03 -1 -1 33532 -1 -1 6 57 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 57 29 202 203 1 141 92 17 17 289 -1 unnamed_device 25.9 MiB 0.04 563 13754 5707 7952 95 64.5 MiB 0.07 0.00 1.18716 -62.7696 -1.18716 1.18716 1.00 0.000340022 0.000309742 0.0241447 0.022078 48 1218 44 6.99608e+06 88294.1 865456. 2994.66 4.14 0.145403 0.126551 28354 207349 -1 1108 25 744 744 152330 69997 1.44033 1.44033 -80.9859 -1.44033 0 0 1.05005e+06 3633.38 0.41 0.07 0.20 -1 -1 0.41 0.0178661 0.0157701 43 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_032bits.v common 5.72 vpr 64.33 MiB -1 -1 0.11 20188 1 0.03 -1 -1 33608 -1 -1 7 65 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 25.9 MiB 0.04 752 15913 6699 9044 170 64.3 MiB 0.08 0.00 1.47719 -78.6599 -1.47719 1.47719 0.98 0.000372454 0.000339817 0.0262535 0.0240343 38 1755 29 6.99608e+06 103010 678818. 2348.85 2.47 0.118257 0.104291 26626 170182 -1 1436 17 892 892 100020 21857 1.46033 1.46033 -98.1839 -1.46033 0 0 902133. 3121.57 0.33 0.05 0.17 -1 -1 0.33 0.0150397 0.0133496 49 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_048bits.v common 13.88 vpr 65.22 MiB -1 -1 0.11 20276 1 0.03 -1 -1 34000 -1 -1 10 97 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 26.5 MiB 0.05 1410 28648 8182 18946 1520 65.2 MiB 0.12 0.00 1.88822 -134.864 -1.88822 1.88822 0.93 0.000528399 0.00048264 0.0373722 0.0340996 44 3017 46 6.99608e+06 147157 787024. 2723.27 10.58 0.327011 0.288546 27778 195446 -1 2428 16 1096 1096 120152 24647 1.61233 1.61233 -158.36 -1.61233 0 0 997811. 3452.63 0.39 0.06 0.18 -1 -1 0.39 0.0202456 0.0181847 73 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_064bits.v common 6.60 vpr 65.78 MiB -1 -1 0.13 20376 1 0.03 -1 -1 33832 -1 -1 13 129 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67356 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 27.0 MiB 0.07 2173 40599 12921 24744 2934 65.8 MiB 0.20 0.01 2.29925 -202.532 -2.29925 2.29925 0.99 0.000766815 0.000705692 0.0530428 0.0487853 50 3950 41 6.99608e+06 191304 902133. 3121.57 2.91 0.221571 0.198895 28642 213929 -1 3521 20 1446 1446 176106 39906 1.67588 1.67588 -216.148 -1.67588 0 0 1.08113e+06 3740.92 0.40 0.09 0.21 -1 -1 0.40 0.0326683 0.0294197 97 -1 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_003bits.v common 3.29 vpr 63.05 MiB -1 -1 0.10 19580 1 0.05 -1 -1 35984 -1 -1 1 7 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64560 7 4 21 25 1 11 12 17 17 289 -1 unnamed_device 24.7 MiB 0.01 64 38 20 18 0 63.0 MiB 0.00 0.00 0.942216 -7.18451 -0.942216 0.942216 0.97 6.7484e-05 4.6351e-05 0.000419961 0.000357954 18 95 2 6.79088e+06 13472 376052. 1301.22 0.52 0.00233703 0.00213235 22222 88205 -1 93 2 12 12 598 176 0.942216 0.942216 -7.93631 -0.942216 0 0 470940. 1629.55 0.20 0.00 0.09 -1 -1 0.20 0.00173822 0.00164215 6 4 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_004bits.v common 3.54 vpr 62.70 MiB -1 -1 0.10 19576 2 0.06 -1 -1 35796 -1 -1 1 9 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64204 9 5 28 33 1 16 15 17 17 289 -1 unnamed_device 24.2 MiB 0.01 35 519 186 312 21 62.7 MiB 0.01 0.00 0.883748 -8.8411 -0.883748 0.883748 0.96 6.2101e-05 5.4938e-05 0.00212518 0.00188478 24 114 7 6.79088e+06 13472 470940. 1629.55 0.68 0.0100664 0.00853417 23374 113417 -1 87 8 46 46 1300 526 0.883748 0.883748 -9.5929 -0.883748 0 0 586450. 2029.24 0.23 0.01 0.11 -1 -1 0.23 0.00249608 0.0022597 8 6 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_005bits.v common 3.51 vpr 63.12 MiB -1 -1 0.10 19852 2 0.06 -1 -1 35716 -1 -1 2 11 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64636 11 6 34 40 1 23 19 17 17 289 -1 unnamed_device 24.7 MiB 0.01 59 419 95 304 20 63.1 MiB 0.01 0.00 1.02368 -11.2072 -1.02368 1.02368 0.98 7.1115e-05 6.3138e-05 0.00161107 0.00145036 22 183 13 6.79088e+06 26944 443629. 1535.05 0.65 0.0114966 0.00968459 22798 101617 -1 171 8 71 83 3851 1248 1.02368 1.02368 -14.6764 -1.02368 0 0 531479. 1839.03 0.22 0.01 0.09 -1 -1 0.22 0.00292 0.00264217 10 7 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_006bits.v common 3.62 vpr 62.98 MiB -1 -1 0.10 19484 3 0.06 -1 -1 35848 -1 -1 2 13 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64492 13 7 41 48 1 30 22 17 17 289 -1 unnamed_device 24.5 MiB 0.01 86 382 76 300 6 63.0 MiB 0.01 0.00 1.05944 -13.8628 -1.05944 1.05944 0.96 8.8895e-05 7.9937e-05 0.00159866 0.00145459 26 249 11 6.79088e+06 26944 503264. 1741.40 0.74 0.0125194 0.0105895 23662 119890 -1 208 11 87 89 5079 1636 1.05944 1.05944 -17.1675 -1.05944 0 0 618332. 2139.56 0.26 0.01 0.12 -1 -1 0.26 0.00352973 0.00315862 11 9 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_007bits.v common 3.69 vpr 63.02 MiB -1 -1 0.10 19424 3 0.06 -1 -1 35272 -1 -1 2 15 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64528 15 8 47 55 1 36 25 17 17 289 -1 unnamed_device 24.6 MiB 0.01 90 1033 251 606 176 63.0 MiB 0.01 0.00 1.13784 -16.3026 -1.13784 1.13784 0.95 0.000107278 9.6957e-05 0.00342942 0.00310415 26 354 15 6.79088e+06 26944 503264. 1741.40 0.77 0.0162752 0.0138479 23662 119890 -1 282 12 173 195 7747 2866 1.13784 1.13784 -19.1453 -1.13784 0 0 618332. 2139.56 0.27 0.01 0.12 -1 -1 0.27 0.0040463 0.003599 13 10 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_008bits.v common 3.80 vpr 63.23 MiB -1 -1 0.10 19492 3 0.06 -1 -1 35656 -1 -1 2 17 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64748 17 9 56 65 1 43 28 17 17 289 -1 unnamed_device 24.7 MiB 0.05 259 994 250 715 29 63.2 MiB 0.01 0.00 1.27433 -22.4371 -1.27433 1.27433 0.98 0.000119104 0.000107041 0.00344231 0.00313876 28 519 8 6.79088e+06 26944 531479. 1839.03 0.80 0.0174059 0.0149217 23950 126010 -1 497 8 173 205 17866 4098 1.27433 1.27433 -26.9479 -1.27433 0 0 648988. 2245.63 0.27 0.01 0.12 -1 -1 0.27 0.00394016 0.00354823 16 14 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_009bits.v common 3.81 vpr 62.94 MiB -1 -1 0.10 19676 4 0.07 -1 -1 35792 -1 -1 3 19 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64452 19 10 60 70 1 49 32 17 17 289 -1 unnamed_device 24.4 MiB 0.04 132 1832 552 976 304 62.9 MiB 0.01 0.00 1.1736 -20.6259 -1.1736 1.1736 0.98 0.00012613 0.000113739 0.00519112 0.00470054 28 476 15 6.79088e+06 40416 531479. 1839.03 0.82 0.0214192 0.018305 23950 126010 -1 393 11 235 246 14006 4379 1.34919 1.34919 -25.499 -1.34919 0 0 648988. 2245.63 0.27 0.01 0.12 -1 -1 0.27 0.00471779 0.00419534 17 13 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_010bits.v common 4.15 vpr 63.32 MiB -1 -1 0.10 19680 4 0.06 -1 -1 36004 -1 -1 3 21 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64844 21 11 69 80 1 55 35 17 17 289 -1 unnamed_device 24.7 MiB 0.19 159 2942 969 1659 314 63.3 MiB 0.02 0.00 1.60338 -26.0076 -1.60338 1.60338 0.99 0.000143366 0.000129535 0.0082963 0.00752478 28 546 37 6.79088e+06 40416 531479. 1839.03 0.93 0.0336615 0.0289123 23950 126010 -1 503 13 271 321 16884 5347 1.60338 1.60338 -32.022 -1.60338 0 0 648988. 2245.63 0.26 0.02 0.12 -1 -1 0.26 0.00568259 0.00504948 21 17 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_011bits.v common 4.42 vpr 63.31 MiB -1 -1 0.10 19640 5 0.07 -1 -1 35748 -1 -1 3 23 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64832 23 12 76 88 1 61 38 17 17 289 -1 unnamed_device 24.7 MiB 0.11 207 2117 764 1174 179 63.3 MiB 0.02 0.00 1.67834 -28.9869 -1.67834 1.67834 0.96 0.000151578 0.000136527 0.00617681 0.00561144 34 525 13 6.79088e+06 40416 618332. 2139.56 1.30 0.0369796 0.0314374 25102 150614 -1 477 12 234 284 18020 5020 1.67834 1.67834 -33.9597 -1.67834 0 0 787024. 2723.27 0.31 0.02 0.15 -1 -1 0.31 0.00572351 0.0051329 22 19 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_012bits.v common 4.51 vpr 63.29 MiB -1 -1 0.11 19568 5 0.07 -1 -1 35356 -1 -1 3 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64808 25 13 83 96 1 66 41 17 17 289 -1 unnamed_device 24.6 MiB 0.19 395 2981 815 2071 95 63.3 MiB 0.02 0.00 1.67834 -38.0476 -1.67834 1.67834 0.97 0.000170962 0.000154876 0.00809817 0.0073683 34 793 19 6.79088e+06 40416 618332. 2139.56 1.29 0.0434855 0.0372091 25102 150614 -1 715 11 245 297 19625 4584 1.68948 1.68948 -43.4912 -1.68948 0 0 787024. 2723.27 0.30 0.02 0.15 -1 -1 0.30 0.00589178 0.00527947 23 21 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_013bits.v common 4.68 vpr 63.30 MiB -1 -1 0.11 19696 5 0.07 -1 -1 36012 -1 -1 4 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64816 27 14 91 105 1 72 45 17 17 289 -1 unnamed_device 24.7 MiB 0.31 335 3165 959 1665 541 63.3 MiB 0.02 0.00 1.81483 -36.8868 -1.81483 1.81483 0.98 0.000195029 0.000177918 0.0083504 0.00759652 34 774 12 6.79088e+06 53888 618332. 2139.56 1.31 0.0453289 0.0388643 25102 150614 -1 675 11 244 323 20950 5358 1.81483 1.81483 -44.0289 -1.81483 0 0 787024. 2723.27 0.32 0.02 0.15 -1 -1 0.32 0.00650138 0.00581739 27 24 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_014bits.v common 6.55 vpr 63.70 MiB -1 -1 0.10 19668 6 0.07 -1 -1 35688 -1 -1 4 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65224 29 15 95 110 1 77 48 17 17 289 -1 unnamed_device 25.0 MiB 0.24 230 4137 1152 2023 962 63.7 MiB 0.03 0.00 2.06549 -38.9139 -2.06549 2.06549 0.97 0.000204453 0.000187874 0.0104871 0.00956051 36 693 49 6.79088e+06 53888 648988. 2245.63 3.27 0.0795159 0.0676892 25390 158009 -1 507 12 345 405 18424 6111 2.06549 2.06549 -43.8006 -2.06549 0 0 828058. 2865.25 0.34 0.02 0.15 -1 -1 0.34 0.00689652 0.00611583 28 23 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_015bits.v common 5.26 vpr 63.20 MiB -1 -1 0.10 19592 6 0.07 -1 -1 35544 -1 -1 5 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64720 31 16 104 120 1 82 52 17 17 289 -1 unnamed_device 24.8 MiB 0.45 239 3447 786 2086 575 63.2 MiB 0.02 0.00 2.14389 -42.4289 -2.14389 2.14389 0.98 0.000186786 0.000169717 0.0081443 0.00742098 34 1006 34 6.79088e+06 67360 618332. 2139.56 1.59 0.0513034 0.0439566 25102 150614 -1 725 59 559 819 349647 259984 2.90682 2.90682 -58.5534 -2.90682 0 0 787024. 2723.27 0.29 0.15 0.15 -1 -1 0.29 0.0230977 0.0197108 31 27 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_016bits.v common 5.22 vpr 63.38 MiB -1 -1 0.11 19984 7 0.07 -1 -1 35360 -1 -1 5 33 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64900 33 17 112 129 1 88 55 17 17 289 -1 unnamed_device 25.0 MiB 0.77 556 6503 2355 3265 883 63.4 MiB 0.04 0.00 2.39454 -57.2401 -2.39454 2.39454 0.98 0.000226283 0.000206026 0.0156287 0.0142766 34 1150 24 6.79088e+06 67360 618332. 2139.56 1.36 0.0651399 0.0564123 25102 150614 -1 1022 17 345 441 33066 7606 2.60594 2.60594 -66.2141 -2.60594 0 0 787024. 2723.27 0.30 0.02 0.15 -1 -1 0.30 0.00980821 0.00870773 32 30 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_018bits.v common 5.31 vpr 63.54 MiB -1 -1 0.12 19776 7 0.07 -1 -1 35752 -1 -1 6 37 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65068 37 19 127 146 1 99 62 17 17 289 -1 unnamed_device 25.0 MiB 1.40 365 3234 643 2485 106 63.5 MiB 0.02 0.00 3.00001 -61.4437 -3.00001 3.00001 0.97 0.00025822 0.000234673 0.00818637 0.00750495 26 1123 20 6.79088e+06 80832 503264. 1741.40 0.92 0.0410008 0.035676 23662 119890 -1 883 10 360 439 24689 7301 3.12531 3.12531 -73.0966 -3.12531 0 0 618332. 2139.56 0.25 0.02 0.12 -1 -1 0.25 0.0079378 0.00716046 37 35 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_020bits.v common 4.47 vpr 63.68 MiB -1 -1 0.11 19708 8 0.08 -1 -1 35836 -1 -1 6 41 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65204 41 21 139 160 1 106 68 17 17 289 -1 unnamed_device 25.2 MiB 0.52 488 4208 1001 3120 87 63.7 MiB 0.03 0.00 2.60599 -64.8181 -2.60599 2.60599 0.93 0.000271625 0.000247381 0.00969472 0.00886028 30 1319 34 6.79088e+06 80832 556674. 1926.21 0.95 0.0510406 0.0443122 24526 138013 -1 1084 12 456 573 41894 10375 2.60599 2.60599 -76.2281 -2.60599 0 0 706193. 2443.58 0.30 0.03 0.13 -1 -1 0.30 0.00963701 0.00866864 41 37 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_022bits.v common 4.99 vpr 63.76 MiB -1 -1 0.10 19824 9 0.08 -1 -1 36008 -1 -1 6 45 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65288 45 23 153 176 1 119 74 17 17 289 -1 unnamed_device 25.2 MiB 0.45 488 8444 2085 6075 284 63.8 MiB 0.05 0.00 2.98195 -75.096 -2.98195 2.98195 0.98 0.000309995 0.000281572 0.0183418 0.0167138 34 1174 27 6.79088e+06 80832 618332. 2139.56 1.44 0.0842207 0.073294 25102 150614 -1 998 12 406 485 32947 8473 2.98195 2.98195 -84.9555 -2.98195 0 0 787024. 2723.27 0.30 0.02 0.15 -1 -1 0.30 0.00979165 0.00883092 43 41 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_024bits.v common 6.42 vpr 64.02 MiB -1 -1 0.11 20128 10 0.08 -1 -1 35800 -1 -1 8 49 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65556 49 25 166 191 1 133 82 17 17 289 -1 unnamed_device 25.7 MiB 0.98 417 9872 3961 5879 32 64.0 MiB 0.05 0.00 3.1857 -81.7769 -3.1857 3.1857 0.99 0.000363521 0.000332362 0.020214 0.0184732 42 1291 21 6.79088e+06 107776 744469. 2576.02 2.15 0.0993882 0.0875388 26542 182613 -1 837 14 525 601 33015 9896 3.1857 3.1857 -87.5407 -3.1857 0 0 949917. 3286.91 0.38 0.03 0.17 -1 -1 0.38 0.0123146 0.0110652 48 44 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_028bits.v common 6.43 vpr 63.89 MiB -1 -1 0.12 19744 11 0.09 -1 -1 35720 -1 -1 8 57 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65428 57 29 198 227 1 158 94 17 17 289 -1 unnamed_device 25.5 MiB 1.47 739 11383 3206 7203 974 63.9 MiB 0.06 0.00 3.77654 -110.336 -3.77654 3.77654 0.98 0.00039271 0.000358428 0.0233651 0.0213264 36 1759 17 6.79088e+06 107776 648988. 2245.63 1.79 0.110665 0.0974428 25390 158009 -1 1514 11 604 819 54177 13280 3.90184 3.90184 -126.586 -3.90184 0 0 828058. 2865.25 0.31 0.03 0.13 -1 -1 0.31 0.0125065 0.0111344 59 56 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_032bits.v common 5.95 vpr 64.27 MiB -1 -1 0.13 20496 13 0.09 -1 -1 36068 -1 -1 9 65 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 65 33 224 257 1 176 107 17 17 289 -1 unnamed_device 25.8 MiB 1.76 978 18323 7653 10623 47 64.3 MiB 0.09 0.00 4.44928 -138.947 -4.44928 4.44928 0.99 0.00043303 0.000394949 0.0336553 0.0307063 30 2027 18 6.79088e+06 121248 556674. 1926.21 0.96 0.0880757 0.0781074 24526 138013 -1 1787 12 690 903 53986 13541 4.44928 4.44928 -154.359 -4.44928 0 0 706193. 2443.58 0.29 0.03 0.13 -1 -1 0.29 0.013848 0.0125021 66 62 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_048bits.v common 9.15 vpr 64.97 MiB -1 -1 0.15 20088 19 0.11 -1 -1 35904 -1 -1 13 97 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 97 49 340 389 1 267 159 17 17 289 -1 unnamed_device 26.3 MiB 3.51 1350 20799 4978 14873 948 65.0 MiB 0.10 0.00 6.71973 -260.111 -6.71973 6.71973 0.95 0.000664174 0.000606672 0.0346025 0.0316411 34 3378 28 6.79088e+06 175136 618332. 2139.56 2.35 0.190303 0.168856 25102 150614 -1 2802 14 1066 1430 102315 24790 6.80583 6.80583 -290.008 -6.80583 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0235071 0.0213814 100 98 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_064bits.v common 10.09 vpr 65.79 MiB -1 -1 0.15 20232 26 0.12 -1 -1 36108 -1 -1 18 129 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 129 65 453 518 1 350 212 17 17 289 -1 unnamed_device 27.1 MiB 4.10 1996 52585 18400 29997 4188 65.8 MiB 0.20 0.00 9.19737 -440.937 -9.19737 9.19737 0.93 0.000756234 0.000688263 0.0687893 0.0625332 36 4189 28 6.79088e+06 242496 648988. 2245.63 2.60 0.27181 0.243706 25390 158009 -1 3433 12 1278 1712 115872 27699 9.19737 9.19737 -463.866 -9.19737 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0321075 0.0298229 129 131 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_003bits.v common 3.10 vpr 63.32 MiB -1 -1 0.09 19476 1 0.02 -1 -1 33720 -1 -1 1 7 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64836 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 24.8 MiB 0.02 38 142 60 81 1 63.3 MiB 0.00 0.00 0.488083 -5.72471 -0.488083 0.488083 0.96 5.4712e-05 4.7606e-05 0.000780367 0.000692846 12 80 4 6.87369e+06 13973.8 243793. 843.575 0.40 0.0031352 0.0028387 21730 64085 -1 89 5 32 32 2061 627 0.74674 0.74674 -7.98012 -0.74674 0 0 332735. 1151.33 0.14 0.01 0.06 -1 -1 0.14 0.00207428 0.00192329 8 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_004bits.v common 3.66 vpr 63.32 MiB -1 -1 0.09 19596 1 0.02 -1 -1 33744 -1 -1 2 9 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64844 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 24.8 MiB 0.04 41 356 87 232 37 63.3 MiB 0.01 0.00 0.663773 -8.29102 -0.663773 0.663773 0.98 6.3239e-05 5.6446e-05 0.00143392 0.00128853 26 109 8 6.87369e+06 27947.7 503264. 1741.40 0.74 0.00970534 0.00813295 24322 120374 -1 114 10 57 57 3372 1088 0.903373 0.903373 -10.1565 -0.903373 0 0 618332. 2139.56 0.26 0.01 0.12 -1 -1 0.26 0.00269854 0.00241148 10 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_005bits.v common 3.32 vpr 63.71 MiB -1 -1 0.10 19764 1 0.02 -1 -1 33404 -1 -1 3 11 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65240 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 25.2 MiB 0.05 91 317 94 210 13 63.7 MiB 0.01 0.00 0.685773 -10.5905 -0.685773 0.685773 0.98 6.9631e-05 6.2052e-05 0.00119666 0.00106779 16 240 7 6.87369e+06 41921.5 332735. 1151.33 0.49 0.00418847 0.00373682 22306 75877 -1 227 11 145 145 8347 2596 1.05067 1.05067 -15.6918 -1.05067 0 0 414966. 1435.87 0.17 0.01 0.08 -1 -1 0.17 0.00313789 0.0027861 13 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_006bits.v common 4.26 vpr 63.54 MiB -1 -1 0.09 19588 1 0.02 -1 -1 33444 -1 -1 3 13 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65064 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 25.1 MiB 0.05 80 919 278 563 78 63.5 MiB 0.01 0.00 0.707773 -12.5849 -0.707773 0.707773 0.98 8.6228e-05 7.7332e-05 0.00286262 0.00258327 34 258 20 6.87369e+06 41921.5 618332. 2139.56 1.26 0.0210348 0.017473 25762 151098 -1 221 17 264 264 14999 4795 1.07267 1.07267 -17.4136 -1.07267 0 0 787024. 2723.27 0.30 0.01 0.14 -1 -1 0.30 0.00435375 0.00380074 15 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_007bits.v common 3.57 vpr 63.24 MiB -1 -1 0.10 19664 1 0.02 -1 -1 33528 -1 -1 3 15 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64756 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 24.7 MiB 0.08 112 1280 498 751 31 63.2 MiB 0.01 0.00 1.13846 -15.98 -1.13846 1.13846 0.91 9.9622e-05 8.9453e-05 0.00366882 0.00330071 26 311 16 6.87369e+06 41921.5 503264. 1741.40 0.69 0.0155923 0.0132472 24322 120374 -1 240 15 161 161 6598 2379 1.08167 1.08167 -19.3656 -1.08167 0 0 618332. 2139.56 0.26 0.01 0.12 -1 -1 0.26 0.0043628 0.00385354 17 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_008bits.v common 3.76 vpr 63.64 MiB -1 -1 0.08 19556 1 0.02 -1 -1 33464 -1 -1 3 17 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65168 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 25.2 MiB 0.06 123 2141 779 1064 298 63.6 MiB 0.02 0.00 0.964803 -17.8777 -0.964803 0.964803 0.99 0.000113702 0.000102639 0.00580079 0.00524298 26 325 22 6.87369e+06 41921.5 503264. 1741.40 0.77 0.021699 0.0185163 24322 120374 -1 285 17 178 178 13539 4030 1.11467 1.11467 -23.3821 -1.11467 0 0 618332. 2139.56 0.26 0.02 0.12 -1 -1 0.26 0.00520594 0.00452889 18 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_009bits.v common 3.91 vpr 62.98 MiB -1 -1 0.10 19692 1 0.02 -1 -1 33636 -1 -1 3 19 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64488 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 24.4 MiB 0.07 132 2532 829 1215 488 63.0 MiB 0.02 0.00 0.975803 -19.7482 -0.975803 0.975803 1.01 0.000121878 0.000110261 0.00684633 0.00621698 28 397 18 6.87369e+06 41921.5 531479. 1839.03 0.81 0.0229559 0.0197865 24610 126494 -1 323 17 230 230 15599 4417 1.09267 1.09267 -25.3377 -1.09267 0 0 648988. 2245.63 0.28 0.02 0.13 -1 -1 0.28 0.00561422 0.00488419 20 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_010bits.v common 3.92 vpr 63.09 MiB -1 -1 0.09 19524 1 0.02 -1 -1 33612 -1 -1 3 21 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64600 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 24.6 MiB 0.07 153 2999 1019 1268 712 63.1 MiB 0.02 0.00 0.986803 -22.4034 -0.986803 0.986803 1.01 0.000120749 0.000109345 0.00748187 0.00677636 28 417 22 6.87369e+06 41921.5 531479. 1839.03 0.83 0.0252543 0.0217109 24610 126494 -1 330 18 269 269 13885 4337 1.23997 1.23997 -28.4294 -1.23997 0 0 648988. 2245.63 0.28 0.02 0.13 -1 -1 0.28 0.0059704 0.00524388 22 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_011bits.v common 3.92 vpr 63.42 MiB -1 -1 0.09 19828 1 0.02 -1 -1 33632 -1 -1 4 23 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64940 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 24.8 MiB 0.07 160 3537 1153 1606 778 63.4 MiB 0.02 0.00 0.997803 -24.6718 -0.997803 0.997803 0.97 0.000150531 0.000136268 0.0085732 0.00778751 32 494 15 6.87369e+06 55895.4 586450. 2029.24 0.88 0.0262932 0.0227416 25474 144626 -1 384 15 259 259 19196 5158 1.14767 1.14767 -31.3775 -1.14767 0 0 744469. 2576.02 0.30 0.02 0.14 -1 -1 0.30 0.00589173 0.00514918 24 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_012bits.v common 3.94 vpr 63.75 MiB -1 -1 0.09 19532 1 0.02 -1 -1 33812 -1 -1 4 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65280 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 25.1 MiB 0.07 197 3570 1299 1785 486 63.8 MiB 0.02 0.00 1.0088 -27.5776 -1.0088 1.0088 1.00 0.000152416 0.000134156 0.00829179 0.00752046 32 668 24 6.87369e+06 55895.4 586450. 2029.24 0.89 0.0295747 0.0255269 25474 144626 -1 503 17 349 349 28778 7391 1.27297 1.27297 -36.4504 -1.27297 0 0 744469. 2576.02 0.30 0.02 0.14 -1 -1 0.30 0.00662543 0.00579459 26 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_013bits.v common 4.38 vpr 63.54 MiB -1 -1 0.10 19520 1 0.02 -1 -1 33660 -1 -1 4 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65064 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 24.9 MiB 0.07 211 4845 2001 2787 57 63.5 MiB 0.03 0.00 1.0198 -28.9909 -1.0198 1.0198 0.97 0.00017302 0.000156707 0.010824 0.00982806 34 698 21 6.87369e+06 55895.4 618332. 2139.56 1.32 0.0470285 0.040235 25762 151098 -1 604 19 480 480 42965 11332 1.18067 1.18067 -39.2672 -1.18067 0 0 787024. 2723.27 0.32 0.03 0.15 -1 -1 0.32 0.00757319 0.00660039 28 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_014bits.v common 4.56 vpr 63.55 MiB -1 -1 0.10 19564 1 0.02 -1 -1 33524 -1 -1 5 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65072 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 24.9 MiB 0.11 223 4410 1443 2012 955 63.5 MiB 0.03 0.00 1.0308 -31.2922 -1.0308 1.0308 0.98 0.000173837 0.000157174 0.00955713 0.00867333 34 793 38 6.87369e+06 69869.2 618332. 2139.56 1.41 0.0520983 0.0445964 25762 151098 -1 603 21 493 493 41720 12374 1.34527 1.34527 -41.232 -1.34527 0 0 787024. 2723.27 0.32 0.03 0.15 -1 -1 0.32 0.00848038 0.00735298 31 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_015bits.v common 5.51 vpr 63.79 MiB -1 -1 0.11 19796 1 0.02 -1 -1 33936 -1 -1 5 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65320 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 25.4 MiB 0.13 290 5484 2232 3139 113 63.8 MiB 0.03 0.00 1.27683 -33.8219 -1.27683 1.27683 0.96 0.000187771 0.000169974 0.0112866 0.0102282 32 772 14 6.87369e+06 69869.2 586450. 2029.24 2.41 0.0692345 0.0589318 25474 144626 -1 614 12 369 369 28588 7635 1.17867 1.17867 -43.3951 -1.17867 0 0 744469. 2576.02 0.29 0.02 0.13 -1 -1 0.29 0.00589299 0.00521839 33 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_016bits.v common 3.98 vpr 63.82 MiB -1 -1 0.10 19792 1 0.02 -1 -1 33860 -1 -1 5 33 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65348 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 25.5 MiB 0.10 305 5983 2435 3431 117 63.8 MiB 0.04 0.00 1.28783 -36.5233 -1.28783 1.28783 0.97 0.000197671 0.00017966 0.0125478 0.0114622 32 771 14 6.87369e+06 69869.2 586450. 2029.24 0.88 0.0363435 0.0317692 25474 144626 -1 660 13 426 426 35507 9422 1.22267 1.22267 -47.6833 -1.22267 0 0 744469. 2576.02 0.29 0.02 0.14 -1 -1 0.29 0.00688534 0.00609451 34 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_018bits.v common 3.89 vpr 64.12 MiB -1 -1 0.09 19664 1 0.02 -1 -1 33892 -1 -1 5 37 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65660 37 19 132 133 1 89 61 17 17 289 -1 unnamed_device 25.7 MiB 0.10 336 7141 2943 4096 102 64.1 MiB 0.04 0.00 1.30983 -42.093 -1.30983 1.30983 0.93 0.000197657 0.000179802 0.0132352 0.0120408 32 986 17 6.87369e+06 69869.2 586450. 2029.24 0.87 0.0395345 0.0344935 25474 144626 -1 776 14 470 470 43110 10721 1.32597 1.32597 -54.4974 -1.32597 0 0 744469. 2576.02 0.29 0.02 0.15 -1 -1 0.29 0.00782292 0.00689312 38 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_020bits.v common 4.47 vpr 64.07 MiB -1 -1 0.09 19524 1 0.02 -1 -1 33936 -1 -1 6 41 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65612 41 21 146 147 1 101 68 17 17 289 -1 unnamed_device 25.6 MiB 0.10 390 7796 3191 4447 158 64.1 MiB 0.04 0.00 1.33183 -48.3704 -1.33183 1.33183 0.94 0.000256657 0.000234833 0.0140029 0.0127007 34 1203 23 6.87369e+06 83843 618332. 2139.56 1.39 0.063095 0.0542773 25762 151098 -1 917 21 655 655 60188 14601 1.27927 1.27927 -59.547 -1.27927 0 0 787024. 2723.27 0.32 0.03 0.15 -1 -1 0.32 0.0107481 0.00940385 42 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_022bits.v common 4.95 vpr 64.11 MiB -1 -1 0.11 19820 1 0.02 -1 -1 33940 -1 -1 7 45 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65648 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 25.6 MiB 0.13 461 8607 3477 4963 167 64.1 MiB 0.04 0.00 1.35383 -52.9004 -1.35383 1.35383 0.99 0.000264927 0.000237731 0.0149332 0.0136144 36 1204 25 6.87369e+06 97816.9 648988. 2245.63 1.67 0.0730862 0.0633638 26050 158493 -1 1007 16 669 669 55001 13843 1.29967 1.29967 -66.2841 -1.29967 0 0 828058. 2865.25 0.32 0.03 0.16 -1 -1 0.32 0.0102832 0.00908496 47 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_024bits.v common 4.63 vpr 64.06 MiB -1 -1 0.09 19936 1 0.02 -1 -1 33916 -1 -1 7 49 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65596 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 25.5 MiB 0.10 593 11281 2703 8472 106 64.1 MiB 0.06 0.00 1.61086 -62.2859 -1.61086 1.61086 0.99 0.000295571 0.000268844 0.0200414 0.0183228 34 1304 13 6.87369e+06 97816.9 618332. 2139.56 1.39 0.0761551 0.0664002 25762 151098 -1 1201 17 627 627 61533 14336 1.30397 1.30397 -74.0308 -1.30397 0 0 787024. 2723.27 0.30 0.03 0.15 -1 -1 0.30 0.0111671 0.00984774 50 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_028bits.v common 4.65 vpr 63.95 MiB -1 -1 0.11 19892 1 0.03 -1 -1 33368 -1 -1 8 57 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65488 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 25.4 MiB 0.11 839 11809 2752 8424 633 64.0 MiB 0.06 0.00 1.65486 -79.936 -1.65486 1.65486 0.98 0.000321438 0.000292831 0.020018 0.0183055 34 1713 21 6.87369e+06 111791 618332. 2139.56 1.42 0.0878236 0.0767378 25762 151098 -1 1528 22 791 791 76709 17580 1.37467 1.37467 -93.1587 -1.37467 0 0 787024. 2723.27 0.30 0.04 0.15 -1 -1 0.30 0.0147151 0.0129732 58 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_032bits.v common 4.65 vpr 64.40 MiB -1 -1 0.10 19648 1 0.03 -1 -1 33820 -1 -1 9 65 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 26.0 MiB 0.11 932 15540 4701 10003 836 64.4 MiB 0.07 0.00 1.93389 -92.6375 -1.93389 1.93389 0.94 0.00037673 0.000344495 0.0231926 0.0212152 34 1934 21 6.87369e+06 125765 618332. 2139.56 1.45 0.0998555 0.0873128 25762 151098 -1 1700 19 887 887 75450 18049 1.42767 1.42767 -105.358 -1.42767 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0148827 0.0131442 66 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_048bits.v common 5.32 vpr 65.10 MiB -1 -1 0.13 20360 1 0.03 -1 -1 33900 -1 -1 13 97 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 26.4 MiB 0.12 1457 31549 10031 19460 2058 65.1 MiB 0.16 0.00 2.57995 -155.956 -2.57995 2.57995 0.99 0.000572631 0.000523162 0.0434536 0.0397679 34 3265 39 6.87369e+06 181660 618332. 2139.56 1.93 0.181271 0.16072 25762 151098 -1 2770 18 1208 1208 145565 31392 1.55767 1.55767 -162.369 -1.55767 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0214336 0.0190855 98 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_064bits.v common 6.60 vpr 65.93 MiB -1 -1 0.13 20284 1 0.03 -1 -1 34096 -1 -1 17 129 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67512 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 27.2 MiB 0.14 1996 50998 17742 29390 3866 65.9 MiB 0.25 0.01 3.22602 -227.983 -3.22602 3.22602 0.99 0.000769712 0.000709257 0.0653453 0.0601452 34 4762 39 6.87369e+06 237555 618332. 2139.56 2.96 0.279829 0.252444 25762 151098 -1 3834 16 1606 1606 179247 40542 2.08827 2.08827 -242.325 -2.08827 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0284115 0.0257879 130 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_003bits.v common 3.13 vpr 63.09 MiB -1 -1 0.10 19572 1 0.02 -1 -1 33568 -1 -1 1 7 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64600 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 24.7 MiB 0.02 38 142 60 81 1 63.1 MiB 0.00 0.00 0.488083 -5.72471 -0.488083 0.488083 0.98 5.0014e-05 4.3177e-05 0.000827371 0.000730942 12 80 4 6.89349e+06 14093.8 243793. 843.575 0.41 0.00311847 0.0028269 21730 64085 -1 89 5 32 32 2061 627 0.74674 0.74674 -7.98012 -0.74674 0 0 332735. 1151.33 0.15 0.01 0.07 -1 -1 0.15 0.00200016 0.00184022 8 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_004bits.v common 3.44 vpr 63.52 MiB -1 -1 0.09 19460 1 0.02 -1 -1 33584 -1 -1 2 9 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65048 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 25.1 MiB 0.04 44 356 89 228 39 63.5 MiB 0.01 0.00 0.663773 -8.45852 -0.663773 0.663773 0.95 5.9399e-05 5.3062e-05 0.00140308 0.00125838 22 135 11 6.89349e+06 28187.7 443629. 1535.05 0.65 0.00982528 0.00824422 23458 102101 -1 106 11 69 69 3408 1242 0.78002 0.78002 -9.95396 -0.78002 0 0 531479. 1839.03 0.22 0.01 0.10 -1 -1 0.22 0.00272562 0.00242422 10 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_005bits.v common 3.13 vpr 63.45 MiB -1 -1 0.09 19864 1 0.02 -1 -1 33544 -1 -1 3 11 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64976 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 24.8 MiB 0.04 75 317 95 208 14 63.5 MiB 0.00 0.00 0.691973 -10.697 -0.691973 0.691973 0.93 6.6036e-05 5.861e-05 0.00109902 0.000984973 16 259 22 6.89349e+06 42281.5 332735. 1151.33 0.48 0.00558432 0.00479954 22306 75877 -1 210 13 133 133 7062 2276 1.05067 1.05067 -15.2767 -1.05067 0 0 414966. 1435.87 0.17 0.01 0.07 -1 -1 0.17 0.00309279 0.00271307 13 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_006bits.v common 3.73 vpr 63.43 MiB -1 -1 0.09 19420 1 0.02 -1 -1 33620 -1 -1 3 13 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64948 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 24.9 MiB 0.05 80 759 179 485 95 63.4 MiB 0.01 0.00 0.707773 -12.3375 -0.707773 0.707773 0.96 9.4836e-05 7.9539e-05 0.00242885 0.00218099 28 275 31 6.89349e+06 42281.5 531479. 1839.03 0.79 0.0162692 0.013614 24610 126494 -1 250 19 244 244 16913 5257 1.08367 1.08367 -16.5945 -1.08367 0 0 648988. 2245.63 0.27 0.02 0.12 -1 -1 0.27 0.00462472 0.00399465 15 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_007bits.v common 3.59 vpr 63.44 MiB -1 -1 0.09 19476 1 0.02 -1 -1 33656 -1 -1 3 15 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64960 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 24.9 MiB 0.08 110 1318 518 764 36 63.4 MiB 0.01 0.00 1.13846 -15.98 -1.13846 1.13846 0.94 9.0153e-05 8.0923e-05 0.00351393 0.00317216 26 248 11 6.89349e+06 42281.5 503264. 1741.40 0.72 0.0155477 0.0132054 24322 120374 -1 239 11 153 153 8963 2826 0.96932 0.96932 -18.7838 -0.96932 0 0 618332. 2139.56 0.25 0.01 0.11 -1 -1 0.25 0.00361242 0.0032135 17 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_008bits.v common 3.67 vpr 63.43 MiB -1 -1 0.09 19504 1 0.02 -1 -1 33704 -1 -1 3 17 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64948 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 24.9 MiB 0.05 125 2141 834 1146 161 63.4 MiB 0.02 0.00 0.964803 -18.1535 -0.964803 0.964803 0.97 0.000111611 0.000100404 0.00595838 0.00539421 26 328 29 6.89349e+06 42281.5 503264. 1741.40 0.75 0.0218885 0.0186825 24322 120374 -1 277 18 236 236 15030 4612 1.11467 1.11467 -22.5289 -1.11467 0 0 618332. 2139.56 0.25 0.02 0.12 -1 -1 0.25 0.00526163 0.00456744 18 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_009bits.v common 3.76 vpr 63.38 MiB -1 -1 0.09 19872 1 0.02 -1 -1 33752 -1 -1 3 19 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64904 19 10 69 70 1 46 32 17 17 289 -1 unnamed_device 24.8 MiB 0.06 134 2182 688 1144 350 63.4 MiB 0.02 0.00 0.975803 -20.1021 -0.975803 0.975803 0.97 0.000124085 0.000112509 0.00589126 0.0053549 28 351 16 6.89349e+06 42281.5 531479. 1839.03 0.80 0.0217327 0.0186315 24610 126494 -1 295 13 213 213 14573 4087 1.13667 1.13667 -25.5967 -1.13667 0 0 648988. 2245.63 0.27 0.01 0.12 -1 -1 0.27 0.00477263 0.00421609 20 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_010bits.v common 3.77 vpr 63.65 MiB -1 -1 0.09 19908 1 0.02 -1 -1 33744 -1 -1 3 21 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65180 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 25.1 MiB 0.06 153 2999 1035 1415 549 63.7 MiB 0.02 0.00 0.986803 -22.4254 -0.986803 0.986803 0.94 0.000139094 0.000125937 0.00714088 0.00647085 28 443 18 6.89349e+06 42281.5 531479. 1839.03 0.82 0.024771 0.0212804 24610 126494 -1 359 16 249 249 19623 5443 1.02432 1.02432 -28.1023 -1.02432 0 0 648988. 2245.63 0.28 0.02 0.12 -1 -1 0.28 0.00572959 0.00499159 22 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_011bits.v common 3.89 vpr 63.50 MiB -1 -1 0.10 19624 1 0.02 -1 -1 33732 -1 -1 4 23 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65020 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 24.9 MiB 0.06 160 3537 1101 1556 880 63.5 MiB 0.02 0.00 0.997803 -24.8191 -0.997803 0.997803 0.98 0.000141158 0.00012756 0.00902234 0.00820446 30 450 18 6.89349e+06 56375.4 556674. 1926.21 0.85 0.0277436 0.0239816 25186 138497 -1 344 10 234 234 12462 3570 1.03337 1.03337 -29.0065 -1.03337 0 0 706193. 2443.58 0.30 0.01 0.13 -1 -1 0.30 0.00476176 0.00424289 24 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_012bits.v common 3.96 vpr 63.48 MiB -1 -1 0.09 19760 1 0.02 -1 -1 33692 -1 -1 4 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65004 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 24.9 MiB 0.06 188 3570 1367 1943 260 63.5 MiB 0.02 0.00 1.0088 -26.8478 -1.0088 1.0088 0.98 0.000148382 0.000134268 0.00858053 0.00780679 32 659 17 6.89349e+06 56375.4 586450. 2029.24 0.89 0.0283228 0.024422 25474 144626 -1 490 11 280 280 25022 6691 1.10367 1.10367 -32.9976 -1.10367 0 0 744469. 2576.02 0.31 0.02 0.14 -1 -1 0.31 0.00540072 0.00481485 26 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_013bits.v common 3.92 vpr 63.50 MiB -1 -1 0.10 19600 1 0.02 -1 -1 33736 -1 -1 4 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65028 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 25.0 MiB 0.06 211 4845 1840 2399 606 63.5 MiB 0.03 0.00 1.0198 -29.2635 -1.0198 1.0198 0.96 0.000173559 0.000157719 0.0107513 0.00978488 32 685 23 6.89349e+06 56375.4 586450. 2029.24 0.88 0.033047 0.0286243 25474 144626 -1 567 17 361 361 33133 8816 1.30322 1.30322 -39.2002 -1.30322 0 0 744469. 2576.02 0.30 0.02 0.14 -1 -1 0.30 0.00699239 0.00611709 28 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_014bits.v common 6.28 vpr 63.71 MiB -1 -1 0.10 19552 1 0.02 -1 -1 33600 -1 -1 5 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65240 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 25.2 MiB 0.09 228 4410 1365 1901 1144 63.7 MiB 0.03 0.00 1.0308 -31.4175 -1.0308 1.0308 0.94 0.000178162 0.000161615 0.00958433 0.00870095 36 710 37 6.89349e+06 70469.2 648988. 2245.63 3.19 0.079546 0.0675491 26050 158493 -1 558 24 429 429 36154 9425 1.34527 1.34527 -40.2199 -1.34527 0 0 828058. 2865.25 0.32 0.03 0.15 -1 -1 0.32 0.0092299 0.00800588 31 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_015bits.v common 3.79 vpr 63.75 MiB -1 -1 0.09 19652 1 0.02 -1 -1 33888 -1 -1 5 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65280 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 25.4 MiB 0.11 290 5484 2256 3113 115 63.8 MiB 0.03 0.00 1.27683 -33.8219 -1.27683 1.27683 0.93 0.000166118 0.000149129 0.0104418 0.00943237 30 721 22 6.89349e+06 70469.2 556674. 1926.21 0.82 0.0334394 0.0288424 25186 138497 -1 578 16 362 362 20960 5783 1.20067 1.20067 -43.3974 -1.20067 0 0 706193. 2443.58 0.28 0.02 0.12 -1 -1 0.28 0.00686036 0.00598722 33 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_016bits.v common 3.94 vpr 63.65 MiB -1 -1 0.10 19572 1 0.02 -1 -1 33660 -1 -1 5 33 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65180 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 25.3 MiB 0.10 305 5983 2438 3445 100 63.7 MiB 0.03 0.00 1.28783 -36.5265 -1.28783 1.28783 0.96 0.000198159 0.000179877 0.0124868 0.0114109 30 753 18 6.89349e+06 70469.2 556674. 1926.21 0.87 0.0376756 0.032917 25186 138497 -1 594 20 429 429 30682 8145 1.09932 1.09932 -44.3333 -1.09932 0 0 706193. 2443.58 0.28 0.03 0.14 -1 -1 0.28 0.00914963 0.00797067 34 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_018bits.v common 3.95 vpr 63.83 MiB -1 -1 0.11 19416 1 0.02 -1 -1 33984 -1 -1 5 37 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65364 37 19 132 133 1 90 61 17 17 289 -1 unnamed_device 25.4 MiB 0.09 340 7141 2919 4102 120 63.8 MiB 0.04 0.00 1.30983 -42.5349 -1.30983 1.30983 0.94 0.000227726 0.000206493 0.0133298 0.0121576 30 969 17 6.89349e+06 70469.2 556674. 1926.21 0.88 0.0401615 0.0350227 25186 138497 -1 774 15 483 483 39402 9614 1.13862 1.13862 -52.2161 -1.13862 0 0 706193. 2443.58 0.30 0.03 0.13 -1 -1 0.30 0.00853895 0.00755208 38 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_020bits.v common 4.65 vpr 63.93 MiB -1 -1 0.10 20060 1 0.02 -1 -1 33928 -1 -1 6 41 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65468 41 21 146 147 1 102 68 17 17 289 -1 unnamed_device 25.5 MiB 0.09 384 7934 3247 4528 159 63.9 MiB 0.04 0.00 1.33183 -47.7879 -1.33183 1.33183 0.94 0.000232793 0.000211131 0.0149968 0.0136374 34 1115 37 6.89349e+06 84563 618332. 2139.56 1.61 0.0729855 0.0630981 25762 151098 -1 868 15 495 495 43419 10900 1.49057 1.49057 -59.7398 -1.49057 0 0 787024. 2723.27 0.31 0.03 0.14 -1 -1 0.31 0.00885548 0.00781445 42 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_022bits.v common 4.96 vpr 63.96 MiB -1 -1 0.11 19716 1 0.02 -1 -1 33624 -1 -1 7 45 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65496 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 25.4 MiB 0.11 436 8607 3502 4924 181 64.0 MiB 0.04 0.00 1.35383 -53.0257 -1.35383 1.35383 0.96 0.000284517 0.000260486 0.0155984 0.0142168 36 1238 21 6.89349e+06 98656.9 648988. 2245.63 1.79 0.0702422 0.0611678 26050 158493 -1 1044 17 663 663 67737 16576 1.28867 1.28867 -66.1773 -1.28867 0 0 828058. 2865.25 0.32 0.03 0.15 -1 -1 0.32 0.0107679 0.00950341 47 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_024bits.v common 4.66 vpr 64.03 MiB -1 -1 0.10 20012 1 0.02 -1 -1 33760 -1 -1 7 49 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65564 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 25.5 MiB 0.11 657 10056 2244 7628 184 64.0 MiB 0.06 0.00 1.61086 -63.9148 -1.61086 1.61086 0.94 0.000295078 0.000270686 0.0180097 0.0164894 34 1418 23 6.89349e+06 98656.9 618332. 2139.56 1.52 0.079809 0.0695048 25762 151098 -1 1224 13 542 542 53479 12357 1.22267 1.22267 -74.3431 -1.22267 0 0 787024. 2723.27 0.32 0.03 0.15 -1 -1 0.32 0.00940364 0.00838266 50 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_028bits.v common 4.84 vpr 63.95 MiB -1 -1 0.10 19896 1 0.03 -1 -1 33672 -1 -1 8 57 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65480 57 29 202 203 1 143 94 17 17 289 -1 unnamed_device 25.4 MiB 0.09 894 12235 3586 7574 1075 63.9 MiB 0.06 0.00 1.65486 -81.8847 -1.65486 1.65486 0.96 0.000334154 0.000305645 0.0207437 0.0190038 34 1781 19 6.89349e+06 112751 618332. 2139.56 1.63 0.0915608 0.0801618 25762 151098 -1 1597 17 726 726 82697 18077 1.27767 1.27767 -90.4595 -1.27767 0 0 787024. 2723.27 0.31 0.04 0.15 -1 -1 0.31 0.0127728 0.0113221 58 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_032bits.v common 4.45 vpr 64.37 MiB -1 -1 0.10 19828 1 0.02 -1 -1 33984 -1 -1 9 65 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 25.9 MiB 0.11 937 15540 4485 9948 1107 64.4 MiB 0.08 0.00 1.93389 -92.387 -1.93389 1.93389 0.93 0.00034455 0.000314548 0.0240395 0.0218752 34 1913 15 6.89349e+06 126845 618332. 2139.56 1.36 0.0928022 0.0808606 25762 151098 -1 1726 16 769 769 77229 18015 1.47797 1.47797 -106.15 -1.47797 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0148762 0.0132659 66 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_048bits.v common 4.98 vpr 65.26 MiB -1 -1 0.12 20084 1 0.03 -1 -1 33748 -1 -1 13 97 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 26.6 MiB 0.12 1547 31549 10836 18398 2315 65.3 MiB 0.15 0.00 2.57995 -158.212 -2.57995 2.57995 0.95 0.000559231 0.000511075 0.0412729 0.0377376 34 3228 22 6.89349e+06 183220 618332. 2139.56 1.61 0.159115 0.14102 25762 151098 -1 2793 17 1184 1184 120733 26150 1.65467 1.65467 -168.278 -1.65467 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0207037 0.0184939 98 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_064bits.v common 5.77 vpr 65.42 MiB -1 -1 0.14 20696 1 0.03 -1 -1 34060 -1 -1 17 129 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66992 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 26.7 MiB 0.14 2069 44101 15486 24854 3761 65.4 MiB 0.24 0.01 3.22602 -231.867 -3.22602 3.22602 0.98 0.000722319 0.000648332 0.0555058 0.0509562 34 4489 23 6.89349e+06 239595 618332. 2139.56 2.17 0.222921 0.199731 25762 151098 -1 3818 15 1467 1467 166023 34774 1.86597 1.86597 -229.165 -1.86597 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0255107 0.0230819 130 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt index bf39cd13c71..79fecc2954b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt @@ -1,1025 +1,1025 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 13.01 vpr 64.62 MiB -1 -1 0.23 20776 14 0.32 -1 -1 37184 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 277 309 1 204 91 17 17 289 -1 unnamed_device 26.0 MiB 0.50 1378 7231 1744 4948 539 64.6 MiB 0.07 0.00 6.64956 -137.729 -6.64956 6.64956 0.92 0.000632716 0.000575072 0.0261479 0.0237632 30 3487 22 6.55708e+06 325485 526063. 1820.29 8.95 0.233637 0.204911 21886 126133 -1 2952 17 1291 4047 208898 48126 7.0397 7.0397 -159.496 -7.0397 0 0 666494. 2306.21 0.30 0.08 0.12 -1 -1 0.30 0.0300777 0.0271641 183 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 5.92 vpr 64.54 MiB -1 -1 0.24 20920 14 0.37 -1 -1 36484 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66084 30 32 272 304 1 210 93 17 17 289 -1 unnamed_device 25.9 MiB 0.61 1311 9333 2235 6108 990 64.5 MiB 0.08 0.00 6.85276 -131.872 -6.85276 6.85276 0.92 0.000649129 0.000581203 0.032511 0.0294038 28 3614 50 6.55708e+06 373705 500653. 1732.36 1.71 0.158092 0.139325 21310 115450 -1 3174 18 1472 4182 254412 58624 6.97296 6.97296 -152.967 -6.97296 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0306368 0.0276364 184 181 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 6.78 vpr 64.71 MiB -1 -1 0.21 20564 11 0.29 -1 -1 36548 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 26.1 MiB 0.40 1407 12150 3150 7318 1682 64.7 MiB 0.10 0.00 6.11164 -120.209 -6.11164 6.11164 0.94 0.00063414 0.000575954 0.0419915 0.0380829 38 3262 17 6.55708e+06 313430 638502. 2209.35 2.79 0.192962 0.170177 23326 155178 -1 2798 16 1199 4025 206043 47328 6.23184 6.23184 -135.315 -6.23184 0 0 851065. 2944.86 0.35 0.08 0.15 -1 -1 0.35 0.0286593 0.0259247 186 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 11.72 vpr 64.52 MiB -1 -1 0.21 20460 12 0.41 -1 -1 36712 -1 -1 30 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 29 32 275 307 1 205 91 17 17 289 -1 unnamed_device 26.2 MiB 0.65 1294 5191 1004 3798 389 64.5 MiB 0.05 0.00 6.46824 -120.665 -6.46824 6.46824 0.94 0.000646145 0.0005803 0.0207791 0.0188519 34 3543 40 6.55708e+06 361650 585099. 2024.56 7.41 0.307186 0.269852 22462 138074 -1 2924 19 1385 4587 264846 60299 6.8013 6.8013 -136.028 -6.8013 0 0 742403. 2568.87 0.30 0.09 0.13 -1 -1 0.30 0.0309371 0.0276789 190 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 5.67 vpr 64.99 MiB -1 -1 0.23 20456 13 0.35 -1 -1 36544 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 302 334 1 233 95 17 17 289 -1 unnamed_device 26.5 MiB 0.55 1524 8087 1945 5806 336 65.0 MiB 0.08 0.00 6.5981 -142.96 -6.5981 6.5981 0.94 0.00069246 0.000623756 0.0309153 0.0280035 30 3946 21 6.55708e+06 373705 526063. 1820.29 1.53 0.136509 0.121224 21886 126133 -1 3225 17 1489 4442 211441 49595 6.7993 6.7993 -158.191 -6.7993 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0324692 0.0294062 210 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 8.12 vpr 64.79 MiB -1 -1 0.22 21052 13 0.29 -1 -1 36356 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 32 32 292 324 1 217 96 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1398 13236 3407 8189 1640 64.8 MiB 0.11 0.00 6.4387 -129.863 -6.4387 6.4387 0.90 0.000634402 0.0005695 0.0428769 0.0386291 38 3046 28 6.55708e+06 385760 638502. 2209.35 4.19 0.25234 0.220661 23326 155178 -1 2655 15 1164 3591 179874 42540 6.70864 6.70864 -145.504 -6.70864 0 0 851065. 2944.86 0.34 0.07 0.15 -1 -1 0.34 0.0283232 0.0256826 198 197 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 5.28 vpr 64.22 MiB -1 -1 0.18 20456 12 0.25 -1 -1 36456 -1 -1 27 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 27 32 229 261 1 176 86 17 17 289 -1 unnamed_device 25.6 MiB 0.34 1010 12182 3339 7276 1567 64.2 MiB 0.09 0.00 5.95024 -108.676 -5.95024 5.95024 0.92 0.000536394 0.000487834 0.0374739 0.0341014 28 2686 46 6.55708e+06 325485 500653. 1732.36 1.58 0.141127 0.12478 21310 115450 -1 2260 15 1045 2746 153564 36159 6.07044 6.07044 -122.346 -6.07044 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0221717 0.0200124 152 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 7.90 vpr 64.38 MiB -1 -1 0.20 20416 12 0.23 -1 -1 36404 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 31 32 229 261 1 184 85 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1184 11431 3105 6288 2038 64.4 MiB 0.09 0.00 5.3864 -117.646 -5.3864 5.3864 0.91 0.000521964 0.000473894 0.0352742 0.0320049 36 2899 19 6.55708e+06 265210 612192. 2118.31 4.19 0.189962 0.166583 22750 144809 -1 2511 16 1051 3100 169295 38755 5.5066 5.5066 -129.316 -5.5066 0 0 782063. 2706.10 0.31 0.06 0.14 -1 -1 0.31 0.0216413 0.0194703 140 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 6.02 vpr 64.32 MiB -1 -1 0.21 20528 12 0.21 -1 -1 36280 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65868 31 32 235 267 1 192 89 17 17 289 -1 unnamed_device 26.0 MiB 0.29 1185 9197 2150 5824 1223 64.3 MiB 0.07 0.00 5.54278 -115.705 -5.54278 5.54278 0.97 0.00055144 0.000503883 0.0286503 0.0260112 34 3006 35 6.55708e+06 313430 585099. 2024.56 2.25 0.14768 0.129768 22462 138074 -1 2631 18 1108 2856 173701 39681 5.68226 5.68226 -132.833 -5.68226 0 0 742403. 2568.87 0.31 0.07 0.13 -1 -1 0.31 0.0249067 0.022333 150 142 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 7.71 vpr 64.39 MiB -1 -1 0.21 20520 13 0.24 -1 -1 36312 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 250 282 1 193 89 17 17 289 -1 unnamed_device 26.0 MiB 0.37 1122 9989 2715 5777 1497 64.4 MiB 0.08 0.00 6.10764 -131.404 -6.10764 6.10764 0.97 0.000596416 0.00054126 0.0325684 0.0294866 30 3085 33 6.55708e+06 301375 526063. 1820.29 3.87 0.240858 0.210032 21886 126133 -1 2385 14 1092 3013 146281 35280 6.37758 6.37758 -150.865 -6.37758 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0226005 0.0203716 157 155 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 8.11 vpr 63.77 MiB -1 -1 0.20 20648 12 0.23 -1 -1 36392 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65304 30 32 216 248 1 168 86 17 17 289 -1 unnamed_device 25.2 MiB 0.31 1000 10292 2838 6178 1276 63.8 MiB 0.08 0.00 6.15344 -116.886 -6.15344 6.15344 0.92 0.000514967 0.000469135 0.0306957 0.027747 28 2638 42 6.55708e+06 289320 500653. 1732.36 4.50 0.215538 0.188089 21310 115450 -1 2369 22 955 2525 180220 55628 6.27364 6.27364 -134.355 -6.27364 0 0 612192. 2118.31 0.26 0.07 0.10 -1 -1 0.26 0.0250283 0.022251 132 125 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 7.05 vpr 64.16 MiB -1 -1 0.21 20396 12 0.19 -1 -1 36360 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65704 32 32 236 268 1 183 86 17 17 289 -1 unnamed_device 25.6 MiB 0.31 1183 8780 2369 5508 903 64.2 MiB 0.07 0.00 5.71546 -130.205 -5.71546 5.71546 0.94 0.000533119 0.00048396 0.0278292 0.025241 34 2865 18 6.55708e+06 265210 585099. 2024.56 3.37 0.192047 0.167456 22462 138074 -1 2356 15 938 2640 141312 33526 5.88912 5.88912 -144.215 -5.88912 0 0 742403. 2568.87 0.31 0.06 0.13 -1 -1 0.31 0.0226054 0.0204304 146 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 10.02 vpr 64.76 MiB -1 -1 0.23 20888 13 0.32 -1 -1 36244 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 32 32 283 315 1 223 94 17 17 289 -1 unnamed_device 26.2 MiB 0.29 1389 8401 1844 5806 751 64.8 MiB 0.08 0.00 6.72796 -141.789 -6.72796 6.72796 0.92 0.000643931 0.000581807 0.0296784 0.0269347 30 3401 37 6.55708e+06 361650 526063. 1820.29 6.18 0.266636 0.233528 21886 126133 -1 2749 15 1220 3473 163985 39220 6.96836 6.96836 -158.997 -6.96836 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0262319 0.0236957 191 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 5.58 vpr 64.97 MiB -1 -1 0.23 21060 14 0.39 -1 -1 36608 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 303 335 1 241 94 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1619 10105 2395 6688 1022 65.0 MiB 0.09 0.00 7.36616 -155.403 -7.36616 7.36616 0.94 0.000704797 0.000638745 0.0365839 0.0330896 30 3946 18 6.55708e+06 361650 526063. 1820.29 1.40 0.135501 0.120144 21886 126133 -1 3177 18 1405 4026 196823 46411 7.96715 7.96715 -178.918 -7.96715 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0340585 0.0307399 210 208 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 5.35 vpr 64.30 MiB -1 -1 0.19 20228 11 0.22 -1 -1 36100 -1 -1 27 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65844 29 32 225 257 1 174 88 17 17 289 -1 unnamed_device 25.9 MiB 0.30 960 11203 2717 6785 1701 64.3 MiB 0.09 0.00 5.53818 -107.159 -5.53818 5.53818 0.94 0.000533947 0.000474397 0.0332354 0.0299912 28 3232 24 6.55708e+06 325485 500653. 1732.36 1.71 0.112706 0.0992356 21310 115450 -1 2418 18 1081 2972 181531 42609 5.65838 5.65838 -122.851 -5.65838 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0249222 0.0223936 147 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 8.72 vpr 64.84 MiB -1 -1 0.24 20956 12 0.35 -1 -1 36720 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 301 333 1 230 97 17 17 289 -1 unnamed_device 26.4 MiB 0.45 1284 9421 2208 5969 1244 64.8 MiB 0.09 0.00 6.15144 -124.661 -6.15144 6.15144 0.94 0.000717683 0.000646273 0.0345412 0.0311882 38 3555 31 6.55708e+06 397815 638502. 2209.35 4.53 0.217983 0.191663 23326 155178 -1 2784 17 1388 4500 212837 51932 6.19064 6.19064 -141.221 -6.19064 0 0 851065. 2944.86 0.36 0.09 0.15 -1 -1 0.36 0.0326869 0.0295619 209 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 6.23 vpr 64.56 MiB -1 -1 0.23 20672 14 0.32 -1 -1 36632 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 277 309 1 217 93 17 17 289 -1 unnamed_device 26.0 MiB 0.36 1504 9753 2444 6369 940 64.6 MiB 0.09 0.00 6.10764 -131.576 -6.10764 6.10764 0.92 0.000633334 0.000568443 0.0335694 0.0303633 36 3622 29 6.55708e+06 349595 612192. 2118.31 2.24 0.166881 0.146889 22750 144809 -1 3119 20 1281 3728 215350 48553 6.74018 6.74018 -154.072 -6.74018 0 0 782063. 2706.10 0.32 0.08 0.14 -1 -1 0.32 0.031824 0.0285674 184 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 6.05 vpr 64.13 MiB -1 -1 0.20 20496 12 0.20 -1 -1 36284 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 32 32 227 259 1 178 87 17 17 289 -1 unnamed_device 25.6 MiB 0.41 1142 8535 2157 5910 468 64.1 MiB 0.07 0.00 5.7221 -131.875 -5.7221 5.7221 0.93 0.000548873 0.000498364 0.0277512 0.0252215 28 3062 50 6.55708e+06 277265 500653. 1732.36 2.01 0.135694 0.119325 21310 115450 -1 2543 65 1691 5665 974591 562972 5.8835 5.8835 -148.756 -5.8835 0 0 612192. 2118.31 0.26 0.38 0.11 -1 -1 0.26 0.0688224 0.0600313 140 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 6.92 vpr 63.70 MiB -1 -1 0.15 20220 10 0.11 -1 -1 36884 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65228 30 32 175 207 1 131 78 17 17 289 -1 unnamed_device 25.2 MiB 0.18 732 10370 2330 7597 443 63.7 MiB 0.06 0.00 4.61634 -101.866 -4.61634 4.61634 0.90 0.000368733 0.000332754 0.0253874 0.022912 26 2156 23 6.55708e+06 192880 477104. 1650.88 3.76 0.134454 0.117599 21022 109990 -1 1717 15 639 1566 95778 23027 4.88266 4.88266 -121.86 -4.88266 0 0 585099. 2024.56 0.25 0.04 0.09 -1 -1 0.25 0.0151002 0.0134979 91 84 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 9.95 vpr 64.41 MiB -1 -1 0.21 20412 13 0.23 -1 -1 36040 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 31 32 231 263 1 184 87 17 17 289 -1 unnamed_device 26.1 MiB 0.47 1138 8919 2086 5645 1188 64.4 MiB 0.07 0.00 6.05818 -122.571 -6.05818 6.05818 0.91 0.000534172 0.000484472 0.0281547 0.0256546 28 3260 47 6.55708e+06 289320 500653. 1732.36 6.14 0.232342 0.203351 21310 115450 -1 2631 17 1064 2713 169790 40323 6.05818 6.05818 -140.947 -6.05818 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.024589 0.022129 144 138 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 5.46 vpr 64.80 MiB -1 -1 0.23 20572 13 0.36 -1 -1 36784 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 304 336 1 224 95 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1479 5927 1032 4596 299 64.8 MiB 0.06 0.00 6.4825 -130.643 -6.4825 6.4825 0.93 0.00068236 0.000607835 0.0228193 0.0207138 30 3785 36 6.55708e+06 373705 526063. 1820.29 1.35 0.139737 0.123206 21886 126133 -1 3121 19 1622 5032 245998 57132 7.3213 7.3213 -156.822 -7.3213 0 0 666494. 2306.21 0.28 0.09 0.12 -1 -1 0.28 0.0335089 0.0301314 211 209 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 20.79 vpr 64.78 MiB -1 -1 0.24 20976 13 0.36 -1 -1 36872 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 288 320 1 221 91 17 17 289 -1 unnamed_device 26.4 MiB 0.53 1482 6007 1129 4709 169 64.8 MiB 0.07 0.00 6.46824 -139.434 -6.46824 6.46824 0.93 0.000651223 0.000591086 0.0245098 0.0222032 36 4192 28 6.55708e+06 325485 612192. 2118.31 16.60 0.314321 0.274995 22750 144809 -1 3378 18 1497 4951 325545 70959 6.8411 6.8411 -161.313 -6.8411 0 0 782063. 2706.10 0.31 0.10 0.13 -1 -1 0.31 0.0315664 0.0282712 194 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 6.10 vpr 63.59 MiB -1 -1 0.17 20112 9 0.11 -1 -1 36056 -1 -1 24 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65120 26 32 152 184 1 120 82 17 17 289 -1 unnamed_device 25.1 MiB 0.21 693 11830 4244 5604 1982 63.6 MiB 0.07 0.00 4.4706 -82.7138 -4.4706 4.4706 0.91 0.000360952 0.000327032 0.0259736 0.0235309 28 1616 15 6.55708e+06 289320 500653. 1732.36 2.80 0.112263 0.0978064 21310 115450 -1 1570 15 612 1527 90091 21343 4.53934 4.53934 -96.6666 -4.53934 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.014114 0.012618 87 69 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 6.28 vpr 64.78 MiB -1 -1 0.20 20560 13 0.36 -1 -1 36564 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 287 319 1 210 89 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1415 11573 3127 6460 1986 64.8 MiB 0.10 0.00 6.6419 -132.854 -6.6419 6.6419 0.92 0.000656451 0.000596353 0.04281 0.038766 30 3820 34 6.55708e+06 301375 526063. 1820.29 2.40 0.157933 0.139801 21886 126133 -1 3087 18 1351 4067 204319 47483 6.9633 6.9633 -152.455 -6.9633 0 0 666494. 2306.21 0.28 0.08 0.12 -1 -1 0.28 0.0300724 0.0270738 193 192 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 4.19 vpr 63.59 MiB -1 -1 0.15 19912 8 0.11 -1 -1 36052 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65112 32 32 154 186 1 120 80 17 17 289 -1 unnamed_device 25.2 MiB 0.15 766 6788 2139 3405 1244 63.6 MiB 0.04 0.00 3.37088 -79.3912 -3.37088 3.37088 0.91 0.000345582 0.000312336 0.015629 0.0142279 26 1695 16 6.55708e+06 192880 477104. 1650.88 1.02 0.0625308 0.0546876 21022 109990 -1 1558 15 564 1195 65957 16159 3.73148 3.73148 -94.978 -3.73148 0 0 585099. 2024.56 0.26 0.03 0.11 -1 -1 0.26 0.0135232 0.0120353 77 59 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 8.22 vpr 64.64 MiB -1 -1 0.20 20540 15 0.29 -1 -1 36468 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 254 286 1 199 92 17 17 289 -1 unnamed_device 26.2 MiB 0.42 1345 5267 929 3987 351 64.6 MiB 0.05 0.00 6.9593 -136.429 -6.9593 6.9593 0.95 0.000602616 0.000547865 0.0187914 0.0171524 38 2946 23 6.55708e+06 337540 638502. 2209.35 4.21 0.211064 0.183568 23326 155178 -1 2532 19 1094 3243 158376 36291 7.1605 7.1605 -153.186 -7.1605 0 0 851065. 2944.86 0.35 0.07 0.15 -1 -1 0.35 0.0280359 0.0251698 165 159 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 12.21 vpr 64.28 MiB -1 -1 0.21 20412 13 0.29 -1 -1 36336 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 32 32 260 292 1 207 90 17 17 289 -1 unnamed_device 25.8 MiB 0.33 1203 13557 3365 8576 1616 64.3 MiB 0.11 0.00 6.13718 -133.384 -6.13718 6.13718 0.93 0.000601101 0.000544987 0.0447377 0.0405476 28 3584 38 6.55708e+06 313430 500653. 1732.36 8.39 0.264634 0.233659 21310 115450 -1 2846 19 1426 4169 235000 54646 6.24972 6.24972 -148.251 -6.24972 0 0 612192. 2118.31 0.27 0.09 0.10 -1 -1 0.27 0.0297801 0.0266476 168 165 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 6.06 vpr 64.49 MiB -1 -1 0.21 20852 13 0.34 -1 -1 36544 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 279 311 1 212 93 17 17 289 -1 unnamed_device 25.9 MiB 0.29 1323 11433 2717 6838 1878 64.5 MiB 0.09 0.00 6.27164 -130.476 -6.27164 6.27164 0.94 0.000645306 0.000579878 0.0386628 0.0347725 34 3296 42 6.55708e+06 349595 585099. 2024.56 2.12 0.185924 0.163682 22462 138074 -1 2913 20 1587 4888 273544 64233 6.6791 6.6791 -152.766 -6.6791 0 0 742403. 2568.87 0.32 0.10 0.13 -1 -1 0.32 0.0325199 0.0291582 187 184 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 6.93 vpr 64.04 MiB -1 -1 0.20 20336 12 0.20 -1 -1 36384 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 32 32 238 270 1 189 87 17 17 289 -1 unnamed_device 25.7 MiB 0.39 1185 6039 1279 4640 120 64.0 MiB 0.06 0.00 5.57998 -122.255 -5.57998 5.57998 0.94 0.000557278 0.000503529 0.0203025 0.0184324 36 2985 22 6.55708e+06 277265 612192. 2118.31 3.15 0.151966 0.133147 22750 144809 -1 2593 14 1035 3016 174080 39983 5.82038 5.82038 -137.361 -5.82038 0 0 782063. 2706.10 0.32 0.06 0.14 -1 -1 0.32 0.0219126 0.0197947 147 143 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 4.64 vpr 64.16 MiB -1 -1 0.19 20456 11 0.19 -1 -1 36412 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65704 30 32 213 245 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.23 1000 12547 3434 7005 2108 64.2 MiB 0.09 0.00 5.38078 -112.862 -5.38078 5.38078 0.91 0.000513651 0.000459102 0.0364516 0.0329014 28 2491 15 6.55708e+06 277265 500653. 1732.36 1.12 0.102262 0.0904007 21310 115450 -1 2235 16 986 2552 136114 33383 5.74138 5.74138 -131.34 -5.74138 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.021231 0.0190445 131 122 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 7.26 vpr 64.26 MiB -1 -1 0.19 20580 11 0.21 -1 -1 36676 -1 -1 28 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65804 28 32 227 259 1 175 88 17 17 289 -1 unnamed_device 25.9 MiB 0.48 1033 12178 3269 6689 2220 64.3 MiB 0.09 0.00 5.50098 -107.86 -5.50098 5.50098 0.95 0.000501189 0.000452736 0.035122 0.0318292 28 2788 43 6.55708e+06 337540 500653. 1732.36 3.42 0.217502 0.189991 21310 115450 -1 2334 16 1048 2767 152540 36198 5.50098 5.50098 -121.368 -5.50098 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0231265 0.0207971 150 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 6.82 vpr 64.28 MiB -1 -1 0.20 20736 12 0.26 -1 -1 36524 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 32 32 274 306 1 206 90 17 17 289 -1 unnamed_device 25.8 MiB 0.31 1294 5316 980 4103 233 64.3 MiB 0.05 0.00 5.8417 -130.983 -5.8417 5.8417 0.94 0.000618986 0.000560243 0.0196641 0.0178842 28 3160 20 6.55708e+06 313430 500653. 1732.36 3.15 0.201155 0.176067 21310 115450 -1 2697 18 1264 3284 174768 42987 6.51144 6.51144 -155.403 -6.51144 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0287327 0.025875 181 179 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 7.84 vpr 64.37 MiB -1 -1 0.19 20556 12 0.20 -1 -1 36296 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 31 32 237 269 1 179 86 17 17 289 -1 unnamed_device 25.7 MiB 0.71 1222 5378 1108 3900 370 64.4 MiB 0.05 0.00 5.8835 -124.503 -5.8835 5.8835 0.93 0.00056451 0.000503516 0.0188166 0.0170283 28 3175 25 6.55708e+06 277265 500653. 1732.36 3.86 0.186166 0.161399 21310 115450 -1 2665 16 1121 2986 186856 42683 6.4845 6.4845 -145.408 -6.4845 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0228938 0.0205401 149 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 6.94 vpr 64.14 MiB -1 -1 0.20 20544 10 0.18 -1 -1 36300 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65684 29 32 220 252 1 163 83 17 17 289 -1 unnamed_device 25.6 MiB 0.21 1054 10883 3078 5661 2144 64.1 MiB 0.08 0.00 4.95846 -103.343 -4.95846 4.95846 0.93 0.000512711 0.000460545 0.0341299 0.0307932 28 2663 48 6.55708e+06 265210 500653. 1732.36 3.43 0.203367 0.177496 21310 115450 -1 2189 14 835 2456 133447 31435 5.29412 5.29412 -121.911 -5.29412 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0202282 0.018189 137 131 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 7.11 vpr 64.98 MiB -1 -1 0.24 21372 13 0.38 -1 -1 36744 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 315 347 1 239 95 17 17 289 -1 unnamed_device 26.4 MiB 0.30 1522 7871 1681 5622 568 65.0 MiB 0.08 0.00 6.6765 -138.374 -6.6765 6.6765 0.94 0.000744573 0.000670179 0.0305949 0.0277157 34 4031 22 6.55708e+06 373705 585099. 2024.56 3.10 0.20901 0.184459 22462 138074 -1 3537 15 1519 4674 280245 64085 7.0397 7.0397 -157.737 -7.0397 0 0 742403. 2568.87 0.31 0.09 0.13 -1 -1 0.31 0.0317101 0.0287911 221 220 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 8.56 vpr 64.61 MiB -1 -1 0.23 21036 14 0.39 -1 -1 36760 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 282 314 1 220 92 17 17 289 -1 unnamed_device 26.3 MiB 0.57 1410 13133 3370 7361 2402 64.6 MiB 0.11 0.00 6.05418 -134.601 -6.05418 6.05418 0.90 0.000623291 0.000564896 0.0441753 0.0397931 40 3069 20 6.55708e+06 337540 666494. 2306.21 4.28 0.243234 0.212256 23614 160646 -1 3124 20 1558 4813 368110 110109 6.45398 6.45398 -154.763 -6.45398 0 0 872365. 3018.56 0.34 0.12 0.14 -1 -1 0.34 0.0338635 0.0303956 191 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 7.68 vpr 64.36 MiB -1 -1 0.21 20556 12 0.19 -1 -1 36224 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 31 32 241 273 1 189 92 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1170 15410 4449 8084 2877 64.4 MiB 0.11 0.00 6.1213 -124.138 -6.1213 6.1213 0.95 0.000532625 0.000482277 0.0431618 0.0391864 36 2944 27 6.55708e+06 349595 612192. 2118.31 3.93 0.232243 0.203205 22750 144809 -1 2400 16 970 2617 151475 34776 6.6021 6.6021 -143.652 -6.6021 0 0 782063. 2706.10 0.33 0.06 0.14 -1 -1 0.33 0.0234492 0.021155 156 148 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 10.08 vpr 65.01 MiB -1 -1 0.24 20872 12 0.36 -1 -1 36696 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 31 32 307 339 1 235 96 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1506 9951 2337 6622 992 65.0 MiB 0.09 0.00 6.5197 -135.086 -6.5197 6.5197 0.93 0.000711389 0.000641262 0.0364983 0.033146 30 3540 17 6.55708e+06 397815 526063. 1820.29 5.90 0.278094 0.244527 21886 126133 -1 3131 15 1353 3886 204978 47843 6.8803 6.8803 -155.831 -6.8803 0 0 666494. 2306.21 0.30 0.08 0.12 -1 -1 0.30 0.0308838 0.0280795 218 214 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 7.34 vpr 64.86 MiB -1 -1 0.23 21044 14 0.44 -1 -1 36972 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 31 32 293 325 1 224 92 17 17 289 -1 unnamed_device 26.4 MiB 0.37 1561 5888 1079 4231 578 64.9 MiB 0.06 0.00 6.65922 -133.175 -6.65922 6.65922 0.93 0.000702753 0.000640645 0.0236496 0.0215318 28 4329 45 6.55708e+06 349595 500653. 1732.36 3.26 0.15608 0.137681 21310 115450 -1 3496 22 2038 6286 409428 95153 7.46142 7.46142 -162.358 -7.46142 0 0 612192. 2118.31 0.27 0.13 0.11 -1 -1 0.27 0.0392753 0.0351839 202 200 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 8.16 vpr 64.70 MiB -1 -1 0.24 21120 13 0.32 -1 -1 36184 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 31 32 276 308 1 223 91 17 17 289 -1 unnamed_device 26.1 MiB 0.42 1425 13963 3681 8722 1560 64.7 MiB 0.12 0.00 6.4805 -134.563 -6.4805 6.4805 0.95 0.000629559 0.00056823 0.0486964 0.0440338 36 3523 25 6.55708e+06 337540 612192. 2118.31 4.06 0.287113 0.251527 22750 144809 -1 2923 21 1397 3957 214303 49858 6.7601 6.7601 -152.594 -6.7601 0 0 782063. 2706.10 0.33 0.08 0.14 -1 -1 0.33 0.0322986 0.0289017 185 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 15.72 vpr 64.49 MiB -1 -1 0.23 20680 13 0.33 -1 -1 36480 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 31 32 269 301 1 203 89 17 17 289 -1 unnamed_device 25.9 MiB 0.44 1336 11969 3132 7203 1634 64.5 MiB 0.10 0.00 5.83004 -117.199 -5.83004 5.83004 0.91 0.00062464 0.000566497 0.0416488 0.0375198 30 3442 31 6.55708e+06 313430 526063. 1820.29 11.67 0.260729 0.229075 21886 126133 -1 2869 33 1290 4339 323730 114040 6.15144 6.15144 -136.211 -6.15144 0 0 666494. 2306.21 0.29 0.14 0.12 -1 -1 0.29 0.047008 0.0419008 179 176 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 5.44 vpr 64.52 MiB -1 -1 0.21 20392 12 0.24 -1 -1 36328 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 32 32 264 296 1 196 88 17 17 289 -1 unnamed_device 26.0 MiB 0.26 1238 6913 1441 5073 399 64.5 MiB 0.06 0.00 5.71184 -117.572 -5.71184 5.71184 0.93 0.000595374 0.000540328 0.0244178 0.0221608 28 3264 21 6.55708e+06 289320 500653. 1732.36 1.77 0.114669 0.101529 21310 115450 -1 2989 20 1440 4129 251821 57754 5.91304 5.91304 -143.517 -5.91304 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0294693 0.0263452 171 169 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 9.82 vpr 65.02 MiB -1 -1 0.26 21724 14 0.51 -1 -1 37020 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 324 356 1 249 95 17 17 289 -1 unnamed_device 26.4 MiB 0.50 1758 9167 1976 6514 677 65.0 MiB 0.09 0.00 7.04736 -151.984 -7.04736 7.04736 0.90 0.00073501 0.000650282 0.0367402 0.0329572 36 4307 32 6.55708e+06 373705 612192. 2118.31 5.47 0.239416 0.211074 22750 144809 -1 3846 16 1641 5394 321052 72394 7.40796 7.40796 -171.518 -7.40796 0 0 782063. 2706.10 0.32 0.11 0.14 -1 -1 0.32 0.0346522 0.0314991 230 229 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 8.25 vpr 64.39 MiB -1 -1 0.20 20120 11 0.24 -1 -1 36364 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 31 32 249 281 1 192 89 17 17 289 -1 unnamed_device 25.9 MiB 0.43 1201 13157 3904 6958 2295 64.4 MiB 0.10 0.00 5.50098 -114.671 -5.50098 5.50098 0.94 0.000558387 0.000504429 0.0408273 0.036916 34 3559 24 6.55708e+06 313430 585099. 2024.56 4.35 0.218564 0.191302 22462 138074 -1 2846 16 1375 4036 260093 60490 5.89112 5.89112 -139.862 -5.89112 0 0 742403. 2568.87 0.31 0.08 0.13 -1 -1 0.31 0.0248399 0.0223554 163 156 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 6.49 vpr 64.71 MiB -1 -1 0.23 20924 13 0.36 -1 -1 36520 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 31 32 284 316 1 206 91 17 17 289 -1 unnamed_device 26.1 MiB 0.42 1370 8047 1669 5529 849 64.7 MiB 0.07 0.00 6.72996 -130.875 -6.72996 6.72996 0.91 0.000648516 0.000581172 0.0291617 0.0263167 28 3741 40 6.55708e+06 337540 500653. 1732.36 2.49 0.150366 0.132294 21310 115450 -1 3192 19 1384 4579 306391 66414 7.09056 7.09056 -154.19 -7.09056 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.0319026 0.028494 193 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 8.61 vpr 64.75 MiB -1 -1 0.22 20828 12 0.33 -1 -1 36192 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 303 335 1 222 93 17 17 289 -1 unnamed_device 26.3 MiB 0.53 1525 9753 2284 6567 902 64.7 MiB 0.09 0.00 5.91304 -126.537 -5.91304 5.91304 0.92 0.000694652 0.000624603 0.0357254 0.0322753 48 3083 17 6.55708e+06 349595 816265. 2824.45 4.31 0.262237 0.22896 25054 189045 -1 2945 16 1147 4179 219085 49699 6.39384 6.39384 -142.766 -6.39384 0 0 986792. 3414.50 0.42 0.08 0.18 -1 -1 0.42 0.031172 0.0281849 210 208 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 8.01 vpr 64.78 MiB -1 -1 0.20 20692 13 0.31 -1 -1 36860 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 272 304 1 203 93 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1366 6813 1497 4509 807 64.8 MiB 0.07 0.00 6.22784 -133.731 -6.22784 6.22784 0.94 0.000651199 0.000590829 0.0253776 0.0230076 44 3022 17 6.55708e+06 349595 742403. 2568.87 4.04 0.239235 0.210313 24478 177802 -1 2524 15 1037 3002 153025 35384 6.70864 6.70864 -148.714 -6.70864 0 0 937218. 3242.97 0.40 0.07 0.16 -1 -1 0.40 0.0273225 0.0247722 183 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 6.41 vpr 64.56 MiB -1 -1 0.21 20876 13 0.25 -1 -1 36980 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 271 303 1 212 90 17 17 289 -1 unnamed_device 26.0 MiB 0.36 1383 4914 889 3742 283 64.6 MiB 0.05 0.00 6.01698 -132.591 -6.01698 6.01698 0.91 0.000562885 0.000505441 0.0174735 0.0158109 34 3524 40 6.55708e+06 313430 585099. 2024.56 2.64 0.174957 0.153549 22462 138074 -1 2923 17 1246 3682 218860 49816 6.34038 6.34038 -149.397 -6.34038 0 0 742403. 2568.87 0.31 0.08 0.12 -1 -1 0.31 0.0276111 0.0247557 178 176 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 6.84 vpr 64.88 MiB -1 -1 0.23 20736 12 0.32 -1 -1 36708 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 288 320 1 223 94 17 17 289 -1 unnamed_device 26.4 MiB 0.55 1478 11383 2761 6876 1746 64.9 MiB 0.10 0.00 6.02864 -133.293 -6.02864 6.02864 0.93 0.000635174 0.000564489 0.0398246 0.0357863 34 3934 42 6.55708e+06 361650 585099. 2024.56 2.65 0.194911 0.171554 22462 138074 -1 3368 18 1334 4442 275411 62134 6.67144 6.67144 -154.034 -6.67144 0 0 742403. 2568.87 0.31 0.10 0.13 -1 -1 0.31 0.0325749 0.0293794 197 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 8.09 vpr 64.88 MiB -1 -1 0.24 21044 13 0.37 -1 -1 37336 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 306 338 1 233 95 17 17 289 -1 unnamed_device 26.3 MiB 0.50 1547 8087 1626 5819 642 64.9 MiB 0.08 0.00 6.46824 -137.216 -6.46824 6.46824 0.94 0.000704122 0.000627765 0.0306875 0.0278053 36 3843 21 6.55708e+06 373705 612192. 2118.31 3.89 0.205049 0.180816 22750 144809 -1 3316 15 1475 4535 251385 57419 6.70864 6.70864 -153.561 -6.70864 0 0 782063. 2706.10 0.32 0.09 0.13 -1 -1 0.32 0.0303038 0.0274368 212 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 5.01 vpr 64.66 MiB -1 -1 0.21 20404 14 0.36 -1 -1 36312 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 262 294 1 191 88 17 17 289 -1 unnamed_device 26.1 MiB 0.31 1289 8473 2236 5614 623 64.7 MiB 0.08 0.00 6.84876 -137.901 -6.84876 6.84876 0.93 0.000633419 0.000569786 0.0305386 0.0276408 30 3000 22 6.55708e+06 289320 526063. 1820.29 1.18 0.118208 0.104325 21886 126133 -1 2617 17 1168 3578 168819 40175 7.1187 7.1187 -156.293 -7.1187 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0265899 0.0239601 168 167 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 6.99 vpr 64.63 MiB -1 -1 0.22 21012 13 0.33 -1 -1 36504 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 32 32 291 323 1 224 94 17 17 289 -1 unnamed_device 26.3 MiB 0.43 1499 6271 1214 4551 506 64.6 MiB 0.06 0.00 6.65216 -135.411 -6.65216 6.65216 0.93 0.000649183 0.000586549 0.0226394 0.0205331 34 3903 44 6.55708e+06 361650 585099. 2024.56 2.98 0.18188 0.159792 22462 138074 -1 3365 16 1496 4410 260341 60234 7.13296 7.13296 -157.983 -7.13296 0 0 742403. 2568.87 0.30 0.09 0.13 -1 -1 0.30 0.0296399 0.0267521 198 196 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 8.15 vpr 65.08 MiB -1 -1 0.26 20768 13 0.35 -1 -1 36440 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 31 32 302 334 1 235 94 17 17 289 -1 unnamed_device 26.5 MiB 0.33 1434 8401 1843 5875 683 65.1 MiB 0.08 0.00 6.4799 -135.748 -6.4799 6.4799 0.94 0.000674821 0.000613339 0.0312183 0.0282736 36 3518 25 6.55708e+06 373705 612192. 2118.31 4.17 0.275552 0.24142 22750 144809 -1 3057 16 1347 4037 212170 50138 6.8405 6.8405 -154.035 -6.8405 0 0 782063. 2706.10 0.32 0.08 0.14 -1 -1 0.32 0.0305108 0.027621 213 209 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 7.76 vpr 64.90 MiB -1 -1 0.25 20712 12 0.38 -1 -1 36512 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 308 340 1 238 97 17 17 289 -1 unnamed_device 26.3 MiB 0.33 1589 11197 2983 6899 1315 64.9 MiB 0.10 0.00 6.10964 -134.46 -6.10964 6.10964 0.92 0.000713252 0.000643453 0.0404771 0.0365626 30 3846 21 6.55708e+06 397815 526063. 1820.29 3.77 0.262386 0.229736 21886 126133 -1 3227 16 1434 3949 189025 44884 6.55124 6.55124 -154.517 -6.55124 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0311517 0.0282864 216 213 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 7.37 vpr 64.04 MiB -1 -1 0.17 20208 11 0.16 -1 -1 36544 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 32 32 216 248 1 160 82 17 17 289 -1 unnamed_device 25.6 MiB 0.29 1043 8270 2193 4722 1355 64.0 MiB 0.06 0.00 5.08892 -106.669 -5.08892 5.08892 0.95 0.000492579 0.000447672 0.0257161 0.0233624 34 2548 49 6.55708e+06 216990 585099. 2024.56 3.78 0.228629 0.19856 22462 138074 -1 2145 18 890 2376 135276 31753 5.16992 5.16992 -123.994 -5.16992 0 0 742403. 2568.87 0.31 0.06 0.13 -1 -1 0.31 0.0225682 0.0201984 125 121 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 8.07 vpr 64.34 MiB -1 -1 0.22 20768 13 0.26 -1 -1 36560 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65880 32 32 254 286 1 194 88 17 17 289 -1 unnamed_device 25.9 MiB 0.43 1283 10033 2378 6116 1539 64.3 MiB 0.08 0.00 6.14684 -133.05 -6.14684 6.14684 0.96 0.000626423 0.000558938 0.0336692 0.0304084 36 3137 20 6.55708e+06 289320 612192. 2118.31 4.07 0.209254 0.183345 22750 144809 -1 2719 17 1118 3218 184518 41755 6.31084 6.31084 -146.175 -6.31084 0 0 782063. 2706.10 0.32 0.07 0.14 -1 -1 0.32 0.0270319 0.0244111 161 159 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 5.96 vpr 65.25 MiB -1 -1 0.26 21544 14 0.55 -1 -1 36720 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 338 370 1 252 97 17 17 289 -1 unnamed_device 26.8 MiB 0.32 1645 7201 1587 4956 658 65.2 MiB 0.08 0.00 7.13802 -146.534 -7.13802 7.13802 0.95 0.000794868 0.000704122 0.0307742 0.0278751 30 4467 23 6.55708e+06 397815 526063. 1820.29 1.74 0.152349 0.135051 21886 126133 -1 3419 16 1725 5491 253979 60022 7.25822 7.25822 -163.606 -7.25822 0 0 666494. 2306.21 0.29 0.09 0.12 -1 -1 0.29 0.0351653 0.0319757 245 243 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 11.44 vpr 64.62 MiB -1 -1 0.22 20812 13 0.36 -1 -1 36784 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 271 303 1 212 91 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1483 8659 2075 5739 845 64.6 MiB 0.08 0.00 6.4779 -143.013 -6.4779 6.4779 0.96 0.000638719 0.000576815 0.0308211 0.0278798 30 3485 21 6.55708e+06 325485 526063. 1820.29 7.33 0.227493 0.198855 21886 126133 -1 2997 17 1272 3728 193414 44190 6.8385 6.8385 -164.022 -6.8385 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0293071 0.0264855 178 176 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 6.71 vpr 64.13 MiB -1 -1 0.20 20628 11 0.21 -1 -1 36212 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 30 32 224 256 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.20 1056 13105 3637 7273 2195 64.1 MiB 0.09 0.00 5.73878 -119.706 -5.73878 5.73878 0.93 0.000509575 0.000459487 0.0392824 0.0355575 30 2470 20 6.55708e+06 277265 526063. 1820.29 3.15 0.196251 0.171228 21886 126133 -1 2084 19 882 2579 121506 29479 5.85898 5.85898 -134.37 -5.85898 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0244864 0.0218699 139 133 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 9.26 vpr 65.03 MiB -1 -1 0.27 21260 15 0.65 -1 -1 36872 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 351 383 1 268 98 17 17 289 -1 unnamed_device 26.8 MiB 0.37 1738 6398 1188 4870 340 65.0 MiB 0.07 0.00 7.74667 -152.974 -7.74667 7.74667 0.91 0.000778125 0.000696602 0.027482 0.024552 36 4646 28 6.55708e+06 409870 612192. 2118.31 4.85 0.319472 0.279721 22750 144809 -1 3792 16 1760 5626 300520 70294 8.33801 8.33801 -177.387 -8.33801 0 0 782063. 2706.10 0.32 0.11 0.14 -1 -1 0.32 0.0381515 0.0346294 257 256 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 6.27 vpr 64.79 MiB -1 -1 0.22 21064 13 0.40 -1 -1 36444 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 297 329 1 215 92 17 17 289 -1 unnamed_device 26.3 MiB 0.37 1341 16445 4319 9553 2573 64.8 MiB 0.14 0.00 6.89196 -139.203 -6.89196 6.89196 0.93 0.000689363 0.000616908 0.0589697 0.053121 28 4241 39 6.55708e+06 337540 500653. 1732.36 2.23 0.182231 0.161337 21310 115450 -1 3254 17 1479 4308 268014 62539 7.25256 7.25256 -163.427 -7.25256 0 0 612192. 2118.31 0.28 0.10 0.11 -1 -1 0.28 0.0327059 0.0296085 203 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 10.53 vpr 64.25 MiB -1 -1 0.17 20136 11 0.16 -1 -1 36756 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 32 32 231 263 1 176 86 17 17 289 -1 unnamed_device 25.7 MiB 0.36 1079 10670 2760 6773 1137 64.3 MiB 0.08 0.00 5.16732 -114.648 -5.16732 5.16732 0.90 0.000524155 0.00047308 0.0325283 0.0293223 30 2635 29 6.55708e+06 265210 526063. 1820.29 6.94 0.240495 0.208944 21886 126133 -1 2348 15 1022 2912 146555 34817 5.28752 5.28752 -130.999 -5.28752 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0207041 0.0186029 141 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 5.94 vpr 65.05 MiB -1 -1 0.22 20972 12 0.38 -1 -1 36684 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 305 337 1 231 94 17 17 289 -1 unnamed_device 26.5 MiB 0.53 1510 9892 2482 6147 1263 65.0 MiB 0.09 0.00 6.1611 -129.511 -6.1611 6.1611 0.92 0.00069135 0.000625651 0.0356349 0.0321028 30 3825 29 6.55708e+06 361650 526063. 1820.29 1.78 0.146479 0.129139 21886 126133 -1 3149 18 1480 4554 223719 51843 6.79164 6.79164 -148.996 -6.79164 0 0 666494. 2306.21 0.30 0.09 0.12 -1 -1 0.30 0.0344191 0.0310988 213 210 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 10.31 vpr 64.33 MiB -1 -1 0.17 20136 12 0.23 -1 -1 36580 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 32 32 243 275 1 186 90 17 17 289 -1 unnamed_device 25.9 MiB 0.45 1168 10542 2561 6541 1440 64.3 MiB 0.08 0.00 6.06844 -127.107 -6.06844 6.06844 0.90 0.000601693 0.000543641 0.0315487 0.0285108 28 3149 38 6.55708e+06 313430 500653. 1732.36 6.62 0.205168 0.179413 21310 115450 -1 2691 15 1211 3433 198055 46191 6.38984 6.38984 -147.61 -6.38984 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0231827 0.0209031 153 148 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 8.21 vpr 64.20 MiB -1 -1 0.21 20480 12 0.23 -1 -1 36408 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65744 30 32 228 260 1 161 83 17 17 289 -1 unnamed_device 25.6 MiB 0.24 980 11063 3215 5473 2375 64.2 MiB 0.08 0.00 5.82944 -117.747 -5.82944 5.82944 0.93 0.000550653 0.000501424 0.036561 0.0331869 26 3052 48 6.55708e+06 253155 477104. 1650.88 4.55 0.212905 0.18585 21022 109990 -1 2388 23 1260 3586 388887 145620 6.31284 6.31284 -138.224 -6.31284 0 0 585099. 2024.56 0.26 0.13 0.10 -1 -1 0.26 0.0304629 0.027075 140 137 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 13.16 vpr 64.42 MiB -1 -1 0.23 20840 12 0.35 -1 -1 36396 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 29 32 275 307 1 206 92 17 17 289 -1 unnamed_device 25.8 MiB 0.29 1347 5681 1105 4130 446 64.4 MiB 0.06 0.00 5.58198 -108.434 -5.58198 5.58198 0.91 0.000658727 0.000585985 0.0220281 0.019911 30 3412 25 6.55708e+06 373705 526063. 1820.29 9.25 0.241865 0.21139 21886 126133 -1 2883 34 1302 4513 431927 192329 6.06278 6.06278 -126.548 -6.06278 0 0 666494. 2306.21 0.30 0.18 0.11 -1 -1 0.30 0.0498312 0.0442248 191 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 7.35 vpr 65.07 MiB -1 -1 0.22 20956 13 0.43 -1 -1 36440 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 330 362 1 256 97 17 17 289 -1 unnamed_device 26.3 MiB 0.71 1596 6091 1106 4589 396 65.1 MiB 0.06 0.00 7.25056 -149.828 -7.25056 7.25056 0.91 0.000723801 0.000655234 0.0243608 0.0220643 36 3936 20 6.55708e+06 397815 612192. 2118.31 2.94 0.20257 0.178531 22750 144809 -1 3417 16 1582 4403 234662 55827 7.28976 7.28976 -166.224 -7.28976 0 0 782063. 2706.10 0.32 0.09 0.14 -1 -1 0.32 0.0327134 0.0297023 238 235 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 6.43 vpr 64.84 MiB -1 -1 0.23 20648 12 0.29 -1 -1 36384 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 290 322 1 220 96 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1327 12360 3501 7386 1473 64.8 MiB 0.11 0.00 6.2023 -126.011 -6.2023 6.2023 0.93 0.000673521 0.000610971 0.0418386 0.0379164 30 3690 33 6.55708e+06 385760 526063. 1820.29 2.31 0.158391 0.140719 21886 126133 -1 2976 29 1496 4347 308025 105107 6.7641 6.7641 -147.776 -6.7641 0 0 666494. 2306.21 0.29 0.13 0.12 -1 -1 0.29 0.0441246 0.0393626 200 195 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 7.42 vpr 64.27 MiB -1 -1 0.18 20680 12 0.19 -1 -1 36732 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 32 32 214 246 1 164 84 17 17 289 -1 unnamed_device 25.7 MiB 0.64 1135 10149 2462 6257 1430 64.3 MiB 0.08 0.00 5.60752 -119.408 -5.60752 5.60752 0.92 0.000502799 0.000452076 0.0305093 0.0275446 30 2634 30 6.55708e+06 241100 526063. 1820.29 3.49 0.194843 0.169742 21886 126133 -1 2293 16 862 2574 131469 30510 5.72772 5.72772 -134.035 -5.72772 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0218434 0.0196604 126 119 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 7.43 vpr 64.44 MiB -1 -1 0.22 20472 12 0.27 -1 -1 36568 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 31 32 244 276 1 182 87 17 17 289 -1 unnamed_device 26.0 MiB 0.33 1177 12375 3387 6891 2097 64.4 MiB 0.10 0.00 5.89878 -117.754 -5.89878 5.89878 0.93 0.000588772 0.000535227 0.0395517 0.0359014 30 3039 24 6.55708e+06 289320 526063. 1820.29 3.64 0.222238 0.194881 21886 126133 -1 2526 19 1183 3741 175898 41521 6.02158 6.02158 -135.21 -6.02158 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.0271989 0.0243751 154 151 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 7.39 vpr 64.64 MiB -1 -1 0.22 20692 11 0.24 -1 -1 36828 -1 -1 30 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 30 32 276 308 1 210 92 17 17 289 -1 unnamed_device 26.0 MiB 0.17 1415 11684 3005 6575 2104 64.6 MiB 0.10 0.00 5.55244 -111.164 -5.55244 5.55244 0.93 0.000647797 0.000587375 0.0398381 0.0359443 34 4089 40 6.55708e+06 361650 585099. 2024.56 3.72 0.192579 0.169651 22462 138074 -1 3205 17 1264 4093 272253 59262 6.15344 6.15344 -131.879 -6.15344 0 0 742403. 2568.87 0.31 0.09 0.13 -1 -1 0.31 0.0282435 0.0253851 190 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 7.70 vpr 64.46 MiB -1 -1 0.20 20780 11 0.25 -1 -1 36472 -1 -1 27 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 28 32 253 285 1 181 87 17 17 289 -1 unnamed_device 26.0 MiB 0.18 1110 9879 2527 6538 814 64.5 MiB 0.08 0.00 5.44692 -100.796 -5.44692 5.44692 0.93 0.000591701 0.000534996 0.0333418 0.0300429 36 2693 31 6.55708e+06 325485 612192. 2118.31 4.03 0.242973 0.212343 22750 144809 -1 2264 15 922 3266 170122 39155 5.56712 5.56712 -113.853 -5.56712 0 0 782063. 2706.10 0.32 0.06 0.14 -1 -1 0.32 0.0237392 0.0213595 172 166 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 7.07 vpr 64.30 MiB -1 -1 0.21 20564 13 0.27 -1 -1 36256 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65844 30 32 235 267 1 174 87 17 17 289 -1 unnamed_device 25.9 MiB 0.35 1100 4887 930 3736 221 64.3 MiB 0.05 0.00 6.0017 -114.095 -6.0017 6.0017 0.89 0.000533527 0.000481575 0.0169211 0.0154041 34 2741 21 6.55708e+06 301375 585099. 2024.56 3.31 0.161137 0.140335 22462 138074 -1 2425 15 921 2851 159241 36701 6.3623 6.3623 -130.551 -6.3623 0 0 742403. 2568.87 0.31 0.06 0.13 -1 -1 0.31 0.0223051 0.0201046 148 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 5.10 vpr 64.51 MiB -1 -1 0.19 20720 12 0.23 -1 -1 36568 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 32 32 264 296 1 207 92 17 17 289 -1 unnamed_device 26.0 MiB 0.34 1297 12305 3023 7216 2066 64.5 MiB 0.09 0.00 5.8417 -128.186 -5.8417 5.8417 0.90 0.000554223 0.000495326 0.0375162 0.0337237 30 3234 22 6.55708e+06 337540 526063. 1820.29 1.43 0.12747 0.112424 21886 126133 -1 2577 15 1202 3317 168811 40013 6.2833 6.2833 -150.363 -6.2833 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0258241 0.023375 174 169 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 7.05 vpr 64.46 MiB -1 -1 0.21 20564 13 0.36 -1 -1 36648 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 31 32 278 310 1 202 90 17 17 289 -1 unnamed_device 25.8 MiB 0.35 1311 5517 1031 4052 434 64.5 MiB 0.05 0.00 6.88936 -133.054 -6.88936 6.88936 0.92 0.000647762 0.000584347 0.0207517 0.0188527 28 3253 23 6.55708e+06 325485 500653. 1732.36 3.19 0.197463 0.172013 21310 115450 -1 2883 19 1436 4373 244079 55911 7.17156 7.17156 -153.12 -7.17156 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0320299 0.0288214 187 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 5.20 vpr 64.83 MiB -1 -1 0.23 20712 14 0.33 -1 -1 36708 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 290 322 1 214 92 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1361 13340 3813 7167 2360 64.8 MiB 0.11 0.00 6.8411 -135.771 -6.8411 6.8411 0.91 0.00062271 0.000561831 0.0457354 0.0413255 30 3144 23 6.55708e+06 337540 526063. 1820.29 1.34 0.144139 0.127572 21886 126133 -1 2588 19 1262 3534 163137 39516 7.22102 7.22102 -153.683 -7.22102 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0312138 0.0279127 196 195 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 13.96 vpr 64.54 MiB -1 -1 0.22 21228 14 0.31 -1 -1 36396 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 269 301 1 199 89 17 17 289 -1 unnamed_device 26.0 MiB 0.34 1146 8009 1888 5047 1074 64.5 MiB 0.07 0.00 6.30884 -127.798 -6.30884 6.30884 0.97 0.000619234 0.000561601 0.0286023 0.0259184 30 3067 48 6.55708e+06 301375 526063. 1820.29 9.99 0.315543 0.27605 21886 126133 -1 2427 28 1375 4635 298215 91491 6.65518 6.65518 -145.715 -6.65518 0 0 666494. 2306.21 0.28 0.12 0.12 -1 -1 0.28 0.0395468 0.0351974 175 174 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 14.37 vpr 64.90 MiB -1 -1 0.25 21336 13 0.42 -1 -1 36620 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 296 328 1 221 93 17 17 289 -1 unnamed_device 26.5 MiB 0.37 1422 6183 1209 4389 585 64.9 MiB 0.06 0.00 6.76916 -133.677 -6.76916 6.76916 0.96 0.000691048 0.000622609 0.0243303 0.0220396 28 4132 33 6.55708e+06 349595 500653. 1732.36 10.26 0.290677 0.254882 21310 115450 -1 3635 23 1844 5727 400675 91440 7.28916 7.28916 -158.916 -7.28916 0 0 612192. 2118.31 0.27 0.13 0.11 -1 -1 0.27 0.0406205 0.0364399 205 201 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 5.47 vpr 64.18 MiB -1 -1 0.20 20800 13 0.23 -1 -1 36268 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65724 30 32 234 266 1 186 86 17 17 289 -1 unnamed_device 25.8 MiB 0.48 1247 8024 1931 5322 771 64.2 MiB 0.07 0.00 6.22784 -128.097 -6.22784 6.22784 0.90 0.000559115 0.000507955 0.0264477 0.0241007 28 3152 24 6.55708e+06 289320 500653. 1732.36 1.70 0.116309 0.10334 21310 115450 -1 2630 21 1216 3233 218773 57442 6.57618 6.57618 -146.95 -6.57618 0 0 612192. 2118.31 0.26 0.09 0.10 -1 -1 0.26 0.0288423 0.0256173 147 143 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 6.19 vpr 64.78 MiB -1 -1 0.25 20932 13 0.56 -1 -1 36532 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 30 32 291 323 1 232 94 17 17 289 -1 unnamed_device 26.3 MiB 0.41 1415 6271 1187 4688 396 64.8 MiB 0.06 0.00 6.73256 -132.364 -6.73256 6.73256 0.92 0.000685535 0.000621806 0.0243063 0.022106 36 3617 20 6.55708e+06 385760 612192. 2118.31 1.94 0.150585 0.133445 22750 144809 -1 3016 19 1498 4248 213887 51415 7.0025 7.0025 -151.423 -7.0025 0 0 782063. 2706.10 0.32 0.09 0.13 -1 -1 0.32 0.0339842 0.0305829 203 200 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 7.29 vpr 64.81 MiB -1 -1 0.23 20788 14 0.39 -1 -1 37040 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 274 306 1 210 91 17 17 289 -1 unnamed_device 26.2 MiB 0.56 1358 8659 1951 6310 398 64.8 MiB 0.06 0.00 6.61036 -137.215 -6.61036 6.61036 0.94 0.00038777 0.000353023 0.024411 0.0221825 28 3771 27 6.55708e+06 325485 500653. 1732.36 3.14 0.125966 0.111192 21310 115450 -1 3246 18 1370 4536 274705 61330 7.0815 7.0815 -164.534 -7.0815 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0308294 0.0278221 181 179 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 7.24 vpr 64.45 MiB -1 -1 0.24 20768 13 0.29 -1 -1 36736 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 31 32 266 298 1 204 88 17 17 289 -1 unnamed_device 25.9 MiB 0.35 1349 8278 1974 5355 949 64.4 MiB 0.07 0.00 6.42704 -130.579 -6.42704 6.42704 0.92 0.000635303 0.000573579 0.0296981 0.0268644 34 3511 38 6.55708e+06 301375 585099. 2024.56 3.36 0.174852 0.153717 22462 138074 -1 2911 18 1302 3842 225853 50546 6.75044 6.75044 -151.174 -6.75044 0 0 742403. 2568.87 0.32 0.08 0.13 -1 -1 0.32 0.0283848 0.0254108 175 173 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 8.46 vpr 64.55 MiB -1 -1 0.24 20836 13 0.27 -1 -1 36476 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 30 32 266 298 1 204 89 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1263 13751 4201 7179 2371 64.5 MiB 0.11 0.00 6.1611 -115.224 -6.1611 6.1611 0.92 0.000600209 0.00054389 0.0456557 0.0413949 28 4205 49 6.55708e+06 325485 500653. 1732.36 4.44 0.172513 0.152729 21310 115450 -1 3289 20 1565 4686 376453 95353 7.07584 7.07584 -149.602 -7.07584 0 0 612192. 2118.31 0.27 0.12 0.11 -1 -1 0.27 0.0319662 0.0287041 178 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 8.62 vpr 65.07 MiB -1 -1 0.24 20952 14 0.45 -1 -1 36524 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 310 342 1 238 101 17 17 289 -1 unnamed_device 26.5 MiB 0.44 1520 7151 1439 5129 583 65.1 MiB 0.07 0.00 6.7601 -141.653 -6.7601 6.7601 0.93 0.000727721 0.000653122 0.027031 0.0244932 36 3501 23 6.55708e+06 446035 612192. 2118.31 4.40 0.249541 0.219423 22750 144809 -1 3151 20 1703 5082 260911 60831 7.1579 7.1579 -163.412 -7.1579 0 0 782063. 2706.10 0.32 0.10 0.14 -1 -1 0.32 0.0364274 0.0327516 218 215 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 15.12 vpr 64.48 MiB -1 -1 0.25 21024 11 0.35 -1 -1 36772 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 29 32 262 294 1 203 90 17 17 289 -1 unnamed_device 26.0 MiB 0.53 1295 6522 1299 4707 516 64.5 MiB 0.06 0.00 5.61152 -113.195 -5.61152 5.61152 0.93 0.000628334 0.000574666 0.023891 0.0215626 28 3697 38 6.55708e+06 349595 500653. 1732.36 11.04 0.218402 0.191186 21310 115450 -1 3005 17 1331 4059 255860 57412 6.09232 6.09232 -135.828 -6.09232 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0287617 0.0259795 177 173 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 5.24 vpr 64.21 MiB -1 -1 0.18 20120 13 0.20 -1 -1 36448 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65752 32 32 222 254 1 180 88 17 17 289 -1 unnamed_device 25.7 MiB 0.35 1208 10033 2479 6508 1046 64.2 MiB 0.08 0.00 5.77918 -133.225 -5.77918 5.77918 0.94 0.000514932 0.00046849 0.0298369 0.027149 28 3106 18 6.55708e+06 289320 500653. 1732.36 1.56 0.105059 0.0931637 21310 115450 -1 2597 18 1078 2812 189582 42904 6.33838 6.33838 -157.264 -6.33838 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0237788 0.0212802 138 127 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 8.03 vpr 64.62 MiB -1 -1 0.21 20680 14 0.28 -1 -1 36564 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 267 299 1 205 92 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1338 8786 2060 6174 552 64.6 MiB 0.07 0.00 6.6771 -139.917 -6.6771 6.6771 0.91 0.000664958 0.000590804 0.0286533 0.0258537 36 3612 23 6.55708e+06 337540 612192. 2118.31 4.10 0.182971 0.160924 22750 144809 -1 2964 17 1178 3617 197428 46284 6.9175 6.9175 -155.344 -6.9175 0 0 782063. 2706.10 0.32 0.07 0.13 -1 -1 0.32 0.0271926 0.0244602 179 172 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 7.10 vpr 64.93 MiB -1 -1 0.24 21384 15 0.53 -1 -1 36384 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 334 366 1 263 97 17 17 289 -1 unnamed_device 26.5 MiB 0.34 1709 5869 1164 4191 514 64.9 MiB 0.07 0.00 7.46001 -156.735 -7.46001 7.46001 0.92 0.000779393 0.000702614 0.0251001 0.0227855 30 4927 44 6.55708e+06 397815 526063. 1820.29 2.93 0.17053 0.150468 21886 126133 -1 3716 18 1751 5210 263598 60393 7.70041 7.70041 -177.924 -7.70041 0 0 666494. 2306.21 0.30 0.10 0.12 -1 -1 0.30 0.0385954 0.0350361 241 239 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 6.55 vpr 64.16 MiB -1 -1 0.19 20760 11 0.21 -1 -1 36276 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65700 32 32 220 252 1 157 86 17 17 289 -1 unnamed_device 25.6 MiB 0.48 1028 5756 1180 4285 291 64.2 MiB 0.05 0.00 5.42258 -113.307 -5.42258 5.42258 0.92 0.000518568 0.000471845 0.0181037 0.0164088 26 2901 44 6.55708e+06 265210 477104. 1650.88 2.73 0.113908 0.0996964 21022 109990 -1 2375 24 1161 3732 428395 163376 6.18498 6.18498 -143.463 -6.18498 0 0 585099. 2024.56 0.26 0.14 0.10 -1 -1 0.26 0.029192 0.0259557 129 125 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 6.47 vpr 64.32 MiB -1 -1 0.19 20168 12 0.23 -1 -1 36800 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65868 31 32 244 276 1 193 89 17 17 289 -1 unnamed_device 25.9 MiB 0.31 1205 6821 1354 4638 829 64.3 MiB 0.06 0.00 6.08312 -125.639 -6.08312 6.08312 0.92 0.000551924 0.00050105 0.0227346 0.0206703 36 3143 34 6.55708e+06 313430 612192. 2118.31 2.78 0.171254 0.150081 22750 144809 -1 2484 17 1108 3191 176457 41920 6.45798 6.45798 -141.47 -6.45798 0 0 782063. 2706.10 0.32 0.07 0.13 -1 -1 0.32 0.0258242 0.0232294 156 151 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 14.09 vpr 64.67 MiB -1 -1 0.24 20720 12 0.38 -1 -1 36664 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 300 332 1 237 96 17 17 289 -1 unnamed_device 26.1 MiB 0.38 1469 9951 2618 6485 848 64.7 MiB 0.09 0.00 5.9201 -131.593 -5.9201 5.9201 0.94 0.000691472 0.000615095 0.0361073 0.032707 32 4445 48 6.55708e+06 385760 554710. 1919.41 9.95 0.331217 0.289268 22174 131602 -1 3594 22 1912 5637 423276 114987 6.6831 6.6831 -157.607 -6.6831 0 0 701300. 2426.64 0.31 0.13 0.13 -1 -1 0.31 0.0385667 0.034521 213 205 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 13.09 vpr 64.33 MiB -1 -1 0.23 20700 12 0.30 -1 -1 36436 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 32 32 271 303 1 211 90 17 17 289 -1 unnamed_device 25.7 MiB 0.38 1389 8331 1865 6003 463 64.3 MiB 0.08 0.00 6.2813 -131.805 -6.2813 6.2813 0.95 0.000631393 0.000573655 0.0297449 0.0269517 30 3867 48 6.55708e+06 313430 526063. 1820.29 9.14 0.258339 0.226676 21886 126133 -1 3102 17 1277 3831 205589 46912 6.6027 6.6027 -153.6 -6.6027 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0285982 0.0257741 181 176 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 8.07 vpr 64.80 MiB -1 -1 0.24 21392 14 0.57 -1 -1 36332 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 327 359 1 242 95 17 17 289 -1 unnamed_device 26.2 MiB 0.64 1671 11543 2996 7456 1091 64.8 MiB 0.11 0.00 7.09622 -146.756 -7.09622 7.09622 0.93 0.000749217 0.000677134 0.0456152 0.0412108 38 4115 22 6.55708e+06 373705 638502. 2209.35 3.42 0.236991 0.20901 23326 155178 -1 3573 19 1694 5651 296699 66773 7.53782 7.53782 -167.747 -7.53782 0 0 851065. 2944.86 0.34 0.11 0.15 -1 -1 0.34 0.0399025 0.0360068 234 232 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 7.92 vpr 64.30 MiB -1 -1 0.21 20648 12 0.27 -1 -1 36624 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 30 32 246 278 1 191 87 17 17 289 -1 unnamed_device 26.0 MiB 0.52 1284 13527 3800 7239 2488 64.3 MiB 0.10 0.00 6.01898 -117.232 -6.01898 6.01898 0.95 0.000610399 0.000551044 0.044172 0.0398864 38 2876 18 6.55708e+06 301375 638502. 2209.35 3.86 0.268534 0.234987 23326 155178 -1 2423 14 947 3057 141901 33076 6.25738 6.25738 -129.556 -6.25738 0 0 851065. 2944.86 0.34 0.06 0.15 -1 -1 0.34 0.0236295 0.0213223 160 155 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 6.97 vpr 64.08 MiB -1 -1 0.19 20864 11 0.25 -1 -1 36484 -1 -1 26 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65616 27 32 219 251 1 163 85 17 17 289 -1 unnamed_device 25.5 MiB 0.35 900 5851 1344 3581 926 64.1 MiB 0.05 0.00 5.51064 -100.013 -5.51064 5.51064 0.94 0.00050787 0.000460558 0.0190638 0.0173348 28 2818 39 6.55708e+06 313430 500653. 1732.36 3.28 0.173621 0.150582 21310 115450 -1 2314 18 1079 3038 170210 42049 5.87324 5.87324 -120.497 -5.87324 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0242292 0.0217345 140 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 8.64 vpr 65.19 MiB -1 -1 0.27 21564 13 0.55 -1 -1 36556 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 32 32 380 412 1 282 104 17 17 289 -1 unnamed_device 27.0 MiB 0.53 1950 18404 4591 11225 2588 65.2 MiB 0.17 0.00 6.8013 -139.508 -6.8013 6.8013 0.93 0.000835112 0.000742362 0.0691776 0.0622924 34 5228 29 6.55708e+06 482200 585099. 2024.56 3.96 0.291099 0.257045 22462 138074 -1 4321 20 2156 6991 497672 127673 7.0417 7.0417 -163.002 -7.0417 0 0 742403. 2568.87 0.33 0.16 0.13 -1 -1 0.33 0.0464486 0.041989 286 285 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 7.35 vpr 64.52 MiB -1 -1 0.23 20924 14 0.32 -1 -1 36368 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 31 32 277 309 1 210 91 17 17 289 -1 unnamed_device 25.9 MiB 0.27 1332 7639 1822 5338 479 64.5 MiB 0.07 0.00 6.88996 -136.389 -6.88996 6.88996 0.93 0.000661198 0.00060074 0.0281542 0.0256045 30 3296 25 6.55708e+06 337540 526063. 1820.29 3.51 0.233839 0.204181 21886 126133 -1 2888 16 1247 3422 170143 39665 7.16956 7.16956 -156.147 -7.16956 0 0 666494. 2306.21 0.30 0.07 0.12 -1 -1 0.30 0.0275974 0.0249193 188 184 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 5.54 vpr 64.20 MiB -1 -1 0.22 20512 12 0.21 -1 -1 36292 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65736 32 32 229 261 1 178 91 17 17 289 -1 unnamed_device 25.6 MiB 0.38 1244 7843 1649 5230 964 64.2 MiB 0.06 0.00 5.95024 -133.449 -5.95024 5.95024 0.91 0.000540657 0.000489031 0.024244 0.0220683 28 3098 38 6.55708e+06 325485 500653. 1732.36 1.64 0.122343 0.10792 21310 115450 -1 2730 45 1092 3254 590813 313803 6.07044 6.07044 -147.877 -6.07044 0 0 612192. 2118.31 0.27 0.24 0.11 -1 -1 0.27 0.0511368 0.0450316 145 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 7.68 vpr 64.59 MiB -1 -1 0.20 20388 13 0.34 -1 -1 36552 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 263 295 1 201 90 17 17 289 -1 unnamed_device 26.1 MiB 0.49 1287 7125 1609 5108 408 64.6 MiB 0.06 0.00 6.3623 -131.885 -6.3623 6.3623 0.91 0.00056857 0.000510895 0.0246196 0.0222799 34 3158 35 6.55708e+06 313430 585099. 2024.56 3.71 0.250384 0.21826 22462 138074 -1 2757 16 1170 3582 193816 44877 6.5635 6.5635 -147.052 -6.5635 0 0 742403. 2568.87 0.31 0.07 0.12 -1 -1 0.31 0.0269202 0.0242117 169 168 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 8.53 vpr 64.97 MiB -1 -1 0.25 21012 13 0.41 -1 -1 37240 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 31 32 321 353 1 256 98 17 17 289 -1 unnamed_device 26.3 MiB 0.32 1670 11123 2853 7320 950 65.0 MiB 0.10 0.00 6.6399 -137.993 -6.6399 6.6399 0.92 0.000643554 0.000582611 0.0381795 0.0345114 36 3904 29 6.55708e+06 421925 612192. 2118.31 4.43 0.279975 0.245542 22750 144809 -1 3392 17 1464 4546 242752 55520 7.0815 7.0815 -156.658 -7.0815 0 0 782063. 2706.10 0.32 0.09 0.14 -1 -1 0.32 0.0348318 0.0316285 233 228 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 8.20 vpr 64.57 MiB -1 -1 0.22 20748 11 0.30 -1 -1 36680 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 30 32 287 319 1 212 93 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1371 11013 2777 6591 1645 64.6 MiB 0.10 0.00 5.55444 -107.668 -5.55444 5.55444 0.93 0.000670032 0.000600095 0.0386645 0.0349629 44 3158 17 6.55708e+06 373705 742403. 2568.87 4.21 0.251656 0.220745 24478 177802 -1 2704 16 1208 4255 214892 48886 5.74338 5.74338 -122.134 -5.74338 0 0 937218. 3242.97 0.38 0.07 0.17 -1 -1 0.38 0.0275925 0.0248925 199 196 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 6.14 vpr 64.71 MiB -1 -1 0.24 20888 15 0.45 -1 -1 35920 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 296 328 1 223 93 17 17 289 -1 unnamed_device 26.3 MiB 0.61 1573 8283 1929 5503 851 64.7 MiB 0.08 0.00 7.25622 -151.279 -7.25622 7.25622 0.93 0.000703565 0.000630062 0.0310189 0.0281699 30 3633 31 6.55708e+06 349595 526063. 1820.29 1.82 0.146821 0.13006 21886 126133 -1 3123 17 1378 4357 216630 50087 7.40596 7.40596 -169.664 -7.40596 0 0 666494. 2306.21 0.28 0.08 0.12 -1 -1 0.28 0.0308618 0.027818 202 201 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 8.33 vpr 64.52 MiB -1 -1 0.24 21352 13 0.41 -1 -1 36296 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 285 317 1 224 94 17 17 289 -1 unnamed_device 26.1 MiB 0.54 1476 9679 2155 6321 1203 64.5 MiB 0.08 0.00 6.6765 -142.241 -6.6765 6.6765 0.91 0.000631868 0.000570661 0.033028 0.0298123 30 3554 24 6.55708e+06 361650 526063. 1820.29 4.14 0.25306 0.221161 21886 126133 -1 2918 17 1260 3846 183753 42552 7.2383 7.2383 -164.859 -7.2383 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0297121 0.02673 194 190 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 5.47 vpr 64.42 MiB -1 -1 0.21 20644 12 0.25 -1 -1 36572 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 29 32 239 271 1 189 90 17 17 289 -1 unnamed_device 26.0 MiB 0.51 1204 8934 2237 5876 821 64.4 MiB 0.07 0.00 6.0801 -125.534 -6.0801 6.0801 0.89 0.000532263 0.000483173 0.0274194 0.024957 28 3189 27 6.55708e+06 349595 500653. 1732.36 1.65 0.11716 0.103922 21310 115450 -1 2764 21 1452 3938 224981 53984 6.2813 6.2813 -146.197 -6.2813 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0288022 0.0257424 157 150 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 6.46 vpr 64.10 MiB -1 -1 0.21 20516 11 0.20 -1 -1 36252 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65636 32 32 235 267 1 176 85 17 17 289 -1 unnamed_device 25.5 MiB 0.26 952 14035 4009 7528 2498 64.1 MiB 0.10 0.00 5.54018 -111.263 -5.54018 5.54018 0.91 0.000522678 0.000470316 0.0423549 0.0382632 36 2625 26 6.55708e+06 253155 612192. 2118.31 2.77 0.178806 0.157179 22750 144809 -1 2131 20 1087 2910 160403 39351 6.10198 6.10198 -130.971 -6.10198 0 0 782063. 2706.10 0.32 0.07 0.14 -1 -1 0.32 0.0261079 0.0233796 145 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 16.33 vpr 64.80 MiB -1 -1 0.22 20920 13 0.41 -1 -1 36404 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 31 32 294 326 1 226 92 17 17 289 -1 unnamed_device 26.2 MiB 0.59 1439 12719 3244 7088 2387 64.8 MiB 0.11 0.00 6.4387 -131.153 -6.4387 6.4387 0.92 0.000687286 0.000624991 0.0453507 0.0410734 34 4660 48 6.55708e+06 349595 585099. 2024.56 12.03 0.371007 0.327747 22462 138074 -1 3478 21 1812 6041 410407 91825 7.3611 7.3611 -158.691 -7.3611 0 0 742403. 2568.87 0.30 0.13 0.12 -1 -1 0.30 0.0395341 0.0355585 203 201 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 7.21 vpr 63.99 MiB -1 -1 0.20 20680 10 0.22 -1 -1 36704 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65524 29 32 219 251 1 164 85 17 17 289 -1 unnamed_device 25.4 MiB 0.22 913 14035 5246 6939 1850 64.0 MiB 0.10 0.00 5.09292 -99.2405 -5.09292 5.09292 0.90 0.000528602 0.000475427 0.0410118 0.0370443 34 2404 20 6.55708e+06 289320 585099. 2024.56 3.59 0.18377 0.160299 22462 138074 -1 2075 15 980 2835 152073 37736 5.33332 5.33332 -116.092 -5.33332 0 0 742403. 2568.87 0.32 0.06 0.13 -1 -1 0.32 0.0212317 0.019059 137 130 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 6.29 vpr 64.24 MiB -1 -1 0.19 20420 14 0.24 -1 -1 36532 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65780 32 32 239 271 1 186 88 17 17 289 -1 unnamed_device 25.9 MiB 0.61 1158 13153 3647 7119 2387 64.2 MiB 0.10 0.00 6.58503 -138.344 -6.58503 6.58503 0.94 0.000566139 0.000510947 0.040771 0.0370424 28 3476 45 6.55708e+06 289320 500653. 1732.36 2.25 0.147147 0.129736 21310 115450 -1 2763 14 1137 3231 204538 47472 7.18603 7.18603 -165.083 -7.18603 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0232284 0.0209603 146 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 5.39 vpr 64.48 MiB -1 -1 0.22 20924 13 0.32 -1 -1 36584 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 31 32 266 298 1 208 93 17 17 289 -1 unnamed_device 25.9 MiB 0.38 1220 13113 3406 7382 2325 64.5 MiB 0.10 0.00 5.98744 -129.966 -5.98744 5.98744 0.91 0.000549909 0.000496875 0.0408713 0.0368684 30 3420 24 6.55708e+06 361650 526063. 1820.29 1.53 0.137395 0.121776 21886 126133 -1 2679 17 1325 3747 181095 43888 6.34804 6.34804 -148.475 -6.34804 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0265092 0.0237858 180 173 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 5.25 vpr 64.17 MiB -1 -1 0.20 20484 12 0.19 -1 -1 36296 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 31 32 225 257 1 178 89 17 17 289 -1 unnamed_device 25.6 MiB 0.40 1163 6029 1206 4357 466 64.2 MiB 0.05 0.00 5.32992 -116.93 -5.32992 5.32992 0.93 0.00053387 0.000487856 0.0186723 0.0169543 28 2915 31 6.55708e+06 313430 500653. 1732.36 1.54 0.104102 0.0918272 21310 115450 -1 2507 16 967 2480 149110 34103 5.60952 5.60952 -134.494 -5.60952 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0235686 0.0212053 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 7.07 vpr 64.49 MiB -1 -1 0.23 20736 12 0.25 -1 -1 36780 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 288 320 1 216 90 17 17 289 -1 unnamed_device 26.2 MiB 0.36 1459 10743 2927 6675 1141 64.5 MiB 0.09 0.00 5.87384 -126.375 -5.87384 5.87384 0.93 0.000677481 0.000605397 0.037749 0.0340036 32 3941 50 6.55708e+06 313430 554710. 1919.41 3.10 0.218467 0.190946 22174 131602 -1 3383 24 1629 5368 500610 154602 6.30318 6.30318 -151.615 -6.30318 0 0 701300. 2426.64 0.30 0.15 0.13 -1 -1 0.30 0.0363726 0.0323708 195 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 6.87 vpr 64.62 MiB -1 -1 0.23 21020 13 0.37 -1 -1 36408 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 31 32 282 314 1 222 92 17 17 289 -1 unnamed_device 26.2 MiB 0.57 1296 9614 2415 5873 1326 64.6 MiB 0.09 0.00 6.3205 -129.242 -6.3205 6.3205 0.91 0.000650327 0.00058757 0.0344984 0.0312529 34 3973 43 6.55708e+06 349595 585099. 2024.56 2.66 0.180458 0.158608 22462 138074 -1 3085 17 1414 4327 235656 56179 7.0809 7.0809 -148.998 -7.0809 0 0 742403. 2568.87 0.30 0.08 0.13 -1 -1 0.30 0.029509 0.0264764 193 189 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 6.18 vpr 64.28 MiB -1 -1 0.19 20440 11 0.20 -1 -1 36488 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65824 32 32 233 265 1 183 89 17 17 289 -1 unnamed_device 25.9 MiB 0.27 1116 11177 2875 7084 1218 64.3 MiB 0.08 0.00 5.45012 -119.477 -5.45012 5.45012 0.95 0.000539557 0.000486958 0.0323996 0.0293783 28 3098 50 6.55708e+06 301375 500653. 1732.36 2.32 0.145332 0.128473 21310 115450 -1 2688 51 1180 3608 874816 535081 5.69052 5.69052 -139.612 -5.69052 0 0 612192. 2118.31 0.27 0.34 0.11 -1 -1 0.27 0.0571017 0.0501829 148 138 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 5.12 vpr 64.23 MiB -1 -1 0.20 20556 13 0.27 -1 -1 36504 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 32 32 254 286 1 196 88 17 17 289 -1 unnamed_device 25.7 MiB 0.35 1165 7498 1705 5278 515 64.2 MiB 0.07 0.00 6.30884 -128.988 -6.30884 6.30884 0.88 0.000554165 0.000499976 0.0251904 0.0229136 30 3180 42 6.55708e+06 289320 526063. 1820.29 1.47 0.135334 0.119871 21886 126133 -1 2544 16 1203 3444 159747 40235 6.7229 6.7229 -152.17 -6.7229 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0253066 0.0228737 164 159 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 8.91 vpr 64.75 MiB -1 -1 0.21 20592 13 0.32 -1 -1 36560 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 285 317 1 216 92 17 17 289 -1 unnamed_device 26.3 MiB 0.82 1369 12098 3252 7054 1792 64.8 MiB 0.10 0.00 6.3969 -138.761 -6.3969 6.3969 0.90 0.000652226 0.000590427 0.0421226 0.0380656 34 3997 31 6.55708e+06 337540 585099. 2024.56 4.55 0.273491 0.239561 22462 138074 -1 3109 22 1387 3983 289402 80028 7.1991 7.1991 -164.502 -7.1991 0 0 742403. 2568.87 0.30 0.10 0.11 -1 -1 0.30 0.0343756 0.0307464 193 190 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 5.72 vpr 64.31 MiB -1 -1 0.22 20760 11 0.24 -1 -1 36532 -1 -1 27 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 29 32 243 275 1 185 88 17 17 289 -1 unnamed_device 25.9 MiB 0.24 1096 12958 3826 6869 2263 64.3 MiB 0.10 0.00 5.22078 -100.831 -5.22078 5.22078 0.93 0.00054846 0.000497186 0.0394362 0.0356952 36 2776 31 6.55708e+06 325485 612192. 2118.31 1.98 0.152785 0.134846 22750 144809 -1 2339 16 1018 3006 166395 38788 5.62318 5.62318 -116.985 -5.62318 0 0 782063. 2706.10 0.32 0.06 0.14 -1 -1 0.32 0.0251038 0.0226326 160 154 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 7.77 vpr 65.01 MiB -1 -1 0.25 21284 14 0.42 -1 -1 36704 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 318 350 1 251 99 17 17 289 -1 unnamed_device 26.3 MiB 0.43 1594 7167 1380 5462 325 65.0 MiB 0.07 0.00 7.1971 -154.531 -7.1971 7.1971 0.93 0.000734123 0.000664018 0.027402 0.0248957 30 4580 33 6.55708e+06 421925 526063. 1820.29 3.59 0.153236 0.135447 21886 126133 -1 3517 17 1720 5336 269606 62842 7.32956 7.32956 -174.936 -7.32956 0 0 666494. 2306.21 0.29 0.10 0.11 -1 -1 0.29 0.0343201 0.0310915 224 223 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 7.95 vpr 64.09 MiB -1 -1 0.18 20152 12 0.19 -1 -1 37048 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65628 31 32 222 254 1 184 91 17 17 289 -1 unnamed_device 25.5 MiB 0.34 1173 9271 2248 6318 705 64.1 MiB 0.07 0.00 5.61918 -122.422 -5.61918 5.61918 0.93 0.000511213 0.000467079 0.0262013 0.0238926 36 2677 17 6.55708e+06 337540 612192. 2118.31 4.24 0.179964 0.157139 22750 144809 -1 2356 16 906 2343 129428 30345 5.85958 5.85958 -138.52 -5.85958 0 0 782063. 2706.10 0.33 0.06 0.14 -1 -1 0.33 0.0219681 0.0197765 138 129 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 7.05 vpr 64.63 MiB -1 -1 0.23 21132 13 0.35 -1 -1 36396 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 32 32 282 314 1 218 89 17 17 289 -1 unnamed_device 26.0 MiB 0.48 1324 14741 4283 8099 2359 64.6 MiB 0.12 0.00 6.6373 -131.168 -6.6373 6.6373 0.92 0.000672518 0.000604064 0.0485918 0.0436932 30 3834 46 6.55708e+06 301375 526063. 1820.29 2.97 0.17844 0.15772 21886 126133 -1 2834 18 1370 4192 195728 47049 6.7595 6.7595 -150.727 -6.7595 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0317002 0.0285814 189 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 5.63 vpr 64.05 MiB -1 -1 0.22 20636 13 0.23 -1 -1 36084 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65592 32 32 238 270 1 186 90 17 17 289 -1 unnamed_device 25.7 MiB 0.42 1225 11145 2890 6998 1257 64.1 MiB 0.08 0.00 6.2003 -133.674 -6.2003 6.2003 0.92 0.000546077 0.000492558 0.0332906 0.0301232 28 3169 46 6.55708e+06 313430 500653. 1732.36 1.87 0.142114 0.125469 21310 115450 -1 2626 15 1114 2978 174876 40741 6.5217 6.5217 -155.697 -6.5217 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0231982 0.0209959 151 143 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 6.45 vpr 64.59 MiB -1 -1 0.23 20552 12 0.27 -1 -1 36656 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 269 301 1 199 90 17 17 289 -1 unnamed_device 26.1 MiB 0.31 1321 8331 2279 5504 548 64.6 MiB 0.08 0.00 5.99144 -129.522 -5.99144 5.99144 0.95 0.000657534 0.000587476 0.0299881 0.0271478 28 3784 36 6.55708e+06 313430 500653. 1732.36 2.56 0.142494 0.125853 21310 115450 -1 3035 30 1622 5426 436136 117998 6.14118 6.14118 -146.104 -6.14118 0 0 612192. 2118.31 0.26 0.15 0.11 -1 -1 0.26 0.0443057 0.0395197 176 174 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 11.44 vpr 65.10 MiB -1 -1 0.25 21468 15 0.63 -1 -1 37100 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 350 382 1 272 100 17 17 289 -1 unnamed_device 26.9 MiB 0.33 1872 6828 1323 5035 470 65.1 MiB 0.07 0.00 7.1187 -144.806 -7.1187 7.1187 0.90 0.000849897 0.000759187 0.0291873 0.0263507 36 4919 38 6.55708e+06 433980 612192. 2118.31 7.06 0.259598 0.228581 22750 144809 -1 4074 19 2274 7722 434993 96946 7.53076 7.53076 -165.403 -7.53076 0 0 782063. 2706.10 0.33 0.14 0.14 -1 -1 0.33 0.0434015 0.0393893 256 255 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 6.13 vpr 63.57 MiB -1 -1 0.17 20500 10 0.12 -1 -1 36364 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65092 30 32 172 204 1 134 80 17 17 289 -1 unnamed_device 25.1 MiB 0.13 707 9196 2491 4714 1991 63.6 MiB 0.06 0.00 4.60046 -100.678 -4.60046 4.60046 0.95 0.000396246 0.000359522 0.0228545 0.0207453 28 2112 18 6.55708e+06 216990 500653. 1732.36 2.84 0.132804 0.115775 21310 115450 -1 1738 18 875 2136 123162 30526 4.72266 4.72266 -117.439 -4.72266 0 0 612192. 2118.31 0.27 0.05 0.10 -1 -1 0.27 0.0178686 0.0159235 90 81 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 6.88 vpr 64.38 MiB -1 -1 0.21 20296 13 0.23 -1 -1 35940 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 30 32 228 260 1 171 87 17 17 289 -1 unnamed_device 25.8 MiB 0.20 1113 8727 2049 5683 995 64.4 MiB 0.07 0.00 5.93658 -124.205 -5.93658 5.93658 0.93 0.000553987 0.000501405 0.0278669 0.0252933 30 2630 38 6.55708e+06 301375 526063. 1820.29 3.32 0.196285 0.171215 21886 126133 -1 2207 14 918 2610 125370 30237 6.45658 6.45658 -139.784 -6.45658 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0221055 0.0200274 143 137 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 5.84 vpr 64.26 MiB -1 -1 0.21 20784 12 0.25 -1 -1 36384 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65800 32 32 264 296 1 204 88 17 17 289 -1 unnamed_device 25.8 MiB 0.34 1277 10033 2500 6526 1007 64.3 MiB 0.09 0.00 5.98744 -129.532 -5.98744 5.98744 0.94 0.000580983 0.000523106 0.034432 0.0312128 28 3294 38 6.55708e+06 289320 500653. 1732.36 2.08 0.155408 0.137941 21310 115450 -1 2855 19 1297 3408 183985 44118 6.22784 6.22784 -150.422 -6.22784 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0279707 0.0249597 171 169 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 7.28 vpr 63.59 MiB -1 -1 0.19 20324 9 0.16 -1 -1 36332 -1 -1 22 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65120 25 32 183 215 1 140 79 17 17 289 -1 unnamed_device 25.2 MiB 0.21 872 8360 2111 5229 1020 63.6 MiB 0.06 0.00 4.52146 -85.4086 -4.52146 4.52146 0.94 0.000420434 0.000381005 0.0246301 0.0223264 26 2632 28 6.55708e+06 265210 477104. 1650.88 3.85 0.154929 0.135367 21022 109990 -1 2049 14 820 2247 150399 35731 4.76186 4.76186 -100.49 -4.76186 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0168587 0.0151738 111 102 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 8.41 vpr 64.94 MiB -1 -1 0.24 20696 12 0.33 -1 -1 36156 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 300 332 1 233 97 17 17 289 -1 unnamed_device 26.4 MiB 0.38 1505 6535 1419 4335 781 64.9 MiB 0.07 0.00 6.23184 -132.341 -6.23184 6.23184 0.92 0.000690553 0.00060238 0.0249273 0.0225572 44 3402 23 6.55708e+06 397815 742403. 2568.87 4.34 0.264036 0.231583 24478 177802 -1 2838 16 1288 3719 184424 43444 6.6439 6.6439 -150.47 -6.6439 0 0 937218. 3242.97 0.38 0.07 0.17 -1 -1 0.38 0.0288114 0.0260806 212 205 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 7.08 vpr 64.79 MiB -1 -1 0.25 21548 13 0.39 -1 -1 36588 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 31 32 290 322 1 226 93 17 17 289 -1 unnamed_device 26.4 MiB 0.37 1471 4713 821 3565 327 64.8 MiB 0.05 0.00 6.6791 -139.471 -6.6791 6.6791 0.95 0.000688384 0.000612224 0.0192155 0.0174901 36 3676 24 6.55708e+06 361650 612192. 2118.31 2.96 0.189267 0.166802 22750 144809 -1 3219 17 1422 4455 244371 56018 7.3193 7.3193 -160.431 -7.3193 0 0 782063. 2706.10 0.32 0.09 0.14 -1 -1 0.32 0.0318411 0.0287376 200 197 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.46 vpr 64.68 MiB -1 -1 0.17 20812 1 0.03 -1 -1 33796 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 354 285 1 202 96 17 17 289 -1 unnamed_device 26.2 MiB 0.27 1200 18711 5518 10873 2320 64.7 MiB 0.15 0.00 4.42712 -134.27 -4.42712 4.42712 0.91 0.000524758 0.00047705 0.0463698 0.0421739 30 2363 21 6.64007e+06 401856 526063. 1820.29 0.99 0.113744 0.100666 22546 126617 -1 2074 19 1171 1872 104132 24106 4.03148 4.03148 -143.212 -4.03148 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0230751 0.0205588 154 47 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.41 vpr 65.00 MiB -1 -1 0.17 20620 1 0.03 -1 -1 33848 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 30 32 363 293 1 194 86 17 17 289 -1 unnamed_device 26.3 MiB 0.23 990 12560 3925 6298 2337 65.0 MiB 0.11 0.00 3.79642 -119.207 -3.79642 3.79642 0.91 0.000541321 0.000494289 0.0363701 0.0331503 32 2328 25 6.64007e+06 301392 554710. 1919.41 0.97 0.105729 0.0931798 22834 132086 -1 2086 22 1755 2609 155918 38088 4.06448 4.06448 -140.007 -4.06448 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0261499 0.0232219 139 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.47 vpr 64.70 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33932 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 26.2 MiB 0.25 1042 9879 2813 6425 641 64.7 MiB 0.08 0.00 3.51556 -105.741 -3.51556 3.51556 0.94 0.000457872 0.000416375 0.0255985 0.0233228 26 2737 36 6.64007e+06 288834 477104. 1650.88 1.12 0.105001 0.0926696 21682 110474 -1 2385 22 1371 1908 142211 32923 3.82183 3.82183 -127.011 -3.82183 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0233795 0.0207552 126 26 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.06 vpr 64.65 MiB -1 -1 0.15 20472 1 0.03 -1 -1 33748 -1 -1 27 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 26.3 MiB 0.06 857 9643 2090 7038 515 64.7 MiB 0.08 0.00 3.62076 -98.2027 -3.62076 3.62076 0.90 0.00043624 0.000395332 0.0245947 0.0223306 32 2035 22 6.64007e+06 339066 554710. 1919.41 0.93 0.0821312 0.0718701 22834 132086 -1 1667 21 1365 2505 147655 34817 3.69582 3.69582 -115.082 -3.69582 0 0 701300. 2426.64 0.30 0.06 0.11 -1 -1 0.30 0.0207403 0.01833 126 25 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.32 vpr 64.63 MiB -1 -1 0.17 20208 1 0.03 -1 -1 33768 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 26.2 MiB 0.07 1030 11607 3106 7421 1080 64.6 MiB 0.11 0.00 3.68447 -108.975 -3.68447 3.68447 0.97 0.000518376 0.000473681 0.0331709 0.0303128 28 2540 24 6.64007e+06 288834 500653. 1732.36 1.06 0.103419 0.0913204 21970 115934 -1 2272 22 1579 3046 187999 43547 3.74663 3.74663 -128.739 -3.74663 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0244576 0.0216447 130 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.24 vpr 65.00 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33568 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 366 295 1 189 98 17 17 289 -1 unnamed_device 26.3 MiB 0.11 1007 14048 3875 8350 1823 65.0 MiB 0.12 0.00 2.80439 -99.9308 -2.80439 2.80439 0.94 0.000564116 0.000514694 0.0353441 0.03215 32 2273 21 6.64007e+06 426972 554710. 1919.41 0.93 0.101094 0.0888459 22834 132086 -1 1969 20 1230 1967 127006 29498 2.88697 2.88697 -113.569 -2.88697 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0243209 0.0214969 142 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.03 vpr 64.30 MiB -1 -1 0.15 20200 1 0.03 -1 -1 34076 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 27 32 259 221 1 130 78 17 17 289 -1 unnamed_device 25.9 MiB 0.09 662 9872 2684 6158 1030 64.3 MiB 0.07 0.00 3.15021 -83.3249 -3.15021 3.15021 0.94 0.000409601 0.00037251 0.0255079 0.0232527 28 1534 18 6.64007e+06 238602 500653. 1732.36 0.87 0.0751525 0.066042 21970 115934 -1 1439 16 751 1307 84098 20786 2.93397 2.93397 -97.2121 -2.93397 0 0 612192. 2118.31 0.28 0.04 0.11 -1 -1 0.28 0.016147 0.0143802 93 26 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.07 vpr 64.38 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33624 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 25.8 MiB 0.07 926 10318 2286 7425 607 64.4 MiB 0.08 0.00 2.7039 -83.4236 -2.7039 2.7039 0.94 0.00041205 0.000373034 0.0232497 0.0211856 30 2003 21 6.64007e+06 389298 526063. 1820.29 0.89 0.0772927 0.0679213 22546 126617 -1 1797 15 756 1372 77218 17648 2.57857 2.57857 -94.7281 -2.57857 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0157992 0.0140795 115 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.30 vpr 64.24 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33880 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65784 31 32 317 271 1 167 83 17 17 289 -1 unnamed_device 25.9 MiB 0.21 829 10163 2729 6557 877 64.2 MiB 0.08 0.00 2.88585 -95.7089 -2.88585 2.88585 0.96 0.000460682 0.000420772 0.0282516 0.0258388 32 2052 19 6.64007e+06 251160 554710. 1919.41 0.92 0.0855623 0.0754181 22834 132086 -1 1741 17 1055 1523 99192 24046 2.95417 2.95417 -114.4 -2.95417 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0191082 0.0169947 111 60 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.28 vpr 64.48 MiB -1 -1 0.14 20148 1 0.03 -1 -1 34028 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66028 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.9 MiB 0.15 843 12681 4107 6589 1985 64.5 MiB 0.10 0.00 3.13721 -105.548 -3.13721 3.13721 0.93 0.000454058 0.000414092 0.0345998 0.0315823 32 2039 20 6.64007e+06 213486 554710. 1919.41 0.94 0.0913421 0.0806072 22834 132086 -1 1761 20 1157 1870 129550 29578 2.88297 2.88297 -116.419 -2.88297 0 0 701300. 2426.64 0.31 0.06 0.12 -1 -1 0.31 0.0207404 0.0184209 112 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.17 vpr 64.56 MiB -1 -1 0.16 20264 1 0.03 -1 -1 33792 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66108 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 26.1 MiB 0.13 799 12247 3622 6888 1737 64.6 MiB 0.09 0.00 3.46461 -96.3089 -3.46461 3.46461 0.95 0.000438828 0.000397993 0.034161 0.0310861 32 1630 20 6.64007e+06 213486 554710. 1919.41 0.91 0.0894343 0.0787983 22834 132086 -1 1523 18 835 1333 88304 20554 3.08637 3.08637 -106.104 -3.08637 0 0 701300. 2426.64 0.28 0.05 0.13 -1 -1 0.28 0.0186587 0.016588 98 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.44 vpr 64.46 MiB -1 -1 0.15 20604 1 0.03 -1 -1 33436 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 276 237 1 166 82 17 17 289 -1 unnamed_device 25.9 MiB 0.26 822 13788 4290 7344 2154 64.5 MiB 0.10 0.00 3.02301 -96.2485 -3.02301 3.02301 0.92 0.000424493 0.000388878 0.0354679 0.0323191 28 2144 22 6.64007e+06 226044 500653. 1732.36 1.08 0.099037 0.088017 21970 115934 -1 1783 20 1137 1549 107524 27817 3.17457 3.17457 -115.82 -3.17457 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0199797 0.0177791 109 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.51 vpr 64.69 MiB -1 -1 0.17 20624 1 0.03 -1 -1 33996 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 26.1 MiB 0.25 976 11008 2637 7796 575 64.7 MiB 0.11 0.00 3.57727 -114.954 -3.57727 3.57727 0.94 0.000516449 0.000472034 0.0316943 0.0289187 28 2727 24 6.64007e+06 301392 500653. 1732.36 1.10 0.105367 0.0931493 21970 115934 -1 2283 18 1544 2273 150181 36410 3.41003 3.41003 -130.192 -3.41003 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0218796 0.0194564 139 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.34 vpr 64.61 MiB -1 -1 0.16 20624 1 0.03 -1 -1 33656 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 26.1 MiB 0.14 967 13055 3737 7982 1336 64.6 MiB 0.12 0.00 4.12607 -118.057 -4.12607 4.12607 0.94 0.000546275 0.000495443 0.0342001 0.031122 32 2101 20 6.64007e+06 389298 554710. 1919.41 0.98 0.100737 0.088781 22834 132086 -1 1917 16 1121 1814 116086 26260 3.85063 3.85063 -131.913 -3.85063 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0211724 0.0189251 134 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 3.85 vpr 64.32 MiB -1 -1 0.13 20404 1 0.03 -1 -1 33712 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 25.9 MiB 0.09 702 8804 2321 5810 673 64.3 MiB 0.06 0.00 2.68419 -77.7395 -2.68419 2.68419 0.92 0.000363806 0.000333425 0.0191469 0.0173521 28 1678 21 6.64007e+06 263718 500653. 1732.36 0.83 0.0651826 0.0569324 21970 115934 -1 1521 20 882 1468 101805 23563 2.74677 2.74677 -94.5772 -2.74677 0 0 612192. 2118.31 0.26 0.04 0.10 -1 -1 0.26 0.0166926 0.0147262 98 21 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.03 vpr 64.79 MiB -1 -1 0.16 20644 1 0.03 -1 -1 34052 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 370 297 1 183 86 17 17 289 -1 unnamed_device 26.2 MiB 0.14 1051 7835 1955 5391 489 64.8 MiB 0.08 0.00 3.1755 -102.949 -3.1755 3.1755 0.97 0.00051423 0.000466984 0.0247333 0.0225963 26 2779 22 6.64007e+06 276276 477104. 1650.88 1.71 0.103157 0.0911675 21682 110474 -1 2329 18 1184 2149 141374 33294 3.41577 3.41577 -126.75 -3.41577 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0232139 0.0206982 133 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 5.34 vpr 64.80 MiB -1 -1 0.16 20844 1 0.03 -1 -1 33912 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 338 269 1 196 87 17 17 289 -1 unnamed_device 26.3 MiB 0.25 1191 14103 4303 7979 1821 64.8 MiB 0.12 0.00 3.51127 -116.95 -3.51127 3.51127 0.97 0.000507738 0.000462619 0.0396737 0.0362072 36 2335 19 6.64007e+06 288834 612192. 2118.31 1.76 0.15049 0.132472 23410 145293 -1 2077 20 1248 1797 122609 27247 3.38723 3.38723 -126.769 -3.38723 0 0 782063. 2706.10 0.32 0.06 0.14 -1 -1 0.32 0.0243984 0.0217815 138 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.11 vpr 64.46 MiB -1 -1 0.15 20196 1 0.03 -1 -1 33252 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.9 MiB 0.11 862 14373 3535 9309 1529 64.5 MiB 0.11 0.00 2.30864 -87.9994 -2.30864 2.30864 0.95 0.000505145 0.000459673 0.0345302 0.0313896 28 1961 20 6.64007e+06 364182 500653. 1732.36 0.85 0.0930277 0.0817837 21970 115934 -1 1781 22 1029 1630 108695 24471 2.15051 2.15051 -99.672 -2.15051 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0219985 0.0193637 110 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.04 vpr 64.18 MiB -1 -1 0.14 20496 1 0.03 -1 -1 33756 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 25.7 MiB 0.06 696 12139 3818 6692 1629 64.2 MiB 0.07 0.00 1.89953 -66.1252 -1.89953 1.89953 0.95 0.000361436 0.000325199 0.0283004 0.0258802 32 1407 19 6.64007e+06 188370 554710. 1919.41 0.88 0.0718791 0.0634153 22834 132086 -1 1310 19 715 1024 78926 18595 2.07431 2.07431 -84.2067 -2.07431 0 0 701300. 2426.64 0.30 0.04 0.13 -1 -1 0.30 0.0159237 0.0140924 81 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 5.28 vpr 64.36 MiB -1 -1 0.15 20252 1 0.03 -1 -1 34016 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 31 32 291 243 1 171 83 17 17 289 -1 unnamed_device 26.0 MiB 0.23 732 14483 4620 6823 3040 64.4 MiB 0.10 0.00 3.93687 -115.005 -3.93687 3.93687 0.98 0.000447816 0.000407088 0.0378872 0.0345524 28 2486 46 6.64007e+06 251160 500653. 1732.36 1.84 0.121863 0.107618 21970 115934 -1 1709 21 1252 1822 145800 39862 3.93503 3.93503 -138.184 -3.93503 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0216779 0.0192397 128 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.19 vpr 64.60 MiB -1 -1 0.16 20944 1 0.03 -1 -1 33912 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 26.1 MiB 0.06 1026 10031 2477 6283 1271 64.6 MiB 0.09 0.00 3.49156 -112.285 -3.49156 3.49156 0.94 0.000485206 0.0004411 0.0267882 0.024407 32 2289 24 6.64007e+06 389298 554710. 1919.41 0.96 0.0949887 0.0835557 22834 132086 -1 2109 23 1453 2212 168706 37644 3.72563 3.72563 -130.056 -3.72563 0 0 701300. 2426.64 0.30 0.07 0.12 -1 -1 0.30 0.0258115 0.0228232 135 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.69 vpr 64.96 MiB -1 -1 0.17 20540 1 0.03 -1 -1 34016 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 372 300 1 204 89 17 17 289 -1 unnamed_device 26.3 MiB 0.28 1003 14939 4038 8027 2874 65.0 MiB 0.13 0.00 3.77042 -113.976 -3.77042 3.77042 0.98 0.000535015 0.000488843 0.0432307 0.039426 32 2935 22 6.64007e+06 313950 554710. 1919.41 1.08 0.114943 0.101838 22834 132086 -1 2346 22 1580 2470 211174 46261 3.78029 3.78029 -131.671 -3.78029 0 0 701300. 2426.64 0.30 0.08 0.12 -1 -1 0.30 0.0265736 0.0235307 144 59 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.16 vpr 63.81 MiB -1 -1 0.15 19980 1 0.03 -1 -1 33968 -1 -1 18 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65344 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 25.4 MiB 0.15 382 11116 4194 4894 2028 63.8 MiB 0.06 0.00 1.89953 -51.9576 -1.89953 1.89953 0.95 0.000309022 0.000274467 0.0225463 0.0205127 28 1285 33 6.64007e+06 226044 500653. 1732.36 0.98 0.073754 0.0648814 21970 115934 -1 996 18 627 886 67634 17264 1.99111 1.99111 -68.3768 -1.99111 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0130916 0.011596 77 21 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.39 vpr 64.44 MiB -1 -1 0.16 20516 1 0.03 -1 -1 33800 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 26.1 MiB 0.05 891 5479 1091 4207 181 64.4 MiB 0.06 0.00 4.09606 -104.083 -4.09606 4.09606 0.93 0.000440807 0.000400924 0.0155281 0.0142018 28 2361 23 6.64007e+06 263718 500653. 1732.36 1.26 0.0822264 0.072561 21970 115934 -1 1903 20 1047 1887 129241 30402 3.69482 3.69482 -121.689 -3.69482 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0210594 0.0187565 118 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.01 vpr 63.82 MiB -1 -1 0.14 20248 1 0.03 -1 -1 33500 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65348 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 25.4 MiB 0.05 445 9872 3098 4487 2287 63.8 MiB 0.05 0.00 2.08773 -60.0534 -2.08773 2.08773 0.95 0.00029495 0.000267522 0.0188884 0.017177 28 1274 35 6.64007e+06 175812 500653. 1732.36 0.93 0.0664363 0.0581943 21970 115934 -1 985 16 510 566 48315 14627 2.17251 2.17251 -72.6657 -2.17251 0 0 612192. 2118.31 0.28 0.03 0.11 -1 -1 0.28 0.0121255 0.0107834 79 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.23 vpr 64.16 MiB -1 -1 0.15 20332 1 0.03 -1 -1 33976 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65696 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 25.8 MiB 0.06 945 17347 4996 9879 2472 64.2 MiB 0.13 0.00 3.53527 -103.097 -3.53527 3.53527 0.94 0.000452817 0.000412096 0.0399535 0.0364072 28 2142 20 6.64007e+06 376740 500653. 1732.36 1.01 0.101499 0.089715 21970 115934 -1 1941 19 1099 1807 125821 28580 3.53223 3.53223 -116.055 -3.53223 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0204616 0.0181722 123 21 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 3.97 vpr 64.66 MiB -1 -1 0.14 20200 1 0.03 -1 -1 34072 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.3 MiB 0.05 919 7223 1423 5155 645 64.7 MiB 0.06 0.00 3.0905 -86.6383 -3.0905 3.0905 0.94 0.00042986 0.000390644 0.0169682 0.0154697 28 2086 22 6.64007e+06 389298 500653. 1732.36 0.84 0.0735966 0.064323 21970 115934 -1 1847 20 1017 1797 105514 27277 2.96317 2.96317 -103.765 -2.96317 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0205725 0.0182171 128 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.31 vpr 64.69 MiB -1 -1 0.16 20900 1 0.03 -1 -1 33948 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 338 277 1 179 91 17 17 289 -1 unnamed_device 26.2 MiB 0.12 971 16819 5046 9728 2045 64.7 MiB 0.15 0.00 3.69347 -109.301 -3.69347 3.69347 0.91 0.000509483 0.000465778 0.0442872 0.0402638 26 2482 27 6.64007e+06 339066 477104. 1650.88 1.07 0.118834 0.10498 21682 110474 -1 2031 18 1053 1844 108786 27152 3.69963 3.69963 -126.409 -3.69963 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0206485 0.0183577 126 47 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.13 vpr 64.43 MiB -1 -1 0.15 20352 1 0.03 -1 -1 33732 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 26.0 MiB 0.08 882 10744 2967 6502 1275 64.4 MiB 0.09 0.00 2.42079 -85.7817 -2.42079 2.42079 0.94 0.000443798 0.000405073 0.0294606 0.026891 32 1924 23 6.64007e+06 200928 554710. 1919.41 0.93 0.0874375 0.0770779 22834 132086 -1 1700 19 995 1628 109060 25217 2.58457 2.58457 -102.676 -2.58457 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0195405 0.0173108 101 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.07 vpr 64.33 MiB -1 -1 0.16 20576 1 0.03 -1 -1 33428 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 25.9 MiB 0.08 689 6409 1351 4572 486 64.3 MiB 0.05 0.00 2.64019 -80.0435 -2.64019 2.64019 0.95 0.000395636 0.000358934 0.0159859 0.0145939 30 1444 18 6.64007e+06 288834 526063. 1820.29 0.90 0.0657175 0.0575031 22546 126617 -1 1310 15 588 898 50634 12182 2.56257 2.56257 -92.3011 -2.56257 0 0 666494. 2306.21 0.30 0.03 0.12 -1 -1 0.30 0.0154451 0.0138133 97 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.19 vpr 64.39 MiB -1 -1 0.15 20440 1 0.03 -1 -1 33812 -1 -1 23 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 25.9 MiB 0.05 629 15023 5392 7050 2581 64.4 MiB 0.11 0.00 2.8541 -79.3333 -2.8541 2.8541 0.95 0.000418485 0.000374495 0.0358753 0.0325042 32 1660 27 6.64007e+06 288834 554710. 1919.41 0.97 0.0910866 0.0800768 22834 132086 -1 1318 22 1052 1709 113422 28086 2.75397 2.75397 -88.3961 -2.75397 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.019509 0.0171697 98 27 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.10 vpr 64.26 MiB -1 -1 0.15 20420 1 0.03 -1 -1 33684 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65800 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 25.8 MiB 0.05 895 5483 1169 3854 460 64.3 MiB 0.05 0.00 3.19341 -98.0221 -3.19341 3.19341 0.97 0.000412832 0.000375546 0.014395 0.0131244 30 1868 15 6.64007e+06 238602 526063. 1820.29 0.91 0.065283 0.0574362 22546 126617 -1 1688 20 1007 1689 98702 22723 2.75257 2.75257 -110.299 -2.75257 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0187484 0.0165727 110 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.07 vpr 64.29 MiB -1 -1 0.15 20320 1 0.03 -1 -1 33692 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65836 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 25.7 MiB 0.05 706 8532 1848 6324 360 64.3 MiB 0.07 0.00 2.8301 -84.4669 -2.8301 2.8301 0.94 0.000428451 0.00039017 0.0198057 0.0180274 30 1758 21 6.64007e+06 339066 526063. 1820.29 0.94 0.0743002 0.065153 22546 126617 -1 1444 16 676 1133 55665 13801 2.67557 2.67557 -96.6856 -2.67557 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0166702 0.014884 103 26 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.15 vpr 64.31 MiB -1 -1 0.15 20428 1 0.03 -1 -1 33408 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 29 32 291 250 1 153 87 17 17 289 -1 unnamed_device 25.8 MiB 0.13 731 15831 5815 7225 2791 64.3 MiB 0.11 0.00 2.6377 -83.2904 -2.6377 2.6377 0.89 0.00043171 0.00039137 0.0381309 0.0346602 32 1741 21 6.64007e+06 326508 554710. 1919.41 0.91 0.0925877 0.0814414 22834 132086 -1 1437 16 1024 1529 89902 21988 2.36297 2.36297 -88.6699 -2.36297 0 0 701300. 2426.64 0.31 0.04 0.12 -1 -1 0.31 0.0175259 0.0156212 105 48 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.69 vpr 64.55 MiB -1 -1 0.14 20500 1 0.03 -1 -1 33848 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 32 32 367 282 1 201 102 17 17 289 -1 unnamed_device 26.2 MiB 0.13 1061 9622 2162 6470 990 64.6 MiB 0.09 0.00 3.40636 -98.4167 -3.40636 3.40636 0.92 0.000502656 0.000452036 0.0233855 0.0212282 26 2803 25 6.64007e+06 477204 477104. 1650.88 1.53 0.103401 0.090966 21682 110474 -1 2279 19 1316 2396 160690 35426 3.70163 3.70163 -122.436 -3.70163 0 0 585099. 2024.56 0.25 0.06 0.09 -1 -1 0.25 0.0225748 0.0199728 151 26 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.34 vpr 64.98 MiB -1 -1 0.16 20612 1 0.04 -1 -1 33672 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 391 311 1 192 101 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1081 12791 3347 8418 1026 65.0 MiB 0.11 0.00 3.01701 -105.389 -3.01701 3.01701 0.96 0.000546244 0.000495966 0.0326986 0.0296928 26 2277 35 6.64007e+06 464646 477104. 1650.88 1.01 0.117979 0.103523 21682 110474 -1 1984 22 1507 2430 150796 35089 2.82477 2.82477 -117.13 -2.82477 0 0 585099. 2024.56 0.26 0.07 0.10 -1 -1 0.26 0.0274371 0.024406 147 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.32 vpr 64.36 MiB -1 -1 0.14 20368 1 0.03 -1 -1 34048 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 31 32 279 237 1 161 82 17 17 289 -1 unnamed_device 25.8 MiB 0.23 916 10406 2538 6139 1729 64.4 MiB 0.08 0.00 3.48127 -103.954 -3.48127 3.48127 0.95 0.00042834 0.000390273 0.0273099 0.0249658 32 2055 18 6.64007e+06 238602 554710. 1919.41 0.94 0.0800924 0.0706814 22834 132086 -1 1849 20 1212 1772 135602 30447 3.22583 3.22583 -114.783 -3.22583 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0194449 0.0172357 112 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.60 vpr 64.86 MiB -1 -1 0.16 20452 1 0.03 -1 -1 33900 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 31 32 370 297 1 186 88 17 17 289 -1 unnamed_device 26.2 MiB 0.13 997 11788 2979 6980 1829 64.9 MiB 0.10 0.00 3.41261 -107.545 -3.41261 3.41261 0.94 0.000522996 0.000477194 0.0340764 0.0310419 26 2647 34 6.64007e+06 313950 477104. 1650.88 1.32 0.12032 0.106147 21682 110474 -1 2146 19 1396 2470 170180 39447 3.01017 3.01017 -115.213 -3.01017 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0236164 0.0209857 138 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.66 vpr 64.51 MiB -1 -1 0.17 20624 1 0.03 -1 -1 33764 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 31 32 377 302 1 233 92 17 17 289 -1 unnamed_device 26.3 MiB 0.40 1394 12098 3192 7301 1605 64.5 MiB 0.12 0.00 4.79918 -144.463 -4.79918 4.79918 0.95 0.000555482 0.000508291 0.0341144 0.0311775 28 3376 19 6.64007e+06 364182 500653. 1732.36 1.05 0.111106 0.0986504 21970 115934 -1 2835 21 1990 2853 199087 44185 5.06955 5.06955 -174.236 -5.06955 0 0 612192. 2118.31 0.27 0.08 0.10 -1 -1 0.27 0.0272501 0.0242606 172 60 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.71 vpr 64.71 MiB -1 -1 0.16 20452 1 0.03 -1 -1 33552 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 31 32 383 305 1 209 90 17 17 289 -1 unnamed_device 26.3 MiB 0.37 975 16773 5551 8324 2898 64.7 MiB 0.15 0.00 4.11401 -119.791 -4.11401 4.11401 0.95 0.000547328 0.000500186 0.047761 0.0435352 32 2738 28 6.64007e+06 339066 554710. 1919.41 1.09 0.129332 0.11451 22834 132086 -1 2179 22 1787 2688 186992 44479 4.70968 4.70968 -149.457 -4.70968 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0265444 0.02357 164 60 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.54 vpr 64.53 MiB -1 -1 0.17 20708 1 0.03 -1 -1 33732 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 31 32 352 285 1 184 94 17 17 289 -1 unnamed_device 26.0 MiB 0.14 988 10531 2804 6903 824 64.5 MiB 0.10 0.00 3.70647 -107.491 -3.70647 3.70647 0.94 0.000529319 0.000480818 0.0277704 0.0253187 26 2651 23 6.64007e+06 389298 477104. 1650.88 1.26 0.105114 0.0928279 21682 110474 -1 2234 23 1419 2405 156873 36774 3.46002 3.46002 -124.227 -3.46002 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0252888 0.0223151 135 51 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.41 vpr 64.58 MiB -1 -1 0.15 20352 1 0.03 -1 -1 34020 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 291 242 1 179 87 17 17 289 -1 unnamed_device 26.2 MiB 0.22 993 15447 5333 7306 2808 64.6 MiB 0.12 0.00 3.46356 -96.491 -3.46356 3.46356 0.93 0.000454093 0.000413045 0.0386478 0.0351828 28 2392 18 6.64007e+06 288834 500653. 1732.36 1.03 0.0980556 0.0868311 21970 115934 -1 2108 22 1225 1945 143056 32591 3.95322 3.95322 -118.682 -3.95322 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0224309 0.0198927 119 24 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.47 vpr 65.09 MiB -1 -1 0.18 20940 1 0.03 -1 -1 34136 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 457 356 1 223 104 17 17 289 -1 unnamed_device 26.7 MiB 0.19 1203 14500 4049 9162 1289 65.1 MiB 0.13 0.00 4.04253 -130.907 -4.04253 4.04253 0.94 0.000626718 0.000569777 0.0403256 0.0366368 32 2767 19 6.64007e+06 502320 554710. 1919.41 0.97 0.118874 0.104854 22834 132086 -1 2472 22 1724 2699 165419 39381 4.03949 4.03949 -149.029 -4.03949 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0306689 0.0271955 174 84 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.09 vpr 64.23 MiB -1 -1 0.14 20268 1 0.03 -1 -1 34064 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 25.8 MiB 0.08 848 8685 2093 5796 796 64.2 MiB 0.07 0.00 3.1015 -86.7938 -3.1015 3.1015 0.93 0.000412549 0.000375331 0.0212912 0.0194479 30 1771 20 6.64007e+06 263718 526063. 1820.29 0.92 0.072391 0.0635229 22546 126617 -1 1590 17 677 1179 67650 16124 2.75757 2.75757 -100.169 -2.75757 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0164883 0.0146677 101 24 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.33 vpr 65.00 MiB -1 -1 0.15 20588 1 0.03 -1 -1 33784 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 31 32 337 267 1 205 88 17 17 289 -1 unnamed_device 26.3 MiB 0.25 1110 9058 2024 6495 539 65.0 MiB 0.09 0.00 4.12053 -125.48 -4.12053 4.12053 0.95 0.000544788 0.000496502 0.0264421 0.0239466 26 3316 35 6.64007e+06 313950 477104. 1650.88 1.97 0.109097 0.0957298 21682 110474 -1 2401 17 1444 2047 136506 32228 4.95008 4.95008 -151.835 -4.95008 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0202306 0.0180289 144 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.65 vpr 64.90 MiB -1 -1 0.16 20708 1 0.03 -1 -1 33584 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 26.4 MiB 0.13 1044 9643 2272 6962 409 64.9 MiB 0.09 0.00 3.1757 -97.2977 -3.1757 3.1757 0.93 0.00048425 0.000439449 0.0244786 0.0222571 28 2747 32 6.64007e+06 414414 500653. 1732.36 1.25 0.105411 0.0927828 21970 115934 -1 2217 22 1357 2569 174049 40866 2.95297 2.95297 -115.033 -2.95297 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.025153 0.0222804 131 50 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.26 vpr 64.43 MiB -1 -1 0.15 20180 1 0.03 -1 -1 33664 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 26.1 MiB 0.05 868 12568 4215 5967 2386 64.4 MiB 0.11 0.00 3.36216 -101.805 -3.36216 3.36216 0.93 0.000464478 0.000405814 0.0312792 0.0284961 30 2238 25 6.64007e+06 301392 526063. 1820.29 0.99 0.0950677 0.0838201 22546 126617 -1 1781 22 1230 2418 123469 29498 3.71263 3.71263 -120.333 -3.71263 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0222522 0.0196803 123 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.71 vpr 64.76 MiB -1 -1 0.13 20572 1 0.03 -1 -1 33908 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 353 287 1 198 88 17 17 289 -1 unnamed_device 26.2 MiB 0.28 1104 15493 4624 8426 2443 64.8 MiB 0.12 0.00 3.67818 -114.268 -3.67818 3.67818 0.90 0.000500558 0.000452938 0.0405491 0.036769 26 2902 23 6.64007e+06 301392 477104. 1650.88 1.41 0.11579 0.102548 21682 110474 -1 2293 18 1073 1532 126208 28440 3.36923 3.36923 -124.055 -3.36923 0 0 585099. 2024.56 0.25 0.05 0.09 -1 -1 0.25 0.0212933 0.0189762 138 52 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.59 vpr 64.92 MiB -1 -1 0.17 20516 1 0.03 -1 -1 33968 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 361 291 1 185 96 17 17 289 -1 unnamed_device 26.4 MiB 0.14 1015 18492 6680 9224 2588 64.9 MiB 0.15 0.00 2.9151 -98.0492 -2.9151 2.9151 0.95 0.000522227 0.000475185 0.0466249 0.042396 28 2748 28 6.64007e+06 401856 500653. 1732.36 1.28 0.128289 0.113595 21970 115934 -1 2186 19 1240 2237 161172 36196 2.89597 2.89597 -116.06 -2.89597 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0228796 0.0203081 133 52 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.27 vpr 64.88 MiB -1 -1 0.16 20416 1 0.03 -1 -1 33856 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 382 305 1 192 101 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1097 16316 4466 9393 2457 64.9 MiB 0.13 0.00 3.82667 -120.249 -3.82667 3.82667 0.91 0.000555628 0.000504253 0.0382509 0.0345956 32 2359 20 6.64007e+06 464646 554710. 1919.41 0.93 0.105601 0.092798 22834 132086 -1 2109 16 1122 1642 111103 25419 3.42303 3.42303 -131.929 -3.42303 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0219857 0.019701 145 59 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.14 vpr 64.56 MiB -1 -1 0.15 20232 1 0.03 -1 -1 33640 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 26.1 MiB 0.06 850 14583 4378 8839 1366 64.6 MiB 0.12 0.00 3.38416 -99.6745 -3.38416 3.38416 0.88 0.000456123 0.000413675 0.0348323 0.0316886 32 2076 21 6.64007e+06 364182 554710. 1919.41 0.92 0.0935093 0.0823134 22834 132086 -1 1756 23 1282 2101 134730 32053 3.57842 3.57842 -115.625 -3.57842 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0241339 0.0213762 122 21 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.28 vpr 64.81 MiB -1 -1 0.14 20236 1 0.03 -1 -1 33776 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 319 257 1 198 88 17 17 289 -1 unnamed_device 26.2 MiB 0.21 1165 14128 4153 7796 2179 64.8 MiB 0.12 0.00 4.07126 -117.916 -4.07126 4.07126 0.94 0.000451251 0.000411674 0.0365639 0.0334077 32 2572 22 6.64007e+06 301392 554710. 1919.41 0.94 0.0988122 0.0874425 22834 132086 -1 2338 19 1419 2056 155374 34681 4.18542 4.18542 -140.04 -4.18542 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0218298 0.0194426 133 26 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 6.74 vpr 64.98 MiB -1 -1 0.17 20908 1 0.03 -1 -1 33860 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 31 32 373 299 1 202 88 17 17 289 -1 unnamed_device 26.3 MiB 0.29 1048 15688 5236 7551 2901 65.0 MiB 0.14 0.00 4.03253 -118.529 -4.03253 4.03253 0.92 0.000525256 0.000478657 0.0451559 0.0411788 30 2699 24 6.64007e+06 313950 526063. 1820.29 3.23 0.1994 0.17421 22546 126617 -1 2201 20 1428 2402 151903 34994 4.24989 4.24989 -135.295 -4.24989 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0234521 0.0207312 148 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.49 vpr 64.60 MiB -1 -1 0.17 20736 1 0.03 -1 -1 33804 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 387 315 1 189 86 17 17 289 -1 unnamed_device 26.1 MiB 0.16 984 13883 4650 6915 2318 64.6 MiB 0.09 0.00 3.49656 -107.233 -3.49656 3.49656 0.90 0.000557585 0.000512099 0.0298748 0.0271745 28 3431 38 6.64007e+06 276276 500653. 1732.36 2.19 0.125674 0.110426 21970 115934 -1 2508 20 1506 2729 257454 62164 3.75182 3.75182 -135.793 -3.75182 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.0263532 0.023264 136 74 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.03 vpr 64.12 MiB -1 -1 0.15 20236 1 0.03 -1 -1 33732 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65656 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 25.7 MiB 0.05 729 15298 4392 9026 1880 64.1 MiB 0.11 0.00 2.7119 -83.0677 -2.7119 2.7119 0.95 0.000381733 0.000346332 0.0334844 0.0305294 30 1545 18 6.64007e+06 301392 526063. 1820.29 0.86 0.0828504 0.0732466 22546 126617 -1 1389 17 560 887 45983 11225 2.49037 2.49037 -89.9128 -2.49037 0 0 666494. 2306.21 0.30 0.03 0.12 -1 -1 0.30 0.0161708 0.0144059 97 20 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.74 vpr 64.75 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33828 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 32 32 341 285 1 187 86 17 17 289 -1 unnamed_device 26.3 MiB 0.25 985 9914 2672 6604 638 64.8 MiB 0.09 0.00 3.21396 -114.637 -3.21396 3.21396 0.93 0.000491966 0.000448092 0.027989 0.0255183 26 2814 24 6.64007e+06 276276 477104. 1650.88 1.29 0.102495 0.0903787 21682 110474 -1 2315 19 1529 2157 170237 37997 3.35877 3.35877 -135.145 -3.35877 0 0 585099. 2024.56 0.26 0.07 0.10 -1 -1 0.26 0.02193 0.0194044 127 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.69 vpr 64.89 MiB -1 -1 0.17 20424 1 0.03 -1 -1 33872 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 387 293 1 234 93 17 17 289 -1 unnamed_device 26.6 MiB 0.25 1448 16263 4802 9323 2138 64.9 MiB 0.16 0.00 4.36321 -135.43 -4.36321 4.36321 0.95 0.000576409 0.000523846 0.0466547 0.0424935 32 3475 22 6.64007e+06 364182 554710. 1919.41 1.05 0.122514 0.108431 22834 132086 -1 2887 21 2056 3256 248178 53747 4.82288 4.82288 -158.033 -4.82288 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0275396 0.0245222 169 28 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.24 vpr 64.39 MiB -1 -1 0.15 20192 1 0.03 -1 -1 33744 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 340 270 1 181 96 17 17 289 -1 unnamed_device 26.0 MiB 0.12 1034 10827 2607 7018 1202 64.4 MiB 0.10 0.00 3.67472 -113.027 -3.67472 3.67472 0.95 0.000497185 0.000451743 0.0277002 0.0252838 32 2063 19 6.64007e+06 401856 554710. 1919.41 0.95 0.0906717 0.0799952 22834 132086 -1 1880 18 1059 1648 100795 23472 3.06437 3.06437 -117.014 -3.06437 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.021685 0.0192842 133 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.20 vpr 64.30 MiB -1 -1 0.14 20268 1 0.03 -1 -1 33932 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65844 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 25.8 MiB 0.05 767 13153 3910 7980 1263 64.3 MiB 0.10 0.00 2.7859 -86.9546 -2.7859 2.7859 0.94 0.000435415 0.00039649 0.0312895 0.0285409 26 1980 21 6.64007e+06 326508 477104. 1650.88 1.05 0.0922599 0.0816958 21682 110474 -1 1739 20 1060 1667 118023 27388 2.73257 2.73257 -103.972 -2.73257 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0197291 0.0174806 104 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.48 vpr 64.68 MiB -1 -1 0.17 21028 1 0.03 -1 -1 33860 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 431 332 1 235 91 17 17 289 -1 unnamed_device 26.4 MiB 0.32 1385 13963 4000 8588 1375 64.7 MiB 0.14 0.00 5.03129 -150.861 -5.03129 5.03129 0.91 0.000644883 0.000584623 0.042388 0.0384638 32 2867 24 6.64007e+06 339066 554710. 1919.41 0.96 0.118445 0.104364 22834 132086 -1 2460 22 1770 2550 156453 37983 5.12974 5.12974 -172.888 -5.12974 0 0 701300. 2426.64 0.29 0.07 0.11 -1 -1 0.29 0.0289724 0.0256433 170 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.26 vpr 64.39 MiB -1 -1 0.16 20300 1 0.03 -1 -1 33800 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 336 268 1 174 97 17 17 289 -1 unnamed_device 25.9 MiB 0.14 938 8533 1741 6439 353 64.4 MiB 0.08 0.00 3.69147 -114.707 -3.69147 3.69147 0.92 0.000506625 0.000463884 0.021805 0.0199149 32 2294 22 6.64007e+06 414414 554710. 1919.41 0.98 0.0868042 0.0762416 22834 132086 -1 1978 22 1373 2107 151194 34342 3.84103 3.84103 -129.777 -3.84103 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0239521 0.021145 130 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 6.61 vpr 64.02 MiB -1 -1 0.14 20264 1 0.03 -1 -1 33524 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65556 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 25.6 MiB 0.07 756 11991 4313 6225 1453 64.0 MiB 0.09 0.00 2.8441 -82.1212 -2.8441 2.8441 0.95 0.000393739 0.000359094 0.0260087 0.0237538 26 2189 42 6.64007e+06 288834 477104. 1650.88 3.50 0.147109 0.128075 21682 110474 -1 1867 21 1024 1678 141008 32016 3.12737 3.12737 -106.295 -3.12737 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0177505 0.0156695 100 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.20 vpr 65.05 MiB -1 -1 0.17 20600 1 0.03 -1 -1 33740 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 26.4 MiB 0.10 993 13373 3403 9060 910 65.0 MiB 0.12 0.00 4.67452 -111.4 -4.67452 4.67452 0.91 0.000522566 0.00047437 0.0330296 0.0300644 32 2441 23 6.64007e+06 426972 554710. 1919.41 0.94 0.0988706 0.0869648 22834 132086 -1 2063 20 1317 2419 154920 36193 4.53928 4.53928 -133.218 -4.53928 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0229979 0.0203359 139 26 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 3.97 vpr 64.44 MiB -1 -1 0.13 20392 1 0.03 -1 -1 33812 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 26.0 MiB 0.05 834 13992 4642 7291 2059 64.4 MiB 0.10 0.00 2.8171 -91.1457 -2.8171 2.8171 0.90 0.000335511 0.000304559 0.0312406 0.0283418 32 1921 19 6.64007e+06 251160 554710. 1919.41 0.90 0.0806062 0.0710541 22834 132086 -1 1771 22 1186 2046 145584 32746 3.00117 3.00117 -110.407 -3.00117 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0192519 0.0170073 104 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.11 vpr 64.46 MiB -1 -1 0.16 20224 1 0.03 -1 -1 33460 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 25.9 MiB 0.09 858 15215 4246 9186 1783 64.5 MiB 0.11 0.00 3.22421 -90.1146 -3.22421 3.22421 0.95 0.000439312 0.000401731 0.0324044 0.0295577 28 1799 20 6.64007e+06 414414 500653. 1732.36 0.89 0.0868533 0.0765496 21970 115934 -1 1661 17 719 1261 74312 17388 2.62817 2.62817 -101.354 -2.62817 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0166479 0.0147888 105 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.75 vpr 64.65 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33916 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 29 32 355 287 1 198 87 17 17 289 -1 unnamed_device 26.1 MiB 0.30 1098 11799 3472 7108 1219 64.6 MiB 0.11 0.00 3.67395 -109.891 -3.67395 3.67395 0.94 0.000504676 0.000460278 0.0337567 0.0308169 26 2965 27 6.64007e+06 326508 477104. 1650.88 1.28 0.112413 0.0992188 21682 110474 -1 2368 21 1600 2432 173155 39458 3.63562 3.63562 -128.421 -3.63562 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0251069 0.0222516 139 56 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.29 vpr 64.70 MiB -1 -1 0.16 20492 1 0.03 -1 -1 33920 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 26.3 MiB 0.12 917 8083 1747 5852 484 64.7 MiB 0.08 0.00 3.64276 -112.872 -3.64276 3.64276 0.96 0.000521207 0.000474995 0.0240128 0.0219629 32 2091 21 6.64007e+06 301392 554710. 1919.41 0.96 0.0894012 0.0785446 22834 132086 -1 1892 22 1556 2403 155849 36838 3.74782 3.74782 -130.415 -3.74782 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0255491 0.0226312 130 51 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.70 vpr 64.87 MiB -1 -1 0.17 20868 1 0.03 -1 -1 34048 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 353 285 1 181 92 17 17 289 -1 unnamed_device 26.4 MiB 0.12 1015 14582 3560 9437 1585 64.9 MiB 0.14 0.00 3.99107 -121.69 -3.99107 3.99107 0.94 0.00053939 0.000489629 0.0391188 0.0356594 26 2734 26 6.64007e+06 351624 477104. 1650.88 1.39 0.119862 0.106022 21682 110474 -1 2243 20 1435 2441 181049 40203 3.85283 3.85283 -139.163 -3.85283 0 0 585099. 2024.56 0.27 0.07 0.10 -1 -1 0.27 0.0247301 0.0220127 133 48 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.32 vpr 64.42 MiB -1 -1 0.14 20512 1 0.03 -1 -1 33736 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 32 32 276 237 1 159 81 17 17 289 -1 unnamed_device 25.9 MiB 0.26 1003 13381 4221 6932 2228 64.4 MiB 0.10 0.00 3.67818 -110.331 -3.67818 3.67818 0.93 0.000434158 0.000396164 0.0346014 0.0315596 32 2087 18 6.64007e+06 213486 554710. 1919.41 0.92 0.0869991 0.0770007 22834 132086 -1 1858 17 812 1066 72851 17000 3.37823 3.37823 -120.137 -3.37823 0 0 701300. 2426.64 0.31 0.04 0.13 -1 -1 0.31 0.017887 0.0159606 105 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.32 vpr 64.50 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33848 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 26.1 MiB 0.22 739 8626 1841 6026 759 64.5 MiB 0.07 0.00 3.12596 -96.872 -3.12596 3.12596 0.94 0.000494507 0.000453217 0.0246853 0.0225132 32 2072 19 6.64007e+06 238602 554710. 1919.41 0.97 0.0843757 0.0740738 22834 132086 -1 1618 20 1149 1727 108460 26754 3.25437 3.25437 -118.509 -3.25437 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0212979 0.0188908 113 60 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.21 vpr 64.73 MiB -1 -1 0.14 20684 1 0.03 -1 -1 33948 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 26.3 MiB 0.11 911 9383 2139 6426 818 64.7 MiB 0.08 0.00 2.8221 -83.2193 -2.8221 2.8221 0.92 0.00048731 0.000446545 0.0217348 0.0197468 26 2190 24 6.64007e+06 414414 477104. 1650.88 1.10 0.089456 0.0785352 21682 110474 -1 1968 19 1047 1836 121328 28832 3.12537 3.12537 -104.706 -3.12537 0 0 585099. 2024.56 0.25 0.05 0.09 -1 -1 0.25 0.0205513 0.0181746 123 52 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 5.26 vpr 64.57 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33616 -1 -1 35 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 26.0 MiB 0.09 929 10247 2547 6712 988 64.6 MiB 0.08 0.00 3.51555 -88.3652 -3.51555 3.51555 0.93 0.000412353 0.000375515 0.0219296 0.0199814 22 2667 41 6.64007e+06 439530 420624. 1455.45 2.13 0.117396 0.102421 20818 92861 -1 2101 23 1262 2448 183259 39211 3.79982 3.79982 -112.787 -3.79982 0 0 500653. 1732.36 0.22 0.07 0.09 -1 -1 0.22 0.0216673 0.0190495 115 20 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.40 vpr 64.30 MiB -1 -1 0.16 20268 1 0.03 -1 -1 33524 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65844 30 32 317 269 1 152 80 17 17 289 -1 unnamed_device 25.8 MiB 0.14 630 13152 4366 5924 2862 64.3 MiB 0.10 0.00 3.29461 -91.6276 -3.29461 3.29461 0.94 0.000478273 0.000432678 0.037499 0.0339659 32 1968 34 6.64007e+06 226044 554710. 1919.41 1.09 0.111234 0.0972197 22834 132086 -1 1591 20 1273 2169 148041 36356 3.09117 3.09117 -109.623 -3.09117 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.021054 0.0186081 108 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.36 vpr 64.79 MiB -1 -1 0.15 20200 1 0.03 -1 -1 33516 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 335 282 1 184 85 17 17 289 -1 unnamed_device 26.3 MiB 0.24 1023 9385 2482 6091 812 64.8 MiB 0.08 0.00 3.14796 -106.886 -3.14796 3.14796 0.95 0.000472809 0.00042961 0.0261089 0.0237711 30 2207 18 6.64007e+06 263718 526063. 1820.29 0.97 0.0866133 0.0760161 22546 126617 -1 1844 17 1064 1516 87977 20525 3.03263 3.03263 -119.645 -3.03263 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0197323 0.0175435 121 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.22 vpr 64.55 MiB -1 -1 0.16 20248 1 0.03 -1 -1 33692 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 26.1 MiB 0.05 1045 12191 3364 7726 1101 64.5 MiB 0.10 0.00 3.68447 -107.387 -3.68447 3.68447 0.95 0.000461541 0.000420562 0.0276066 0.025148 32 2314 23 6.64007e+06 401856 554710. 1919.41 0.95 0.0879886 0.0773677 22834 132086 -1 2040 19 1090 1931 121657 28243 3.81983 3.81983 -124.487 -3.81983 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0200911 0.0178477 127 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.35 vpr 64.94 MiB -1 -1 0.15 20656 1 0.03 -1 -1 34024 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 350 275 1 209 88 17 17 289 -1 unnamed_device 26.2 MiB 0.27 1051 8083 1886 5144 1053 64.9 MiB 0.09 0.00 4.34793 -133.107 -4.34793 4.34793 0.88 0.000507105 0.00046373 0.0236609 0.0216466 32 2930 26 6.64007e+06 301392 554710. 1919.41 0.99 0.0916332 0.0807353 22834 132086 -1 2374 20 1488 2226 147028 34923 4.45708 4.45708 -149.869 -4.45708 0 0 701300. 2426.64 0.28 0.06 0.13 -1 -1 0.28 0.0242935 0.0215975 146 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.51 vpr 64.68 MiB -1 -1 0.15 20552 1 0.03 -1 -1 33944 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 385 308 1 185 98 17 17 289 -1 unnamed_device 26.1 MiB 0.18 921 11123 2939 7368 816 64.7 MiB 0.10 0.00 4.17072 -114.904 -4.17072 4.17072 0.90 0.000506343 0.000462537 0.0289176 0.0264517 28 2601 24 6.64007e+06 426972 500653. 1732.36 1.29 0.113055 0.100507 21970 115934 -1 2087 17 1069 1860 114441 28969 3.91528 3.91528 -136.144 -3.91528 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0221192 0.0197548 144 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.37 vpr 64.90 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33892 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 26.3 MiB 0.13 1136 16081 4424 9548 2109 64.9 MiB 0.14 0.00 3.73647 -122.312 -3.73647 3.73647 0.96 0.000556928 0.000505498 0.0406239 0.0369691 30 2614 19 6.64007e+06 464646 526063. 1820.29 0.98 0.110682 0.0977358 22546 126617 -1 2163 19 1209 2160 109414 26358 3.58523 3.58523 -134.191 -3.58523 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0244194 0.0217883 140 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.22 vpr 64.22 MiB -1 -1 0.16 20296 1 0.03 -1 -1 33588 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 25.8 MiB 0.10 797 11806 4058 5679 2069 64.2 MiB 0.09 0.00 3.00301 -90.9444 -3.00301 3.00301 0.96 0.000422145 0.000385014 0.0307688 0.0280371 32 1703 19 6.64007e+06 238602 554710. 1919.41 0.93 0.0834973 0.0736997 22834 132086 -1 1571 21 1067 1906 128544 29683 2.89197 2.89197 -99.3264 -2.89197 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0200092 0.0176843 104 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.29 vpr 64.93 MiB -1 -1 0.18 20572 1 0.03 -1 -1 33964 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 30 32 375 299 1 187 85 17 17 289 -1 unnamed_device 26.4 MiB 0.15 1022 13477 3982 7289 2206 64.9 MiB 0.12 0.00 3.92987 -116.279 -3.92987 3.92987 0.92 0.000525308 0.000477682 0.0409063 0.0372572 28 2406 24 6.64007e+06 288834 500653. 1732.36 0.96 0.113454 0.100255 21970 115934 -1 2115 23 1599 2611 183131 40647 3.85963 3.85963 -135.626 -3.85963 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0277967 0.0245205 138 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.88 vpr 64.79 MiB -1 -1 0.17 20632 1 0.03 -1 -1 33732 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 340 270 1 200 90 17 17 289 -1 unnamed_device 26.2 MiB 0.25 1214 16170 4598 9399 2173 64.8 MiB 0.14 0.00 4.18044 -127.637 -4.18044 4.18044 0.95 0.000510173 0.000465069 0.0433891 0.0395492 28 2786 24 6.64007e+06 326508 500653. 1732.36 1.39 0.118827 0.105252 21970 115934 -1 2329 20 1665 2768 197018 43313 4.06449 4.06449 -143.647 -4.06449 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.0237939 0.0211636 140 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.48 vpr 64.85 MiB -1 -1 0.17 20740 1 0.03 -1 -1 33916 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 31 32 340 275 1 195 93 17 17 289 -1 unnamed_device 26.3 MiB 0.28 1101 17523 5760 9336 2427 64.8 MiB 0.14 0.00 4.22421 -124.896 -4.22421 4.22421 0.93 0.000493096 0.000449749 0.0441803 0.0402564 32 2546 23 6.64007e+06 376740 554710. 1919.41 0.97 0.110766 0.0978969 22834 132086 -1 2226 20 1388 2035 147695 32663 4.38728 4.38728 -141.853 -4.38728 0 0 701300. 2426.64 0.29 0.06 0.11 -1 -1 0.29 0.0234042 0.0207851 148 43 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.24 vpr 64.49 MiB -1 -1 0.16 20504 1 0.03 -1 -1 33928 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 30 32 377 310 1 177 95 17 17 289 -1 unnamed_device 25.9 MiB 0.21 1021 16511 4409 9765 2337 64.5 MiB 0.13 0.00 3.53327 -109.699 -3.53327 3.53327 0.90 0.000465976 0.00042093 0.0404861 0.0366876 32 2233 21 6.64007e+06 414414 554710. 1919.41 0.92 0.103409 0.0908185 22834 132086 -1 2011 19 1082 1765 108131 25453 3.16963 3.16963 -120.227 -3.16963 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.022168 0.0195988 135 78 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.40 vpr 64.71 MiB -1 -1 0.17 20584 1 0.03 -1 -1 33972 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 365 294 1 185 85 17 17 289 -1 unnamed_device 26.2 MiB 0.12 988 16453 5540 8537 2376 64.7 MiB 0.15 0.00 3.97286 -114.775 -3.97286 3.97286 0.94 0.000498789 0.000452847 0.0489273 0.044595 32 2553 20 6.64007e+06 263718 554710. 1919.41 1.02 0.117611 0.104167 22834 132086 -1 2197 21 1515 2675 178177 41761 3.76462 3.76462 -129.825 -3.76462 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0252037 0.022233 134 54 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.28 vpr 64.90 MiB -1 -1 0.17 20612 1 0.03 -1 -1 33956 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 29 32 378 310 1 177 92 17 17 289 -1 unnamed_device 26.3 MiB 0.17 986 12926 3233 8004 1689 64.9 MiB 0.11 0.00 4.15226 -117.476 -4.15226 4.15226 0.91 0.000535186 0.000485136 0.0354388 0.0322269 30 2251 20 6.64007e+06 389298 526063. 1820.29 0.95 0.102934 0.0907415 22546 126617 -1 1927 16 927 1531 91197 20690 3.53923 3.53923 -123.039 -3.53923 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.020891 0.0186968 132 79 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.05 vpr 64.34 MiB -1 -1 0.15 20364 1 0.03 -1 -1 33980 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 26.0 MiB 0.04 806 12923 4373 6606 1944 64.3 MiB 0.09 0.00 3.02901 -96.2276 -3.02901 3.02901 0.94 0.000389192 0.000348921 0.0319288 0.029098 26 1969 20 6.64007e+06 188370 477104. 1650.88 0.95 0.0864711 0.0765427 21682 110474 -1 1736 19 972 1459 129922 28908 2.93797 2.93797 -111.437 -2.93797 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0175087 0.0154996 96 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.39 vpr 64.55 MiB -1 -1 0.16 20500 1 0.03 -1 -1 33928 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 32 32 373 302 1 176 96 17 17 289 -1 unnamed_device 26.1 MiB 0.22 927 16083 3992 9701 2390 64.6 MiB 0.13 0.00 3.69947 -113.313 -3.69947 3.69947 0.94 0.000546418 0.000496332 0.0416079 0.0378603 30 1943 19 6.64007e+06 401856 526063. 1820.29 0.95 0.108857 0.0961644 22546 126617 -1 1662 21 1118 1893 84033 21774 3.63163 3.63163 -126.674 -3.63163 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0247923 0.0220268 132 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.73 vpr 64.77 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33960 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 397 314 1 196 86 17 17 289 -1 unnamed_device 26.2 MiB 0.16 1038 7646 1661 5658 327 64.8 MiB 0.08 0.00 3.95187 -123.513 -3.95187 3.95187 0.92 0.000578932 0.000525275 0.0255413 0.0232122 26 2838 22 6.64007e+06 276276 477104. 1650.88 1.42 0.105687 0.0929542 21682 110474 -1 2322 22 1891 3161 222196 50864 3.91582 3.91582 -144.09 -3.91582 0 0 585099. 2024.56 0.27 0.08 0.10 -1 -1 0.27 0.0281049 0.0248962 148 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.21 vpr 64.38 MiB -1 -1 0.15 20264 1 0.03 -1 -1 33832 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 32 32 269 231 1 170 84 17 17 289 -1 unnamed_device 25.8 MiB 0.24 832 8319 2000 5848 471 64.4 MiB 0.07 0.00 3.43261 -99.0262 -3.43261 3.43261 0.90 0.000407136 0.000372733 0.0209187 0.0191083 26 2328 19 6.64007e+06 251160 477104. 1650.88 1.02 0.07595 0.0669007 21682 110474 -1 1843 21 1066 1410 105645 24215 3.10363 3.10363 -113.971 -3.10363 0 0 585099. 2024.56 0.24 0.05 0.10 -1 -1 0.24 0.0192946 0.0169891 109 26 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.02 vpr 64.15 MiB -1 -1 0.14 20344 1 0.03 -1 -1 33940 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 25.7 MiB 0.05 708 6672 1420 4967 285 64.1 MiB 0.06 0.00 3.02901 -87.4156 -3.02901 3.02901 0.94 0.000401075 0.000366314 0.0163366 0.0149803 30 1746 24 6.64007e+06 263718 526063. 1820.29 0.91 0.0685194 0.0600736 22546 126617 -1 1435 18 948 1595 87485 20862 2.80477 2.80477 -98.823 -2.80477 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0167031 0.0147597 106 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.63 vpr 64.99 MiB -1 -1 0.15 20784 1 0.03 -1 -1 33892 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 348 274 1 211 90 17 17 289 -1 unnamed_device 26.2 MiB 0.23 939 8733 1902 6428 403 65.0 MiB 0.08 0.00 4.06553 -126.825 -4.06553 4.06553 0.90 0.000513214 0.00046938 0.0241152 0.0220669 28 2851 28 6.64007e+06 326508 500653. 1732.36 1.36 0.106171 0.0940707 21970 115934 -1 2274 21 1730 2302 181123 43563 4.30309 4.30309 -150.935 -4.30309 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0244833 0.0217725 144 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.43 vpr 64.85 MiB -1 -1 0.16 20760 1 0.03 -1 -1 33912 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 356 289 1 202 93 17 17 289 -1 unnamed_device 26.2 MiB 0.22 1068 15423 4268 8888 2267 64.9 MiB 0.13 0.00 4.05281 -122.297 -4.05281 4.05281 0.94 0.000512813 0.000472067 0.0412203 0.0376085 32 2502 25 6.64007e+06 364182 554710. 1919.41 0.98 0.110627 0.0977328 22834 132086 -1 2251 18 1473 2252 143270 33995 4.33109 4.33109 -144.02 -4.33109 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0222111 0.0197937 155 53 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.68 vpr 65.04 MiB -1 -1 0.16 20640 1 0.03 -1 -1 33768 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.5 MiB 0.08 1187 19124 5509 11212 2403 65.0 MiB 0.16 0.00 4.49732 -123.909 -4.49732 4.49732 0.93 0.000524048 0.000470508 0.04597 0.0418406 26 3053 26 6.64007e+06 452088 477104. 1650.88 1.37 0.128869 0.114576 21682 110474 -1 2612 19 1474 2750 211797 46129 4.78088 4.78088 -150.953 -4.78088 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0238205 0.0211983 153 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.22 vpr 64.61 MiB -1 -1 0.17 20292 1 0.03 -1 -1 33848 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 30 32 316 264 1 162 94 17 17 289 -1 unnamed_device 26.3 MiB 0.13 794 9466 2086 6910 470 64.6 MiB 0.08 0.00 2.8471 -85.0982 -2.8471 2.8471 0.94 0.000482359 0.000436998 0.0232042 0.0211076 32 1890 20 6.64007e+06 401856 554710. 1919.41 0.94 0.0816135 0.071518 22834 132086 -1 1700 18 1180 2054 140247 33477 2.93917 2.93917 -102.027 -2.93917 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0198486 0.0175807 121 47 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.01 vpr 64.36 MiB -1 -1 0.15 20484 1 0.03 -1 -1 33920 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 26.0 MiB 0.05 674 11776 4790 5774 1212 64.4 MiB 0.08 0.00 2.8251 -80.1721 -2.8251 2.8251 0.94 0.000391898 0.000357387 0.0286321 0.0261299 30 1427 20 6.64007e+06 263718 526063. 1820.29 0.89 0.0776547 0.0683993 22546 126617 -1 1278 17 686 1020 59031 13742 2.77497 2.77497 -92.8344 -2.77497 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0161 0.0143017 97 26 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 4.47 vpr 65.06 MiB -1 -1 0.16 20492 1 0.03 -1 -1 33652 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 26.7 MiB 0.23 1359 16974 5069 10032 1873 65.1 MiB 0.16 0.00 3.53756 -116.72 -3.53756 3.53756 0.89 0.000542981 0.000491448 0.0504875 0.0457043 32 3660 23 6.64007e+06 326508 554710. 1919.41 1.05 0.131053 0.115892 22834 132086 -1 3035 23 2208 3702 281206 60198 4.03123 4.03123 -141.398 -4.03123 0 0 701300. 2426.64 0.29 0.09 0.11 -1 -1 0.29 0.0297366 0.026301 170 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.56 vpr 64.98 MiB -1 -1 0.15 20600 1 0.03 -1 -1 33976 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 31 32 365 296 1 193 86 17 17 289 -1 unnamed_device 26.2 MiB 0.31 951 15206 4197 8608 2401 65.0 MiB 0.13 0.00 4.33341 -126.789 -4.33341 4.33341 0.94 0.000510912 0.000467075 0.0453836 0.0413596 32 2397 19 6.64007e+06 288834 554710. 1919.41 1.01 0.113088 0.100233 22834 132086 -1 1970 20 1361 2299 142685 35836 4.41229 4.41229 -145.198 -4.41229 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0244899 0.0217388 152 60 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.45 vpr 64.54 MiB -1 -1 0.16 20744 1 0.03 -1 -1 33988 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66092 32 32 331 280 1 174 83 17 17 289 -1 unnamed_device 26.2 MiB 0.30 926 14483 5297 7170 2016 64.5 MiB 0.11 0.00 3.74495 -109.736 -3.74495 3.74495 0.92 0.000479829 0.000436666 0.0407552 0.0370684 32 2201 25 6.64007e+06 238602 554710. 1919.41 0.96 0.105995 0.0934927 22834 132086 -1 1861 18 1209 1761 134527 30349 3.60863 3.60863 -130.242 -3.60863 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0211179 0.0188317 128 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.24 vpr 64.59 MiB -1 -1 0.16 20316 1 0.03 -1 -1 33880 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 26.2 MiB 0.06 1036 14365 4152 8683 1530 64.6 MiB 0.12 0.00 4.23518 -110.63 -4.23518 4.23518 0.94 0.000486163 0.000444499 0.0346607 0.0315455 32 2279 23 6.64007e+06 376740 554710. 1919.41 0.94 0.0990804 0.087291 22834 132086 -1 1967 22 1250 2039 135547 31812 3.69163 3.69163 -123.184 -3.69163 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0240845 0.0212923 126 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.36 vpr 64.69 MiB -1 -1 0.17 20556 1 0.03 -1 -1 33384 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 31 32 373 294 1 196 97 17 17 289 -1 unnamed_device 26.2 MiB 0.14 1087 9643 2281 6657 705 64.7 MiB 0.10 0.00 4.20246 -118.632 -4.20246 4.20246 0.95 0.000545696 0.000495272 0.0261259 0.0238572 32 2318 21 6.64007e+06 426972 554710. 1919.41 0.95 0.0940724 0.0827293 22834 132086 -1 2114 18 1497 2473 160924 37402 3.62142 3.62142 -126.679 -3.62142 0 0 701300. 2426.64 0.31 0.06 0.12 -1 -1 0.31 0.023503 0.0208693 145 46 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.26 vpr 64.54 MiB -1 -1 0.17 20264 1 0.03 -1 -1 34032 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 26.1 MiB 0.13 1009 8493 2078 5528 887 64.5 MiB 0.08 0.00 2.8933 -91.5477 -2.8933 2.8933 0.96 0.00047952 0.000436096 0.0219824 0.0200934 32 2234 21 6.64007e+06 389298 554710. 1919.41 0.95 0.0828285 0.0727219 22834 132086 -1 1920 17 1027 1794 115359 26727 2.87297 2.87297 -103.937 -2.87297 0 0 701300. 2426.64 0.28 0.05 0.12 -1 -1 0.28 0.0194434 0.0173473 124 46 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.56 vpr 65.08 MiB -1 -1 0.16 20556 1 0.03 -1 -1 33628 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 26.6 MiB 0.28 1119 10781 2979 7147 655 65.1 MiB 0.10 0.00 4.13153 -129.919 -4.13153 4.13153 0.96 0.000514477 0.000469121 0.0305527 0.0278025 32 2706 23 6.64007e+06 313950 554710. 1919.41 1.00 0.0987509 0.0870087 22834 132086 -1 2273 19 1861 2789 166184 40299 3.98829 3.98829 -144.542 -3.98829 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0243561 0.0217875 148 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.25 vpr 64.66 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33644 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 386 307 1 195 100 17 17 289 -1 unnamed_device 26.1 MiB 0.15 1104 11700 2860 7980 860 64.7 MiB 0.11 0.00 3.87621 -121.227 -3.87621 3.87621 0.93 0.000576991 0.00052486 0.0304677 0.0276921 28 2487 20 6.64007e+06 452088 500653. 1732.36 0.92 0.0997756 0.0874845 21970 115934 -1 2147 20 1056 1758 109021 25582 3.53977 3.53977 -130.685 -3.53977 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0255423 0.022726 144 59 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 3.91 vpr 64.39 MiB -1 -1 0.14 20212 1 0.03 -1 -1 33820 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 25.9 MiB 0.07 649 8544 2271 5215 1058 64.4 MiB 0.06 0.00 2.88681 -85.3419 -2.88681 2.88681 0.90 0.00040867 0.0003737 0.0223803 0.020455 32 1394 18 6.64007e+06 213486 554710. 1919.41 0.86 0.0709669 0.0624741 22834 132086 -1 1253 21 831 1206 77536 20313 2.84617 2.84617 -95.4283 -2.84617 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0198571 0.0173413 91 28 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.18 vpr 64.60 MiB -1 -1 0.15 20648 1 0.03 -1 -1 33656 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 310 266 1 175 85 17 17 289 -1 unnamed_device 26.2 MiB 0.20 906 14407 4955 7380 2072 64.6 MiB 0.10 0.00 3.19816 -104.679 -3.19816 3.19816 0.91 0.000377594 0.000343427 0.0356255 0.0323493 32 2003 25 6.64007e+06 263718 554710. 1919.41 0.90 0.0891703 0.0784636 22834 132086 -1 1812 19 1390 1845 136228 31162 3.38223 3.38223 -123.94 -3.38223 0 0 701300. 2426.64 0.28 0.05 0.13 -1 -1 0.28 0.0191572 0.0170127 117 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.36 vpr 64.38 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33784 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 25.9 MiB 0.07 1074 10772 2559 6550 1663 64.4 MiB 0.09 0.00 3.82167 -106.687 -3.82167 3.82167 0.93 0.00047786 0.000436767 0.0252038 0.0230129 26 2543 22 6.64007e+06 464646 477104. 1650.88 1.15 0.095813 0.0847094 21682 110474 -1 2192 20 1457 2525 173779 38989 3.94703 3.94703 -130.551 -3.94703 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.02196 0.0194091 129 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.21 vpr 64.31 MiB -1 -1 0.15 20100 1 0.03 -1 -1 33868 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 29 32 262 224 1 168 83 17 17 289 -1 unnamed_device 25.8 MiB 0.26 863 8903 2450 5951 502 64.3 MiB 0.07 0.00 3.36661 -94.4008 -3.36661 3.36661 0.93 0.000422555 0.000386137 0.022391 0.0204565 26 2186 26 6.64007e+06 276276 477104. 1650.88 0.91 0.0809795 0.071017 21682 110474 -1 1870 19 1142 1477 98944 23738 3.32403 3.32403 -111.122 -3.32403 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0181348 0.0160919 109 25 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.18 vpr 64.41 MiB -1 -1 0.14 20676 1 0.03 -1 -1 34008 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 32 32 278 238 1 149 81 17 17 289 -1 unnamed_device 25.9 MiB 0.14 780 12156 4109 5877 2170 64.4 MiB 0.09 0.00 3.14521 -97.6445 -3.14521 3.14521 0.94 0.000436705 0.00039693 0.0320065 0.0291305 30 1871 21 6.64007e+06 213486 526063. 1820.29 0.92 0.086778 0.0764246 22546 126617 -1 1526 21 1030 1705 94036 22210 2.68457 2.68457 -102.443 -2.68457 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0198803 0.0175421 108 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.14 vpr 64.91 MiB -1 -1 0.16 20940 1 0.03 -1 -1 33916 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 31 32 373 300 1 181 99 17 17 289 -1 unnamed_device 26.3 MiB 0.13 996 14691 3901 8364 2426 64.9 MiB 0.11 0.00 3.26641 -99.9164 -3.26641 3.26641 0.91 0.000489342 0.000442814 0.0350554 0.0317478 30 2036 20 6.64007e+06 452088 526063. 1820.29 0.92 0.100646 0.0883024 22546 126617 -1 1818 19 1102 1810 100063 22915 2.96337 2.96337 -110.282 -2.96337 0 0 666494. 2306.21 0.29 0.05 0.11 -1 -1 0.29 0.0231508 0.0204581 136 60 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.66 vpr 64.42 MiB -1 -1 0.15 20300 1 0.03 -1 -1 33640 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 31 32 265 230 1 162 83 17 17 289 -1 unnamed_device 25.9 MiB 0.21 982 12683 3621 7257 1805 64.4 MiB 0.09 0.00 3.15716 -100.932 -3.15716 3.15716 0.93 0.000421012 0.000382732 0.0315461 0.0287811 26 2409 38 6.64007e+06 251160 477104. 1650.88 1.38 0.105447 0.0930228 21682 110474 -1 2037 16 1033 1479 128978 28149 3.07163 3.07163 -114.128 -3.07163 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0167836 0.0149867 107 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.21 vpr 64.45 MiB -1 -1 0.16 20476 1 0.03 -1 -1 33524 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 32 32 349 286 1 171 96 17 17 289 -1 unnamed_device 26.0 MiB 0.13 1075 16302 4705 9136 2461 64.5 MiB 0.13 0.00 3.01201 -100.358 -3.01201 3.01201 0.94 0.000498386 0.000452576 0.039752 0.0362025 28 2377 21 6.64007e+06 401856 500653. 1732.36 0.93 0.105316 0.0930148 21970 115934 -1 2095 22 1203 2004 129735 29346 2.79997 2.79997 -112.06 -2.79997 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0249498 0.0221348 127 54 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.47 vpr 64.85 MiB -1 -1 0.16 20568 1 0.03 -1 -1 33948 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 26.4 MiB 0.28 913 16727 5100 9076 2551 64.9 MiB 0.14 0.00 3.50555 -110.684 -3.50555 3.50555 0.94 0.000573578 0.000521796 0.0457943 0.0416669 32 2126 21 6.64007e+06 401856 554710. 1919.41 0.94 0.115125 0.101522 22834 132086 -1 1821 18 1174 1713 109682 26171 3.19083 3.19083 -124.221 -3.19083 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0243479 0.021731 138 87 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.22 vpr 64.43 MiB -1 -1 0.15 20404 1 0.03 -1 -1 33968 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 25.9 MiB 0.18 888 13381 3986 7743 1652 64.4 MiB 0.10 0.00 2.6639 -87.2845 -2.6639 2.6639 0.93 0.000443039 0.000403749 0.0362434 0.0327885 28 1944 19 6.64007e+06 213486 500653. 1732.36 0.93 0.0922144 0.0811775 21970 115934 -1 1801 22 966 1555 120817 27049 2.78577 2.78577 -105.27 -2.78577 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0174866 0.0155419 104 54 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.25 vpr 64.66 MiB -1 -1 0.14 20264 1 0.03 -1 -1 33788 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 290 244 1 175 85 17 17 289 -1 unnamed_device 26.3 MiB 0.22 846 13291 3660 8053 1578 64.7 MiB 0.10 0.00 3.55527 -109.103 -3.55527 3.55527 0.91 0.000443481 0.000403392 0.033578 0.0306007 32 2247 19 6.64007e+06 263718 554710. 1919.41 0.94 0.0886153 0.0780852 22834 132086 -1 1876 19 1337 1984 140078 32977 3.14763 3.14763 -118.7 -3.14763 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0195836 0.0173792 117 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.23 vpr 64.65 MiB -1 -1 0.15 20456 1 0.03 -1 -1 33868 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 32 32 318 257 1 194 87 17 17 289 -1 unnamed_device 26.1 MiB 0.20 1051 13911 3659 8243 2009 64.7 MiB 0.11 0.00 3.86215 -116.454 -3.86215 3.86215 0.94 0.000459497 0.000420384 0.0372946 0.0340072 28 2530 21 6.64007e+06 288834 500653. 1732.36 0.93 0.10131 0.0896302 21970 115934 -1 2203 23 1486 2051 135255 32228 3.90203 3.90203 -135.165 -3.90203 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0243505 0.0215231 130 27 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.27 vpr 64.43 MiB -1 -1 0.16 20656 1 0.03 -1 -1 33768 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 29 32 324 268 1 168 90 17 17 289 -1 unnamed_device 26.0 MiB 0.18 859 15768 4529 8713 2526 64.4 MiB 0.13 0.00 3.68447 -96.6025 -3.68447 3.68447 0.94 0.000473785 0.000433542 0.0397998 0.0363194 26 2162 19 6.64007e+06 364182 477104. 1650.88 0.97 0.10322 0.0914219 21682 110474 -1 1905 18 892 1449 93432 22466 3.55022 3.55022 -113.116 -3.55022 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0202794 0.0180055 122 49 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.49 vpr 64.88 MiB -1 -1 0.15 20464 1 0.03 -1 -1 33764 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 393 312 1 213 88 17 17 289 -1 unnamed_device 26.3 MiB 0.30 1157 9838 2543 6218 1077 64.9 MiB 0.10 0.00 4.33064 -141.129 -4.33064 4.33064 0.94 0.000556424 0.000504345 0.031223 0.0284527 32 2570 23 6.64007e+06 301392 554710. 1919.41 1.00 0.104699 0.0921975 22834 132086 -1 2304 22 1759 2560 175611 41828 4.09849 4.09849 -152.239 -4.09849 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0266466 0.0237105 154 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 3.96 vpr 64.13 MiB -1 -1 0.14 20076 1 0.03 -1 -1 33412 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 25.8 MiB 0.04 706 6906 1534 4834 538 64.1 MiB 0.05 0.00 2.9133 -81.7891 -2.9133 2.9133 0.94 0.000383901 0.000350213 0.0168301 0.0153526 28 1672 18 6.64007e+06 226044 500653. 1732.36 0.85 0.0635507 0.0557477 21970 115934 -1 1535 21 718 1168 75546 17883 2.82396 2.82396 -94.3225 -2.82396 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.0176137 0.0155676 96 -1 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 4.50 vpr 64.99 MiB -1 -1 0.16 20512 1 0.03 -1 -1 33928 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 412 334 1 190 98 17 17 289 -1 unnamed_device 26.4 MiB 0.15 1105 16748 4968 9398 2382 65.0 MiB 0.14 0.00 3.56376 -119.795 -3.56376 3.56376 0.98 0.000571268 0.000518825 0.0449704 0.0408648 32 2545 21 6.64007e+06 426972 554710. 1919.41 1.02 0.119241 0.105211 22834 132086 -1 2199 22 1705 2531 190564 42792 3.74783 3.74783 -138.38 -3.74783 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0269783 0.0238399 145 87 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.37 vpr 64.53 MiB -1 -1 0.17 20548 1 0.03 -1 -1 33528 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 26.2 MiB 0.25 824 9706 2362 5671 1673 64.5 MiB 0.09 0.00 2.8021 -101.477 -2.8021 2.8021 0.93 0.000533314 0.000483668 0.0315629 0.0287959 32 1768 17 6.64007e+06 213486 554710. 1919.41 0.95 0.0946222 0.0833028 22834 132086 -1 1618 21 1351 2031 133556 30224 2.85677 2.85677 -120.021 -2.85677 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0248141 0.0219546 114 93 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.30 vpr 64.74 MiB -1 -1 0.15 20700 1 0.03 -1 -1 33716 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 360 293 1 179 96 17 17 289 -1 unnamed_device 26.2 MiB 0.13 1004 14550 3983 8870 1697 64.7 MiB 0.13 0.00 3.45707 -108.459 -3.45707 3.45707 0.94 0.000517969 0.000470878 0.0371967 0.0339467 32 2217 21 6.64007e+06 401856 554710. 1919.41 0.93 0.102843 0.0906547 22834 132086 -1 1811 16 866 1303 77073 18411 3.09343 3.09343 -111.369 -3.09343 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0211327 0.0188976 131 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 4.57 vpr 64.77 MiB -1 -1 0.14 20608 1 0.03 -1 -1 33804 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 396 299 1 236 91 17 17 289 -1 unnamed_device 26.5 MiB 0.31 1295 17839 6169 8853 2817 64.8 MiB 0.16 0.00 5.15449 -155.274 -5.15449 5.15449 0.91 0.000511908 0.000461835 0.0504611 0.0458219 32 3235 26 6.64007e+06 339066 554710. 1919.41 1.04 0.130715 0.115535 22834 132086 -1 2704 21 1968 2788 222083 49560 5.14774 5.14774 -171.414 -5.14774 0 0 701300. 2426.64 0.29 0.08 0.11 -1 -1 0.29 0.027133 0.0240735 170 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.16 vpr 64.23 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33524 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 30 32 224 207 1 137 80 17 17 289 -1 unnamed_device 25.8 MiB 0.17 602 9368 2178 6608 582 64.2 MiB 0.06 0.00 2.5747 -78.1428 -2.5747 2.5747 0.95 0.000362368 0.000330507 0.0212551 0.0193939 28 1556 21 6.64007e+06 226044 500653. 1732.36 0.95 0.0700966 0.0615969 21970 115934 -1 1282 17 751 943 69499 17017 2.40817 2.40817 -89.7622 -2.40817 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.014669 0.013018 87 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.08 vpr 64.10 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33996 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65640 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 25.6 MiB 0.11 610 7382 1813 5031 538 64.1 MiB 0.06 0.00 3.52781 -96.4169 -3.52781 3.52781 0.95 0.000455708 0.000414516 0.0209338 0.0190872 28 1663 19 6.64007e+06 200928 500653. 1732.36 0.91 0.077006 0.0674382 21970 115934 -1 1384 18 728 1074 69622 17765 3.29237 3.29237 -107.775 -3.29237 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.0185906 0.0165762 92 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.08 vpr 64.40 MiB -1 -1 0.14 20392 1 0.03 -1 -1 33616 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 25.9 MiB 0.08 741 10873 2389 7568 916 64.4 MiB 0.08 0.00 2.8981 -91.503 -2.8981 2.8981 0.92 0.00043478 0.000397278 0.0278067 0.0254297 32 2284 22 6.64007e+06 263718 554710. 1919.41 0.93 0.0851248 0.0750498 22834 132086 -1 1775 22 1367 2417 161621 38287 2.91017 2.91017 -108.948 -2.91017 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0223236 0.0197704 115 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.02 vpr 64.19 MiB -1 -1 0.15 20236 1 0.03 -1 -1 33592 -1 -1 27 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65732 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 25.8 MiB 0.05 556 11247 2989 6164 2094 64.2 MiB 0.07 0.00 2.7119 -63.6186 -2.7119 2.7119 0.95 0.000342232 0.000312116 0.0231577 0.0211093 26 1468 20 6.64007e+06 339066 477104. 1650.88 0.91 0.0687129 0.0602792 21682 110474 -1 1215 21 776 1300 91156 21503 2.77777 2.77777 -77.531 -2.77777 0 0 585099. 2024.56 0.27 0.04 0.10 -1 -1 0.27 0.016541 0.0145811 89 19 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.37 vpr 64.88 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33912 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 376 307 1 185 85 17 17 289 -1 unnamed_device 26.4 MiB 0.16 1072 15337 4750 8718 1869 64.9 MiB 0.14 0.00 3.61676 -114.072 -3.61676 3.61676 0.96 0.000547809 0.000485502 0.0468529 0.0424652 30 2407 18 6.64007e+06 263718 526063. 1820.29 0.93 0.111501 0.0984814 22546 126617 -1 2105 20 1107 1987 113424 25922 3.47022 3.47022 -128.943 -3.47022 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0244153 0.0216606 136 69 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.44 vpr 64.98 MiB -1 -1 0.18 20588 1 0.04 -1 -1 33872 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 31 32 409 331 1 191 98 17 17 289 -1 unnamed_device 26.4 MiB 0.18 995 17198 4860 9696 2642 65.0 MiB 0.15 0.00 3.48461 -115.73 -3.48461 3.48461 0.95 0.000570082 0.000518321 0.0465932 0.0424328 32 2320 17 6.64007e+06 439530 554710. 1919.41 0.98 0.11598 0.102656 22834 132086 -1 2068 19 1363 2140 144666 33127 3.44303 3.44303 -130.38 -3.44303 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0247196 0.0219481 143 86 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.66 vpr 64.82 MiB -1 -1 0.17 20908 1 0.03 -1 -1 34040 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 354 285 1 202 94 17 17 289 -1 unnamed_device 26.3 MiB 0.39 1082 17347 4948 10194 2205 64.8 MiB 0.15 0.00 4.10361 -121.4 -4.10361 4.10361 0.95 0.000515203 0.000467641 0.0453745 0.0412516 32 2497 23 6.65987e+06 380340 554710. 1919.41 0.98 0.114856 0.101593 22834 132086 -1 2140 25 1788 2723 175966 43783 4.22077 4.22077 -140.27 -4.22077 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0288472 0.0255062 152 47 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.34 vpr 64.46 MiB -1 -1 0.15 20712 1 0.03 -1 -1 33608 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 363 293 1 194 85 17 17 289 -1 unnamed_device 26.1 MiB 0.28 991 15523 5586 7790 2147 64.5 MiB 0.13 0.00 3.67516 -115.945 -3.67516 3.67516 0.89 0.000480952 0.000439414 0.044786 0.0408329 32 2444 23 6.65987e+06 291594 554710. 1919.41 0.95 0.112562 0.0997028 22834 132086 -1 2103 23 1775 2677 207960 46484 4.15863 4.15863 -138.726 -4.15863 0 0 701300. 2426.64 0.28 0.08 0.12 -1 -1 0.28 0.0267848 0.0237228 138 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.35 vpr 64.54 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33768 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 26.1 MiB 0.11 1069 9687 2852 6193 642 64.5 MiB 0.08 0.00 3.25104 -98.8467 -3.25104 3.25104 0.97 0.000427885 0.000390226 0.0247734 0.0226453 26 2480 20 6.65987e+06 291594 477104. 1650.88 1.13 0.0889777 0.0787486 21682 110474 -1 2284 19 1207 1670 121344 28933 3.62951 3.62951 -121.513 -3.62951 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.020548 0.0183032 126 26 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.22 vpr 64.08 MiB -1 -1 0.17 20196 1 0.03 -1 -1 33968 -1 -1 27 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65620 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 25.7 MiB 0.09 893 8278 2000 5724 554 64.1 MiB 0.07 0.00 3.3873 -93.5418 -3.3873 3.3873 0.92 0.00047763 0.000434184 0.0221133 0.020156 28 2101 24 6.65987e+06 342306 500653. 1732.36 1.00 0.0872825 0.0766169 21970 115934 -1 1901 28 1535 3012 240263 73368 3.69157 3.69157 -115.859 -3.69157 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.0278407 0.0245189 126 25 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.52 vpr 64.53 MiB -1 -1 0.15 20328 1 0.03 -1 -1 33716 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 26.0 MiB 0.11 1033 14679 4625 8400 1654 64.5 MiB 0.13 0.00 3.36095 -103.8 -3.36095 3.36095 0.92 0.00047934 0.000436742 0.0400422 0.0364503 32 2758 39 6.65987e+06 291594 554710. 1919.41 1.19 0.122646 0.107931 22834 132086 -1 2289 22 1637 3117 260871 60492 3.33385 3.33385 -125.572 -3.33385 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0270789 0.0240777 130 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.40 vpr 64.50 MiB -1 -1 0.16 20588 1 0.03 -1 -1 33572 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 32 32 366 295 1 189 97 17 17 289 -1 unnamed_device 26.0 MiB 0.16 919 17413 5357 9150 2906 64.5 MiB 0.14 0.00 2.58864 -91.1676 -2.58864 2.58864 0.94 0.000522144 0.000473578 0.0442503 0.0401894 32 2503 18 6.65987e+06 418374 554710. 1919.41 0.98 0.110953 0.09799 22834 132086 -1 2000 20 1341 2148 140478 33987 2.97811 2.97811 -110.611 -2.97811 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0250638 0.0222705 141 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 3.99 vpr 64.12 MiB -1 -1 0.13 20120 1 0.03 -1 -1 34192 -1 -1 18 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65656 27 32 259 221 1 130 77 17 17 289 -1 unnamed_device 25.7 MiB 0.17 644 11161 3052 7265 844 64.1 MiB 0.08 0.00 3.02895 -81.0603 -3.02895 3.02895 0.91 0.000359526 0.000325413 0.0275355 0.0250267 30 1460 18 6.65987e+06 228204 526063. 1820.29 0.84 0.0745232 0.0654327 22546 126617 -1 1196 17 609 1030 52113 12846 2.59551 2.59551 -89.2382 -2.59551 0 0 666494. 2306.21 0.28 0.03 0.11 -1 -1 0.28 0.0160934 0.0143451 94 26 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.11 vpr 64.53 MiB -1 -1 0.15 20116 1 0.03 -1 -1 34052 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 26.1 MiB 0.05 870 11809 2706 8564 539 64.5 MiB 0.09 0.00 2.49244 -77.2921 -2.49244 2.49244 0.94 0.000435307 0.00039745 0.026006 0.0236707 30 2028 17 6.65987e+06 393018 526063. 1820.29 0.93 0.0792759 0.0698657 22546 126617 -1 1695 15 701 1200 76567 17585 2.39611 2.39611 -90.5274 -2.39611 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0168419 0.0151462 115 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.31 vpr 64.52 MiB -1 -1 0.17 20576 1 0.03 -1 -1 33872 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 31 32 317 271 1 168 82 17 17 289 -1 unnamed_device 26.1 MiB 0.17 947 14500 4345 8336 1819 64.5 MiB 0.11 0.00 2.68253 -95.8496 -2.68253 2.68253 0.93 0.000459036 0.000417757 0.0396946 0.0361937 32 2174 26 6.65987e+06 240882 554710. 1919.41 0.94 0.102018 0.0900679 22834 132086 -1 1844 20 1225 1814 125579 28653 3.09771 3.09771 -121.689 -3.09771 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0223041 0.0198023 111 60 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.35 vpr 64.20 MiB -1 -1 0.15 20136 1 0.03 -1 -1 33636 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65736 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.6 MiB 0.26 930 14431 4375 7912 2144 64.2 MiB 0.11 0.00 2.86455 -99.7586 -2.86455 2.86455 0.90 0.000426023 0.000389504 0.0382301 0.0349134 32 1946 19 6.65987e+06 215526 554710. 1919.41 0.91 0.0922618 0.0816977 22834 132086 -1 1763 21 1093 1756 125892 29398 2.70731 2.70731 -108.205 -2.70731 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0213982 0.0190394 113 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.32 vpr 63.94 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33852 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65472 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 25.4 MiB 0.36 715 4304 940 3213 151 63.9 MiB 0.04 0.00 3.13415 -87.8029 -3.13415 3.13415 0.94 0.00045064 0.00041087 0.0137011 0.0125365 28 1618 21 6.65987e+06 215526 500653. 1732.36 0.91 0.0716409 0.0625567 21970 115934 -1 1410 14 646 989 65070 15862 2.80291 2.80291 -98.7068 -2.80291 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0160211 0.0143656 98 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.32 vpr 64.38 MiB -1 -1 0.15 20536 1 0.03 -1 -1 33732 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 32 32 276 237 1 166 81 17 17 289 -1 unnamed_device 26.0 MiB 0.26 1005 8131 2008 5241 882 64.4 MiB 0.07 0.00 2.91589 -97.8016 -2.91589 2.91589 0.94 0.000426234 0.000387861 0.0224884 0.020554 32 2129 23 6.65987e+06 215526 554710. 1919.41 0.94 0.079018 0.06939 22834 132086 -1 1837 20 1016 1363 97086 22484 2.72385 2.72385 -108.178 -2.72385 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0193916 0.0171728 106 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.46 vpr 64.64 MiB -1 -1 0.17 20440 1 0.03 -1 -1 33740 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 26.3 MiB 0.23 1087 16468 5411 8415 2642 64.6 MiB 0.15 0.00 3.37501 -113.673 -3.37501 3.37501 0.94 0.00049588 0.000450918 0.0456554 0.0416192 30 2450 17 6.65987e+06 304272 526063. 1820.29 1.01 0.110801 0.0985065 22546 126617 -1 2094 20 1279 1903 113485 26060 3.13051 3.13051 -120.427 -3.13051 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0232462 0.0206385 139 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.43 vpr 64.77 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33996 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 363 295 1 181 94 17 17 289 -1 unnamed_device 26.2 MiB 0.20 986 17134 5267 9560 2307 64.8 MiB 0.14 0.00 3.76229 -109.603 -3.76229 3.76229 0.95 0.000534578 0.000485364 0.0446356 0.0406598 32 2329 24 6.65987e+06 380340 554710. 1919.41 0.98 0.113503 0.100269 22834 132086 -1 1971 19 1450 2287 164931 37989 3.78251 3.78251 -127.061 -3.78251 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0231237 0.0205181 133 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.09 vpr 64.00 MiB -1 -1 0.15 20288 1 0.03 -1 -1 33708 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65540 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 25.6 MiB 0.14 698 9872 2621 6533 718 64.0 MiB 0.07 0.00 2.56293 -74.8303 -2.56293 2.56293 0.94 0.000408946 0.000373027 0.0238867 0.0218218 30 1649 20 6.65987e+06 266238 526063. 1820.29 0.89 0.073218 0.0645062 22546 126617 -1 1435 16 719 1151 63206 15652 2.53151 2.53151 -86.9201 -2.53151 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.015417 0.0137943 98 21 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.44 vpr 64.67 MiB -1 -1 0.16 20772 1 0.03 -1 -1 33888 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 32 32 370 297 1 183 85 17 17 289 -1 unnamed_device 26.2 MiB 0.40 1096 12361 3666 7320 1375 64.7 MiB 0.11 0.00 3.1755 -102.099 -3.1755 3.1755 0.90 0.000481735 0.000440371 0.0364183 0.0332877 32 2617 23 6.65987e+06 266238 554710. 1919.41 0.95 0.106077 0.0937424 22834 132086 -1 2323 22 1528 2740 215247 48326 3.13537 3.13537 -122.259 -3.13537 0 0 701300. 2426.64 0.29 0.08 0.11 -1 -1 0.29 0.0269411 0.0239323 132 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 4.40 vpr 64.39 MiB -1 -1 0.14 20708 1 0.03 -1 -1 34048 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 338 269 1 196 85 17 17 289 -1 unnamed_device 25.8 MiB 0.23 1132 11059 2708 6964 1387 64.4 MiB 0.11 0.00 3.69641 -122.527 -3.69641 3.69641 0.92 0.00052072 0.000466677 0.0327203 0.0298691 32 2558 21 6.65987e+06 266238 554710. 1919.41 0.97 0.0993016 0.0877489 22834 132086 -1 2331 21 1457 1999 161310 37351 3.35197 3.35197 -128.82 -3.35197 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.02567 0.0228678 137 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.32 vpr 64.34 MiB -1 -1 0.15 20292 1 0.03 -1 -1 33584 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 26.0 MiB 0.22 885 11433 3022 7683 728 64.3 MiB 0.09 0.00 2.30864 -87.3984 -2.30864 2.30864 0.93 0.000448899 0.00040752 0.0285026 0.0259465 32 1943 22 6.65987e+06 367662 554710. 1919.41 0.94 0.0896898 0.0787646 22834 132086 -1 1747 18 953 1468 102647 24244 2.15051 2.15051 -99.0054 -2.15051 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0202485 0.0179981 110 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.97 vpr 63.94 MiB -1 -1 0.14 19840 1 0.03 -1 -1 33764 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65476 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 25.4 MiB 0.13 657 12465 3732 7266 1467 63.9 MiB 0.08 0.00 1.87027 -66.1942 -1.87027 1.87027 0.93 0.000363347 0.000331607 0.0289885 0.0264973 26 1441 19 6.65987e+06 190170 477104. 1650.88 0.79 0.0732062 0.0645249 21682 110474 -1 1354 16 611 858 65640 15679 1.83285 1.83285 -78.8705 -1.83285 0 0 585099. 2024.56 0.25 0.04 0.11 -1 -1 0.25 0.0141927 0.0125868 81 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.25 vpr 64.38 MiB -1 -1 0.14 20484 1 0.03 -1 -1 33944 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 31 32 291 243 1 171 82 17 17 289 -1 unnamed_device 26.0 MiB 0.34 832 7736 1853 5457 426 64.4 MiB 0.07 0.00 3.73355 -112.498 -3.73355 3.73355 0.92 0.000419528 0.000377191 0.0207448 0.0188782 32 2122 20 6.65987e+06 240882 554710. 1919.41 0.88 0.0731231 0.0640029 22834 132086 -1 1767 19 1039 1504 111432 26880 3.56017 3.56017 -127.621 -3.56017 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0190543 0.0169107 127 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.29 vpr 64.61 MiB -1 -1 0.16 20092 1 0.03 -1 -1 33940 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 26.0 MiB 0.06 1026 14351 3572 8513 2266 64.6 MiB 0.11 0.00 3.38856 -108.872 -3.38856 3.38856 0.95 0.000505548 0.000458312 0.0363655 0.0331661 32 2300 50 6.65987e+06 393018 554710. 1919.41 1.09 0.126277 0.110994 22834 132086 -1 2070 20 1311 1981 140162 33324 3.46643 3.46643 -126.077 -3.46643 0 0 701300. 2426.64 0.29 0.06 0.07 -1 -1 0.29 0.0241567 0.0214989 135 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.69 vpr 64.86 MiB -1 -1 0.17 20480 1 0.03 -1 -1 33804 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 372 300 1 204 87 17 17 289 -1 unnamed_device 26.3 MiB 0.25 1213 10071 2587 6696 788 64.9 MiB 0.10 0.00 3.36484 -110.526 -3.36484 3.36484 0.94 0.000542008 0.000494716 0.0311251 0.0284202 30 2569 19 6.65987e+06 291594 526063. 1820.29 1.22 0.102914 0.0911783 22546 126617 -1 2118 20 1205 1987 115763 26098 3.20411 3.20411 -119.464 -3.20411 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0251505 0.0223342 142 59 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.26 vpr 63.72 MiB -1 -1 0.13 20012 1 0.03 -1 -1 34140 -1 -1 18 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65252 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 25.3 MiB 0.28 367 10956 3949 4559 2448 63.7 MiB 0.06 0.00 1.89953 -52.3182 -1.89953 1.89953 0.91 0.000295676 0.000268848 0.0222832 0.0202766 28 1154 25 6.65987e+06 228204 500653. 1732.36 1.01 0.0681052 0.059924 21970 115934 -1 986 20 647 902 74712 21727 2.02411 2.02411 -68.1052 -2.02411 0 0 612192. 2118.31 0.28 0.04 0.11 -1 -1 0.28 0.0141793 0.0125285 77 21 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.13 vpr 64.31 MiB -1 -1 0.13 20408 1 0.03 -1 -1 33832 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 25.9 MiB 0.10 929 5665 1132 4314 219 64.3 MiB 0.05 0.00 3.9748 -101.056 -3.9748 3.9748 0.90 0.000372729 0.000340239 0.0149717 0.0137113 28 2308 25 6.65987e+06 266238 500653. 1732.36 1.11 0.084581 0.0747593 21970 115934 -1 1997 21 978 1818 126245 29611 3.74256 3.74256 -117.061 -3.74256 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0213276 0.0190088 118 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.05 vpr 63.75 MiB -1 -1 0.12 19984 1 0.03 -1 -1 33468 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65280 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 25.3 MiB 0.03 445 10038 3155 4475 2408 63.8 MiB 0.05 0.00 1.96647 -57.992 -1.96647 1.96647 0.95 0.000279802 0.000253753 0.0197132 0.0179678 28 1372 39 6.65987e+06 177492 500653. 1732.36 0.98 0.0687207 0.0603129 21970 115934 -1 1046 13 531 594 65791 18934 1.94431 1.94431 -71.9175 -1.94431 0 0 612192. 2118.31 0.28 0.03 0.11 -1 -1 0.28 0.0107858 0.00967614 79 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.36 vpr 64.41 MiB -1 -1 0.15 20380 1 0.03 -1 -1 34024 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65960 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 26.0 MiB 0.10 952 15217 4231 8557 2429 64.4 MiB 0.12 0.00 3.42395 -99.6489 -3.42395 3.42395 0.95 0.000456153 0.000416571 0.0357519 0.0326393 32 2057 23 6.65987e+06 380340 554710. 1919.41 0.95 0.0970718 0.0858967 22834 132086 -1 1845 21 1129 1777 127226 29850 3.28665 3.28665 -110.197 -3.28665 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0216195 0.0191242 123 21 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.23 vpr 64.31 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33972 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.9 MiB 0.10 958 7007 1494 4955 558 64.3 MiB 0.07 0.00 3.02324 -88.0996 -3.02324 3.02324 0.95 0.000452974 0.000413294 0.0170439 0.0155431 32 2305 24 6.65987e+06 393018 554710. 1919.41 0.95 0.077965 0.0683549 22834 132086 -1 1995 20 1205 2109 142255 33292 2.82597 2.82597 -104.202 -2.82597 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.021428 0.0190304 128 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.32 vpr 64.59 MiB -1 -1 0.17 20180 1 0.03 -1 -1 33976 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 338 277 1 179 90 17 17 289 -1 unnamed_device 26.1 MiB 0.12 1088 16371 5050 9180 2141 64.6 MiB 0.14 0.00 3.32969 -104.657 -3.32969 3.32969 0.95 0.000505414 0.000461088 0.0433339 0.0394649 30 2264 22 6.65987e+06 329628 526063. 1820.29 0.96 0.108071 0.0955544 22546 126617 -1 1975 20 1173 2077 116844 27378 3.28465 3.28465 -117.946 -3.28465 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0230795 0.0205074 125 47 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.06 vpr 64.32 MiB -1 -1 0.15 20336 1 0.03 -1 -1 33708 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65860 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 25.7 MiB 0.05 780 7132 1689 4801 642 64.3 MiB 0.06 0.00 2.29953 -80.5951 -2.29953 2.29953 0.94 0.000425908 0.000387963 0.0200938 0.0183809 28 1888 23 6.65987e+06 202848 500653. 1732.36 0.96 0.0792776 0.0695635 21970 115934 -1 1757 17 967 1474 112737 26088 2.79185 2.79185 -103.87 -2.79185 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0184442 0.0165095 101 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.01 vpr 64.20 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33496 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 25.7 MiB 0.09 677 6781 1373 4947 461 64.2 MiB 0.05 0.00 2.39767 -75.3822 -2.39767 2.39767 0.93 0.000397726 0.000362924 0.0165157 0.0151031 26 1775 25 6.65987e+06 291594 477104. 1650.88 0.91 0.0736173 0.0644979 21682 110474 -1 1501 19 949 1491 104178 25148 2.63945 2.63945 -93.4285 -2.63945 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0182477 0.0162219 97 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 6.19 vpr 63.99 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33716 -1 -1 23 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65528 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 25.5 MiB 0.05 610 15023 6381 7775 867 64.0 MiB 0.10 0.00 2.58899 -72.1138 -2.58899 2.58899 0.91 0.00038067 0.000344737 0.0339003 0.030738 34 1712 24 6.65987e+06 291594 585099. 2024.56 3.03 0.14175 0.123204 23122 138558 -1 1296 23 964 1640 111241 28067 2.64271 2.64271 -85.5581 -2.64271 0 0 742403. 2568.87 0.30 0.05 0.12 -1 -1 0.30 0.0199189 0.0175676 98 27 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.06 vpr 64.37 MiB -1 -1 0.14 20136 1 0.03 -1 -1 33788 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 25.8 MiB 0.10 842 4763 892 3641 230 64.4 MiB 0.05 0.00 2.97595 -94.2544 -2.97595 2.97595 0.95 0.000412141 0.000377838 0.0130203 0.0119489 30 1799 22 6.65987e+06 240882 526063. 1820.29 0.90 0.0657516 0.0576213 22546 126617 -1 1644 18 941 1590 90315 21554 2.60445 2.60445 -103.129 -2.60445 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0180133 0.0159394 110 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 5.94 vpr 64.23 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33716 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 25.7 MiB 0.10 756 7326 1472 5645 209 64.2 MiB 0.06 0.00 2.64264 -79.6038 -2.64264 2.64264 0.95 0.000387966 0.000354831 0.017679 0.0161415 28 1814 23 6.65987e+06 342306 500653. 1732.36 2.78 0.132388 0.114478 21970 115934 -1 1589 22 1074 1825 120107 29407 2.53031 2.53031 -95.3791 -2.53031 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0200295 0.0177006 103 26 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.20 vpr 64.33 MiB -1 -1 0.14 20268 1 0.03 -1 -1 33568 -1 -1 25 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 29 32 291 250 1 153 86 17 17 289 -1 unnamed_device 25.7 MiB 0.22 845 8591 2206 5604 781 64.3 MiB 0.07 0.00 2.43438 -80.6856 -2.43438 2.43438 0.90 0.000423763 0.000387165 0.021457 0.0196102 30 1813 20 6.65987e+06 316950 526063. 1820.29 0.90 0.0758604 0.0666436 22546 126617 -1 1591 16 818 1278 69345 16927 2.03945 2.03945 -87.1229 -2.03945 0 0 666494. 2306.21 0.28 0.04 0.11 -1 -1 0.28 0.0170184 0.0151889 105 48 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.45 vpr 65.00 MiB -1 -1 0.17 20676 1 0.03 -1 -1 33568 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 367 282 1 201 101 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1195 11851 2786 7710 1355 65.0 MiB 0.10 0.00 3.40636 -102.404 -3.40636 3.40636 0.94 0.000544002 0.000493696 0.0298443 0.0271585 32 2753 19 6.65987e+06 469086 554710. 1919.41 0.96 0.0974798 0.0858617 22834 132086 -1 2355 20 1401 2338 158424 36552 3.72063 3.72063 -125.194 -3.72063 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0255826 0.022828 150 26 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.63 vpr 64.91 MiB -1 -1 0.17 20532 1 0.03 -1 -1 33896 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 391 311 1 192 100 17 17 289 -1 unnamed_device 26.5 MiB 0.28 994 9380 1964 6772 644 64.9 MiB 0.10 0.00 3.23435 -108.791 -3.23435 3.23435 0.97 0.000562035 0.00051058 0.025568 0.0233225 28 2319 25 6.65987e+06 456408 500653. 1732.36 1.13 0.104543 0.0918597 21970 115934 -1 2109 18 1691 2670 165526 40765 2.84977 2.84977 -117.024 -2.84977 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0241024 0.0215028 146 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 5.93 vpr 64.31 MiB -1 -1 0.15 20368 1 0.03 -1 -1 33952 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 31 32 279 237 1 161 80 17 17 289 -1 unnamed_device 26.0 MiB 0.26 839 10400 2738 6807 855 64.3 MiB 0.08 0.00 3.11855 -95.6325 -3.11855 3.11855 0.91 0.000404077 0.000360375 0.026496 0.0240913 28 2006 19 6.65987e+06 215526 500653. 1732.36 2.66 0.134844 0.117145 21970 115934 -1 1760 20 1123 1585 103200 25876 3.20371 3.20371 -114.451 -3.20371 0 0 612192. 2118.31 0.27 0.05 0.10 -1 -1 0.27 0.0188823 0.0167334 109 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.47 vpr 64.55 MiB -1 -1 0.16 20748 1 0.03 -1 -1 33908 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 370 297 1 186 87 17 17 289 -1 unnamed_device 26.0 MiB 0.27 944 13335 3182 8688 1465 64.5 MiB 0.12 0.00 3.29135 -102.08 -3.29135 3.29135 0.97 0.000627452 0.000578395 0.0398324 0.0362878 28 2341 23 6.65987e+06 304272 500653. 1732.36 0.95 0.110626 0.0977307 21970 115934 -1 1929 17 1165 1998 120576 30886 2.99297 2.99297 -115.792 -2.99297 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0225702 0.0202157 137 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.78 vpr 64.37 MiB -1 -1 0.18 20612 1 0.03 -1 -1 33820 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 31 32 377 302 1 233 90 17 17 289 -1 unnamed_device 26.4 MiB 0.36 1278 15366 4289 8800 2277 64.4 MiB 0.16 0.00 4.69513 -143.575 -4.69513 4.69513 0.94 0.000537027 0.000483401 0.0435568 0.0397172 32 3144 34 6.65987e+06 342306 554710. 1919.41 1.13 0.127325 0.112423 22834 132086 -1 2547 20 2121 3150 221640 52251 5.14489 5.14489 -173.452 -5.14489 0 0 701300. 2426.64 0.29 0.08 0.13 -1 -1 0.29 0.0258137 0.023048 170 60 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 5.74 vpr 64.82 MiB -1 -1 0.18 20684 1 0.03 -1 -1 33764 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 31 32 383 305 1 210 88 17 17 289 -1 unnamed_device 26.2 MiB 1.37 979 10228 2485 6782 961 64.8 MiB 0.10 0.00 3.8685 -115.087 -3.8685 3.8685 0.96 0.000555267 0.000505776 0.0316147 0.0289005 30 2265 26 6.65987e+06 316950 526063. 1820.29 1.13 0.113756 0.100856 22546 126617 -1 1868 20 1176 1849 97531 23388 3.82617 3.82617 -131.865 -3.82617 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0257173 0.0229971 162 60 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.46 vpr 64.61 MiB -1 -1 0.16 20520 1 0.03 -1 -1 34064 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 31 32 352 285 1 184 92 17 17 289 -1 unnamed_device 26.1 MiB 0.23 840 9821 2395 6460 966 64.6 MiB 0.09 0.00 3.49215 -102.722 -3.49215 3.49215 0.93 0.000511701 0.000465409 0.0268559 0.0245114 32 2431 31 6.65987e+06 367662 554710. 1919.41 1.03 0.102305 0.0898649 22834 132086 -1 1911 21 1241 2075 166440 42461 2.97631 2.97631 -111.568 -2.97631 0 0 701300. 2426.64 0.31 0.07 0.12 -1 -1 0.31 0.0252642 0.0225073 133 51 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.57 vpr 64.42 MiB -1 -1 0.15 20440 1 0.03 -1 -1 34068 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 32 32 291 242 1 179 86 17 17 289 -1 unnamed_device 26.0 MiB 0.17 1063 12749 3886 7815 1048 64.4 MiB 0.10 0.00 3.22104 -94.7966 -3.22104 3.22104 0.95 0.000442884 0.000404384 0.0322319 0.0294264 26 2675 43 6.65987e+06 278916 477104. 1650.88 1.26 0.12042 0.106959 21682 110474 -1 2336 23 1519 2320 206531 47583 3.71865 3.71865 -120.121 -3.71865 0 0 585099. 2024.56 0.26 0.08 0.10 -1 -1 0.26 0.0239794 0.0212741 118 24 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.49 vpr 64.95 MiB -1 -1 0.18 20872 1 0.03 -1 -1 33964 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 457 356 1 223 102 17 17 289 -1 unnamed_device 26.8 MiB 0.30 1158 10098 2280 7234 584 64.9 MiB 0.11 0.00 4.06967 -130.913 -4.06967 4.06967 0.92 0.000603353 0.000547585 0.029257 0.0266566 30 2693 19 6.65987e+06 481764 526063. 1820.29 0.97 0.106927 0.0941019 22546 126617 -1 2301 18 1233 2036 112610 26634 4.12657 4.12657 -147.574 -4.12657 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0287344 0.0258285 172 84 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.00 vpr 64.13 MiB -1 -1 0.14 20288 1 0.03 -1 -1 33704 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65672 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 25.6 MiB 0.12 657 7404 1565 5181 658 64.1 MiB 0.06 0.00 2.74078 -77.1956 -2.74078 2.74078 0.90 0.000405556 0.000368464 0.0198922 0.0180369 32 1758 22 6.65987e+06 266238 554710. 1919.41 0.89 0.0719665 0.0629502 22834 132086 -1 1601 22 1157 1905 135870 35014 2.79785 2.79785 -100.617 -2.79785 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0195724 0.017311 101 24 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 7.85 vpr 64.85 MiB -1 -1 0.16 20668 1 0.03 -1 -1 33784 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 31 32 337 267 1 205 86 17 17 289 -1 unnamed_device 26.3 MiB 0.24 1194 8213 1995 5407 811 64.9 MiB 0.08 0.00 4.03882 -126.169 -4.03882 4.03882 0.93 0.000509145 0.000464745 0.0242447 0.0221493 28 2885 20 6.65987e+06 291594 500653. 1732.36 4.46 0.19914 0.173497 21970 115934 -1 2513 22 1529 2215 161554 36715 4.00448 4.00448 -139.936 -4.00448 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0252442 0.022473 142 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 6.68 vpr 64.39 MiB -1 -1 0.16 20472 1 0.03 -1 -1 33780 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 25.8 MiB 0.15 1105 10975 2907 7171 897 64.4 MiB 0.10 0.00 3.1757 -99.4614 -3.1757 3.1757 0.96 0.000500207 0.000454407 0.0275933 0.0251202 26 2882 30 6.65987e+06 418374 477104. 1650.88 3.34 0.175696 0.153478 21682 110474 -1 2332 19 1166 2063 151132 34234 3.21931 3.21931 -119.38 -3.21931 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0233538 0.0208302 131 50 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.35 vpr 64.40 MiB -1 -1 0.14 20196 1 0.03 -1 -1 33552 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65944 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 25.9 MiB 0.10 935 12568 4209 6533 1826 64.4 MiB 0.11 0.00 3.27104 -101.948 -3.27104 3.27104 0.96 0.000483362 0.000441413 0.0320859 0.0293007 28 2380 22 6.65987e+06 304272 500653. 1732.36 1.05 0.0961024 0.0851895 21970 115934 -1 2035 22 1499 2824 192795 44421 3.62125 3.62125 -122.109 -3.62125 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0228376 0.0202907 123 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.08 vpr 64.71 MiB -1 -1 0.17 20632 1 0.03 -1 -1 33948 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 353 287 1 198 86 17 17 289 -1 unnamed_device 26.4 MiB 0.37 1116 7268 1692 5167 409 64.7 MiB 0.08 0.00 3.4346 -106.79 -3.4346 3.4346 0.94 0.000517368 0.000473779 0.0225166 0.0205776 26 2889 33 6.65987e+06 278916 477104. 1650.88 1.57 0.106515 0.093671 21682 110474 -1 2359 24 1293 1862 207774 61931 3.27491 3.27491 -122.259 -3.27491 0 0 585099. 2024.56 0.26 0.09 0.10 -1 -1 0.26 0.0289008 0.0257373 136 52 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.40 vpr 64.62 MiB -1 -1 0.15 20444 1 0.03 -1 -1 33848 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 361 291 1 185 95 17 17 289 -1 unnamed_device 26.1 MiB 0.37 979 18023 5753 9211 3059 64.6 MiB 0.14 0.00 2.9071 -96.889 -2.9071 2.9071 0.92 0.000499324 0.000454125 0.0425529 0.0385303 30 2200 15 6.65987e+06 393018 526063. 1820.29 0.91 0.101834 0.0897752 22546 126617 -1 1896 16 953 1630 96428 22151 2.75951 2.75951 -109.697 -2.75951 0 0 666494. 2306.21 0.28 0.05 0.11 -1 -1 0.28 0.0202317 0.0180418 132 52 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.59 vpr 64.77 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33716 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 382 305 1 192 100 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1074 17500 4856 10083 2561 64.8 MiB 0.15 0.00 3.47495 -108.457 -3.47495 3.47495 0.94 0.000561224 0.000512648 0.0442097 0.0402914 28 2706 21 6.65987e+06 456408 500653. 1732.36 0.98 0.115763 0.102498 21970 115934 -1 2340 20 1358 2079 161170 35545 3.30071 3.30071 -125.12 -3.30071 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0259193 0.0230627 144 59 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.59 vpr 64.51 MiB -1 -1 0.15 20192 1 0.03 -1 -1 33812 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 26.1 MiB 0.10 837 13113 3767 8202 1144 64.5 MiB 0.11 0.00 3.17284 -95.5583 -3.17284 3.17284 0.94 0.000457997 0.000417195 0.0312005 0.0284387 26 2199 26 6.65987e+06 367662 477104. 1650.88 1.34 0.099493 0.0877397 21682 110474 -1 1881 18 1201 1979 126397 31562 3.31905 3.31905 -113.899 -3.31905 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0202436 0.0180516 122 21 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.20 vpr 64.77 MiB -1 -1 0.16 20464 1 0.03 -1 -1 33716 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 319 257 1 198 87 17 17 289 -1 unnamed_device 26.1 MiB 0.12 1082 7575 1544 5531 500 64.8 MiB 0.08 0.00 3.83975 -114.873 -3.83975 3.83975 0.93 0.000507082 0.00046293 0.0216547 0.0198004 30 2403 22 6.65987e+06 291594 526063. 1820.29 0.96 0.0874331 0.0769656 22546 126617 -1 2036 18 1163 1700 95574 22681 3.45505 3.45505 -122.956 -3.45505 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0206769 0.0184053 133 26 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.31 vpr 65.01 MiB -1 -1 0.17 20544 1 0.03 -1 -1 34096 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 31 32 373 299 1 202 86 17 17 289 -1 unnamed_device 26.5 MiB 0.29 1011 10859 3024 7192 643 65.0 MiB 0.11 0.00 3.91127 -116.488 -3.91127 3.91127 0.97 0.000555188 0.000497047 0.0345358 0.031299 30 2667 30 6.65987e+06 291594 526063. 1820.29 1.79 0.121672 0.107601 22546 126617 -1 2076 25 1435 2395 130264 32555 3.98043 3.98043 -130.373 -3.98043 0 0 666494. 2306.21 0.27 0.07 0.12 -1 -1 0.27 0.0298231 0.0264025 146 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.47 vpr 64.54 MiB -1 -1 0.16 20616 1 0.03 -1 -1 33992 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66084 32 32 387 315 1 189 85 17 17 289 -1 unnamed_device 25.9 MiB 0.18 997 14407 4900 6840 2667 64.5 MiB 0.13 0.00 3.25298 -104.391 -3.25298 3.25298 0.96 0.000478399 0.000434607 0.044965 0.0410048 32 2790 23 6.65987e+06 266238 554710. 1919.41 1.02 0.11996 0.106141 22834 132086 -1 2291 23 1669 2893 218043 49735 3.45919 3.45919 -124.054 -3.45919 0 0 701300. 2426.64 0.31 0.08 0.12 -1 -1 0.31 0.028945 0.0256146 135 74 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.06 vpr 64.25 MiB -1 -1 0.13 20292 1 0.03 -1 -1 33772 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65792 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 25.7 MiB 0.09 768 15688 4431 9441 1816 64.2 MiB 0.10 0.00 2.59064 -80.8644 -2.59064 2.59064 0.91 0.000377755 0.000343968 0.0331297 0.0302952 26 1818 33 6.65987e+06 304272 477104. 1650.88 1.01 0.0980777 0.0868009 21682 110474 -1 1643 20 784 1234 96298 24028 2.65551 2.65551 -94.985 -2.65551 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0182893 0.0162279 97 20 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.38 vpr 64.45 MiB -1 -1 0.15 20440 1 0.03 -1 -1 33740 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 32 32 341 285 1 187 84 17 17 289 -1 unnamed_device 26.0 MiB 0.16 893 10881 3119 6879 883 64.5 MiB 0.10 0.00 3.1319 -108.409 -3.1319 3.1319 0.94 0.00050555 0.00045867 0.0316925 0.0287722 32 2445 25 6.65987e+06 253560 554710. 1919.41 1.00 0.0992626 0.0871717 22834 132086 -1 2109 22 1631 2322 181640 42344 3.05837 3.05837 -123.946 -3.05837 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0250638 0.0222055 125 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.59 vpr 64.60 MiB -1 -1 0.16 20480 1 0.03 -1 -1 34080 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 387 293 1 234 92 17 17 289 -1 unnamed_device 26.6 MiB 0.18 1365 17894 5620 9896 2378 64.6 MiB 0.17 0.00 4.23387 -130.947 -4.23387 4.23387 0.98 0.000566669 0.000515109 0.0521362 0.0475379 30 2828 21 6.65987e+06 354984 526063. 1820.29 1.02 0.127943 0.11361 22546 126617 -1 2266 22 1407 2297 119997 29417 4.49337 4.49337 -149.347 -4.49337 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0277752 0.0246098 168 28 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.48 vpr 64.46 MiB -1 -1 0.16 20160 1 0.03 -1 -1 33636 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 32 32 340 270 1 181 95 17 17 289 -1 unnamed_device 25.9 MiB 0.26 950 9815 2017 7316 482 64.5 MiB 0.09 0.00 3.56446 -108.073 -3.56446 3.56446 0.98 0.000510944 0.000467707 0.0256401 0.0234545 32 2179 19 6.65987e+06 393018 554710. 1919.41 0.95 0.0893721 0.0787258 22834 132086 -1 1901 18 1130 1822 127002 30936 3.12451 3.12451 -117.777 -3.12451 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.022332 0.0199777 133 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.17 vpr 64.25 MiB -1 -1 0.14 20592 1 0.03 -1 -1 33548 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 25.7 MiB 0.05 797 16468 5182 9134 2152 64.3 MiB 0.11 0.00 2.54444 -82.4839 -2.54444 2.54444 0.93 0.000388082 0.000350982 0.0368352 0.0334097 28 1946 23 6.65987e+06 329628 500653. 1732.36 1.05 0.0965491 0.0853818 21970 115934 -1 1729 22 1207 1995 148673 33989 2.77871 2.77871 -100.946 -2.77871 0 0 612192. 2118.31 0.27 0.06 0.10 -1 -1 0.27 0.0200556 0.0177011 104 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.81 vpr 64.93 MiB -1 -1 0.17 20732 1 0.03 -1 -1 33676 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 431 332 1 235 89 17 17 289 -1 unnamed_device 26.8 MiB 0.36 1389 13355 3617 7994 1744 64.9 MiB 0.14 0.00 5.14349 -155.118 -5.14349 5.14349 0.94 0.0006577 0.000600962 0.0434142 0.0395196 28 3339 22 6.65987e+06 316950 500653. 1732.36 1.18 0.130097 0.115349 21970 115934 -1 2809 22 1913 2774 199125 44985 5.39214 5.39214 -172.722 -5.39214 0 0 612192. 2118.31 0.26 0.08 0.11 -1 -1 0.26 0.029735 0.0264447 168 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.45 vpr 64.61 MiB -1 -1 0.16 20276 1 0.03 -1 -1 33540 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 336 268 1 174 96 17 17 289 -1 unnamed_device 26.1 MiB 0.29 868 12579 3577 7733 1269 64.6 MiB 0.10 0.00 3.54535 -106.254 -3.54535 3.54535 0.93 0.000498398 0.000454871 0.0310812 0.0283726 32 2162 21 6.65987e+06 405696 554710. 1919.41 0.94 0.0946215 0.0834925 22834 132086 -1 1875 21 1346 1958 126475 30762 3.45705 3.45705 -119.955 -3.45705 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.023738 0.0211169 130 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.23 vpr 64.11 MiB -1 -1 0.15 20220 1 0.03 -1 -1 33720 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65644 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 25.7 MiB 0.05 758 11991 4460 6230 1301 64.1 MiB 0.08 0.00 2.48032 -74.2415 -2.48032 2.48032 0.94 0.000364643 0.00032886 0.0254374 0.0231704 28 1937 21 6.65987e+06 291594 500653. 1732.36 1.10 0.0794012 0.070111 21970 115934 -1 1711 19 952 1603 127811 29712 2.93479 2.93479 -97.5474 -2.93479 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0168571 0.014932 100 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.43 vpr 64.70 MiB -1 -1 0.16 20948 1 0.03 -1 -1 33792 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 26.4 MiB 0.13 991 12698 3408 8389 901 64.7 MiB 0.11 0.00 4.55326 -107.965 -4.55326 4.55326 0.94 0.000527982 0.00047501 0.0321477 0.0293049 32 2689 23 6.65987e+06 431052 554710. 1919.41 1.06 0.104281 0.0921313 22834 132086 -1 2047 22 1423 2650 176317 42540 4.40602 4.40602 -127.214 -4.40602 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0263105 0.0233556 139 26 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.11 vpr 64.18 MiB -1 -1 0.15 20148 1 0.03 -1 -1 33764 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 25.7 MiB 0.10 849 13992 4392 7270 2330 64.2 MiB 0.10 0.00 2.57564 -85.1096 -2.57564 2.57564 0.93 0.00043147 0.000394263 0.0322141 0.0292852 30 1826 19 6.65987e+06 253560 526063. 1820.29 0.91 0.0830771 0.0732732 22546 126617 -1 1582 17 867 1458 86231 20276 2.51031 2.51031 -98.3607 -2.51031 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0170887 0.0152837 104 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.20 vpr 64.07 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33580 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65612 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 25.5 MiB 0.16 870 16727 4543 10066 2118 64.1 MiB 0.12 0.00 2.98169 -84.6118 -2.98169 2.98169 0.96 0.000419041 0.000380615 0.0355285 0.0324078 28 1841 21 6.65987e+06 418374 500653. 1732.36 0.89 0.0921536 0.0814518 21970 115934 -1 1661 18 809 1451 96866 22479 2.62605 2.62605 -97.3403 -2.62605 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0182042 0.0162123 105 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 9.25 vpr 65.00 MiB -1 -1 0.17 20764 1 0.03 -1 -1 33808 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 29 32 355 287 1 198 85 17 17 289 -1 unnamed_device 26.4 MiB 0.27 905 15151 4340 8002 2809 65.0 MiB 0.13 0.00 3.37101 -98.2294 -3.37101 3.37101 0.93 0.000524598 0.000477756 0.0437121 0.0398233 28 3180 49 6.65987e+06 304272 500653. 1732.36 5.79 0.214291 0.18732 21970 115934 -1 2311 25 1612 2502 197594 48740 4.24677 4.24677 -121.834 -4.24677 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0279351 0.0247132 138 56 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.13 vpr 64.52 MiB -1 -1 0.16 20576 1 0.03 -1 -1 33652 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 26.0 MiB 0.17 1007 6133 1179 4542 412 64.5 MiB 0.06 0.00 3.5135 -109.739 -3.5135 3.5135 0.90 0.000517037 0.000471767 0.0183711 0.0168542 32 2205 22 6.65987e+06 304272 554710. 1919.41 0.93 0.0846087 0.0743861 22834 132086 -1 1931 20 1337 2042 135957 32370 3.43997 3.43997 -125.809 -3.43997 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.024152 0.0215301 130 51 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.53 vpr 64.68 MiB -1 -1 0.16 20528 1 0.03 -1 -1 34016 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 353 285 1 181 91 17 17 289 -1 unnamed_device 26.2 MiB 0.22 1113 13351 3564 7777 2010 64.7 MiB 0.12 0.00 3.63564 -112.148 -3.63564 3.63564 0.96 0.000514743 0.000468241 0.0362417 0.033087 28 2580 21 6.65987e+06 342306 500653. 1732.36 1.08 0.11201 0.0994623 21970 115934 -1 2291 22 1406 2587 181000 40335 3.64631 3.64631 -128.989 -3.64631 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0267317 0.0237203 132 48 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.34 vpr 64.41 MiB -1 -1 0.15 20572 1 0.03 -1 -1 33748 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 32 32 276 237 1 159 80 17 17 289 -1 unnamed_device 25.8 MiB 0.27 822 12464 4139 6011 2314 64.4 MiB 0.10 0.00 3.5308 -102.471 -3.5308 3.5308 0.93 0.000427764 0.000391411 0.0330274 0.0301597 32 2163 22 6.65987e+06 202848 554710. 1919.41 0.94 0.08957 0.0790745 22834 132086 -1 1711 20 1025 1379 107074 24826 3.26497 3.26497 -110.536 -3.26497 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0201013 0.0178654 103 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.26 vpr 64.38 MiB -1 -1 0.14 20808 1 0.03 -1 -1 33996 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 25.9 MiB 0.20 910 12008 3937 6027 2044 64.4 MiB 0.09 0.00 2.85458 -96.8905 -2.85458 2.85458 0.93 0.000420352 0.000379308 0.0316346 0.0287192 32 2232 22 6.65987e+06 240882 554710. 1919.41 0.92 0.0887482 0.0779483 22834 132086 -1 1873 21 1370 2083 159130 36977 2.80825 2.80825 -111.275 -2.80825 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0228415 0.0202591 111 60 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.32 vpr 64.59 MiB -1 -1 0.16 20260 1 0.03 -1 -1 34072 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 26.1 MiB 0.22 917 13919 3702 7731 2486 64.6 MiB 0.11 0.00 2.72184 -81.6865 -2.72184 2.72184 0.93 0.000454834 0.000411525 0.0332609 0.0301357 32 2134 21 6.65987e+06 418374 554710. 1919.41 0.92 0.0948879 0.0834723 22834 132086 -1 1846 20 1018 1828 119292 28128 2.54119 2.54119 -91.7936 -2.54119 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.0228146 0.0202042 123 52 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.43 vpr 64.20 MiB -1 -1 0.15 20392 1 0.03 -1 -1 33712 -1 -1 35 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 25.9 MiB 0.12 923 14999 3716 9776 1507 64.2 MiB 0.10 0.00 3.3533 -84.4628 -3.3533 3.3533 0.95 0.000428004 0.000391016 0.0317225 0.0288968 26 2238 28 6.65987e+06 443730 477104. 1650.88 1.16 0.0973195 0.0858826 21682 110474 -1 1916 20 1103 2216 166686 37487 3.74877 3.74877 -105.521 -3.74877 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0199829 0.0176679 115 20 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.36 vpr 64.23 MiB -1 -1 0.16 20252 1 0.03 -1 -1 33676 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 30 32 317 269 1 152 79 17 17 289 -1 unnamed_device 25.7 MiB 0.24 876 8360 2693 4247 1420 64.2 MiB 0.07 0.00 3.29355 -97.3708 -3.29355 3.29355 0.94 0.000464408 0.000423727 0.0253261 0.0231802 32 2024 23 6.65987e+06 215526 554710. 1919.41 0.96 0.0863492 0.0758428 22834 132086 -1 1848 22 1310 2294 201059 44191 2.92671 2.92671 -111.048 -2.92671 0 0 701300. 2426.64 0.28 0.07 0.13 -1 -1 0.28 0.0230467 0.0203838 108 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.36 vpr 64.45 MiB -1 -1 0.16 20668 1 0.03 -1 -1 33524 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65996 32 32 335 282 1 184 84 17 17 289 -1 unnamed_device 26.0 MiB 0.20 1076 12528 3691 6971 1866 64.4 MiB 0.11 0.00 2.94464 -104.132 -2.94464 2.94464 0.92 0.000478569 0.000439282 0.0350928 0.0319531 28 2489 18 6.65987e+06 253560 500653. 1732.36 1.02 0.0981437 0.0868082 21970 115934 -1 2237 17 1175 1706 133543 29540 3.32391 3.32391 -129.613 -3.32391 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.019909 0.0176984 120 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.64 vpr 64.48 MiB -1 -1 0.16 20332 1 0.03 -1 -1 33828 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 26.0 MiB 0.09 1043 11543 3169 7474 900 64.5 MiB 0.10 0.00 3.57527 -104.665 -3.57527 3.57527 0.94 0.000452336 0.000411988 0.0267623 0.0244335 26 2660 43 6.65987e+06 405696 477104. 1650.88 1.43 0.10909 0.0961479 21682 110474 -1 2293 20 1391 2426 182538 42415 3.93902 3.93902 -124.708 -3.93902 0 0 585099. 2024.56 0.25 0.07 0.11 -1 -1 0.25 0.0219598 0.0195562 127 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.86 vpr 64.61 MiB -1 -1 0.15 20696 1 0.03 -1 -1 33796 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 32 32 350 275 1 209 86 17 17 289 -1 unnamed_device 26.1 MiB 0.28 1218 10103 2562 6213 1328 64.6 MiB 0.11 0.00 4.10541 -132.01 -4.10541 4.10541 0.94 0.00055425 0.000506848 0.0310843 0.028456 28 3197 21 6.65987e+06 278916 500653. 1732.36 1.38 0.104985 0.0931682 21970 115934 -1 2627 23 1615 2346 183297 40463 4.31371 4.31371 -155.451 -4.31371 0 0 612192. 2118.31 0.26 0.08 0.11 -1 -1 0.26 0.0276669 0.0246201 144 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.92 vpr 64.54 MiB -1 -1 0.16 20724 1 0.03 -1 -1 33784 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 385 308 1 185 96 17 17 289 -1 unnamed_device 26.3 MiB 0.33 881 11922 3030 7936 956 64.5 MiB 0.11 0.00 3.92821 -107.518 -3.92821 3.92821 0.95 0.000576944 0.000527432 0.0334269 0.0305158 28 2640 46 6.65987e+06 405696 500653. 1732.36 1.38 0.133788 0.118105 21970 115934 -1 2027 20 1110 2064 120131 30552 3.78603 3.78603 -130.049 -3.78603 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0257311 0.0229033 142 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.47 vpr 64.89 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33996 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 26.5 MiB 0.27 1143 14201 3555 9036 1610 64.9 MiB 0.13 0.00 3.25355 -109.31 -3.25355 3.25355 0.93 0.000576436 0.000525559 0.0365979 0.0332712 30 2397 23 6.65987e+06 469086 526063. 1820.29 0.97 0.110874 0.0977915 22546 126617 -1 2160 19 1097 1990 106900 25606 3.28671 3.28671 -123.766 -3.28671 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.024468 0.0218793 140 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.35 vpr 64.35 MiB -1 -1 0.15 20724 1 0.03 -1 -1 33920 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65896 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 25.8 MiB 0.22 835 13556 4620 6640 2296 64.4 MiB 0.10 0.00 2.90104 -91.6576 -2.90104 2.90104 0.94 0.000427033 0.000388698 0.0344923 0.0314263 32 2018 24 6.65987e+06 240882 554710. 1919.41 0.98 0.0923349 0.0815122 22834 132086 -1 1710 20 1063 1799 133917 30462 2.51705 2.51705 -97.8856 -2.51705 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.019697 0.0175123 105 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.26 vpr 64.63 MiB -1 -1 0.15 20688 1 0.03 -1 -1 33940 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 30 32 375 299 1 187 83 17 17 289 -1 unnamed_device 26.1 MiB 0.25 882 12503 2705 8987 811 64.6 MiB 0.11 0.00 3.77847 -108.414 -3.77847 3.77847 0.90 0.000514338 0.000468547 0.0386742 0.0353015 30 2270 24 6.65987e+06 266238 526063. 1820.29 0.95 0.110827 0.0981024 22546 126617 -1 1711 22 1354 2126 106713 27724 3.44203 3.44203 -122.731 -3.44203 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0259892 0.0230241 137 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.13 vpr 64.39 MiB -1 -1 0.15 20496 1 0.03 -1 -1 33940 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 32 32 340 270 1 200 88 17 17 289 -1 unnamed_device 26.0 MiB 0.29 1286 10033 2525 6558 950 64.4 MiB 0.09 0.00 3.8156 -118.226 -3.8156 3.8156 0.95 0.000501463 0.00045606 0.0284562 0.0259918 26 3105 42 6.65987e+06 304272 477104. 1650.88 1.65 0.120393 0.106074 21682 110474 -1 2487 23 1805 2926 267821 55333 3.76251 3.76251 -133.109 -3.76251 0 0 585099. 2024.56 0.27 0.09 0.10 -1 -1 0.27 0.027711 0.0246566 138 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.42 vpr 64.77 MiB -1 -1 0.16 20364 1 0.03 -1 -1 34004 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 31 32 340 275 1 195 91 17 17 289 -1 unnamed_device 26.1 MiB 0.36 1100 9067 2054 6281 732 64.8 MiB 0.08 0.00 4.10296 -123.19 -4.10296 4.10296 0.93 0.000514703 0.000458799 0.0238417 0.0216921 28 2657 19 6.65987e+06 354984 500653. 1732.36 1.00 0.0894301 0.0788046 21970 115934 -1 2285 20 1324 1983 140863 32587 4.29697 4.29697 -144.686 -4.29697 0 0 612192. 2118.31 0.27 0.06 0.10 -1 -1 0.27 0.023217 0.0206506 146 43 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 5.51 vpr 64.84 MiB -1 -1 0.17 20588 1 0.03 -1 -1 33876 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 30 32 377 310 1 177 93 17 17 289 -1 unnamed_device 26.3 MiB 1.12 924 15843 4862 7882 3099 64.8 MiB 0.13 0.00 3.34201 -101.96 -3.34201 3.34201 0.93 0.000525423 0.000480329 0.0435678 0.0397328 28 2375 24 6.65987e+06 393018 500653. 1732.36 1.19 0.124116 0.110164 21970 115934 -1 2005 19 1410 2381 168628 38843 3.21031 3.21031 -116.537 -3.21031 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0238914 0.0212421 133 78 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.57 vpr 64.55 MiB -1 -1 0.16 20528 1 0.03 -1 -1 33896 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 32 32 365 294 1 185 84 17 17 289 -1 unnamed_device 26.0 MiB 0.21 983 16371 5129 8896 2346 64.6 MiB 0.15 0.00 3.76955 -109.292 -3.76955 3.76955 0.97 0.000522664 0.000475661 0.0502952 0.0458479 32 2804 21 6.65987e+06 253560 554710. 1919.41 1.03 0.118724 0.10523 22834 132086 -1 2326 18 1533 2659 192369 45436 3.80851 3.80851 -131.034 -3.80851 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0245368 0.0218613 133 54 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.77 vpr 64.64 MiB -1 -1 0.18 20464 1 0.03 -1 -1 33856 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 29 32 378 310 1 177 90 17 17 289 -1 unnamed_device 26.1 MiB 0.39 871 10341 2605 7142 594 64.6 MiB 0.10 0.00 3.57869 -99.9377 -3.57869 3.57869 0.98 0.000544973 0.000494875 0.0298604 0.0272567 26 2335 22 6.65987e+06 367662 477104. 1650.88 1.16 0.103705 0.0913987 21682 110474 -1 1997 22 1366 2111 149210 36145 3.14557 3.14557 -116.718 -3.14557 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0255823 0.0225838 131 79 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.18 vpr 64.04 MiB -1 -1 0.14 20204 1 0.03 -1 -1 33876 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65580 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 25.7 MiB 0.10 756 12923 4307 6520 2096 64.0 MiB 0.09 0.00 2.87075 -89.3775 -2.87075 2.87075 0.95 0.000404846 0.000369112 0.0329213 0.0300362 28 1643 20 6.65987e+06 190170 500653. 1732.36 0.98 0.0853036 0.0757198 21970 115934 -1 1524 18 819 1223 87947 20885 2.56325 2.56325 -100.08 -2.56325 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.017121 0.0152499 96 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.43 vpr 64.59 MiB -1 -1 0.16 20700 1 0.03 -1 -1 33908 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 373 302 1 176 94 17 17 289 -1 unnamed_device 26.1 MiB 0.28 1050 9253 2058 6158 1037 64.6 MiB 0.09 0.00 3.45695 -110.861 -3.45695 3.45695 0.93 0.000530534 0.000483307 0.0254768 0.0233002 28 2405 20 6.65987e+06 380340 500653. 1732.36 1.00 0.0964612 0.0851085 21970 115934 -1 2126 20 1265 2082 148463 33625 3.74671 3.74671 -130.335 -3.74671 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0242052 0.0214918 130 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.61 vpr 64.84 MiB -1 -1 0.16 20544 1 0.04 -1 -1 33688 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 397 314 1 196 84 17 17 289 -1 unnamed_device 26.5 MiB 0.28 1074 11979 3319 7350 1310 64.8 MiB 0.11 0.00 3.74961 -118.449 -3.74961 3.74961 0.97 0.0005589 0.000508428 0.0401145 0.0365061 32 2502 24 6.65987e+06 253560 554710. 1919.41 1.02 0.116911 0.103222 22834 132086 -1 2197 24 1980 3198 273333 81858 3.78583 3.78583 -140.199 -3.78583 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0303811 0.027011 147 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.69 vpr 64.16 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33780 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65696 32 32 269 231 1 170 83 17 17 289 -1 unnamed_device 25.8 MiB 0.22 1013 12323 3571 6806 1946 64.2 MiB 0.10 0.00 3.19629 -98.5902 -3.19629 3.19629 0.94 0.000415889 0.000378743 0.0312264 0.0285571 26 2297 33 6.65987e+06 240882 477104. 1650.88 1.40 0.101294 0.0897224 21682 110474 -1 2043 18 1145 1516 124245 28150 3.24225 3.24225 -118.26 -3.24225 0 0 585099. 2024.56 0.27 0.05 0.10 -1 -1 0.27 0.0185079 0.0165064 111 26 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 5.92 vpr 63.90 MiB -1 -1 0.13 20356 1 0.03 -1 -1 33856 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65436 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 25.4 MiB 0.10 828 9051 2110 6405 536 63.9 MiB 0.07 0.00 3.02101 -91.2154 -3.02101 3.02101 0.94 0.000401075 0.000367204 0.0216782 0.019774 28 1877 25 6.65987e+06 266238 500653. 1732.36 2.76 0.133225 0.115302 21970 115934 -1 1706 20 1018 1688 120417 27952 2.81771 2.81771 -101.704 -2.81771 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0184208 0.0163636 106 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.27 vpr 65.01 MiB -1 -1 0.15 20772 1 0.03 -1 -1 33720 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 348 274 1 211 89 17 17 289 -1 unnamed_device 26.4 MiB 0.14 1226 13157 3645 7075 2437 65.0 MiB 0.12 0.00 3.94427 -126.759 -3.94427 3.94427 0.90 0.000501343 0.000457031 0.0358432 0.0327602 28 2879 19 6.65987e+06 316950 500653. 1732.36 1.06 0.110574 0.0983986 21970 115934 -1 2428 21 1359 1840 138328 30838 3.77103 3.77103 -142.296 -3.77103 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0248891 0.0220508 144 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.20 vpr 64.86 MiB -1 -1 0.16 20924 1 0.03 -1 -1 34028 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 356 289 1 202 92 17 17 289 -1 unnamed_device 26.5 MiB 0.47 1179 6509 1367 4878 264 64.9 MiB 0.07 0.00 3.93949 -123.064 -3.93949 3.93949 0.95 0.000526668 0.000480286 0.0190098 0.0174082 26 3137 32 6.65987e+06 354984 477104. 1650.88 1.57 0.0993709 0.0872153 21682 110474 -1 2564 23 1838 2827 234096 52582 4.56757 4.56757 -149.073 -4.56757 0 0 585099. 2024.56 0.26 0.09 0.10 -1 -1 0.26 0.0283294 0.0251312 151 53 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.43 vpr 64.75 MiB -1 -1 0.16 20416 1 0.03 -1 -1 33756 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.1 MiB 0.06 1199 18660 5307 10782 2571 64.7 MiB 0.16 0.00 4.29287 -118.291 -4.29287 4.29287 0.94 0.000536 0.000476835 0.044945 0.0406909 32 2880 24 6.65987e+06 456408 554710. 1919.41 1.07 0.12008 0.106202 22834 132086 -1 2510 22 1754 3131 261952 57720 4.71462 4.71462 -140.982 -4.71462 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0281673 0.0251025 153 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.37 vpr 64.39 MiB -1 -1 0.15 20248 1 0.03 -1 -1 33644 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 30 32 316 264 1 162 93 17 17 289 -1 unnamed_device 26.0 MiB 0.22 737 8283 1703 5690 890 64.4 MiB 0.07 0.00 2.60458 -77.596 -2.60458 2.60458 0.92 0.000422846 0.000381977 0.0199861 0.0181969 26 2289 24 6.65987e+06 393018 477104. 1650.88 1.14 0.0872226 0.0766822 21682 110474 -1 1872 18 1251 2084 145515 37174 2.84165 2.84165 -100.606 -2.84165 0 0 585099. 2024.56 0.25 0.06 0.09 -1 -1 0.25 0.020218 0.0178976 120 47 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.10 vpr 64.21 MiB -1 -1 0.15 20328 1 0.03 -1 -1 34272 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65756 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 25.8 MiB 0.05 641 11948 4988 6313 647 64.2 MiB 0.08 0.00 2.7331 -77.2835 -2.7331 2.7331 0.95 0.000386651 0.000352579 0.0287538 0.0262054 28 1656 19 6.65987e+06 266238 500653. 1732.36 0.98 0.0810217 0.0714689 21970 115934 -1 1368 18 1015 1477 106865 25414 2.73577 2.73577 -90.7482 -2.73577 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0173513 0.0154071 97 26 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 4.76 vpr 64.85 MiB -1 -1 0.17 20924 1 0.03 -1 -1 33872 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 26.7 MiB 0.18 1442 16773 4789 10056 1928 64.9 MiB 0.16 0.00 3.4163 -115.859 -3.4163 3.4163 0.94 0.000572233 0.000520475 0.0512764 0.0466484 32 3752 28 6.65987e+06 329628 554710. 1919.41 1.22 0.139885 0.123879 22834 132086 -1 3046 22 2208 3592 292704 64558 3.93103 3.93103 -139.098 -3.93103 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0309617 0.0275322 170 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 5.30 vpr 64.88 MiB -1 -1 0.17 20448 1 0.03 -1 -1 33928 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 365 296 1 194 84 17 17 289 -1 unnamed_device 26.1 MiB 1.05 995 12345 3297 7914 1134 64.9 MiB 0.11 0.00 4.27986 -124.137 -4.27986 4.27986 0.92 0.000592252 0.000542435 0.0381356 0.0347905 32 2446 23 6.65987e+06 266238 554710. 1919.41 1.02 0.107264 0.0944841 22834 132086 -1 2140 21 1622 2516 194664 44494 4.51549 4.51549 -150.421 -4.51549 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0265821 0.0236482 150 60 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 5.16 vpr 64.33 MiB -1 -1 0.17 20920 1 0.03 -1 -1 33932 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 32 32 331 280 1 175 82 17 17 289 -1 unnamed_device 25.9 MiB 1.00 888 12542 4328 5992 2222 64.3 MiB 0.11 0.00 3.4165 -105.247 -3.4165 3.4165 0.94 0.000504925 0.00045316 0.0368681 0.0334331 32 2289 20 6.65987e+06 228204 554710. 1919.41 0.98 0.0984887 0.0868132 22834 132086 -1 1883 19 1349 1944 135407 31846 3.37017 3.37017 -124.699 -3.37017 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0226848 0.0201613 126 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.29 vpr 64.39 MiB -1 -1 0.16 20220 1 0.03 -1 -1 34044 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 25.9 MiB 0.11 1020 17773 5302 9942 2529 64.4 MiB 0.15 0.00 3.7622 -101.594 -3.7622 3.7622 0.94 0.00049749 0.0004542 0.0436759 0.0398209 32 2387 26 6.65987e+06 380340 554710. 1919.41 0.97 0.109888 0.0970845 22834 132086 -1 2004 17 1073 1697 127625 29327 3.46705 3.46705 -115.414 -3.46705 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0204295 0.018286 126 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.32 vpr 64.84 MiB -1 -1 0.18 20532 1 0.03 -1 -1 33848 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 31 32 373 294 1 196 96 17 17 289 -1 unnamed_device 26.3 MiB 0.24 1052 10170 2582 6954 634 64.8 MiB 0.09 0.00 3.77855 -110.561 -3.77855 3.77855 0.93 0.000585335 0.000535318 0.0281759 0.0256222 26 2463 21 6.65987e+06 418374 477104. 1650.88 0.93 0.100451 0.0884641 21682 110474 -1 2233 23 1670 2702 179679 43075 3.73657 3.73657 -127.566 -3.73657 0 0 585099. 2024.56 0.27 0.08 0.10 -1 -1 0.27 0.0282773 0.0250986 144 46 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.29 vpr 64.45 MiB -1 -1 0.16 20308 1 0.03 -1 -1 33880 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65996 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 26.0 MiB 0.15 1006 12273 2952 7730 1591 64.4 MiB 0.10 0.00 2.8321 -88.9367 -2.8321 2.8321 0.94 0.00047943 0.000437648 0.0301416 0.027567 30 2169 20 6.65987e+06 393018 526063. 1820.29 0.97 0.0920938 0.0813801 22546 126617 -1 1923 18 1003 1724 119554 25395 2.57525 2.57525 -99.9419 -2.57525 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0208723 0.0186602 124 46 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.26 vpr 64.90 MiB -1 -1 0.14 20756 1 0.03 -1 -1 33896 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 350 275 1 214 88 17 17 289 -1 unnamed_device 26.3 MiB 0.16 1406 14128 3971 8464 1693 64.9 MiB 0.13 0.00 3.8505 -125.328 -3.8505 3.8505 0.90 0.000498106 0.000454446 0.0394869 0.0361454 30 2967 19 6.65987e+06 304272 526063. 1820.29 1.02 0.109821 0.097692 22546 126617 -1 2507 20 1575 2398 159070 34311 3.89311 3.89311 -141.894 -3.89311 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0244394 0.0217523 147 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 5.08 vpr 64.54 MiB -1 -1 0.16 20632 1 0.03 -1 -1 33944 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 386 307 1 195 98 17 17 289 -1 unnamed_device 26.1 MiB 0.40 1103 14723 4237 8862 1624 64.5 MiB 0.13 0.00 3.71641 -117.038 -3.71641 3.71641 0.91 0.000557028 0.000508951 0.0386581 0.0351868 26 2815 30 6.65987e+06 431052 477104. 1650.88 1.50 0.125868 0.111379 21682 110474 -1 2309 23 1508 2332 222576 54088 3.61237 3.61237 -134.789 -3.61237 0 0 585099. 2024.56 0.27 0.09 0.10 -1 -1 0.27 0.0295486 0.0262318 143 59 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.04 vpr 64.23 MiB -1 -1 0.14 20256 1 0.03 -1 -1 33928 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 25.7 MiB 0.13 631 12528 3950 6757 1821 64.2 MiB 0.08 0.00 2.88681 -85.1602 -2.88681 2.88681 0.91 0.000414632 0.000375006 0.0315063 0.0286314 32 1394 24 6.65987e+06 215526 554710. 1919.41 0.88 0.0828607 0.0727767 22834 132086 -1 1283 22 941 1336 102217 24508 2.69797 2.69797 -92.737 -2.69797 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.019617 0.0172959 92 28 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.33 vpr 64.41 MiB -1 -1 0.15 20320 1 0.03 -1 -1 33980 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65960 32 32 310 266 1 175 84 17 17 289 -1 unnamed_device 26.0 MiB 0.29 876 9417 2598 6408 411 64.4 MiB 0.08 0.00 3.1971 -103.02 -3.1971 3.1971 0.94 0.000466365 0.000425035 0.0257878 0.0235006 28 2256 21 6.65987e+06 253560 500653. 1732.36 0.94 0.0849631 0.0745141 21970 115934 -1 1932 21 1434 1922 143741 33763 3.12377 3.12377 -118.232 -3.12377 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0226747 0.0200695 116 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.17 vpr 64.61 MiB -1 -1 0.15 20400 1 0.03 -1 -1 33824 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 26.0 MiB 0.10 900 7060 1371 5010 679 64.6 MiB 0.07 0.00 3.68941 -99.0075 -3.68941 3.68941 0.92 0.000484658 0.000441307 0.0173321 0.0158101 30 2286 23 6.65987e+06 469086 526063. 1820.29 0.96 0.0818374 0.071487 22546 126617 -1 1902 19 1108 1916 107889 25022 3.50016 3.50016 -113.917 -3.50016 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0222921 0.0198254 129 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.24 vpr 64.25 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33952 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 29 32 262 224 1 168 82 17 17 289 -1 unnamed_device 25.7 MiB 0.22 859 7024 1599 4884 541 64.3 MiB 0.06 0.00 3.18595 -87.8969 -3.18595 3.18595 0.94 0.000428096 0.000376801 0.0183615 0.0167456 32 2011 23 6.65987e+06 266238 554710. 1919.41 0.90 0.0717776 0.0629264 22834 132086 -1 1767 21 1190 1532 108399 26601 3.05071 3.05071 -100.627 -3.05071 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0200689 0.0178234 110 25 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.24 vpr 64.23 MiB -1 -1 0.15 20624 1 0.03 -1 -1 33888 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 32 32 278 238 1 149 80 17 17 289 -1 unnamed_device 25.7 MiB 0.21 904 8164 2338 5284 542 64.2 MiB 0.07 0.00 2.78249 -92.7899 -2.78249 2.78249 0.94 0.000435164 0.000396491 0.0228713 0.0209237 30 1803 22 6.65987e+06 202848 526063. 1820.29 0.92 0.0783446 0.0689152 22546 126617 -1 1607 21 991 1677 90645 21837 2.56625 2.56625 -100.614 -2.56625 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.019973 0.0176601 109 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.36 vpr 64.46 MiB -1 -1 0.17 20784 1 0.03 -1 -1 33688 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 31 32 373 300 1 181 98 17 17 289 -1 unnamed_device 25.8 MiB 0.26 913 10448 2494 7272 682 64.5 MiB 0.09 0.00 3.33161 -98.3149 -3.33161 3.33161 0.92 0.000540984 0.000495436 0.0274057 0.0249539 32 2055 21 6.65987e+06 443730 554710. 1919.41 0.96 0.0960676 0.0844903 22834 132086 -1 1786 17 1234 1812 113512 28145 2.98817 2.98817 -113.261 -2.98817 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0218632 0.019488 135 60 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.18 vpr 64.17 MiB -1 -1 0.14 20352 1 0.03 -1 -1 33952 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65708 31 32 265 230 1 162 82 17 17 289 -1 unnamed_device 25.6 MiB 0.17 909 9694 2517 6382 795 64.2 MiB 0.08 0.00 3.0359 -98.1422 -3.0359 3.0359 0.92 0.000417116 0.000381307 0.0243682 0.0223109 32 2131 22 6.65987e+06 240882 554710. 1919.41 0.93 0.0793017 0.0700539 22834 132086 -1 1794 21 1239 1810 138601 32182 3.07377 3.07377 -113.823 -3.07377 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0201234 0.01788 108 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.43 vpr 64.72 MiB -1 -1 0.15 20460 1 0.03 -1 -1 33688 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 349 286 1 171 95 17 17 289 -1 unnamed_device 26.1 MiB 0.27 864 16727 5149 8695 2883 64.7 MiB 0.13 0.00 2.92995 -93.2427 -2.92995 2.92995 0.92 0.000507193 0.000460413 0.0419054 0.0381268 30 2177 21 6.65987e+06 393018 526063. 1820.29 1.00 0.107954 0.0953172 22546 126617 -1 1758 20 1042 1724 106709 24700 2.72871 2.72871 -101.145 -2.72871 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0238642 0.0212901 126 54 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 5.11 vpr 64.38 MiB -1 -1 0.17 20768 1 0.03 -1 -1 33932 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 25.9 MiB 0.95 890 13271 3516 8185 1570 64.4 MiB 0.11 0.00 3.3843 -107.194 -3.3843 3.3843 0.91 0.000570436 0.000519932 0.0356452 0.0323929 32 2140 21 6.65987e+06 405696 554710. 1919.41 0.96 0.105665 0.0930178 22834 132086 -1 1907 19 1443 2024 135440 32895 3.45123 3.45123 -129.258 -3.45123 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0244128 0.0217046 138 87 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.16 vpr 64.09 MiB -1 -1 0.13 20400 1 0.03 -1 -1 33888 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65628 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 25.5 MiB 0.24 861 10581 2934 6437 1210 64.1 MiB 0.08 0.00 2.54264 -84.4966 -2.54264 2.54264 0.91 0.000462434 0.000419245 0.0278661 0.0253831 32 1979 23 6.65987e+06 215526 554710. 1919.41 0.90 0.0853296 0.0750674 22834 132086 -1 1816 20 969 1506 118613 27362 2.62851 2.62851 -101.973 -2.62851 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0206104 0.0182625 104 54 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.20 vpr 64.29 MiB -1 -1 0.15 20224 1 0.03 -1 -1 33500 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65828 32 32 290 244 1 175 83 17 17 289 -1 unnamed_device 25.9 MiB 0.16 890 9083 2349 5816 918 64.3 MiB 0.08 0.00 3.26875 -101.502 -3.26875 3.26875 0.91 0.00045013 0.000408793 0.0246858 0.0225595 32 2242 26 6.65987e+06 240882 554710. 1919.41 0.93 0.0838115 0.0736116 22834 132086 -1 1793 19 1182 1818 122915 30789 2.82891 2.82891 -110.431 -2.82891 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0200161 0.0178665 115 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.15 vpr 64.87 MiB -1 -1 0.16 20320 1 0.03 -1 -1 33908 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 32 32 318 257 1 194 86 17 17 289 -1 unnamed_device 26.2 MiB 0.13 1061 9347 2357 6088 902 64.9 MiB 0.08 0.00 3.8213 -118.261 -3.8213 3.8213 0.90 0.000427899 0.000386636 0.0246489 0.0223975 32 2494 22 6.65987e+06 278916 554710. 1919.41 0.92 0.0849528 0.0744116 22834 132086 -1 2166 22 1371 1958 134718 32412 3.49351 3.49351 -125.702 -3.49351 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0242346 0.0215165 130 27 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.66 vpr 64.41 MiB -1 -1 0.16 20456 1 0.03 -1 -1 33776 -1 -1 28 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65960 29 32 324 268 1 168 89 17 17 289 -1 unnamed_device 26.0 MiB 0.40 981 13157 3778 7962 1417 64.4 MiB 0.11 0.00 3.80467 -100.309 -3.80467 3.80467 0.92 0.000465149 0.000423406 0.0335712 0.0305996 26 2275 29 6.65987e+06 354984 477104. 1650.88 1.18 0.102822 0.0905806 21682 110474 -1 1987 20 993 1604 109037 25950 3.20862 3.20862 -110.032 -3.20862 0 0 585099. 2024.56 0.27 0.05 0.10 -1 -1 0.27 0.0217646 0.0193075 121 49 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.78 vpr 64.67 MiB -1 -1 0.17 20884 1 0.03 -1 -1 33452 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 32 32 393 312 1 213 87 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1044 10071 2617 6793 661 64.7 MiB 0.10 0.00 3.94486 -124.626 -3.94486 3.94486 0.93 0.000565232 0.000516345 0.0319424 0.0291594 28 2651 30 6.65987e+06 291594 500653. 1732.36 1.33 0.116447 0.102707 21970 115934 -1 2280 22 1695 2442 165525 39833 4.08051 4.08051 -147.566 -4.08051 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.0282779 0.0251127 153 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.02 vpr 64.20 MiB -1 -1 0.15 20128 1 0.03 -1 -1 33572 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65744 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 25.8 MiB 0.09 786 10581 2950 5553 2078 64.2 MiB 0.07 0.00 2.91224 -81.8808 -2.91224 2.91224 0.91 0.000364862 0.000332669 0.0233497 0.0212279 32 1719 21 6.65987e+06 228204 554710. 1919.41 0.87 0.0715318 0.0629541 22834 132086 -1 1573 19 715 1155 88650 21277 2.77391 2.77391 -99.3858 -2.77391 0 0 701300. 2426.64 0.29 0.04 0.13 -1 -1 0.29 0.0172679 0.0153988 96 -1 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 5.60 vpr 64.89 MiB -1 -1 0.17 20576 1 0.03 -1 -1 33960 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 412 334 1 190 97 17 17 289 -1 unnamed_device 26.5 MiB 0.48 1037 16969 5496 8942 2531 64.9 MiB 0.15 0.00 3.3113 -113.537 -3.3113 3.3113 0.94 0.000593703 0.000542141 0.047049 0.0428773 26 2923 41 6.65987e+06 418374 477104. 1650.88 1.90 0.149468 0.132246 21682 110474 -1 2357 23 1766 2573 242369 59621 4.07037 4.07037 -144.031 -4.07037 0 0 585099. 2024.56 0.25 0.09 0.10 -1 -1 0.25 0.0291136 0.0256455 144 87 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.16 vpr 64.38 MiB -1 -1 0.16 20764 1 0.03 -1 -1 33796 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 26.0 MiB 0.22 785 10916 2751 7007 1158 64.4 MiB 0.09 0.00 2.8021 -99.434 -2.8021 2.8021 0.89 0.000548348 0.000496465 0.0343772 0.0313541 30 1816 19 6.65987e+06 202848 526063. 1820.29 0.89 0.0978891 0.0862311 22546 126617 -1 1552 22 1171 1689 106884 24767 2.71757 2.71757 -112.357 -2.71757 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.025023 0.0220644 115 93 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.44 vpr 64.31 MiB -1 -1 0.15 20948 1 0.03 -1 -1 33888 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 32 32 360 293 1 179 95 17 17 289 -1 unnamed_device 25.8 MiB 0.36 1063 16295 4623 9233 2439 64.3 MiB 0.14 0.00 3.33475 -107.709 -3.33475 3.33475 0.91 0.000516217 0.000470309 0.0412749 0.0376348 32 2289 22 6.65987e+06 393018 554710. 1919.41 0.92 0.107838 0.0953427 22834 132086 -1 1967 18 987 1395 96634 22848 3.21151 3.21151 -118.206 -3.21151 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.022182 0.0197476 130 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 5.27 vpr 64.79 MiB -1 -1 0.16 20528 1 0.03 -1 -1 33996 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 396 299 1 236 89 17 17 289 -1 unnamed_device 26.7 MiB 0.35 1370 10781 2624 6881 1276 64.8 MiB 0.11 0.00 5.00309 -153.912 -5.00309 5.00309 0.95 0.000574305 0.000522792 0.0343626 0.0313533 28 3829 34 6.65987e+06 316950 500653. 1732.36 1.68 0.13011 0.114948 21970 115934 -1 2935 21 2033 2838 240775 52868 5.30794 5.30794 -178.122 -5.30794 0 0 612192. 2118.31 0.26 0.09 0.10 -1 -1 0.26 0.0291311 0.0259866 168 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.32 vpr 64.07 MiB -1 -1 0.15 20268 1 0.03 -1 -1 33780 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65612 30 32 224 207 1 137 79 17 17 289 -1 unnamed_device 25.7 MiB 0.18 641 8022 1825 5800 397 64.1 MiB 0.06 0.00 2.57364 -80.2125 -2.57364 2.57364 0.94 0.000362528 0.000331839 0.018764 0.0171392 26 1710 21 6.65987e+06 215526 477104. 1650.88 1.04 0.0685077 0.0601986 21682 110474 -1 1533 39 1208 1576 350005 178859 2.29591 2.29591 -91.75 -2.29591 0 0 585099. 2024.56 0.25 0.14 0.10 -1 -1 0.25 0.0279239 0.0243715 86 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.10 vpr 64.27 MiB -1 -1 0.14 20168 1 0.03 -1 -1 33824 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65808 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 25.8 MiB 0.11 633 12694 3970 6865 1859 64.3 MiB 0.09 0.00 3.13515 -90.5807 -3.13515 3.13515 0.89 0.000395827 0.000348686 0.0329434 0.029738 26 1842 28 6.65987e+06 202848 477104. 1650.88 1.07 0.0962375 0.0845804 21682 110474 -1 1517 16 886 1338 96633 23430 2.93217 2.93217 -106.78 -2.93217 0 0 585099. 2024.56 0.25 0.04 0.09 -1 -1 0.25 0.0167821 0.0149806 92 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 6.36 vpr 64.33 MiB -1 -1 0.15 20364 1 0.03 -1 -1 33540 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 26.1 MiB 0.05 702 8269 1694 5881 694 64.3 MiB 0.06 0.00 2.77684 -87.1649 -2.77684 2.77684 0.91 0.000432755 0.0003941 0.0217462 0.0198546 34 2111 23 6.65987e+06 266238 585099. 2024.56 3.24 0.148036 0.128158 23122 138558 -1 1638 23 1249 2260 156096 37830 2.78877 2.78877 -102.547 -2.78877 0 0 742403. 2568.87 0.27 0.06 0.13 -1 -1 0.27 0.0227077 0.0199805 115 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 6.05 vpr 64.04 MiB -1 -1 0.14 20224 1 0.03 -1 -1 33560 -1 -1 27 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 25.6 MiB 0.09 498 12894 4814 5185 2895 64.0 MiB 0.07 0.00 2.55958 -61.0518 -2.55958 2.55958 0.94 0.000348724 0.000310021 0.026147 0.0236766 32 1352 22 6.65987e+06 342306 554710. 1919.41 2.88 0.12081 0.104349 22834 132086 -1 1088 25 872 1438 103533 25638 2.89005 2.89005 -72.0533 -2.89005 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0184137 0.0161411 89 19 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.43 vpr 64.61 MiB -1 -1 0.17 20764 1 0.03 -1 -1 34000 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 376 307 1 185 84 17 17 289 -1 unnamed_device 26.1 MiB 0.20 1064 10515 3150 6516 849 64.6 MiB 0.10 0.00 3.13278 -106.341 -3.13278 3.13278 0.93 0.000549656 0.000502001 0.0338392 0.0308 32 2757 23 6.65987e+06 253560 554710. 1919.41 1.00 0.106162 0.0937629 22834 132086 -1 2412 23 1616 2936 219603 50180 3.44705 3.44705 -126.916 -3.44705 0 0 701300. 2426.64 0.29 0.08 0.13 -1 -1 0.29 0.0280797 0.0249531 135 69 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.57 vpr 64.57 MiB -1 -1 0.18 20628 1 0.03 -1 -1 33980 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 31 32 409 331 1 191 96 17 17 289 -1 unnamed_device 26.1 MiB 0.41 855 9075 1977 6598 500 64.6 MiB 0.09 0.00 3.36335 -108.866 -3.36335 3.36335 0.95 0.000564117 0.000514713 0.0263298 0.0240056 30 2208 22 6.65987e+06 418374 526063. 1820.29 0.97 0.100516 0.0882406 22546 126617 -1 1804 18 1212 1888 96038 24391 3.11991 3.11991 -118.31 -3.11991 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0234791 0.0209101 142 86 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 21.57 vpr 65.30 MiB -1 -1 0.16 20368 1 0.03 -1 -1 33496 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 354 285 1 193 77 17 17 289 -1 unnamed_device 26.9 MiB 2.67 794 13769 5964 7282 523 65.3 MiB 0.10 0.00 4.4212 -127.216 -4.4212 4.4212 0.97 0.000505962 0.000460382 0.0457972 0.0417489 48 2659 38 6.95648e+06 188184 865456. 2994.66 15.32 0.327372 0.285127 28354 207349 -1 2126 35 2088 3037 426806 164156 4.40976 4.40976 -150.306 -4.40976 0 0 1.05005e+06 3633.38 0.41 0.16 0.20 -1 -1 0.41 0.0390199 0.0344593 81 47 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 9.34 vpr 65.45 MiB -1 -1 0.17 20796 1 0.03 -1 -1 33984 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 30 32 363 293 1 187 77 17 17 289 -1 unnamed_device 27.0 MiB 2.23 838 13769 5931 7339 499 65.4 MiB 0.10 0.00 3.66177 -114.959 -3.66177 3.66177 1.00 0.000513212 0.000465643 0.0455253 0.041467 38 2737 40 6.95648e+06 217135 678818. 2348.85 3.70 0.183425 0.160853 26626 170182 -1 2177 23 2004 2832 232255 49412 4.68491 4.68491 -151.852 -4.68491 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0276753 0.0245995 80 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 7.71 vpr 65.18 MiB -1 -1 0.15 20304 1 0.03 -1 -1 33900 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 32 32 299 247 1 182 79 17 17 289 -1 unnamed_device 26.6 MiB 1.26 993 14613 6027 7246 1340 65.2 MiB 0.10 0.00 3.10314 -103.161 -3.10314 3.10314 0.95 0.000431034 0.000392587 0.0407669 0.0371914 38 2505 49 6.95648e+06 217135 678818. 2348.85 3.22 0.178947 0.15801 26626 170182 -1 2081 20 1354 1783 135640 28913 3.57762 3.57762 -128.363 -3.57762 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0212944 0.0190143 76 26 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 6.89 vpr 64.99 MiB -1 -1 0.16 20268 1 0.03 -1 -1 33608 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 29 32 308 248 1 162 80 17 17 289 -1 unnamed_device 26.4 MiB 0.39 698 10056 4228 5390 438 65.0 MiB 0.07 0.00 3.50318 -98.6968 -3.50318 3.50318 0.95 0.00045375 0.000413504 0.029333 0.026815 40 2221 26 6.95648e+06 275038 706193. 2443.58 3.09 0.143715 0.126447 26914 176310 -1 1960 21 1621 2614 321440 80259 4.12382 4.12382 -128.245 -4.12382 0 0 926341. 3205.33 0.37 0.10 0.17 -1 -1 0.37 0.0237835 0.0212107 71 25 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 8.05 vpr 65.27 MiB -1 -1 0.15 20584 1 0.03 -1 -1 33364 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 336 268 1 172 80 17 17 289 -1 unnamed_device 26.9 MiB 0.90 764 12120 5015 6641 464 65.3 MiB 0.09 0.00 3.67069 -107.059 -3.67069 3.67069 0.98 0.000496589 0.000451725 0.0371217 0.0338394 46 2589 25 6.95648e+06 231611 828058. 2865.25 3.73 0.161191 0.141944 28066 200906 -1 1690 20 1255 2117 148020 33150 4.25256 4.25256 -129.606 -4.25256 0 0 1.01997e+06 3529.29 0.40 0.06 0.19 -1 -1 0.40 0.0231067 0.0205344 73 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 6.46 vpr 65.41 MiB -1 -1 0.14 20368 1 0.03 -1 -1 33944 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66984 32 32 366 295 1 182 85 17 17 289 -1 unnamed_device 26.9 MiB 1.02 826 14965 6409 8202 354 65.4 MiB 0.10 0.00 2.5924 -96.4327 -2.5924 2.5924 0.93 0.000468339 0.000426823 0.0417081 0.0377571 44 2311 22 6.95648e+06 303989 787024. 2723.27 2.15 0.163344 0.143326 27778 195446 -1 1904 23 1527 2342 180717 38268 3.00882 3.00882 -117.035 -3.00882 0 0 997811. 3452.63 0.38 0.07 0.17 -1 -1 0.38 0.0258678 0.0228496 79 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 10.25 vpr 64.75 MiB -1 -1 0.16 20528 1 0.03 -1 -1 34296 -1 -1 13 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 27 32 259 221 1 125 72 17 17 289 -1 unnamed_device 26.2 MiB 5.17 521 8863 3662 4622 579 64.8 MiB 0.06 0.00 2.92458 -77.4796 -2.92458 2.92458 0.96 0.000402542 0.000366696 0.0259174 0.0236817 38 1630 27 6.95648e+06 188184 678818. 2348.85 1.84 0.118701 0.103624 26626 170182 -1 1244 21 838 1289 104138 23273 3.09302 3.09302 -95.6084 -3.09302 0 0 902133. 3121.57 0.34 0.05 0.16 -1 -1 0.34 0.0197248 0.0175884 52 26 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 8.49 vpr 65.09 MiB -1 -1 0.16 20440 1 0.03 -1 -1 33832 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 26.5 MiB 0.42 679 12373 3541 6497 2335 65.1 MiB 0.08 0.00 2.5154 -76.9069 -2.5154 2.5154 0.98 0.000427882 0.00038277 0.0296259 0.0269154 46 1807 26 6.95648e+06 361892 828058. 2865.25 4.65 0.161194 0.140094 28066 200906 -1 1429 21 899 1522 96442 22477 2.74702 2.74702 -95.5367 -2.74702 0 0 1.01997e+06 3529.29 0.41 0.05 0.19 -1 -1 0.41 0.0205304 0.0182523 69 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 8.12 vpr 65.12 MiB -1 -1 0.16 20728 1 0.03 -1 -1 34048 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 31 32 317 271 1 163 74 17 17 289 -1 unnamed_device 26.6 MiB 2.12 653 8909 3566 4813 530 65.1 MiB 0.07 0.00 2.76819 -94.7229 -2.76819 2.76819 0.94 0.000442995 0.000401025 0.0286417 0.0260756 38 2241 30 6.95648e+06 159232 678818. 2348.85 2.74 0.145722 0.127292 26626 170182 -1 1679 22 1348 1898 163990 36787 3.73576 3.73576 -124.304 -3.73576 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0230158 0.0204645 66 60 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 7.65 vpr 65.13 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33576 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 298 248 1 150 74 17 17 289 -1 unnamed_device 26.6 MiB 1.10 628 8444 3417 4796 231 65.1 MiB 0.06 0.00 2.66488 -91.5447 -2.66488 2.66488 0.95 0.000444004 0.000397625 0.0268897 0.0245902 38 1885 46 6.95648e+06 144757 678818. 2348.85 3.30 0.150527 0.131428 26626 170182 -1 1504 23 1265 1808 141410 31755 3.24832 3.24832 -122.525 -3.24832 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0233534 0.0206854 59 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 6.88 vpr 64.98 MiB -1 -1 0.14 20376 1 0.03 -1 -1 33616 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 30 32 303 262 1 137 74 17 17 289 -1 unnamed_device 26.5 MiB 1.82 505 10614 4449 5703 462 65.0 MiB 0.07 0.00 2.79013 -84.2419 -2.79013 2.79013 0.91 0.000419709 0.000380997 0.031188 0.0284304 40 1663 27 6.95648e+06 173708 706193. 2443.58 1.90 0.136237 0.119304 26914 176310 -1 1360 22 1118 1569 126995 31404 3.53182 3.53182 -110.439 -3.53182 0 0 926341. 3205.33 0.35 0.06 0.15 -1 -1 0.35 0.0216667 0.0191708 55 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 7.77 vpr 65.03 MiB -1 -1 0.15 20556 1 0.03 -1 -1 33756 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 276 237 1 160 74 17 17 289 -1 unnamed_device 26.5 MiB 1.59 619 11079 4601 6102 376 65.0 MiB 0.08 0.00 2.85923 -96.1121 -2.85923 2.85923 0.96 0.000444284 0.000396865 0.0327582 0.0298953 46 1958 36 6.95648e+06 144757 828058. 2865.25 2.83 0.143616 0.1261 28066 200906 -1 1547 24 1268 1598 146873 36111 3.16047 3.16047 -119.444 -3.16047 0 0 1.01997e+06 3529.29 0.38 0.07 0.19 -1 -1 0.38 0.0228453 0.020153 62 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 7.88 vpr 65.09 MiB -1 -1 0.16 20572 1 0.03 -1 -1 33908 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 344 272 1 194 79 17 17 289 -1 unnamed_device 26.7 MiB 1.95 1075 12585 4301 6481 1803 65.1 MiB 0.10 0.00 3.17248 -111.603 -3.17248 3.17248 0.96 0.000505595 0.000460894 0.0403878 0.0369342 40 2757 22 6.95648e+06 217135 706193. 2443.58 2.52 0.160274 0.141055 26914 176310 -1 2496 23 2076 3092 367918 83234 3.62917 3.62917 -138.006 -3.62917 0 0 926341. 3205.33 0.35 0.11 0.17 -1 -1 0.35 0.0286759 0.0256468 83 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 9.25 vpr 65.09 MiB -1 -1 0.16 20644 1 0.03 -1 -1 33792 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 363 295 1 174 86 17 17 289 -1 unnamed_device 26.7 MiB 0.90 788 9347 3776 5294 277 65.1 MiB 0.07 0.00 3.72883 -113.103 -3.72883 3.72883 0.94 0.000513805 0.000466874 0.0282767 0.0257975 38 2665 22 6.95648e+06 318465 678818. 2348.85 5.04 0.231185 0.201132 26626 170182 -1 1974 24 2010 2958 269938 57179 4.14596 4.14596 -136.121 -4.14596 0 0 902133. 3121.57 0.33 0.09 0.16 -1 -1 0.33 0.0279904 0.0246661 75 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 9.12 vpr 64.76 MiB -1 -1 0.16 20296 1 0.03 -1 -1 33720 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 29 32 248 215 1 136 74 17 17 289 -1 unnamed_device 26.2 MiB 1.43 641 8444 3506 4526 412 64.8 MiB 0.06 0.00 2.60155 -73.105 -2.60155 2.60155 0.95 0.000386372 0.000352902 0.0227672 0.0208349 38 1978 22 6.95648e+06 188184 678818. 2348.85 4.49 0.152776 0.132745 26626 170182 -1 1495 18 929 1452 108040 24108 3.12117 3.12117 -99.1389 -3.12117 0 0 902133. 3121.57 0.33 0.05 0.16 -1 -1 0.33 0.017209 0.0152973 55 21 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 8.20 vpr 65.18 MiB -1 -1 0.17 20568 1 0.03 -1 -1 33960 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 370 297 1 180 81 17 17 289 -1 unnamed_device 26.8 MiB 1.17 766 10931 4537 5938 456 65.2 MiB 0.08 0.00 2.6254 -93.1189 -2.6254 2.6254 0.97 0.000509819 0.000462554 0.0353875 0.0323076 46 2547 44 6.95648e+06 246087 828058. 2865.25 3.59 0.166868 0.146011 28066 200906 -1 1812 21 1581 2495 240587 60086 3.36557 3.36557 -124.579 -3.36557 0 0 1.01997e+06 3529.29 0.38 0.08 0.19 -1 -1 0.38 0.0258636 0.0229304 76 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 9.58 vpr 65.17 MiB -1 -1 0.15 20528 1 0.03 -1 -1 33984 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 338 269 1 190 78 17 17 289 -1 unnamed_device 26.8 MiB 1.95 824 12528 4284 6507 1737 65.2 MiB 0.09 0.00 3.53151 -112.935 -3.53151 3.53151 0.92 0.000434116 0.000391781 0.0372548 0.0338472 40 2720 34 6.95648e+06 202660 706193. 2443.58 4.33 0.209309 0.181403 26914 176310 -1 2190 33 1987 2708 322477 109276 3.62621 3.62621 -137.708 -3.62621 0 0 926341. 3205.33 0.35 0.12 0.15 -1 -1 0.35 0.0342812 0.0302114 79 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 6.56 vpr 64.80 MiB -1 -1 0.15 20296 1 0.03 -1 -1 33656 -1 -1 9 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 323 276 1 148 73 17 17 289 -1 unnamed_device 26.3 MiB 0.81 926 9193 2920 5081 1192 64.8 MiB 0.07 0.00 1.91376 -83.6912 -1.91376 1.91376 0.95 0.000471337 0.000428681 0.0310177 0.0283172 36 2292 30 6.95648e+06 130281 648988. 2245.63 2.51 0.129187 0.113459 26050 158493 -1 2029 19 1340 1943 206232 39799 2.26783 2.26783 -108.102 -2.26783 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0220899 0.0197639 57 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 5.75 vpr 64.46 MiB -1 -1 0.14 20012 1 0.03 -1 -1 33788 -1 -1 9 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 30 32 222 206 1 116 71 17 17 289 -1 unnamed_device 26.1 MiB 0.39 463 7955 3253 4430 272 64.5 MiB 0.05 0.00 1.85256 -62.2361 -1.85256 1.85256 0.97 0.000354805 0.000323074 0.0212358 0.0194271 38 1701 33 6.95648e+06 130281 678818. 2348.85 2.14 0.0923927 0.0807957 26626 170182 -1 1140 19 766 979 100418 22397 2.09953 2.09953 -83.2001 -2.09953 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0160914 0.0142347 43 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 14.63 vpr 64.96 MiB -1 -1 0.15 20444 1 0.03 -1 -1 33756 -1 -1 12 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 31 32 291 243 1 169 75 17 17 289 -1 unnamed_device 26.4 MiB 2.48 903 9397 3000 5648 749 65.0 MiB 0.07 0.00 3.36378 -112.731 -3.36378 3.36378 0.96 0.000438335 0.000399863 0.028059 0.0256496 38 2376 23 6.95648e+06 173708 678818. 2348.85 8.85 0.220312 0.191223 26626 170182 -1 1994 19 1468 1966 189497 37738 3.90817 3.90817 -142.643 -3.90817 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.0217081 0.0193629 69 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 8.59 vpr 65.25 MiB -1 -1 0.15 20280 1 0.03 -1 -1 33892 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 342 271 1 173 84 17 17 289 -1 unnamed_device 26.9 MiB 0.76 702 13992 5276 6845 1871 65.3 MiB 0.10 0.00 3.07689 -100.888 -3.07689 3.07689 0.96 0.000501776 0.000456109 0.0408979 0.0372585 40 2048 26 6.95648e+06 289514 706193. 2443.58 4.48 0.215766 0.187491 26914 176310 -1 1893 21 1595 2301 221103 47120 3.84716 3.84716 -135.648 -3.84716 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0253464 0.0225696 75 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 20.85 vpr 65.20 MiB -1 -1 0.16 20552 1 0.03 -1 -1 33876 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 372 300 1 197 78 17 17 289 -1 unnamed_device 26.7 MiB 1.50 847 14022 4952 6952 2118 65.2 MiB 0.11 0.00 3.8447 -111.917 -3.8447 3.8447 0.96 0.000540041 0.000491226 0.0481057 0.0438412 50 2485 44 6.95648e+06 202660 902133. 3121.57 15.85 0.312752 0.272268 28642 213929 -1 1964 23 1537 2440 251975 61289 4.49962 4.49962 -136.003 -4.49962 0 0 1.08113e+06 3740.92 0.42 0.09 0.20 -1 -1 0.42 0.0275855 0.0244356 82 59 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 5.83 vpr 64.45 MiB -1 -1 0.14 19920 1 0.03 -1 -1 34236 -1 -1 13 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 26 32 190 182 1 104 71 17 17 289 -1 unnamed_device 26.1 MiB 0.99 313 9999 4244 5041 714 64.4 MiB 0.05 0.00 1.86056 -53.5017 -1.86056 1.86056 0.95 0.000302915 0.000275742 0.0222567 0.0202513 38 1009 24 6.95648e+06 188184 678818. 2348.85 1.66 0.0906448 0.0789421 26626 170182 -1 747 20 549 650 46366 12156 1.97423 1.97423 -65.1302 -1.97423 0 0 902133. 3121.57 0.34 0.03 0.16 -1 -1 0.34 0.0140197 0.0124194 44 21 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 6.64 vpr 65.04 MiB -1 -1 0.15 20240 1 0.03 -1 -1 33884 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 285 227 1 161 79 17 17 289 -1 unnamed_device 26.5 MiB 0.84 660 8698 2943 3730 2025 65.0 MiB 0.06 0.00 3.81446 -97.2097 -3.81446 3.81446 0.95 0.000438188 0.000398935 0.0250769 0.0228889 44 2376 31 6.95648e+06 217135 787024. 2723.27 2.48 0.118854 0.104368 27778 195446 -1 1349 20 1146 1826 118557 31629 3.74672 3.74672 -118.81 -3.74672 0 0 997811. 3452.63 0.40 0.06 0.18 -1 -1 0.40 0.0211561 0.018878 66 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 5.19 vpr 64.54 MiB -1 -1 0.14 19984 1 0.03 -1 -1 33424 -1 -1 8 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 26.1 MiB 0.32 371 9012 3687 5059 266 64.5 MiB 0.05 0.00 1.77736 -57.0815 -1.77736 1.77736 0.94 0.000299327 0.000271227 0.0206464 0.0187248 36 1269 28 6.95648e+06 115805 648988. 2245.63 1.72 0.0931085 0.0811956 26050 158493 -1 969 19 657 773 67117 17095 2.01818 2.01818 -75.1981 -2.01818 0 0 828058. 2865.25 0.34 0.04 0.15 -1 -1 0.34 0.0133745 0.011852 42 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 9.82 vpr 65.20 MiB -1 -1 0.16 20340 1 0.03 -1 -1 33924 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 300 245 1 165 79 17 17 289 -1 unnamed_device 26.7 MiB 1.11 957 14444 5407 7273 1764 65.2 MiB 0.10 0.00 3.51735 -103.645 -3.51735 3.51735 0.97 0.000463088 0.000416709 0.0416005 0.0379553 36 2691 41 6.95648e+06 217135 648988. 2245.63 5.40 0.164759 0.144639 26050 158493 -1 2109 19 1305 2072 208487 41234 4.07236 4.07236 -128.811 -4.07236 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0217223 0.0194517 68 21 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 8.68 vpr 65.21 MiB -1 -1 0.16 20392 1 0.03 -1 -1 34024 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 26.6 MiB 0.60 712 11803 4315 5662 1826 65.2 MiB 0.08 0.00 2.4561 -81.7184 -2.4561 2.4561 0.98 0.000466846 0.000422713 0.0314689 0.0286528 44 2149 27 6.95648e+06 303989 787024. 2723.27 4.67 0.2048 0.177766 27778 195446 -1 1687 22 1403 2157 147331 34733 3.18412 3.18412 -110.815 -3.18412 0 0 997811. 3452.63 0.39 0.06 0.19 -1 -1 0.39 0.0224871 0.0198566 74 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 9.12 vpr 65.29 MiB -1 -1 0.16 20596 1 0.03 -1 -1 33968 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 32 32 338 277 1 172 83 17 17 289 -1 unnamed_device 26.9 MiB 0.83 829 15203 6556 8070 577 65.3 MiB 0.11 0.00 3.60953 -107.489 -3.60953 3.60953 0.98 0.000498549 0.000452389 0.0435546 0.0396105 46 2454 23 6.95648e+06 275038 828058. 2865.25 4.84 0.207344 0.179877 28066 200906 -1 1786 21 1190 1929 126676 29656 3.92242 3.92242 -127.221 -3.92242 0 0 1.01997e+06 3529.29 0.39 0.06 0.19 -1 -1 0.39 0.0247181 0.0219779 72 47 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 9.27 vpr 65.07 MiB -1 -1 0.14 20176 1 0.03 -1 -1 33688 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 284 241 1 141 74 17 17 289 -1 unnamed_device 26.7 MiB 1.05 564 11234 4780 6078 376 65.1 MiB 0.08 0.00 2.58755 -78.0095 -2.58755 2.58755 0.96 0.000416139 0.000378408 0.0333527 0.0304223 44 1848 28 6.95648e+06 144757 787024. 2723.27 4.91 0.195013 0.169173 27778 195446 -1 1288 22 930 1406 97879 24267 3.21722 3.21722 -109.812 -3.21722 0 0 997811. 3452.63 0.39 0.05 0.18 -1 -1 0.39 0.0213294 0.0188598 55 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 8.21 vpr 64.65 MiB -1 -1 0.15 20172 1 0.03 -1 -1 33824 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 30 32 262 227 1 134 80 17 17 289 -1 unnamed_device 26.3 MiB 0.23 509 10400 3286 5020 2094 64.6 MiB 0.06 0.00 2.86043 -79.3085 -2.86043 2.86043 0.94 0.000387828 0.000353081 0.0258655 0.0235433 48 1164 21 6.95648e+06 260562 865456. 2994.66 4.65 0.13762 0.119312 28354 207349 -1 988 22 797 1174 95158 25461 3.25942 3.25942 -99.7984 -3.25942 0 0 1.05005e+06 3633.38 0.41 0.05 0.19 -1 -1 0.41 0.0202022 0.0179355 57 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 8.78 vpr 64.82 MiB -1 -1 0.15 20144 1 0.03 -1 -1 33836 -1 -1 16 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 28 32 260 223 1 135 76 17 17 289 -1 unnamed_device 26.2 MiB 0.55 454 11276 4681 5830 765 64.8 MiB 0.07 0.00 2.5594 -74.4713 -2.5594 2.5594 0.94 0.000404273 0.000368589 0.0298032 0.0271871 44 1804 49 6.95648e+06 231611 787024. 2723.27 4.95 0.213706 0.185371 27778 195446 -1 1287 18 950 1456 106263 27477 3.14492 3.14492 -103.577 -3.14492 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0168537 0.0149428 57 27 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 6.34 vpr 64.95 MiB -1 -1 0.14 20152 1 0.03 -1 -1 33620 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 26.6 MiB 0.51 547 8444 3421 4696 327 64.9 MiB 0.06 0.00 2.79923 -88.9447 -2.79923 2.79923 0.95 0.000411427 0.000375055 0.0238744 0.0218274 44 2021 42 6.95648e+06 144757 787024. 2723.27 2.51 0.121224 0.106139 27778 195446 -1 1310 21 1150 1618 158205 50727 2.84242 2.84242 -104.722 -2.84242 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0200139 0.0177376 58 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 6.82 vpr 64.82 MiB -1 -1 0.16 20280 1 0.03 -1 -1 33580 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 271 231 1 143 82 17 17 289 -1 unnamed_device 26.4 MiB 0.44 621 10050 4109 5619 322 64.8 MiB 0.07 0.00 2.57678 -82.6789 -2.57678 2.57678 0.96 0.000425386 0.000387894 0.0256925 0.0234267 36 2437 37 6.95648e+06 275038 648988. 2245.63 3.12 0.114431 0.0998143 26050 158493 -1 1793 23 1251 1905 163388 36080 3.24657 3.24657 -114.44 -3.24657 0 0 828058. 2865.25 0.34 0.06 0.15 -1 -1 0.34 0.0211911 0.0187061 61 26 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 13.08 vpr 65.02 MiB -1 -1 0.16 20336 1 0.03 -1 -1 33744 -1 -1 12 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 29 32 291 250 1 148 73 17 17 289 -1 unnamed_device 26.5 MiB 1.26 651 12993 5578 6861 554 65.0 MiB 0.09 0.00 2.4721 -85.1338 -2.4721 2.4721 0.98 0.000428884 0.000390662 0.0389915 0.035555 40 1849 19 6.95648e+06 173708 706193. 2443.58 8.49 0.234912 0.204045 26914 176310 -1 1684 19 1089 1475 125494 28475 2.48767 2.48767 -102 -2.48767 0 0 926341. 3205.33 0.35 0.05 0.16 -1 -1 0.35 0.0201664 0.0179211 61 48 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 7.67 vpr 65.24 MiB -1 -1 0.16 20716 1 0.03 -1 -1 33784 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 367 282 1 193 85 17 17 289 -1 unnamed_device 26.7 MiB 0.90 809 13849 5162 6254 2433 65.2 MiB 0.10 0.00 3.52658 -102.24 -3.52658 3.52658 0.96 0.000519755 0.000472629 0.0423173 0.0386017 44 3191 48 6.95648e+06 303989 787024. 2723.27 3.32 0.177774 0.156856 27778 195446 -1 2008 37 1909 3126 227142 50553 4.38232 4.38232 -128.198 -4.38232 0 0 997811. 3452.63 0.38 0.10 0.18 -1 -1 0.38 0.0401831 0.0352808 84 26 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 16.15 vpr 65.54 MiB -1 -1 0.16 20868 1 0.03 -1 -1 33588 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 391 311 1 184 88 17 17 289 -1 unnamed_device 27.1 MiB 0.99 750 13933 5829 7615 489 65.5 MiB 0.10 0.00 2.75098 -96.7793 -2.75098 2.75098 0.93 0.000503707 0.000453055 0.0401677 0.0361842 40 2451 33 6.95648e+06 347416 706193. 2443.58 11.89 0.314873 0.273254 26914 176310 -1 1985 21 1827 2610 242668 54304 3.51427 3.51427 -128.223 -3.51427 0 0 926341. 3205.33 0.35 0.08 0.15 -1 -1 0.35 0.0267151 0.0237583 82 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 9.09 vpr 65.02 MiB -1 -1 0.14 20188 1 0.03 -1 -1 34048 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 31 32 279 237 1 153 74 17 17 289 -1 unnamed_device 26.5 MiB 2.04 804 9374 2347 6688 339 65.0 MiB 0.07 0.00 3.28867 -109.886 -3.28867 3.28867 0.97 0.000420216 0.000380751 0.0278044 0.0253548 36 2297 24 6.95648e+06 159232 648988. 2245.63 3.83 0.132448 0.11627 26050 158493 -1 1958 22 1342 1858 182168 36158 3.80182 3.80182 -138.446 -3.80182 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0219621 0.0194709 63 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 20.64 vpr 65.36 MiB -1 -1 0.16 20640 1 0.03 -1 -1 33976 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 31 32 370 297 1 179 79 17 17 289 -1 unnamed_device 26.9 MiB 0.86 782 13430 5739 6985 706 65.4 MiB 0.10 0.00 3.10309 -100.182 -3.10309 3.10309 0.98 0.000526954 0.0004801 0.044445 0.0405962 50 2420 45 6.95648e+06 231611 902133. 3121.57 16.32 0.334433 0.293456 28642 213929 -1 1846 25 1647 2477 238160 59072 3.40057 3.40057 -126.251 -3.40057 0 0 1.08113e+06 3740.92 0.41 0.09 0.19 -1 -1 0.41 0.0291025 0.0257599 76 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 9.91 vpr 65.23 MiB -1 -1 0.16 20776 1 0.03 -1 -1 33984 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 31 32 377 302 1 225 79 17 17 289 -1 unnamed_device 27.0 MiB 2.33 1038 12585 5323 6818 444 65.2 MiB 0.10 0.00 4.49406 -141.673 -4.49406 4.49406 0.98 0.000517039 0.000469322 0.0425609 0.0387558 46 2957 39 6.95648e+06 231611 828058. 2865.25 4.09 0.185731 0.163026 28066 200906 -1 2411 23 2036 2961 300995 60245 5.1637 5.1637 -176.797 -5.1637 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0285877 0.0253285 97 60 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 8.80 vpr 65.35 MiB -1 -1 0.15 20560 1 0.03 -1 -1 33836 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 31 32 383 305 1 204 79 17 17 289 -1 unnamed_device 26.8 MiB 2.66 864 15120 6584 7985 551 65.4 MiB 0.12 0.00 3.71649 -118.457 -3.71649 3.71649 0.97 0.000558549 0.000508632 0.0518477 0.0472871 44 2851 34 6.95648e+06 231611 787024. 2723.27 2.61 0.1662 0.147367 27778 195446 -1 2173 22 1847 2657 272045 57093 4.59311 4.59311 -151.598 -4.59311 0 0 997811. 3452.63 0.40 0.09 0.18 -1 -1 0.40 0.0280055 0.024987 88 60 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 9.32 vpr 65.21 MiB -1 -1 0.15 20492 1 0.03 -1 -1 33836 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 31 32 352 285 1 177 85 17 17 289 -1 unnamed_device 26.8 MiB 1.16 785 14965 5244 7173 2548 65.2 MiB 0.11 0.00 3.35282 -107.681 -3.35282 3.35282 0.92 0.000490427 0.000447927 0.0431932 0.0394566 46 2190 32 6.95648e+06 318465 828058. 2865.25 4.84 0.212263 0.185253 28066 200906 -1 1680 22 1304 1952 134480 30636 3.59616 3.59616 -123.771 -3.59616 0 0 1.01997e+06 3529.29 0.39 0.06 0.19 -1 -1 0.39 0.026091 0.0232575 78 51 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 9.56 vpr 65.02 MiB -1 -1 0.15 20432 1 0.03 -1 -1 34000 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 32 32 291 242 1 173 78 17 17 289 -1 unnamed_device 26.4 MiB 1.40 790 12362 4384 6114 1864 65.0 MiB 0.09 0.00 3.40898 -95.5313 -3.40898 3.40898 0.95 0.000436809 0.000397089 0.0350416 0.0319713 46 2250 23 6.95648e+06 202660 828058. 2865.25 4.77 0.180705 0.157355 28066 200906 -1 1815 25 1262 1782 143969 30449 3.72192 3.72192 -117.376 -3.72192 0 0 1.01997e+06 3529.29 0.40 0.06 0.19 -1 -1 0.40 0.0246028 0.0218097 71 24 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 10.33 vpr 65.79 MiB -1 -1 0.17 21156 1 0.03 -1 -1 34084 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 32 32 457 356 1 214 86 17 17 289 -1 unnamed_device 27.2 MiB 1.62 906 16718 5469 8712 2537 65.8 MiB 0.14 0.00 3.98456 -128.516 -3.98456 3.98456 0.94 0.000618166 0.000562473 0.0577085 0.0525525 46 2932 29 6.95648e+06 318465 828058. 2865.25 5.22 0.276483 0.241363 28066 200906 -1 2129 25 1964 2826 199367 45720 4.59501 4.59501 -161.985 -4.59501 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0343355 0.0305302 93 84 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 6.02 vpr 64.84 MiB -1 -1 0.15 20272 1 0.03 -1 -1 33512 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 31 32 261 225 1 137 78 17 17 289 -1 unnamed_device 26.5 MiB 0.86 472 10868 3817 4451 2600 64.8 MiB 0.06 0.00 2.86325 -81.3593 -2.86325 2.86325 0.96 0.000418083 0.000381468 0.0281626 0.0256297 40 1541 44 6.95648e+06 217135 706193. 2443.58 1.90 0.120461 0.104925 26914 176310 -1 1257 21 1130 1536 109204 28643 3.33287 3.33287 -104.661 -3.33287 0 0 926341. 3205.33 0.34 0.05 0.16 -1 -1 0.34 0.0191247 0.0169059 56 24 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 7.30 vpr 65.39 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33756 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 31 32 337 267 1 199 78 17 17 289 -1 unnamed_device 26.9 MiB 1.38 931 13856 5963 7458 435 65.4 MiB 0.11 0.00 3.94062 -123.085 -3.94062 3.94062 0.97 0.000494474 0.000451185 0.0444139 0.0405434 46 2695 28 6.95648e+06 217135 828058. 2865.25 2.50 0.139712 0.122774 28066 200906 -1 2094 22 1680 2429 211420 43063 4.32046 4.32046 -147.365 -4.32046 0 0 1.01997e+06 3529.29 0.39 0.08 0.19 -1 -1 0.39 0.0252589 0.022416 84 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 18.24 vpr 65.15 MiB -1 -1 0.16 20820 1 0.03 -1 -1 34052 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 349 284 1 175 81 17 17 289 -1 unnamed_device 26.7 MiB 1.16 873 15656 6805 8467 384 65.2 MiB 0.12 0.00 2.70675 -94.7044 -2.70675 2.70675 0.94 0.000509809 0.000462193 0.0471021 0.042834 38 2892 30 6.95648e+06 246087 678818. 2348.85 13.72 0.277569 0.241697 26626 170182 -1 2062 20 1584 2547 210837 45013 3.32152 3.32152 -123.054 -3.32152 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0236813 0.0210346 73 50 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 7.03 vpr 65.13 MiB -1 -1 0.13 20232 1 0.03 -1 -1 33948 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 26.6 MiB 1.14 702 9368 3293 4591 1484 65.1 MiB 0.07 0.00 3.85208 -99.8778 -3.85208 3.85208 0.94 0.00043951 0.000400224 0.0265353 0.0242087 44 2380 26 6.95648e+06 231611 787024. 2723.27 2.59 0.115795 0.101718 27778 195446 -1 1650 21 1109 1963 164260 36274 3.82682 3.82682 -122.275 -3.82682 0 0 997811. 3452.63 0.39 0.06 0.18 -1 -1 0.39 0.0220772 0.0196434 68 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 8.79 vpr 65.43 MiB -1 -1 0.15 20800 1 0.03 -1 -1 33888 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 353 287 1 185 78 17 17 289 -1 unnamed_device 27.0 MiB 2.89 810 11698 4533 5470 1695 65.4 MiB 0.09 0.00 3.53145 -110.258 -3.53145 3.53145 0.97 0.000526153 0.000479929 0.0392531 0.0358492 44 2518 45 6.95648e+06 202660 787024. 2723.27 2.50 0.15361 0.134842 27778 195446 -1 1858 20 1322 1825 120235 28433 3.76266 3.76266 -127.404 -3.76266 0 0 997811. 3452.63 0.39 0.06 0.18 -1 -1 0.39 0.0241925 0.021534 78 52 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 11.18 vpr 65.34 MiB -1 -1 0.16 20636 1 0.03 -1 -1 33892 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 32 32 361 291 1 179 81 17 17 289 -1 unnamed_device 27.0 MiB 1.96 761 10756 3778 5366 1612 65.3 MiB 0.08 0.00 2.6818 -92.9296 -2.6818 2.6818 0.92 0.000474061 0.000430125 0.0322351 0.0293373 38 2910 50 6.95648e+06 246087 678818. 2348.85 5.96 0.182697 0.159505 26626 170182 -1 2010 21 1525 2291 187064 41475 3.78062 3.78062 -125.124 -3.78062 0 0 902133. 3121.57 0.35 0.07 0.16 -1 -1 0.35 0.0253787 0.0226031 75 52 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 6.93 vpr 65.27 MiB -1 -1 0.17 20588 1 0.03 -1 -1 33604 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 382 305 1 184 90 17 17 289 -1 unnamed_device 26.8 MiB 0.95 912 16572 6299 9066 1207 65.3 MiB 0.12 0.00 3.54708 -116.83 -3.54708 3.54708 0.98 0.000545141 0.000494245 0.0461035 0.0419516 44 2436 41 6.95648e+06 376368 787024. 2723.27 2.52 0.166961 0.146897 27778 195446 -1 1888 23 1447 2082 156388 33138 3.60616 3.60616 -129.986 -3.60616 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0275246 0.0244808 83 59 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 7.34 vpr 65.02 MiB -1 -1 0.13 20312 1 0.03 -1 -1 33780 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 306 248 1 164 86 17 17 289 -1 unnamed_device 26.5 MiB 1.19 688 12371 4121 5342 2908 65.0 MiB 0.08 0.00 3.63883 -96.8071 -3.63883 3.63883 0.96 0.000474078 0.000433852 0.0334108 0.030538 44 2564 48 6.95648e+06 318465 787024. 2723.27 2.76 0.155256 0.136817 27778 195446 -1 1718 23 1275 2026 153607 36817 3.87346 3.87346 -124.314 -3.87346 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.0237761 0.0210929 69 21 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 9.51 vpr 65.12 MiB -1 -1 0.16 20316 1 0.03 -1 -1 33768 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 319 257 1 191 77 17 17 289 -1 unnamed_device 26.7 MiB 2.89 788 9694 3739 5087 868 65.1 MiB 0.07 0.00 3.53127 -106.029 -3.53127 3.53127 0.96 0.000490384 0.000444432 0.0306874 0.0279559 40 2478 32 6.95648e+06 188184 706193. 2443.58 3.30 0.148412 0.12956 26914 176310 -1 2070 20 1722 2270 187892 44804 4.34022 4.34022 -141.176 -4.34022 0 0 926341. 3205.33 0.33 0.07 0.16 -1 -1 0.33 0.0224912 0.0200348 79 26 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 9.88 vpr 65.45 MiB -1 -1 0.17 20456 1 0.03 -1 -1 33748 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 31 32 373 299 1 194 78 17 17 289 -1 unnamed_device 26.9 MiB 1.62 861 10702 4450 5852 400 65.5 MiB 0.09 0.00 3.78527 -117.676 -3.78527 3.78527 0.96 0.000524889 0.000476992 0.0365624 0.033354 40 3187 34 6.95648e+06 217135 706193. 2443.58 4.87 0.19346 0.170567 26914 176310 -1 2666 22 2006 3219 350443 75949 4.83522 4.83522 -153.949 -4.83522 0 0 926341. 3205.33 0.36 0.11 0.16 -1 -1 0.36 0.0295029 0.0262622 85 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 9.77 vpr 65.28 MiB -1 -1 0.16 20396 1 0.03 -1 -1 33936 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 387 315 1 182 77 17 17 289 -1 unnamed_device 26.9 MiB 2.68 833 11813 4936 6458 419 65.3 MiB 0.09 0.00 3.495 -109.002 -3.495 3.495 0.97 0.000518983 0.000472432 0.0420168 0.0382932 46 3112 29 6.95648e+06 188184 828058. 2865.25 3.68 0.178886 0.157483 28066 200906 -1 2119 22 1557 2596 192962 44663 3.94132 3.94132 -135.141 -3.94132 0 0 1.01997e+06 3529.29 0.39 0.07 0.18 -1 -1 0.39 0.0271592 0.0240503 76 74 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 5.67 vpr 64.75 MiB -1 -1 0.12 20468 1 0.03 -1 -1 33432 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 251 219 1 136 82 17 17 289 -1 unnamed_device 26.2 MiB 0.27 615 12898 5353 7173 372 64.8 MiB 0.08 0.00 2.50468 -77.473 -2.50468 2.50468 0.94 0.000421125 0.00038343 0.0305718 0.0278594 38 1795 27 6.95648e+06 260562 678818. 2348.85 2.18 0.11268 0.0990723 26626 170182 -1 1523 23 1261 1912 159710 34806 3.34077 3.34077 -104.266 -3.34077 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0202014 0.0177818 57 20 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 7.93 vpr 65.31 MiB -1 -1 0.14 20528 1 0.03 -1 -1 33456 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 341 285 1 181 76 17 17 289 -1 unnamed_device 26.9 MiB 1.54 697 9516 3957 5288 271 65.3 MiB 0.07 0.00 3.24955 -114.323 -3.24955 3.24955 0.94 0.000467726 0.00042318 0.0314877 0.028647 40 2649 44 6.95648e+06 173708 706193. 2443.58 3.05 0.161479 0.140748 26914 176310 -1 2098 36 2440 3489 410053 116670 3.82682 3.82682 -145.22 -3.82682 0 0 926341. 3205.33 0.35 0.14 0.17 -1 -1 0.35 0.0353768 0.0311337 76 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 10.54 vpr 65.56 MiB -1 -1 0.16 20512 1 0.03 -1 -1 33772 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 387 293 1 225 80 17 17 289 -1 unnamed_device 26.9 MiB 2.20 1301 12120 4478 6062 1580 65.6 MiB 0.11 0.00 4.02972 -131.543 -4.02972 4.02972 0.98 0.000516987 0.000467788 0.0413356 0.0376409 44 3466 35 6.95648e+06 231611 787024. 2723.27 4.81 0.191805 0.168855 27778 195446 -1 2774 22 2215 3389 331580 64828 4.60236 4.60236 -161.758 -4.60236 0 0 997811. 3452.63 0.41 0.11 0.18 -1 -1 0.41 0.0316621 0.0284013 97 28 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 9.43 vpr 65.00 MiB -1 -1 0.15 20380 1 0.03 -1 -1 33796 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 340 270 1 175 81 17 17 289 -1 unnamed_device 26.7 MiB 0.91 697 15306 6645 8045 616 65.0 MiB 0.11 0.00 3.78211 -117.569 -3.78211 3.78211 0.96 0.000444484 0.000401048 0.0445329 0.0404977 44 2358 37 6.95648e+06 246087 787024. 2723.27 5.12 0.246436 0.213987 27778 195446 -1 1602 21 1423 1864 156768 34372 3.61816 3.61816 -132.124 -3.61816 0 0 997811. 3452.63 0.38 0.07 0.18 -1 -1 0.38 0.0250324 0.022372 74 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 6.36 vpr 65.06 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33540 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 30 32 278 235 1 143 82 17 17 289 -1 unnamed_device 26.5 MiB 0.59 641 12364 5137 6738 489 65.1 MiB 0.08 0.00 2.44995 -80.8493 -2.44995 2.44995 0.99 0.000408784 0.000371904 0.0317695 0.0289641 44 1955 28 6.95648e+06 289514 787024. 2723.27 2.38 0.135954 0.119584 27778 195446 -1 1401 21 1061 1550 109241 25294 3.04982 3.04982 -104.978 -3.04982 0 0 997811. 3452.63 0.38 0.05 0.19 -1 -1 0.38 0.0205342 0.018208 62 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 8.47 vpr 65.75 MiB -1 -1 0.17 20876 1 0.03 -1 -1 33648 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67332 32 32 431 332 1 224 79 17 17 289 -1 unnamed_device 27.4 MiB 1.83 1093 14444 4851 7545 2048 65.8 MiB 0.13 0.00 4.99359 -148.63 -4.99359 4.99359 0.97 0.000596507 0.000538956 0.0547813 0.0499169 46 2979 25 6.95648e+06 217135 828058. 2865.25 3.11 0.205092 0.181526 28066 200906 -1 2376 22 1980 2932 229262 47119 5.05225 5.05225 -167.912 -5.05225 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0315611 0.0280706 95 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 6.88 vpr 65.17 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33472 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 336 268 1 169 87 17 17 289 -1 unnamed_device 26.8 MiB 1.27 728 9879 2703 5263 1913 65.2 MiB 0.07 0.00 3.8241 -107.1 -3.8241 3.8241 0.97 0.000492923 0.000447647 0.028177 0.0257281 40 2157 44 6.95648e+06 332941 706193. 2443.58 2.25 0.160703 0.140011 26914 176310 -1 1739 22 1394 2073 177511 38703 3.78602 3.78602 -128.672 -3.78602 0 0 926341. 3205.33 0.35 0.07 0.17 -1 -1 0.35 0.0245549 0.0217667 74 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 6.50 vpr 64.89 MiB -1 -1 0.14 20460 1 0.03 -1 -1 33636 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 26.3 MiB 0.28 491 9857 3051 5184 1622 64.9 MiB 0.06 0.00 2.5344 -75.6616 -2.5344 2.5344 0.95 0.00039363 0.000359625 0.0247977 0.0225202 48 1281 38 6.95648e+06 188184 865456. 2994.66 2.86 0.125438 0.109782 28354 207349 -1 1052 21 923 1407 153829 57451 3.16047 3.16047 -93.465 -3.16047 0 0 1.05005e+06 3633.38 0.42 0.06 0.20 -1 -1 0.42 0.018073 0.0159722 51 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 9.23 vpr 65.34 MiB -1 -1 0.15 20580 1 0.03 -1 -1 33760 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 349 273 1 184 88 17 17 289 -1 unnamed_device 26.9 MiB 0.56 1009 11398 4579 5536 1283 65.3 MiB 0.09 0.00 4.09207 -110.099 -4.09207 4.09207 0.95 0.000482308 0.000437833 0.0326551 0.0297521 44 2760 26 6.95648e+06 347416 787024. 2723.27 5.31 0.222055 0.193518 27778 195446 -1 2157 22 1528 2825 249874 48680 4.58521 4.58521 -134.803 -4.58521 0 0 997811. 3452.63 0.38 0.08 0.18 -1 -1 0.38 0.0250373 0.0221635 80 26 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 9.22 vpr 64.94 MiB -1 -1 0.14 20328 1 0.03 -1 -1 33852 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 26.6 MiB 1.15 494 10702 3609 4745 2348 64.9 MiB 0.07 0.00 2.6034 -82.9158 -2.6034 2.6034 0.97 0.000381624 0.000345721 0.0277776 0.0253585 44 1602 25 6.95648e+06 202660 787024. 2723.27 4.75 0.168057 0.145732 27778 195446 -1 1095 24 1189 1651 99668 25241 2.95567 2.95567 -100.179 -2.95567 0 0 997811. 3452.63 0.40 0.05 0.18 -1 -1 0.40 0.0207614 0.0182668 57 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 8.58 vpr 64.66 MiB -1 -1 0.15 20384 1 0.03 -1 -1 33756 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 30 32 278 235 1 144 79 17 17 289 -1 unnamed_device 26.2 MiB 0.97 563 9205 3754 5062 389 64.7 MiB 0.07 0.00 2.93563 -88.1206 -2.93563 2.93563 0.99 0.000449991 0.000411532 0.0256908 0.0235091 40 1685 21 6.95648e+06 246087 706193. 2443.58 4.27 0.158212 0.13684 26914 176310 -1 1550 21 1136 1684 151494 33344 3.14947 3.14947 -107.191 -3.14947 0 0 926341. 3205.33 0.37 0.06 0.16 -1 -1 0.37 0.0212944 0.0189171 60 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 8.77 vpr 65.26 MiB -1 -1 0.17 20492 1 0.03 -1 -1 34068 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 29 32 355 287 1 186 77 17 17 289 -1 unnamed_device 26.8 MiB 1.64 837 13769 6011 7203 555 65.3 MiB 0.11 0.00 3.16908 -99.2771 -3.16908 3.16908 0.97 0.000510873 0.000467349 0.0451123 0.0412563 40 2823 25 6.95648e+06 231611 706193. 2443.58 3.70 0.168387 0.148125 26914 176310 -1 2422 21 1982 2927 278937 58854 4.19166 4.19166 -138.015 -4.19166 0 0 926341. 3205.33 0.35 0.09 0.16 -1 -1 0.35 0.0253984 0.0225842 80 56 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 7.72 vpr 65.07 MiB -1 -1 0.15 20580 1 0.03 -1 -1 33988 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 358 289 1 173 80 17 17 289 -1 unnamed_device 26.7 MiB 1.40 606 13324 4333 6357 2634 65.1 MiB 0.09 0.00 3.91028 -109.378 -3.91028 3.91028 0.97 0.000520899 0.000475011 0.0424432 0.0387756 46 2105 36 6.95648e+06 231611 828058. 2865.25 2.83 0.177741 0.156291 28066 200906 -1 1652 37 2019 2893 206083 52759 4.18822 4.18822 -139.379 -4.18822 0 0 1.01997e+06 3529.29 0.41 0.10 0.19 -1 -1 0.41 0.0382213 0.0335725 72 51 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 8.53 vpr 65.34 MiB -1 -1 0.15 20664 1 0.03 -1 -1 33548 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 353 285 1 178 78 17 17 289 -1 unnamed_device 26.9 MiB 2.11 726 13192 5553 7168 471 65.3 MiB 0.10 0.00 3.66779 -114.583 -3.66779 3.66779 0.95 0.000504891 0.000456826 0.0428815 0.0390032 46 2453 41 6.95648e+06 202660 828058. 2865.25 3.02 0.182017 0.160173 28066 200906 -1 1813 23 1325 1984 141018 32701 4.45516 4.45516 -139.816 -4.45516 0 0 1.01997e+06 3529.29 0.40 0.07 0.19 -1 -1 0.40 0.0264707 0.0235304 73 48 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 9.12 vpr 65.03 MiB -1 -1 0.15 20480 1 0.03 -1 -1 33576 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 276 237 1 155 74 17 17 289 -1 unnamed_device 26.6 MiB 3.26 691 10459 4366 5768 325 65.0 MiB 0.07 0.00 3.30448 -104.445 -3.30448 3.30448 0.98 0.000419185 0.000382425 0.0303892 0.0277029 44 1994 46 6.95648e+06 144757 787024. 2723.27 2.50 0.137514 0.121136 27778 195446 -1 1563 20 1128 1474 105558 24349 3.74352 3.74352 -129.058 -3.74352 0 0 997811. 3452.63 0.40 0.05 0.18 -1 -1 0.40 0.020227 0.0180215 61 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 7.49 vpr 65.08 MiB -1 -1 0.16 20648 1 0.03 -1 -1 33988 -1 -1 12 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 31 32 319 272 1 165 75 17 17 289 -1 unnamed_device 26.6 MiB 2.17 628 11925 5013 6568 344 65.1 MiB 0.09 0.00 3.15532 -100.374 -3.15532 3.15532 0.95 0.000451819 0.000410473 0.037012 0.0337624 42 2314 25 6.95648e+06 173708 744469. 2576.02 1.97 0.144073 0.126329 27202 183097 -1 1645 22 1347 1965 164420 36812 3.32487 3.32487 -123.573 -3.32487 0 0 949917. 3286.91 0.38 0.07 0.17 -1 -1 0.38 0.0236707 0.0210165 68 60 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 6.43 vpr 65.31 MiB -1 -1 0.13 20536 1 0.03 -1 -1 33796 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 30 32 329 273 1 160 84 17 17 289 -1 unnamed_device 26.6 MiB 0.84 618 11430 3607 5327 2496 65.3 MiB 0.07 0.00 2.4971 -76.2077 -2.4971 2.4971 0.94 0.000439215 0.000402304 0.0307882 0.0279052 46 1930 32 6.95648e+06 318465 828058. 2865.25 2.30 0.146736 0.12818 28066 200906 -1 1387 20 1068 1653 108719 26519 2.85337 2.85337 -94.9484 -2.85337 0 0 1.01997e+06 3529.29 0.39 0.05 0.18 -1 -1 0.39 0.0213167 0.0189037 71 52 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 8.20 vpr 65.07 MiB -1 -1 0.16 20256 1 0.03 -1 -1 33728 -1 -1 28 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 28 32 277 229 1 155 88 17 17 289 -1 unnamed_device 26.5 MiB 0.61 783 10813 4174 5490 1149 65.1 MiB 0.07 0.00 3.09514 -86.8271 -3.09514 3.09514 0.95 0.000409994 0.000371254 0.0252664 0.0230059 44 1843 42 6.95648e+06 405319 787024. 2723.27 4.27 0.18145 0.157121 27778 195446 -1 1539 20 974 1605 115439 23566 3.27956 3.27956 -102.872 -3.27956 0 0 997811. 3452.63 0.39 0.05 0.18 -1 -1 0.39 0.0195166 0.0172999 72 20 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 6.16 vpr 64.90 MiB -1 -1 0.16 20204 1 0.03 -1 -1 33412 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 30 32 317 269 1 149 74 17 17 289 -1 unnamed_device 26.4 MiB 0.85 579 11234 4744 6037 453 64.9 MiB 0.08 0.00 2.79633 -89.7531 -2.79633 2.79633 0.97 0.000463286 0.000420936 0.0358388 0.032711 38 1989 30 6.95648e+06 173708 678818. 2348.85 2.01 0.148663 0.130103 26626 170182 -1 1444 23 1391 1959 134947 31908 3.12912 3.12912 -111.187 -3.12912 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0237824 0.0209779 60 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 8.44 vpr 65.26 MiB -1 -1 0.15 20620 1 0.03 -1 -1 33756 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 335 282 1 178 75 17 17 289 -1 unnamed_device 26.7 MiB 1.70 654 12873 4787 5987 2099 65.3 MiB 0.09 0.00 2.80395 -97.9853 -2.80395 2.80395 0.98 0.000458796 0.00041758 0.0416631 0.0379204 50 1972 35 6.95648e+06 159232 902133. 3121.57 3.29 0.162405 0.14231 28642 213929 -1 1591 21 1391 2030 142295 35044 3.51016 3.51016 -129.728 -3.51016 0 0 1.08113e+06 3740.92 0.41 0.06 0.20 -1 -1 0.41 0.0241686 0.0215449 72 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 7.01 vpr 65.07 MiB -1 -1 0.16 20056 1 0.03 -1 -1 34056 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 26.5 MiB 0.47 714 12951 4459 6132 2360 65.1 MiB 0.08 0.00 3.89128 -102.001 -3.89128 3.89128 0.93 0.0004252 0.000386632 0.0319909 0.0290941 46 2348 36 6.95648e+06 347416 828058. 2865.25 3.15 0.156133 0.137132 28066 200906 -1 1574 32 1330 2242 267076 112694 3.90317 3.90317 -118.696 -3.90317 0 0 1.01997e+06 3529.29 0.41 0.11 0.18 -1 -1 0.41 0.031266 0.0275286 74 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 8.13 vpr 65.31 MiB -1 -1 0.15 20632 1 0.03 -1 -1 33776 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 350 275 1 196 77 17 17 289 -1 unnamed_device 26.9 MiB 1.75 858 12628 5370 6844 414 65.3 MiB 0.10 0.00 3.94537 -126.254 -3.94537 3.94537 0.99 0.000479883 0.000436132 0.0438306 0.0399653 50 2876 25 6.95648e+06 188184 902133. 3121.57 2.91 0.171027 0.151007 28642 213929 -1 2343 21 1673 2455 235804 51349 4.40486 4.40486 -155.605 -4.40486 0 0 1.08113e+06 3740.92 0.42 0.08 0.20 -1 -1 0.42 0.0257669 0.0230413 82 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 9.03 vpr 65.41 MiB -1 -1 0.15 20460 1 0.03 -1 -1 33940 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66980 32 32 385 308 1 179 88 17 17 289 -1 unnamed_device 27.0 MiB 1.40 969 16273 5452 8511 2310 65.4 MiB 0.12 0.00 3.62123 -113.1 -3.62123 3.62123 0.91 0.000557195 0.000504968 0.0482996 0.0438269 40 2673 33 6.95648e+06 347416 706193. 2443.58 4.29 0.208518 0.184806 26914 176310 -1 2301 23 1478 2450 316187 67926 4.18746 4.18746 -144.97 -4.18746 0 0 926341. 3205.33 0.36 0.10 0.16 -1 -1 0.36 0.0308272 0.0274325 80 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 10.07 vpr 65.50 MiB -1 -1 0.16 20800 1 0.03 -1 -1 33644 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 387 309 1 182 87 17 17 289 -1 unnamed_device 27.1 MiB 1.03 926 12183 4866 6701 616 65.5 MiB 0.10 0.00 3.42782 -114.006 -3.42782 3.42782 0.95 0.000620455 0.000569605 0.0359793 0.0326288 46 3043 48 6.95648e+06 332941 828058. 2865.25 5.65 0.239978 0.208689 28066 200906 -1 2266 20 1605 2712 224832 45569 4.05246 4.05246 -144.058 -4.05246 0 0 1.01997e+06 3529.29 0.39 0.08 0.19 -1 -1 0.39 0.0258879 0.0230235 80 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 6.73 vpr 64.88 MiB -1 -1 0.16 20264 1 0.03 -1 -1 34088 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 30 32 272 232 1 142 74 17 17 289 -1 unnamed_device 26.4 MiB 0.90 546 10304 3573 4673 2058 64.9 MiB 0.07 0.00 3.22376 -89.0525 -3.22376 3.22376 0.95 0.000423576 0.000372789 0.0296398 0.0270984 38 1898 25 6.95648e+06 173708 678818. 2348.85 2.56 0.131622 0.115494 26626 170182 -1 1418 23 1195 1873 148814 32243 3.13482 3.13482 -107.911 -3.13482 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0216359 0.0192017 57 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 9.69 vpr 65.47 MiB -1 -1 0.17 20488 1 0.03 -1 -1 33940 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 30 32 375 299 1 179 76 17 17 289 -1 unnamed_device 27.0 MiB 1.01 630 10956 4606 5679 671 65.5 MiB 0.08 0.00 3.71763 -111.147 -3.71763 3.71763 0.98 0.000515555 0.000467285 0.0390631 0.0356122 50 1913 28 6.95648e+06 202660 902133. 3121.57 5.20 0.222167 0.193475 28642 213929 -1 1583 22 1748 2463 173069 42874 4.11166 4.11166 -132.96 -4.11166 0 0 1.08113e+06 3740.92 0.42 0.07 0.20 -1 -1 0.42 0.026689 0.0237239 76 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 21.10 vpr 65.30 MiB -1 -1 0.15 20436 1 0.03 -1 -1 33780 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 340 270 1 193 78 17 17 289 -1 unnamed_device 26.9 MiB 1.56 825 11698 4924 6293 481 65.3 MiB 0.09 0.00 4.0079 -119.402 -4.0079 4.0079 0.93 0.000490119 0.000445068 0.0367643 0.0335915 46 2814 43 6.95648e+06 202660 828058. 2865.25 16.21 0.293256 0.255618 28066 200906 -1 2001 21 1612 2584 222065 49068 4.54461 4.54461 -144.48 -4.54461 0 0 1.01997e+06 3529.29 0.38 0.08 0.19 -1 -1 0.38 0.0242805 0.0215798 80 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 18.90 vpr 65.19 MiB -1 -1 0.16 20680 1 0.03 -1 -1 33888 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 31 32 340 275 1 187 77 17 17 289 -1 unnamed_device 26.8 MiB 2.32 876 10183 4265 5558 360 65.2 MiB 0.08 0.00 4.77836 -130.82 -4.77836 4.77836 0.97 0.000501817 0.000456682 0.0341669 0.031246 40 2497 23 6.95648e+06 202660 706193. 2443.58 13.20 0.281218 0.245097 26914 176310 -1 2270 20 1459 2160 237380 51718 4.66051 4.66051 -153.754 -4.66051 0 0 926341. 3205.33 0.36 0.08 0.17 -1 -1 0.36 0.0252021 0.022515 79 43 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 9.46 vpr 65.31 MiB -1 -1 0.18 20652 1 0.03 -1 -1 34016 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 30 32 377 310 1 170 83 17 17 289 -1 unnamed_device 26.9 MiB 1.76 833 15023 6429 8046 548 65.3 MiB 0.11 0.00 3.74802 -117.262 -3.74802 3.74802 0.94 0.000520997 0.000474711 0.0458971 0.0418569 38 2617 29 6.95648e+06 303989 678818. 2348.85 4.35 0.178921 0.157754 26626 170182 -1 2104 21 1299 1926 171214 36181 4.31236 4.31236 -150.477 -4.31236 0 0 902133. 3121.57 0.35 0.07 0.16 -1 -1 0.35 0.0255461 0.0226574 74 78 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 8.67 vpr 65.11 MiB -1 -1 0.16 20880 1 0.03 -1 -1 33808 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 365 294 1 177 77 17 17 289 -1 unnamed_device 26.7 MiB 1.21 725 9368 3271 4251 1846 65.1 MiB 0.07 0.00 3.77393 -114.341 -3.77393 3.77393 0.97 0.000513855 0.000467736 0.0323405 0.0295041 48 2651 32 6.95648e+06 188184 865456. 2994.66 4.03 0.165783 0.145525 28354 207349 -1 1915 18 1480 2425 235058 53004 4.11146 4.11146 -146.2 -4.11146 0 0 1.05005e+06 3633.38 0.41 0.08 0.19 -1 -1 0.41 0.0239784 0.021488 72 54 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 13.18 vpr 65.37 MiB -1 -1 0.17 20800 1 0.03 -1 -1 34008 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 29 32 378 310 1 170 77 17 17 289 -1 unnamed_device 26.9 MiB 1.24 685 9531 3728 4876 927 65.4 MiB 0.08 0.00 3.26967 -101.645 -3.26967 3.26967 0.95 0.000518236 0.000471267 0.033404 0.030501 38 2686 27 6.95648e+06 231611 678818. 2348.85 8.65 0.253495 0.220352 26626 170182 -1 1895 22 1421 2087 191242 42299 3.80202 3.80202 -132.153 -3.80202 0 0 902133. 3121.57 0.35 0.07 0.15 -1 -1 0.35 0.0247672 0.0217891 73 79 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 8.23 vpr 64.83 MiB -1 -1 0.15 20452 1 0.03 -1 -1 33768 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 243 205 1 139 74 17 17 289 -1 unnamed_device 26.3 MiB 0.98 655 9529 3529 5033 967 64.8 MiB 0.06 0.00 2.91658 -88.9369 -2.91658 2.91658 0.94 0.000387954 0.000353204 0.0261108 0.0238455 40 1765 22 6.95648e+06 144757 706193. 2443.58 4.05 0.143668 0.124375 26914 176310 -1 1612 19 1082 1614 160464 33313 3.27042 3.27042 -113.669 -3.27042 0 0 926341. 3205.33 0.34 0.06 0.16 -1 -1 0.34 0.0175577 0.0156463 53 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 20.72 vpr 65.21 MiB -1 -1 0.15 20484 1 0.03 -1 -1 33888 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 373 302 1 174 87 17 17 289 -1 unnamed_device 26.8 MiB 3.28 821 15063 5515 6831 2717 65.2 MiB 0.11 0.00 3.91556 -109.001 -3.91556 3.91556 0.94 0.000524658 0.000478076 0.0448732 0.0409033 40 2670 45 6.95648e+06 332941 706193. 2443.58 14.00 0.31547 0.274013 26914 176310 -1 2141 30 1715 2819 406909 128640 4.2433 4.2433 -141.702 -4.2433 0 0 926341. 3205.33 0.37 0.15 0.16 -1 -1 0.37 0.0366104 0.032339 76 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 8.62 vpr 65.60 MiB -1 -1 0.14 20400 1 0.03 -1 -1 33948 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 397 314 1 188 77 17 17 289 -1 unnamed_device 27.1 MiB 0.66 756 8390 2985 3891 1514 65.6 MiB 0.07 0.00 3.49708 -113.705 -3.49708 3.49708 0.92 0.000501105 0.000452266 0.0302969 0.0275665 54 2258 37 6.95648e+06 188184 949917. 3286.91 4.60 0.217163 0.187562 29506 232905 -1 1653 20 1619 2381 167214 42610 4.13672 4.13672 -142.863 -4.13672 0 0 1.17392e+06 4061.99 0.46 0.07 0.21 -1 -1 0.46 0.0257923 0.0229669 78 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 16.41 vpr 64.94 MiB -1 -1 0.15 20336 1 0.03 -1 -1 33920 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 269 231 1 166 75 17 17 289 -1 unnamed_device 26.4 MiB 1.71 764 12399 5479 6607 313 64.9 MiB 0.08 0.00 3.28067 -100.55 -3.28067 3.28067 0.95 0.000419529 0.000382919 0.034353 0.0313479 40 2149 31 6.95648e+06 159232 706193. 2443.58 11.43 0.237478 0.206917 26914 176310 -1 1851 21 1291 1610 162419 37705 3.56242 3.56242 -124.245 -3.56242 0 0 926341. 3205.33 0.35 0.06 0.17 -1 -1 0.35 0.0201878 0.0179053 68 26 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 8.04 vpr 64.66 MiB -1 -1 0.14 20292 1 0.03 -1 -1 33888 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 26.3 MiB 1.28 516 12236 4558 5320 2358 64.7 MiB 0.07 0.00 2.78823 -84.0827 -2.78823 2.78823 0.97 0.000389476 0.000354511 0.031779 0.0289949 40 1745 42 6.95648e+06 188184 706193. 2443.58 3.51 0.145953 0.128486 26914 176310 -1 1410 22 1296 1782 164249 39759 3.23432 3.23432 -114.789 -3.23432 0 0 926341. 3205.33 0.34 0.06 0.15 -1 -1 0.34 0.0192924 0.0170828 57 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 7.82 vpr 65.38 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33516 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66952 32 32 348 274 1 202 79 17 17 289 -1 unnamed_device 26.9 MiB 1.71 789 12416 5201 6749 466 65.4 MiB 0.10 0.00 3.75407 -122.757 -3.75407 3.75407 0.94 0.000515943 0.000469442 0.0401075 0.0365766 44 3303 43 6.95648e+06 217135 787024. 2723.27 2.69 0.158436 0.138784 27778 195446 -1 2001 20 1701 2309 175121 39473 4.30641 4.30641 -147.362 -4.30641 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.0239191 0.0213191 85 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 6.99 vpr 64.98 MiB -1 -1 0.17 20644 1 0.03 -1 -1 33960 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 356 289 1 196 78 17 17 289 -1 unnamed_device 26.6 MiB 1.18 781 11698 4906 6346 446 65.0 MiB 0.09 0.00 4.05782 -121.625 -4.05782 4.05782 0.97 0.000510136 0.00046362 0.0389118 0.0355466 46 2670 38 6.95648e+06 202660 828058. 2865.25 2.37 0.153546 0.134911 28066 200906 -1 1903 30 1859 2643 273548 80339 4.83876 4.83876 -155.206 -4.83876 0 0 1.01997e+06 3529.29 0.39 0.10 0.19 -1 -1 0.39 0.0319188 0.0281032 82 53 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 6.21 vpr 65.37 MiB -1 -1 0.16 20772 1 0.03 -1 -1 33836 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66936 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.9 MiB 0.51 862 15656 6438 7724 1494 65.4 MiB 0.12 0.00 4.06272 -117.727 -4.06272 4.06272 0.95 0.000518299 0.00047184 0.0501348 0.0457198 48 2780 29 6.95648e+06 246087 865456. 2994.66 2.25 0.152064 0.134145 28354 207349 -1 2032 21 1821 2937 231206 53492 4.72236 4.72236 -149.788 -4.72236 0 0 1.05005e+06 3633.38 0.41 0.08 0.19 -1 -1 0.41 0.026663 0.023814 83 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 8.55 vpr 65.11 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33792 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 30 32 316 264 1 159 83 17 17 289 -1 unnamed_device 26.5 MiB 0.95 632 11963 3545 6042 2376 65.1 MiB 0.08 0.00 2.83043 -79.56 -2.83043 2.83043 0.97 0.000471541 0.000430225 0.0331392 0.0302174 40 1875 25 6.95648e+06 303989 706193. 2443.58 4.26 0.183196 0.158532 26914 176310 -1 1551 25 1438 2241 167582 38702 3.14017 3.14017 -105.094 -3.14017 0 0 926341. 3205.33 0.35 0.07 0.17 -1 -1 0.35 0.0251477 0.022181 69 47 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 5.87 vpr 64.85 MiB -1 -1 0.16 20496 1 0.03 -1 -1 34288 -1 -1 14 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 27 32 255 219 1 130 73 17 17 289 -1 unnamed_device 26.3 MiB 0.55 469 8889 3709 4674 506 64.9 MiB 0.06 0.00 2.4231 -73.3364 -2.4231 2.4231 0.95 0.000395281 0.000361451 0.0249043 0.022719 36 1601 31 6.95648e+06 202660 648988. 2245.63 2.14 0.121919 0.106152 26050 158493 -1 1245 18 923 1130 89155 20671 3.02397 3.02397 -97.6379 -3.02397 0 0 828058. 2865.25 0.32 0.04 0.15 -1 -1 0.32 0.017493 0.0154293 54 26 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 8.70 vpr 65.79 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33956 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 32 32 421 327 1 224 80 17 17 289 -1 unnamed_device 27.3 MiB 1.39 958 15732 6790 8189 753 65.8 MiB 0.14 0.00 3.20225 -108.346 -3.20225 3.20225 0.98 0.000579778 0.000528123 0.0569393 0.0519736 54 2925 44 6.95648e+06 231611 949917. 3286.91 3.69 0.221495 0.195632 29506 232905 -1 2080 21 1927 3030 215982 51504 3.97732 3.97732 -134.461 -3.97732 0 0 1.17392e+06 4061.99 0.44 0.08 0.22 -1 -1 0.44 0.0290559 0.0258887 95 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 14.62 vpr 65.35 MiB -1 -1 0.17 20708 1 0.03 -1 -1 33644 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 31 32 365 296 1 190 78 17 17 289 -1 unnamed_device 27.0 MiB 5.11 1028 12030 4049 6241 1740 65.4 MiB 0.10 0.00 4.5044 -130.841 -4.5044 4.5044 0.94 0.000508432 0.00046413 0.0400382 0.0366029 38 2769 40 6.95648e+06 217135 678818. 2348.85 6.14 0.18243 0.160754 26626 170182 -1 2351 23 1871 2816 309390 58807 4.66226 4.66226 -158.746 -4.66226 0 0 902133. 3121.57 0.35 0.10 0.16 -1 -1 0.35 0.0278066 0.0246954 82 60 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 8.93 vpr 64.91 MiB -1 -1 0.14 20652 1 0.03 -1 -1 33768 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 331 280 1 171 75 17 17 289 -1 unnamed_device 26.4 MiB 3.17 823 12083 5247 6681 155 64.9 MiB 0.08 0.00 3.30244 -111.934 -3.30244 3.30244 0.93 0.000479407 0.000431912 0.0358148 0.0323954 38 2373 38 6.95648e+06 159232 678818. 2348.85 2.58 0.158513 0.138457 26626 170182 -1 2031 18 1284 1865 160082 32589 3.68266 3.68266 -139.508 -3.68266 0 0 902133. 3121.57 0.33 0.06 0.14 -1 -1 0.33 0.0194495 0.0172273 70 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 8.89 vpr 64.98 MiB -1 -1 0.15 20200 1 0.03 -1 -1 33940 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 326 263 1 169 86 17 17 289 -1 unnamed_device 26.6 MiB 0.40 813 15584 6687 8396 501 65.0 MiB 0.11 0.00 3.46513 -100.524 -3.46513 3.46513 0.97 0.000477555 0.00043104 0.0419145 0.0381577 48 2166 24 6.95648e+06 318465 865456. 2994.66 5.03 0.197571 0.17232 28354 207349 -1 1801 23 1194 1866 151142 33141 3.75646 3.75646 -119.578 -3.75646 0 0 1.05005e+06 3633.38 0.42 0.07 0.19 -1 -1 0.42 0.0249821 0.0221043 74 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 17.32 vpr 65.54 MiB -1 -1 0.18 20520 1 0.03 -1 -1 33772 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 31 32 373 294 1 188 88 17 17 289 -1 unnamed_device 27.0 MiB 0.90 763 15103 4963 7050 3090 65.5 MiB 0.10 0.00 3.58273 -103.837 -3.58273 3.58273 0.94 0.000507727 0.000459777 0.0428806 0.0389939 44 2483 47 6.95648e+06 361892 787024. 2723.27 13.01 0.314115 0.2734 27778 195446 -1 1683 21 1392 2037 141933 34964 4.17392 4.17392 -128.557 -4.17392 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0250438 0.0221637 83 46 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 18.54 vpr 65.20 MiB -1 -1 0.16 20780 1 0.03 -1 -1 34124 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 30 32 325 268 1 166 78 17 17 289 -1 unnamed_device 26.6 MiB 1.13 754 11698 4895 6184 619 65.2 MiB 0.08 0.00 2.87605 -84.5862 -2.87605 2.87605 0.94 0.000466789 0.000418235 0.0353413 0.0321199 48 2015 28 6.95648e+06 231611 865456. 2994.66 14.02 0.263086 0.228756 28354 207349 -1 1762 20 1272 2023 167001 39196 4.03747 4.03747 -109.257 -4.03747 0 0 1.05005e+06 3633.38 0.41 0.07 0.20 -1 -1 0.41 0.0229518 0.0204836 68 46 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 11.77 vpr 65.45 MiB -1 -1 0.17 20484 1 0.03 -1 -1 34016 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 32 32 350 275 1 208 78 17 17 289 -1 unnamed_device 27.0 MiB 1.68 897 11864 4930 6458 476 65.4 MiB 0.10 0.00 3.74967 -121.549 -3.74967 3.74967 0.95 0.00051308 0.000465682 0.0396053 0.0361239 52 3296 50 6.95648e+06 202660 926341. 3205.33 6.53 0.279429 0.243228 29218 227130 -1 2096 23 1956 2823 254239 56775 4.12906 4.12906 -144.621 -4.12906 0 0 1.14541e+06 3963.36 0.46 0.09 0.22 -1 -1 0.46 0.0280519 0.0250249 88 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 21.55 vpr 65.37 MiB -1 -1 0.16 20404 1 0.03 -1 -1 33612 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 386 307 1 187 82 17 17 289 -1 unnamed_device 26.9 MiB 0.97 781 12186 5044 6563 579 65.4 MiB 0.09 0.00 3.70063 -117.46 -3.70063 3.70063 0.97 0.000578102 0.000528016 0.0404268 0.0368954 48 2477 44 6.95648e+06 260562 865456. 2994.66 17.15 0.349902 0.306037 28354 207349 -1 1870 22 1540 2100 195235 45697 3.82202 3.82202 -139.334 -3.82202 0 0 1.05005e+06 3633.38 0.38 0.08 0.18 -1 -1 0.38 0.0275394 0.0243241 80 59 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 10.15 vpr 64.90 MiB -1 -1 0.15 20472 1 0.03 -1 -1 33680 -1 -1 12 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 29 32 269 229 1 132 73 17 17 289 -1 unnamed_device 26.5 MiB 5.14 489 8737 3610 4703 424 64.9 MiB 0.06 0.00 3.26592 -84.8562 -3.26592 3.26592 0.96 0.000414497 0.000373293 0.0256625 0.0234402 36 1479 29 6.95648e+06 173708 648988. 2245.63 1.83 0.123574 0.107715 26050 158493 -1 1217 22 839 1115 87146 19971 3.03502 3.03502 -103.018 -3.03502 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.020664 0.0182692 53 28 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 12.56 vpr 64.88 MiB -1 -1 0.16 20288 1 0.03 -1 -1 33636 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 310 266 1 163 75 17 17 289 -1 unnamed_device 26.4 MiB 1.29 700 8607 3070 3768 1769 64.9 MiB 0.06 0.00 3.06285 -104.202 -3.06285 3.06285 0.98 0.000461903 0.000419629 0.0270803 0.0247129 40 1887 28 6.95648e+06 159232 706193. 2443.58 7.93 0.240668 0.209017 26914 176310 -1 1607 20 1281 1632 156936 34804 3.88511 3.88511 -130.835 -3.88511 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0205793 0.0182653 64 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 6.71 vpr 65.25 MiB -1 -1 0.16 20284 1 0.03 -1 -1 33648 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 31 32 326 261 1 172 86 17 17 289 -1 unnamed_device 26.9 MiB 1.07 749 12938 4452 6522 1964 65.2 MiB 0.09 0.00 3.43718 -100.911 -3.43718 3.43718 0.96 0.00048833 0.000437363 0.0354608 0.0323057 44 2570 35 6.95648e+06 332941 787024. 2723.27 2.23 0.152837 0.134689 27778 195446 -1 1766 22 1442 2306 198681 43500 4.12876 4.12876 -133.262 -4.12876 0 0 997811. 3452.63 0.40 0.07 0.19 -1 -1 0.40 0.0246869 0.021964 77 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 8.70 vpr 65.08 MiB -1 -1 0.15 20240 1 0.03 -1 -1 33816 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 29 32 262 224 1 161 74 17 17 289 -1 unnamed_device 26.6 MiB 1.75 616 10149 4479 5234 436 65.1 MiB 0.07 0.00 3.40298 -96.0998 -3.40298 3.40298 0.96 0.000407118 0.00037155 0.0286397 0.0262302 38 2239 31 6.95648e+06 188184 678818. 2348.85 3.67 0.131707 0.115172 26626 170182 -1 1593 21 1276 1604 133073 30569 3.41492 3.41492 -114.825 -3.41492 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0202302 0.0179409 67 25 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 8.54 vpr 64.94 MiB -1 -1 0.14 20236 1 0.03 -1 -1 34000 -1 -1 9 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 278 238 1 144 73 17 17 289 -1 unnamed_device 26.6 MiB 1.38 694 11625 5131 6255 239 64.9 MiB 0.08 0.00 3.31656 -97.2168 -3.31656 3.31656 0.94 0.000425163 0.000387729 0.0346162 0.0315055 44 1789 21 6.95648e+06 130281 787024. 2723.27 3.85 0.168742 0.146241 27778 195446 -1 1442 21 1104 1671 118049 25710 3.28217 3.28217 -114.531 -3.28217 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0199512 0.0176602 56 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 9.49 vpr 65.33 MiB -1 -1 0.17 20504 1 0.03 -1 -1 33844 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 31 32 373 300 1 174 87 17 17 289 -1 unnamed_device 26.9 MiB 1.09 659 13335 4385 6152 2798 65.3 MiB 0.09 0.00 2.96863 -94.5196 -2.96863 2.96863 0.95 0.000536339 0.000489369 0.0407063 0.0372368 46 1882 29 6.95648e+06 347416 828058. 2865.25 5.01 0.245847 0.214057 28066 200906 -1 1410 20 1541 2077 126132 31726 3.14967 3.14967 -114.325 -3.14967 0 0 1.01997e+06 3529.29 0.39 0.06 0.19 -1 -1 0.39 0.0240821 0.021397 79 60 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 8.29 vpr 65.03 MiB -1 -1 0.16 20324 1 0.03 -1 -1 33728 -1 -1 12 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 31 32 265 230 1 159 75 17 17 289 -1 unnamed_device 26.6 MiB 2.68 786 7975 2578 3727 1670 65.0 MiB 0.06 0.00 3.35097 -102.439 -3.35097 3.35097 0.98 0.000401941 0.00036583 0.0229415 0.0209756 36 2230 45 6.95648e+06 173708 648988. 2245.63 2.28 0.125731 0.11082 26050 158493 -1 1949 28 1422 2045 284830 86212 3.49622 3.49622 -127.839 -3.49622 0 0 828058. 2865.25 0.34 0.10 0.14 -1 -1 0.34 0.0258579 0.0229173 64 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 12.06 vpr 65.28 MiB -1 -1 0.16 20584 1 0.03 -1 -1 33964 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 349 286 1 165 86 17 17 289 -1 unnamed_device 26.8 MiB 1.38 853 13316 4623 6305 2388 65.3 MiB 0.10 0.00 2.5815 -91.5934 -2.5815 2.5815 0.96 0.000499398 0.000454525 0.0387352 0.0353595 36 2563 47 6.95648e+06 318465 648988. 2245.63 7.36 0.247443 0.2157 26050 158493 -1 1957 23 1417 2070 170573 35952 3.32967 3.32967 -124.083 -3.32967 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0252132 0.0222817 71 54 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 10.16 vpr 65.53 MiB -1 -1 0.17 20464 1 0.03 -1 -1 33824 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 31 32 396 325 1 176 78 17 17 289 -1 unnamed_device 27.1 MiB 1.85 675 13026 5521 6955 550 65.5 MiB 0.10 0.00 3.3685 -110.466 -3.3685 3.3685 0.97 0.000533344 0.000484848 0.0463765 0.0421344 44 2231 21 6.95648e+06 217135 787024. 2723.27 4.79 0.248022 0.216299 27778 195446 -1 1598 20 1319 1796 143907 32780 3.74072 3.74072 -134.009 -3.74072 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.0259669 0.0231709 73 87 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 7.31 vpr 64.96 MiB -1 -1 0.15 20492 1 0.03 -1 -1 33920 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 303 262 1 145 74 17 17 289 -1 unnamed_device 26.5 MiB 1.45 545 10149 3367 5084 1698 65.0 MiB 0.07 0.00 2.4011 -79.9159 -2.4011 2.4011 0.94 0.000446501 0.000400741 0.0307607 0.0280058 46 1451 25 6.95648e+06 144757 828058. 2865.25 2.49 0.134874 0.117715 28066 200906 -1 1109 21 940 1464 89892 22097 2.66912 2.66912 -95.8011 -2.66912 0 0 1.01997e+06 3529.29 0.40 0.05 0.19 -1 -1 0.40 0.0214335 0.0190052 57 54 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 7.50 vpr 65.13 MiB -1 -1 0.15 20268 1 0.03 -1 -1 33724 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 32 32 290 244 1 170 75 17 17 289 -1 unnamed_device 26.6 MiB 1.59 702 11293 3981 5488 1824 65.1 MiB 0.08 0.00 3.29168 -104.399 -3.29168 3.29168 0.95 0.000435203 0.000396695 0.0332749 0.0304243 48 2043 47 6.95648e+06 159232 865456. 2994.66 2.53 0.154354 0.135644 28354 207349 -1 1535 23 1394 2015 146250 37873 3.58222 3.58222 -124.185 -3.58222 0 0 1.05005e+06 3633.38 0.40 0.07 0.19 -1 -1 0.40 0.0238417 0.0212015 70 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 9.46 vpr 65.15 MiB -1 -1 0.16 20196 1 0.03 -1 -1 33560 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 318 257 1 188 78 17 17 289 -1 unnamed_device 26.8 MiB 2.52 714 11366 3981 5046 2339 65.1 MiB 0.08 0.00 3.45418 -103.596 -3.45418 3.45418 0.94 0.000440091 0.000400207 0.0335438 0.0305957 46 2580 38 6.95648e+06 202660 828058. 2865.25 3.59 0.163776 0.143862 28066 200906 -1 1813 23 1584 2222 163546 42548 4.11471 4.11471 -131.937 -4.11471 0 0 1.01997e+06 3529.29 0.41 0.07 0.18 -1 -1 0.41 0.0259538 0.0231127 79 27 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 9.54 vpr 65.27 MiB -1 -1 0.17 20240 1 0.03 -1 -1 33596 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 29 32 324 268 1 162 82 17 17 289 -1 unnamed_device 26.7 MiB 1.19 683 11118 4647 5857 614 65.3 MiB 0.08 0.00 3.53128 -97.3988 -3.53128 3.53128 0.95 0.000467236 0.000426702 0.031633 0.0289063 44 2142 33 6.95648e+06 303989 787024. 2723.27 4.99 0.234249 0.203585 27778 195446 -1 1603 17 1076 1613 117718 27631 3.45706 3.45706 -111.992 -3.45706 0 0 997811. 3452.63 0.39 0.05 0.18 -1 -1 0.39 0.020444 0.0183169 71 49 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 9.06 vpr 65.53 MiB -1 -1 0.17 20756 1 0.03 -1 -1 33736 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 393 312 1 206 78 17 17 289 -1 unnamed_device 27.0 MiB 1.62 818 12860 5105 6367 1388 65.5 MiB 0.10 0.00 4.0452 -128.08 -4.0452 4.0452 0.96 0.000580143 0.000528002 0.044855 0.0407795 46 2808 30 6.95648e+06 202660 828058. 2865.25 3.95 0.181936 0.159644 28066 200906 -1 2094 26 2270 3227 297533 66273 4.9578 4.9578 -161.9 -4.9578 0 0 1.01997e+06 3529.29 0.39 0.10 0.19 -1 -1 0.39 0.0320894 0.0285342 89 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 7.63 vpr 64.78 MiB -1 -1 0.14 20588 1 0.03 -1 -1 33644 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 26.2 MiB 1.63 843 12556 3958 7345 1253 64.8 MiB 0.08 0.00 3.10444 -86.1242 -3.10444 3.10444 0.96 0.000374066 0.000343176 0.0315207 0.0288031 36 1997 24 6.95648e+06 188184 648988. 2245.63 2.71 0.1216 0.106925 26050 158493 -1 1770 17 811 1280 119417 24250 2.89862 2.89862 -105.465 -2.89862 0 0 828058. 2865.25 0.34 0.05 0.15 -1 -1 0.34 0.0163314 0.0145777 54 -1 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 8.60 vpr 65.57 MiB -1 -1 0.16 20988 1 0.03 -1 -1 33912 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67140 32 32 412 334 1 182 89 17 17 289 -1 unnamed_device 27.1 MiB 1.08 1057 12563 3798 7083 1682 65.6 MiB 0.09 0.00 3.08489 -115.661 -3.08489 3.08489 0.91 0.000558952 0.000505812 0.0392594 0.035455 38 2585 23 6.95648e+06 361892 678818. 2348.85 4.30 0.215332 0.186454 26626 170182 -1 2159 20 1655 2175 182803 36217 3.68256 3.68256 -145.706 -3.68256 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0265843 0.0236078 81 87 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 17.90 vpr 65.30 MiB -1 -1 0.17 20584 1 0.03 -1 -1 33760 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66868 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 26.7 MiB 3.29 613 12009 5237 6469 303 65.3 MiB 0.09 0.00 2.45985 -91.5837 -2.45985 2.45985 0.95 0.000505834 0.000460025 0.0421182 0.0383693 46 1829 25 6.95648e+06 144757 828058. 2865.25 11.21 0.287703 0.250862 28066 200906 -1 1425 20 1413 1972 145978 33230 3.18412 3.18412 -125.025 -3.18412 0 0 1.01997e+06 3529.29 0.40 0.06 0.19 -1 -1 0.40 0.0234905 0.0208758 61 93 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 9.50 vpr 65.11 MiB -1 -1 0.17 20424 1 0.03 -1 -1 33976 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 32 32 360 293 1 172 86 17 17 289 -1 unnamed_device 26.7 MiB 1.14 713 11615 3844 5849 1922 65.1 MiB 0.09 0.00 3.41878 -102.821 -3.41878 3.41878 0.94 0.00050698 0.00046212 0.0339751 0.0310075 44 2464 49 6.95648e+06 318465 787024. 2723.27 5.01 0.255314 0.221292 27778 195446 -1 1679 29 1309 2007 180352 53937 3.85782 3.85782 -118.747 -3.85782 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0253763 0.0222602 75 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 21.19 vpr 65.66 MiB -1 -1 0.15 20568 1 0.04 -1 -1 34048 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 32 32 396 299 1 224 79 17 17 289 -1 unnamed_device 27.3 MiB 1.80 932 13768 4560 6694 2514 65.7 MiB 0.11 0.00 4.78047 -141.161 -4.78047 4.78047 0.97 0.000586654 0.000535429 0.0498172 0.045456 50 2706 45 6.95648e+06 217135 902133. 3121.57 15.84 0.333124 0.290451 28642 213929 -1 2008 21 1869 2696 222730 49991 4.52605 4.52605 -154.306 -4.52605 0 0 1.08113e+06 3740.92 0.40 0.08 0.21 -1 -1 0.40 0.0280202 0.0249853 95 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 13.33 vpr 64.70 MiB -1 -1 0.14 20508 1 0.03 -1 -1 33764 -1 -1 11 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 30 32 224 207 1 132 73 17 17 289 -1 unnamed_device 26.2 MiB 2.70 546 11169 4818 5896 455 64.7 MiB 0.06 0.00 2.26495 -77.3411 -2.26495 2.26495 0.93 0.000280742 0.000252198 0.0254594 0.0231518 44 1368 19 6.95648e+06 159232 787024. 2723.27 7.37 0.184055 0.158631 27778 195446 -1 1151 19 840 1074 93973 21159 2.24132 2.24132 -88.7244 -2.24132 0 0 997811. 3452.63 0.41 0.04 0.19 -1 -1 0.41 0.0159698 0.0141216 52 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 7.40 vpr 65.05 MiB -1 -1 0.15 20248 1 0.03 -1 -1 33872 -1 -1 11 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 30 32 286 239 1 135 73 17 17 289 -1 unnamed_device 26.5 MiB 1.62 452 9041 2987 4074 1980 65.1 MiB 0.06 0.00 3.12499 -90.986 -3.12499 3.12499 0.94 0.00043569 0.000394009 0.0275304 0.0250484 50 1175 40 6.95648e+06 159232 902133. 3121.57 2.42 0.139291 0.121532 28642 213929 -1 912 18 883 1284 94455 25212 3.30143 3.30143 -107.559 -3.30143 0 0 1.08113e+06 3740.92 0.43 0.05 0.20 -1 -1 0.43 0.0187971 0.0167563 54 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 7.86 vpr 64.85 MiB -1 -1 0.15 20396 1 0.03 -1 -1 33944 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 296 247 1 152 74 17 17 289 -1 unnamed_device 26.4 MiB 0.52 548 8754 2604 4261 1889 64.9 MiB 0.06 0.00 2.6818 -88.2907 -2.6818 2.6818 0.98 0.000437485 0.000396394 0.0278191 0.0254072 56 1624 44 6.95648e+06 144757 973134. 3367.25 3.90 0.168707 0.146873 29794 239141 -1 1140 22 1109 1758 141451 35356 2.98687 2.98687 -102.37 -2.98687 0 0 1.19926e+06 4149.71 0.43 0.06 0.22 -1 -1 0.43 0.0216469 0.0192143 59 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 6.07 vpr 64.85 MiB -1 -1 0.15 20560 1 0.03 -1 -1 33628 -1 -1 18 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 25 32 216 194 1 121 75 17 17 289 -1 unnamed_device 26.3 MiB 0.51 493 9239 3830 4708 701 64.8 MiB 0.05 0.00 2.59693 -61.9274 -2.59693 2.59693 0.94 0.000341732 0.000311123 0.0220149 0.0201416 36 1644 35 6.95648e+06 260562 648988. 2245.63 2.40 0.0977435 0.0851221 26050 158493 -1 1132 22 976 1480 121215 33267 2.77222 2.77222 -84.3498 -2.77222 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0176763 0.0155799 53 19 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 26.25 vpr 65.38 MiB -1 -1 0.17 20912 1 0.03 -1 -1 33920 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 376 307 1 178 76 17 17 289 -1 unnamed_device 27.0 MiB 1.93 724 9516 3927 5101 488 65.4 MiB 0.08 0.00 3.43255 -106.707 -3.43255 3.43255 0.97 0.000554731 0.000506637 0.0352963 0.0322683 48 2568 37 6.95648e+06 173708 865456. 2994.66 20.83 0.310698 0.27083 28354 207349 -1 2154 23 1633 2717 264190 64417 5.05302 5.05302 -151.99 -5.05302 0 0 1.05005e+06 3633.38 0.41 0.09 0.20 -1 -1 0.41 0.0283809 0.0252123 73 69 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 8.35 vpr 65.55 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33848 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 31 32 409 331 1 183 80 17 17 289 -1 unnamed_device 27.0 MiB 1.10 871 11604 4828 6355 421 65.5 MiB 0.09 0.00 3.32468 -114.951 -3.32468 3.32468 0.91 0.000539709 0.000492064 0.0395376 0.0360537 44 2417 23 6.95648e+06 246087 787024. 2723.27 3.96 0.230687 0.201446 27778 195446 -1 1899 24 1594 2103 173326 37103 4.01031 4.01031 -140.943 -4.01031 0 0 997811. 3452.63 0.38 0.07 0.17 -1 -1 0.38 0.0279818 0.0248583 80 86 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 8.78 vpr 65.11 MiB -1 -1 0.16 20676 1 0.03 -1 -1 33916 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 354 285 1 206 79 17 17 289 -1 unnamed_device 26.7 MiB 1.85 927 13768 5859 7582 327 65.1 MiB 0.11 0.00 4.0552 -122.848 -4.0552 4.0552 0.98 0.000518695 0.000471131 0.0453262 0.0413155 38 3445 50 6.99608e+06 220735 678818. 2348.85 3.57 0.17572 0.154397 26626 170182 -1 2292 21 1855 2627 216395 45678 4.60485 4.60485 -156.518 -4.60485 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0253485 0.022532 88 47 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 8.40 vpr 65.09 MiB -1 -1 0.16 20564 1 0.03 -1 -1 33936 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 30 32 363 293 1 224 79 17 17 289 -1 unnamed_device 26.7 MiB 1.51 1020 13261 4330 6888 2043 65.1 MiB 0.11 0.00 3.9687 -120.128 -3.9687 3.9687 0.97 0.00051526 0.000470788 0.0430438 0.0393906 46 2917 38 6.99608e+06 250167 828058. 2865.25 3.41 0.183681 0.161845 28066 200906 -1 2049 22 1958 2953 209500 50394 4.7413 4.7413 -159.34 -4.7413 0 0 1.01997e+06 3529.29 0.39 0.08 0.19 -1 -1 0.39 0.0269321 0.0239901 99 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 6.59 vpr 64.95 MiB -1 -1 0.15 20212 1 0.03 -1 -1 33584 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 299 247 1 183 78 17 17 289 -1 unnamed_device 26.5 MiB 0.86 801 12528 4387 6432 1709 64.9 MiB 0.10 0.00 2.87639 -92.2213 -2.87639 2.87639 0.96 0.000441238 0.000403026 0.0362311 0.0331088 38 2589 46 6.99608e+06 206020 678818. 2348.85 2.43 0.145111 0.128329 26626 170182 -1 1910 21 1433 1921 151314 32924 3.75371 3.75371 -120.9 -3.75371 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0223511 0.0198884 76 26 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 9.65 vpr 65.06 MiB -1 -1 0.16 20264 1 0.03 -1 -1 33728 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 29 32 308 248 1 179 77 17 17 289 -1 unnamed_device 26.5 MiB 1.48 778 12139 5133 6279 727 65.1 MiB 0.09 0.00 3.42478 -96.0081 -3.42478 3.42478 0.96 0.000459511 0.000417584 0.0361517 0.032953 48 1842 24 6.99608e+06 235451 865456. 2994.66 4.80 0.183773 0.159463 28354 207349 -1 1586 20 1249 1961 125600 33624 3.79276 3.79276 -118.174 -3.79276 0 0 1.05005e+06 3633.38 0.39 0.06 0.20 -1 -1 0.39 0.0208902 0.0185405 78 25 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 9.19 vpr 64.94 MiB -1 -1 0.15 20208 1 0.03 -1 -1 33680 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 336 268 1 194 78 17 17 289 -1 unnamed_device 26.6 MiB 2.89 836 13192 5559 7251 382 64.9 MiB 0.10 0.00 3.89209 -118.75 -3.89209 3.89209 0.97 0.000464834 0.000407376 0.0415626 0.0378914 44 3090 43 6.99608e+06 206020 787024. 2723.27 2.88 0.163209 0.144456 27778 195446 -1 2007 21 1434 2392 185106 39868 4.18515 4.18515 -138.516 -4.18515 0 0 997811. 3452.63 0.36 0.07 0.18 -1 -1 0.36 0.0238176 0.0212645 81 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 12.76 vpr 65.42 MiB -1 -1 0.17 20572 1 0.03 -1 -1 33644 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66988 32 32 366 295 1 221 81 17 17 289 -1 unnamed_device 26.9 MiB 3.23 908 13206 3715 7987 1504 65.4 MiB 0.11 0.00 2.79566 -97.2249 -2.79566 2.79566 0.99 0.000485229 0.00044138 0.0416089 0.0377994 50 2472 35 6.99608e+06 250167 902133. 3121.57 6.04 0.279657 0.243745 28642 213929 -1 1935 20 1796 2774 219808 52792 3.11121 3.11121 -119.386 -3.11121 0 0 1.08113e+06 3740.92 0.40 0.08 0.20 -1 -1 0.40 0.0250863 0.0222623 97 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 8.73 vpr 64.59 MiB -1 -1 0.15 20320 1 0.03 -1 -1 34256 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 27 32 259 221 1 154 74 17 17 289 -1 unnamed_device 26.2 MiB 1.74 588 9529 4152 4821 556 64.6 MiB 0.06 0.00 3.25142 -90.777 -3.25142 3.25142 0.94 0.000388824 0.000356427 0.0258349 0.0235769 38 2099 42 6.99608e+06 220735 678818. 2348.85 3.78 0.131326 0.114365 26626 170182 -1 1499 21 1190 1763 137447 30978 3.86496 3.86496 -120.685 -3.86496 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0188932 0.0166943 66 26 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 8.67 vpr 64.79 MiB -1 -1 0.16 20184 1 0.03 -1 -1 33692 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 26.3 MiB 0.38 644 11788 4331 5937 1520 64.8 MiB 0.07 0.00 2.36085 -72.6768 -2.36085 2.36085 0.94 0.000415307 0.00037554 0.0274217 0.0249478 46 1848 37 6.99608e+06 367892 828058. 2865.25 4.92 0.175423 0.15216 28066 200906 -1 1423 22 1187 1952 133496 33016 2.74432 2.74432 -90.805 -2.74432 0 0 1.01997e+06 3529.29 0.42 0.06 0.19 -1 -1 0.42 0.0216109 0.0191651 69 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 9.45 vpr 65.08 MiB -1 -1 0.16 20248 1 0.03 -1 -1 33792 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 31 32 317 271 1 204 77 17 17 289 -1 unnamed_device 26.7 MiB 1.02 859 10672 3444 5262 1966 65.1 MiB 0.08 0.00 2.73924 -97.2893 -2.73924 2.73924 0.95 0.000477972 0.00043075 0.0332903 0.0304084 44 2672 35 6.99608e+06 206020 787024. 2723.27 5.07 0.192673 0.167095 27778 195446 -1 1769 21 1476 1975 140203 32617 3.21651 3.21651 -119.635 -3.21651 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0227556 0.0202168 87 60 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 9.02 vpr 64.71 MiB -1 -1 0.15 20360 1 0.03 -1 -1 33708 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 298 248 1 181 77 17 17 289 -1 unnamed_device 26.2 MiB 0.99 873 12791 5436 7046 309 64.7 MiB 0.09 0.00 3.18112 -113.032 -3.18112 3.18112 0.95 0.000447949 0.00040805 0.0375582 0.0342656 44 2173 24 6.99608e+06 191304 787024. 2723.27 4.68 0.188644 0.164862 27778 195446 -1 1823 18 1292 1648 147943 36048 3.49406 3.49406 -135.036 -3.49406 0 0 997811. 3452.63 0.39 0.06 0.18 -1 -1 0.39 0.0200279 0.0179253 75 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 6.88 vpr 64.96 MiB -1 -1 0.16 20624 1 0.03 -1 -1 33708 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 30 32 303 262 1 188 76 17 17 289 -1 unnamed_device 26.4 MiB 0.86 821 11436 4748 6325 363 65.0 MiB 0.09 0.00 3.18013 -104.101 -3.18013 3.18013 0.95 0.000448626 0.000409179 0.0335646 0.0306358 38 2599 38 6.99608e+06 206020 678818. 2348.85 2.75 0.151499 0.133033 26626 170182 -1 1945 17 1419 1923 142730 31005 4.0848 4.0848 -130.385 -4.0848 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.019075 0.0170488 83 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 7.42 vpr 64.91 MiB -1 -1 0.14 20352 1 0.03 -1 -1 33596 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 276 237 1 165 75 17 17 289 -1 unnamed_device 26.5 MiB 0.75 831 9397 2155 6994 248 64.9 MiB 0.07 0.00 2.61058 -94.9371 -2.61058 2.61058 0.97 0.000417597 0.000380836 0.0272269 0.0248649 38 2234 25 6.99608e+06 161872 678818. 2348.85 3.37 0.139568 0.122996 26626 170182 -1 1897 19 1170 1521 138701 28458 3.30957 3.30957 -116.635 -3.30957 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0187861 0.0166786 66 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 9.68 vpr 65.12 MiB -1 -1 0.17 20368 1 0.03 -1 -1 33652 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 344 272 1 201 79 17 17 289 -1 unnamed_device 26.8 MiB 0.92 979 14613 6276 7820 517 65.1 MiB 0.11 0.00 3.18112 -109.79 -3.18112 3.18112 0.97 0.000506638 0.000464219 0.0468365 0.0428089 48 2891 28 6.99608e+06 220735 865456. 2994.66 5.33 0.224085 0.196451 28354 207349 -1 2275 20 1637 2363 233851 49182 3.47716 3.47716 -130.359 -3.47716 0 0 1.05005e+06 3633.38 0.39 0.08 0.20 -1 -1 0.39 0.0233422 0.0207537 87 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 8.54 vpr 65.30 MiB -1 -1 0.16 20664 1 0.03 -1 -1 33604 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 363 295 1 228 81 17 17 289 -1 unnamed_device 26.8 MiB 1.62 987 12506 4294 6105 2107 65.3 MiB 0.10 0.00 3.86116 -115.099 -3.86116 3.86116 0.95 0.000518851 0.000470315 0.0399736 0.0365206 46 2726 27 6.99608e+06 250167 828058. 2865.25 3.47 0.174737 0.154034 28066 200906 -1 1867 23 2072 2905 178127 43812 4.66511 4.66511 -153.623 -4.66511 0 0 1.01997e+06 3529.29 0.41 0.08 0.18 -1 -1 0.41 0.0289684 0.0258054 97 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 9.24 vpr 64.80 MiB -1 -1 0.14 20340 1 0.03 -1 -1 33508 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 29 32 248 215 1 155 74 17 17 289 -1 unnamed_device 26.4 MiB 3.24 617 8909 3623 4879 407 64.8 MiB 0.06 0.00 2.5552 -73.4298 -2.5552 2.5552 0.95 0.000369362 0.000335767 0.0234581 0.0213973 38 2038 34 6.99608e+06 191304 678818. 2348.85 2.76 0.123279 0.107632 26626 170182 -1 1472 19 1133 1584 118572 27366 3.09197 3.09197 -95.9133 -3.09197 0 0 902133. 3121.57 0.35 0.05 0.16 -1 -1 0.35 0.0173904 0.0154344 64 21 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 7.67 vpr 65.34 MiB -1 -1 0.16 20476 1 0.03 -1 -1 33908 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 32 32 370 297 1 222 80 17 17 289 -1 unnamed_device 26.8 MiB 1.46 1124 13840 4038 8421 1381 65.3 MiB 0.11 0.00 3.11689 -107.741 -3.11689 3.11689 0.93 0.000539153 0.000489687 0.0458405 0.0416973 44 3093 34 6.99608e+06 235451 787024. 2723.27 2.83 0.160104 0.141365 27778 195446 -1 2265 22 2061 3141 240006 49722 3.60341 3.60341 -133.058 -3.60341 0 0 997811. 3452.63 0.39 0.08 0.18 -1 -1 0.39 0.0271487 0.0242024 96 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 14.45 vpr 65.12 MiB -1 -1 0.17 20544 1 0.03 -1 -1 33872 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 338 269 1 198 79 17 17 289 -1 unnamed_device 26.8 MiB 0.86 870 10050 2659 6005 1386 65.1 MiB 0.09 0.00 3.53345 -112.815 -3.53345 3.53345 0.95 0.000493357 0.000448684 0.031822 0.0291062 38 2570 25 6.99608e+06 220735 678818. 2348.85 10.25 0.232816 0.201584 26626 170182 -1 1973 18 1474 1962 139642 30384 3.47486 3.47486 -127.867 -3.47486 0 0 902133. 3121.57 0.36 0.06 0.16 -1 -1 0.36 0.0220076 0.0196575 84 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 7.35 vpr 65.22 MiB -1 -1 0.16 20500 1 0.03 -1 -1 33624 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 323 276 1 210 79 17 17 289 -1 unnamed_device 26.8 MiB 0.88 819 12923 3779 6948 2196 65.2 MiB 0.10 0.00 2.59239 -95.5898 -2.59239 2.59239 0.94 0.000461019 0.000420311 0.0380544 0.0347663 44 2651 37 6.99608e+06 220735 787024. 2723.27 3.11 0.163225 0.143677 27778 195446 -1 1866 21 1656 2150 153754 35641 3.32082 3.32082 -121.315 -3.32082 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.022448 0.019831 89 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 7.40 vpr 64.43 MiB -1 -1 0.13 19912 1 0.03 -1 -1 33596 -1 -1 10 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 30 32 222 206 1 131 72 17 17 289 -1 unnamed_device 26.0 MiB 1.94 483 9012 3027 4543 1442 64.4 MiB 0.06 0.00 1.95956 -69.6963 -1.95956 1.95956 0.97 0.000349502 0.000317372 0.0231619 0.0211085 36 1484 33 6.99608e+06 147157 648988. 2245.63 2.28 0.119605 0.10483 26050 158493 -1 1016 17 653 732 56865 15029 2.26878 2.26878 -83.5035 -2.26878 0 0 828058. 2865.25 0.33 0.04 0.14 -1 -1 0.33 0.0147314 0.0131513 52 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 8.46 vpr 65.02 MiB -1 -1 0.16 20504 1 0.03 -1 -1 33928 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 31 32 291 243 1 171 76 17 17 289 -1 unnamed_device 26.5 MiB 2.37 909 8236 2437 5131 668 65.0 MiB 0.06 0.00 3.02472 -102.518 -3.02472 3.02472 0.96 0.000441501 0.000402268 0.0247195 0.0225937 38 2352 24 6.99608e+06 191304 678818. 2348.85 2.81 0.130465 0.114375 26626 170182 -1 1988 21 1581 2208 204073 40756 3.69436 3.69436 -137.176 -3.69436 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0223373 0.0197844 72 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 21.37 vpr 64.82 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33852 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 342 271 1 201 84 17 17 289 -1 unnamed_device 26.4 MiB 1.48 756 16005 6181 7383 2441 64.8 MiB 0.11 0.00 3.25624 -105.856 -3.25624 3.25624 0.97 0.000505478 0.000459698 0.0463869 0.0422824 46 2645 35 6.99608e+06 294314 828058. 2865.25 16.45 0.332062 0.292175 28066 200906 -1 1818 28 2288 3197 201729 49210 3.8402 3.8402 -135.932 -3.8402 0 0 1.01997e+06 3529.29 0.41 0.08 0.18 -1 -1 0.41 0.0307703 0.0272138 88 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 31.90 vpr 65.44 MiB -1 -1 0.17 20628 1 0.03 -1 -1 33872 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 372 300 1 225 80 17 17 289 -1 unnamed_device 26.9 MiB 2.48 1242 15044 5400 7537 2107 65.4 MiB 0.13 0.00 3.72134 -119.716 -3.72134 3.72134 0.97 0.000530889 0.000482676 0.0496276 0.0453098 40 3345 49 6.99608e+06 235451 706193. 2443.58 25.95 0.325516 0.284381 26914 176310 -1 3062 26 2331 3517 438300 96872 4.413 4.413 -150.033 -4.413 0 0 926341. 3205.33 0.36 0.13 0.16 -1 -1 0.36 0.0317874 0.0282569 100 59 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 9.79 vpr 64.14 MiB -1 -1 0.15 20200 1 0.03 -1 -1 34036 -1 -1 13 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65676 26 32 190 182 1 123 71 17 17 289 -1 unnamed_device 25.7 MiB 2.35 404 9269 3844 4746 679 64.1 MiB 0.05 0.00 2.2286 -62.3611 -2.2286 2.2286 0.95 0.000299954 0.000273858 0.0208854 0.019114 36 1230 36 6.99608e+06 191304 648988. 2245.63 4.28 0.152361 0.132288 26050 158493 -1 800 31 650 725 95497 49920 2.34227 2.34227 -74.805 -2.34227 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.019728 0.0172405 53 21 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 6.96 vpr 64.91 MiB -1 -1 0.15 20164 1 0.03 -1 -1 33924 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 285 227 1 162 79 17 17 289 -1 unnamed_device 26.4 MiB 1.09 719 9205 4002 4865 338 64.9 MiB 0.06 0.00 3.7303 -92.7553 -3.7303 3.7303 0.96 0.000444817 0.000405889 0.0263714 0.0240918 44 2469 29 6.99608e+06 220735 787024. 2723.27 2.52 0.132686 0.11565 27778 195446 -1 1706 23 1337 2217 176483 38411 3.57936 3.57936 -119.755 -3.57936 0 0 997811. 3452.63 0.38 0.07 0.18 -1 -1 0.38 0.0233562 0.0206459 66 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 4.94 vpr 64.23 MiB -1 -1 0.13 20128 1 0.03 -1 -1 33772 -1 -1 8 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 25.6 MiB 0.22 367 8863 3405 4307 1151 64.2 MiB 0.05 0.00 1.68521 -55.2113 -1.68521 1.68521 0.96 0.000297975 0.00027041 0.0191932 0.0174784 38 1117 22 6.99608e+06 117725 678818. 2348.85 1.60 0.0836524 0.0727432 26626 170182 -1 871 20 598 681 46446 12084 1.84172 1.84172 -70.5592 -1.84172 0 0 902133. 3121.57 0.34 0.03 0.16 -1 -1 0.34 0.0138411 0.0122198 42 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 14.96 vpr 64.83 MiB -1 -1 0.16 20188 1 0.03 -1 -1 33956 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 300 245 1 178 78 17 17 289 -1 unnamed_device 26.3 MiB 1.30 894 14188 6142 7635 411 64.8 MiB 0.10 0.00 3.68643 -101.798 -3.68643 3.68643 0.96 0.000453209 0.00041209 0.0404415 0.0368651 36 2951 45 6.99608e+06 206020 648988. 2245.63 10.37 0.256392 0.223344 26050 158493 -1 2214 22 1420 2009 217898 44237 4.22771 4.22771 -135.9 -4.22771 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0234999 0.0209087 73 21 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 14.95 vpr 65.01 MiB -1 -1 0.15 20168 1 0.03 -1 -1 33864 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 26.5 MiB 0.56 699 13291 4925 6211 2155 65.0 MiB 0.09 0.00 2.46605 -78.7522 -2.46605 2.46605 0.94 0.000431651 0.000389856 0.0347271 0.0315807 48 1958 47 6.99608e+06 309029 865456. 2994.66 10.97 0.299945 0.261233 28354 207349 -1 1623 27 1451 2406 241046 75148 2.82232 2.82232 -103.342 -2.82232 0 0 1.05005e+06 3633.38 0.42 0.09 0.19 -1 -1 0.42 0.0267619 0.0236285 74 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 10.97 vpr 65.03 MiB -1 -1 0.16 20520 1 0.03 -1 -1 33944 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 338 277 1 205 79 17 17 289 -1 unnamed_device 26.7 MiB 1.72 837 13599 5022 6053 2524 65.0 MiB 0.10 0.00 3.44978 -102.261 -3.44978 3.44978 0.93 0.000479344 0.000437081 0.0436673 0.0400075 52 2813 33 6.99608e+06 220735 926341. 3205.33 5.84 0.237839 0.206775 29218 227130 -1 2046 21 1745 2654 200488 48878 3.75996 3.75996 -128.259 -3.75996 0 0 1.14541e+06 3963.36 0.43 0.07 0.21 -1 -1 0.43 0.0241126 0.0214701 87 47 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 10.10 vpr 64.86 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33704 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 284 241 1 168 76 17 17 289 -1 unnamed_device 26.4 MiB 2.41 700 10956 4650 6053 253 64.9 MiB 0.08 0.00 2.6695 -91.049 -2.6695 2.6695 0.97 0.000426731 0.000388278 0.0314707 0.0287253 40 2160 24 6.99608e+06 176588 706193. 2443.58 4.37 0.1812 0.157798 26914 176310 -1 1844 23 1382 1900 184462 40158 2.95862 2.95862 -116.424 -2.95862 0 0 926341. 3205.33 0.36 0.07 0.16 -1 -1 0.36 0.0222971 0.0197398 69 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 8.99 vpr 64.87 MiB -1 -1 0.16 20388 1 0.03 -1 -1 33748 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 30 32 262 227 1 160 76 17 17 289 -1 unnamed_device 26.4 MiB 1.57 699 10156 4235 5569 352 64.9 MiB 0.07 0.00 2.92097 -88.9275 -2.92097 2.92097 0.93 0.000389894 0.000355918 0.0269266 0.02461 46 1877 24 6.99608e+06 206020 828058. 2865.25 4.16 0.16329 0.141794 28066 200906 -1 1418 19 1123 1716 119412 26900 3.09461 3.09461 -103.258 -3.09461 0 0 1.01997e+06 3529.29 0.41 0.05 0.18 -1 -1 0.41 0.0188889 0.016847 66 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 8.08 vpr 64.86 MiB -1 -1 0.14 20464 1 0.03 -1 -1 33812 -1 -1 18 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 28 32 260 223 1 152 78 17 17 289 -1 unnamed_device 26.4 MiB 0.83 727 9872 3925 4215 1732 64.9 MiB 0.07 0.00 2.6537 -83.2658 -2.6537 2.6537 0.91 0.000394878 0.000360622 0.0256479 0.0234505 36 2330 27 6.99608e+06 264882 648988. 2245.63 4.18 0.159189 0.138137 26050 158493 -1 1817 22 1262 1902 171554 35303 3.44331 3.44331 -114.858 -3.44331 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.019675 0.0173474 69 27 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 5.53 vpr 64.43 MiB -1 -1 0.14 20260 1 0.03 -1 -1 33760 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 25.9 MiB 0.43 581 11699 4938 6474 287 64.4 MiB 0.08 0.00 2.81485 -90.4049 -2.81485 2.81485 0.93 0.000389132 0.000353757 0.031804 0.0290134 42 1985 44 6.99608e+06 147157 744469. 2576.02 1.90 0.135348 0.118332 27202 183097 -1 1445 17 1010 1423 109311 25639 3.22627 3.22627 -107.4 -3.22627 0 0 949917. 3286.91 0.36 0.05 0.17 -1 -1 0.36 0.0166359 0.0148239 58 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 7.05 vpr 64.76 MiB -1 -1 0.16 20256 1 0.03 -1 -1 33492 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 31 32 271 231 1 165 76 17 17 289 -1 unnamed_device 26.3 MiB 0.99 801 9196 3028 4477 1691 64.8 MiB 0.07 0.00 2.75428 -90.3488 -2.75428 2.75428 0.95 0.00042099 0.000384353 0.0258612 0.0236315 36 2621 47 6.99608e+06 191304 648988. 2245.63 2.85 0.129044 0.112897 26050 158493 -1 1933 18 1207 1637 133340 29577 2.82222 2.82222 -109.255 -2.82222 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0186536 0.0166492 69 26 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 12.98 vpr 65.08 MiB -1 -1 0.16 20280 1 0.03 -1 -1 33700 -1 -1 15 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 29 32 291 250 1 180 76 17 17 289 -1 unnamed_device 26.5 MiB 2.89 970 7756 2053 5065 638 65.1 MiB 0.06 0.00 2.45385 -89.9013 -2.45385 2.45385 0.95 0.000438991 0.000400798 0.0230192 0.0210734 34 2563 49 6.99608e+06 220735 618332. 2139.56 6.81 0.20478 0.177659 25762 151098 -1 2124 33 1710 2235 319766 113758 2.96682 2.96682 -115.032 -2.96682 0 0 787024. 2723.27 0.33 0.12 0.14 -1 -1 0.33 0.0308618 0.027183 77 48 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 9.54 vpr 65.18 MiB -1 -1 0.16 20448 1 0.03 -1 -1 33760 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 32 32 367 282 1 217 80 17 17 289 -1 unnamed_device 26.7 MiB 1.32 945 14356 5639 6727 1990 65.2 MiB 0.12 0.00 3.66263 -104.012 -3.66263 3.66263 0.94 0.000493183 0.000447232 0.0466311 0.0423114 40 3376 46 6.99608e+06 235451 706193. 2443.58 4.86 0.200715 0.176701 26914 176310 -1 2635 23 1798 2946 257111 57941 4.08962 4.08962 -137.95 -4.08962 0 0 926341. 3205.33 0.35 0.09 0.16 -1 -1 0.35 0.0294096 0.0261869 92 26 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 25.27 vpr 65.31 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33572 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 391 311 1 244 83 17 17 289 -1 unnamed_device 26.7 MiB 1.74 1018 14483 5447 6922 2114 65.3 MiB 0.12 0.00 3.37416 -117.445 -3.37416 3.37416 0.93 0.000530865 0.000483589 0.0461493 0.0420548 40 3413 43 6.99608e+06 279598 706193. 2443.58 20.12 0.329589 0.286557 26914 176310 -1 2714 24 2575 3667 320082 71275 4.6983 4.6983 -156.784 -4.6983 0 0 926341. 3205.33 0.36 0.10 0.17 -1 -1 0.36 0.0298239 0.0264909 106 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 9.16 vpr 64.88 MiB -1 -1 0.14 20432 1 0.03 -1 -1 33972 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 31 32 279 237 1 157 74 17 17 289 -1 unnamed_device 26.4 MiB 1.34 814 12009 5035 6571 403 64.9 MiB 0.08 0.00 2.87547 -96.8837 -2.87547 2.87547 0.92 0.000457941 0.000415339 0.0330488 0.0302092 36 2342 44 6.99608e+06 161872 648988. 2245.63 4.69 0.147177 0.128528 26050 158493 -1 1923 21 1393 2010 200469 38344 3.43981 3.43981 -123.897 -3.43981 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0201989 0.0178581 66 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 8.29 vpr 65.46 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33964 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 31 32 370 297 1 226 80 17 17 289 -1 unnamed_device 27.0 MiB 1.71 947 15044 5879 6654 2511 65.5 MiB 0.12 0.00 2.98339 -99.7781 -2.98339 2.98339 0.98 0.000495411 0.000449823 0.0484328 0.0441154 46 2696 48 6.99608e+06 250167 828058. 2865.25 3.12 0.209745 0.184918 28066 200906 -1 2085 16 1631 2214 156528 35636 3.63746 3.63746 -129.221 -3.63746 0 0 1.01997e+06 3529.29 0.40 0.06 0.18 -1 -1 0.40 0.0208543 0.0186279 99 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 27.81 vpr 65.71 MiB -1 -1 0.16 20688 1 0.03 -1 -1 33928 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67288 31 32 377 302 1 235 80 17 17 289 -1 unnamed_device 26.9 MiB 1.68 1040 13152 5086 6352 1714 65.7 MiB 0.11 0.00 4.27366 -133.02 -4.27366 4.27366 0.99 0.000533911 0.000484917 0.0432281 0.0393647 44 3757 47 6.99608e+06 250167 787024. 2723.27 22.59 0.332212 0.289872 27778 195446 -1 2527 21 2244 3246 295869 60813 4.94329 4.94329 -161.864 -4.94329 0 0 997811. 3452.63 0.41 0.10 0.18 -1 -1 0.41 0.0287902 0.025718 104 60 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 11.69 vpr 65.32 MiB -1 -1 0.14 20572 1 0.03 -1 -1 33980 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 31 32 383 305 1 233 81 17 17 289 -1 unnamed_device 26.7 MiB 3.26 978 14431 5170 6849 2412 65.3 MiB 0.11 0.00 4.14878 -130.965 -4.14878 4.14878 0.91 0.000542279 0.000480112 0.046928 0.0425795 42 3645 44 6.99608e+06 264882 744469. 2576.02 5.08 0.268922 0.233222 27202 183097 -1 2599 22 2266 3173 293833 62394 5.304 5.304 -174.788 -5.304 0 0 949917. 3286.91 0.36 0.09 0.17 -1 -1 0.36 0.0270958 0.0239971 103 60 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 8.61 vpr 65.16 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33948 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 31 32 352 285 1 215 79 17 17 289 -1 unnamed_device 26.8 MiB 2.35 1037 13599 5550 6544 1505 65.2 MiB 0.11 0.00 3.12612 -104.757 -3.12612 3.12612 0.95 0.00051049 0.000466654 0.0434637 0.0397461 38 3251 25 6.99608e+06 235451 678818. 2348.85 2.91 0.15926 0.140637 26626 170182 -1 2478 22 1909 2559 225388 46206 3.30256 3.30256 -123.534 -3.30256 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.026083 0.0231895 93 51 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 8.12 vpr 64.77 MiB -1 -1 0.16 20448 1 0.03 -1 -1 33796 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 291 242 1 178 78 17 17 289 -1 unnamed_device 26.3 MiB 1.09 843 12196 5125 6603 468 64.8 MiB 0.08 0.00 3.22248 -91.914 -3.22248 3.22248 0.99 0.000457045 0.000416461 0.034821 0.0317353 40 2447 43 6.99608e+06 206020 706193. 2443.58 3.55 0.156825 0.137407 26914 176310 -1 2025 33 1838 2507 387406 166212 3.90012 3.90012 -123.018 -3.90012 0 0 926341. 3205.33 0.36 0.14 0.17 -1 -1 0.36 0.0308465 0.0272282 72 24 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 10.27 vpr 65.22 MiB -1 -1 0.17 20896 1 0.03 -1 -1 34136 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 457 356 1 282 85 17 17 289 -1 unnamed_device 26.9 MiB 1.48 1486 13849 4526 7238 2085 65.2 MiB 0.12 0.00 4.125 -141.675 -4.125 4.125 0.92 0.000597474 0.000541622 0.0482901 0.0439907 40 4167 26 6.99608e+06 309029 706193. 2443.58 5.17 0.201596 0.177863 26914 176310 -1 3517 41 4264 6041 909119 317492 4.80264 4.80264 -173.887 -4.80264 0 0 926341. 3205.33 0.35 0.30 0.16 -1 -1 0.35 0.0543731 0.048116 129 84 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 13.73 vpr 64.64 MiB -1 -1 0.15 20284 1 0.03 -1 -1 33772 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 31 32 261 225 1 158 74 17 17 289 -1 unnamed_device 26.2 MiB 3.64 620 9064 3735 4947 382 64.6 MiB 0.06 0.00 2.4909 -81.579 -2.4909 2.4909 0.98 0.000408854 0.000370854 0.0260177 0.0237092 38 2164 40 6.99608e+06 161872 678818. 2348.85 6.77 0.21242 0.183365 26626 170182 -1 1449 20 1181 1580 95606 24117 3.01197 3.01197 -103.613 -3.01197 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0189809 0.01686 65 24 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 25.88 vpr 64.88 MiB -1 -1 0.15 20716 1 0.03 -1 -1 33784 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 31 32 337 267 1 200 78 17 17 289 -1 unnamed_device 26.5 MiB 0.69 970 13856 5895 7462 499 64.9 MiB 0.10 0.00 3.70767 -116.074 -3.70767 3.70767 0.94 0.000440702 0.000396639 0.0407504 0.0368862 46 3188 45 6.99608e+06 220735 828058. 2865.25 21.86 0.327701 0.284953 28066 200906 -1 2300 21 1603 2301 213195 46096 4.35961 4.35961 -147.195 -4.35961 0 0 1.01997e+06 3529.29 0.39 0.08 0.17 -1 -1 0.39 0.0241546 0.0214428 85 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 10.44 vpr 65.12 MiB -1 -1 0.15 20744 1 0.03 -1 -1 33964 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 349 284 1 213 79 17 17 289 -1 unnamed_device 26.7 MiB 1.39 1125 11233 4225 5159 1849 65.1 MiB 0.09 0.00 3.12594 -108.005 -3.12594 3.12594 0.93 0.00048817 0.000446178 0.0357616 0.0326435 38 3263 37 6.99608e+06 220735 678818. 2348.85 5.82 0.176455 0.155852 26626 170182 -1 2556 19 1524 2371 199047 40412 3.40412 3.40412 -132.433 -3.40412 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0238721 0.0213322 91 50 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 7.43 vpr 64.90 MiB -1 -1 0.15 20256 1 0.03 -1 -1 33992 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 26.4 MiB 0.93 669 11088 2858 6458 1772 64.9 MiB 0.08 0.00 3.61243 -98.5885 -3.61243 3.61243 0.93 0.000456405 0.000414447 0.0313771 0.0285903 48 2200 47 6.99608e+06 235451 865456. 2994.66 3.14 0.153574 0.134229 28354 207349 -1 1649 32 1430 2623 300973 102664 3.73582 3.73582 -121.018 -3.73582 0 0 1.05005e+06 3633.38 0.39 0.11 0.19 -1 -1 0.39 0.0308292 0.027091 68 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 17.74 vpr 64.88 MiB -1 -1 0.16 20504 1 0.03 -1 -1 33620 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 353 287 1 204 79 17 17 289 -1 unnamed_device 26.4 MiB 1.46 953 9712 4034 5432 246 64.9 MiB 0.08 0.00 3.52245 -109.707 -3.52245 3.52245 0.94 0.000492066 0.000447361 0.0311599 0.0284314 38 3068 38 6.99608e+06 220735 678818. 2348.85 13.00 0.255897 0.22256 26626 170182 -1 2325 20 1548 2085 194746 40496 3.46386 3.46386 -130.045 -3.46386 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0239624 0.0212572 90 52 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 10.32 vpr 65.21 MiB -1 -1 0.16 20596 1 0.03 -1 -1 33928 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 32 32 361 291 1 216 79 17 17 289 -1 unnamed_device 26.7 MiB 1.77 1076 13768 5464 6508 1796 65.2 MiB 0.11 0.00 2.90529 -102.96 -2.90529 2.90529 0.97 0.000527446 0.000481651 0.0441414 0.0402928 44 2878 22 6.99608e+06 220735 787024. 2723.27 5.10 0.229335 0.200813 27778 195446 -1 2381 20 1640 2410 179650 37680 3.56736 3.56736 -132.198 -3.56736 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.024265 0.021611 92 52 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 8.55 vpr 65.05 MiB -1 -1 0.16 20424 1 0.03 -1 -1 33652 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 382 305 1 237 80 17 17 289 -1 unnamed_device 26.5 MiB 2.68 1123 14872 5822 7422 1628 65.1 MiB 0.12 0.00 3.04477 -106.857 -3.04477 3.04477 0.96 0.000518244 0.000471586 0.0486599 0.0443086 44 3229 25 6.99608e+06 235451 787024. 2723.27 2.45 0.151293 0.133098 27778 195446 -1 2454 20 1808 2390 184765 39304 3.58611 3.58611 -137.042 -3.58611 0 0 997811. 3452.63 0.38 0.07 0.19 -1 -1 0.38 0.0258933 0.0229724 101 59 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 7.33 vpr 64.99 MiB -1 -1 0.15 20308 1 0.03 -1 -1 33688 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 306 248 1 178 78 17 17 289 -1 unnamed_device 26.4 MiB 1.08 764 10536 3249 4839 2448 65.0 MiB 0.07 0.00 3.71143 -99.7777 -3.71143 3.71143 0.96 0.00046189 0.000421594 0.0315693 0.0288753 44 2544 39 6.99608e+06 206020 787024. 2723.27 2.91 0.134537 0.1182 27778 195446 -1 1628 20 1122 1685 114467 29833 3.84381 3.84381 -123.601 -3.84381 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0209991 0.0186552 74 21 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 11.03 vpr 65.07 MiB -1 -1 0.15 20288 1 0.03 -1 -1 33412 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 319 257 1 192 77 17 17 289 -1 unnamed_device 26.4 MiB 2.18 706 10835 4447 5904 484 65.1 MiB 0.08 0.00 3.44198 -102.523 -3.44198 3.44198 0.97 0.000485536 0.000441596 0.0344405 0.0314495 50 2255 26 6.99608e+06 191304 902133. 3121.57 5.42 0.242722 0.212423 28642 213929 -1 1740 22 1559 2152 162771 38417 3.80082 3.80082 -126.221 -3.80082 0 0 1.08113e+06 3740.92 0.43 0.07 0.20 -1 -1 0.43 0.0241324 0.0214781 81 26 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 7.51 vpr 65.32 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33904 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 31 32 373 299 1 224 79 17 17 289 -1 unnamed_device 26.8 MiB 1.00 1122 12078 5051 6678 349 65.3 MiB 0.10 0.00 3.56031 -113.625 -3.56031 3.56031 0.97 0.000526479 0.000478543 0.0403886 0.0368357 44 3431 34 6.99608e+06 235451 787024. 2723.27 3.03 0.17884 0.157126 27778 195446 -1 2579 23 2015 3129 309178 78757 4.22195 4.22195 -144.39 -4.22195 0 0 997811. 3452.63 0.39 0.11 0.18 -1 -1 0.39 0.029973 0.0268192 99 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 6.89 vpr 65.30 MiB -1 -1 0.14 20576 1 0.03 -1 -1 33924 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66868 32 32 387 315 1 241 80 17 17 289 -1 unnamed_device 26.7 MiB 1.07 1112 14528 5975 6903 1650 65.3 MiB 0.12 0.00 3.36392 -112.351 -3.36392 3.36392 0.92 0.000492515 0.000443329 0.0455124 0.0412582 46 3535 29 6.99608e+06 235451 828058. 2865.25 2.47 0.161919 0.142741 28066 200906 -1 2697 22 2153 3200 258421 54227 3.78082 3.78082 -135.468 -3.78082 0 0 1.01997e+06 3529.29 0.39 0.09 0.17 -1 -1 0.39 0.0274968 0.0242944 104 74 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 5.75 vpr 64.74 MiB -1 -1 0.13 20288 1 0.03 -1 -1 33764 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 251 219 1 152 74 17 17 289 -1 unnamed_device 26.4 MiB 0.62 652 9684 3987 5324 373 64.7 MiB 0.06 0.00 2.58978 -78.8686 -2.58978 2.58978 0.92 0.000406342 0.000368707 0.0262706 0.0239697 40 2121 36 6.99608e+06 147157 706193. 2443.58 1.96 0.110249 0.0966601 26914 176310 -1 1615 22 1147 1630 137130 34190 3.05292 3.05292 -105.142 -3.05292 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0187062 0.0165528 60 20 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 12.12 vpr 65.18 MiB -1 -1 0.15 20688 1 0.03 -1 -1 33692 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 341 285 1 214 79 17 17 289 -1 unnamed_device 26.7 MiB 0.94 970 11571 4543 4967 2061 65.2 MiB 0.09 0.00 3.31348 -119.997 -3.31348 3.31348 0.96 0.000484648 0.000441437 0.0358499 0.0327304 38 3742 43 6.99608e+06 220735 678818. 2348.85 7.80 0.173179 0.151709 26626 170182 -1 2797 21 2384 3210 344583 68244 4.21815 4.21815 -159.692 -4.21815 0 0 902133. 3121.57 0.34 0.11 0.16 -1 -1 0.34 0.0268024 0.0239315 93 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 7.47 vpr 65.30 MiB -1 -1 0.17 20584 1 0.03 -1 -1 34000 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 387 293 1 226 80 17 17 289 -1 unnamed_device 26.8 MiB 1.16 1157 14700 6315 8054 331 65.3 MiB 0.12 0.00 3.99514 -125.321 -3.99514 3.99514 0.97 0.000549987 0.000499175 0.0503377 0.0458334 48 2898 27 6.99608e+06 235451 865456. 2994.66 2.74 0.179163 0.158792 28354 207349 -1 2559 25 2356 3559 386042 88092 4.62596 4.62596 -154.896 -4.62596 0 0 1.05005e+06 3633.38 0.43 0.12 0.19 -1 -1 0.43 0.0345525 0.0307661 98 28 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 10.50 vpr 64.90 MiB -1 -1 0.16 20828 1 0.03 -1 -1 33456 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 340 270 1 203 79 17 17 289 -1 unnamed_device 26.5 MiB 0.72 1018 10388 3249 6500 639 64.9 MiB 0.09 0.00 3.52245 -120.884 -3.52245 3.52245 0.93 0.000496176 0.000452544 0.0331965 0.0303195 36 3082 35 6.99608e+06 220735 648988. 2245.63 6.53 0.159505 0.139514 26050 158493 -1 2497 21 1785 2413 229885 45914 3.85976 3.85976 -148.759 -3.85976 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0240979 0.0213956 85 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.71 vpr 64.95 MiB -1 -1 0.16 20264 1 0.03 -1 -1 33696 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 30 32 278 235 1 166 82 17 17 289 -1 unnamed_device 26.4 MiB 1.42 659 11474 4753 6219 502 64.9 MiB 0.08 0.00 3.02694 -91.1422 -3.02694 3.02694 0.96 0.000428495 0.000390796 0.0295082 0.0269852 40 2208 35 6.99608e+06 294314 706193. 2443.58 2.99 0.145291 0.127995 26914 176310 -1 1573 19 1234 1843 161008 37643 3.37001 3.37001 -117.718 -3.37001 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0201593 0.017977 72 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 11.06 vpr 65.77 MiB -1 -1 0.17 21020 1 0.03 -1 -1 33860 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67344 32 32 431 332 1 261 82 17 17 289 -1 unnamed_device 27.1 MiB 1.87 1458 16102 5599 8635 1868 65.8 MiB 0.14 0.00 4.69828 -148.633 -4.69828 4.69828 0.95 0.000615017 0.000557461 0.0579126 0.0526594 46 3902 23 6.99608e+06 264882 828058. 2865.25 5.68 0.287933 0.253259 28066 200906 -1 3101 23 2578 3904 306816 61254 5.26619 5.26619 -179.715 -5.26619 0 0 1.01997e+06 3529.29 0.39 0.10 0.18 -1 -1 0.39 0.0331757 0.0295976 116 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 6.58 vpr 64.78 MiB -1 -1 0.14 20248 1 0.03 -1 -1 33764 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 336 268 1 199 78 17 17 289 -1 unnamed_device 26.2 MiB 0.68 867 13856 5938 7553 365 64.8 MiB 0.10 0.00 3.85334 -116.528 -3.85334 3.85334 0.94 0.000490656 0.000446648 0.0416651 0.0377599 42 2760 36 6.99608e+06 206020 744469. 2576.02 2.62 0.172913 0.15182 27202 183097 -1 1980 21 1651 2264 199781 42307 4.05242 4.05242 -138.272 -4.05242 0 0 949917. 3286.91 0.37 0.07 0.16 -1 -1 0.37 0.0233787 0.0207582 83 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 5.34 vpr 64.41 MiB -1 -1 0.15 20440 1 0.03 -1 -1 33380 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 25.9 MiB 0.24 652 9694 2938 5089 1667 64.4 MiB 0.06 0.00 2.4029 -78.4802 -2.4029 2.4029 0.95 0.000380572 0.000347829 0.0243191 0.0222563 38 1819 18 6.99608e+06 191304 678818. 2348.85 1.88 0.108658 0.0953026 26626 170182 -1 1577 23 1073 1708 150359 30984 2.90967 2.90967 -102.461 -2.90967 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.019641 0.0173358 51 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 8.04 vpr 65.29 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33696 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 32 32 349 273 1 207 80 17 17 289 -1 unnamed_device 26.9 MiB 1.43 948 15732 6849 7886 997 65.3 MiB 0.12 0.00 4.00152 -109.55 -4.00152 4.00152 0.95 0.000481337 0.000437908 0.048904 0.0443714 44 3147 35 6.99608e+06 235451 787024. 2723.27 3.22 0.176041 0.154549 27778 195446 -1 2180 22 1545 2580 190135 42624 4.94095 4.94095 -139.209 -4.94095 0 0 997811. 3452.63 0.37 0.07 0.18 -1 -1 0.37 0.0254681 0.0224355 85 26 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 8.73 vpr 64.36 MiB -1 -1 0.14 20180 1 0.03 -1 -1 34104 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 25.8 MiB 1.05 483 9706 3182 4454 2070 64.4 MiB 0.06 0.00 2.5722 -81.3565 -2.5722 2.5722 0.93 0.000396469 0.000362374 0.0245154 0.0224029 42 1807 49 6.99608e+06 206020 744469. 2576.02 4.48 0.176142 0.15273 27202 183097 -1 1322 21 1162 1717 131663 33345 3.16407 3.16407 -111.533 -3.16407 0 0 949917. 3286.91 0.36 0.05 0.17 -1 -1 0.36 0.0186304 0.0164522 57 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 7.48 vpr 64.96 MiB -1 -1 0.15 20448 1 0.03 -1 -1 33528 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 30 32 278 235 1 170 75 17 17 289 -1 unnamed_device 26.5 MiB 0.65 687 11925 5028 6439 458 65.0 MiB 0.08 0.00 3.03377 -95.0567 -3.03377 3.03377 0.93 0.00038807 0.000349626 0.0324266 0.0294355 38 2272 40 6.99608e+06 191304 678818. 2348.85 3.64 0.145689 0.127406 26626 170182 -1 1599 21 1350 1809 138103 31290 3.34751 3.34751 -115.982 -3.34751 0 0 902133. 3121.57 0.35 0.06 0.15 -1 -1 0.35 0.0200551 0.0177278 69 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 9.01 vpr 65.27 MiB -1 -1 0.17 20572 1 0.03 -1 -1 33856 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 29 32 355 287 1 213 79 17 17 289 -1 unnamed_device 26.7 MiB 1.91 1017 7515 2624 3331 1560 65.3 MiB 0.07 0.00 3.40046 -105.096 -3.40046 3.40046 0.95 0.000505239 0.000461207 0.0253985 0.0232689 40 3012 25 6.99608e+06 264882 706193. 2443.58 3.75 0.152587 0.13398 26914 176310 -1 2611 21 2004 2954 307332 62284 3.7222 3.7222 -130.464 -3.7222 0 0 926341. 3205.33 0.36 0.09 0.16 -1 -1 0.36 0.0260633 0.0232389 97 56 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 19.30 vpr 65.30 MiB -1 -1 0.16 20760 1 0.03 -1 -1 33896 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 358 289 1 217 79 17 17 289 -1 unnamed_device 26.8 MiB 1.60 1094 10219 4205 5773 241 65.3 MiB 0.08 0.00 3.50518 -117.692 -3.50518 3.50518 0.92 0.00051433 0.000466056 0.0341036 0.0310379 42 3057 31 6.99608e+06 220735 744469. 2576.02 14.43 0.292201 0.255073 27202 183097 -1 2531 18 1824 2515 228274 47764 4.69841 4.69841 -160.562 -4.69841 0 0 949917. 3286.91 0.38 0.08 0.16 -1 -1 0.38 0.0256638 0.023077 93 51 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 21.65 vpr 65.24 MiB -1 -1 0.17 20496 1 0.03 -1 -1 33976 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 353 285 1 213 79 17 17 289 -1 unnamed_device 26.8 MiB 2.50 1136 12585 3548 7783 1254 65.2 MiB 0.10 0.00 3.79817 -125.159 -3.79817 3.79817 0.96 0.000507054 0.000461286 0.0409886 0.0374317 40 2860 44 6.99608e+06 220735 706193. 2443.58 15.77 0.307025 0.267789 26914 176310 -1 2603 21 1806 2674 273839 53617 4.54991 4.54991 -157.572 -4.54991 0 0 926341. 3205.33 0.35 0.09 0.16 -1 -1 0.35 0.0256612 0.0228234 90 48 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 7.68 vpr 64.62 MiB -1 -1 0.14 20184 1 0.03 -1 -1 33728 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 276 237 1 163 75 17 17 289 -1 unnamed_device 26.1 MiB 2.16 846 11925 5171 6514 240 64.6 MiB 0.08 0.00 3.18112 -104.147 -3.18112 3.18112 0.90 0.000410478 0.000374513 0.033279 0.0304344 46 2056 50 6.99608e+06 161872 828058. 2865.25 2.32 0.13241 0.116482 28066 200906 -1 1736 20 1225 1613 124667 26828 3.42936 3.42936 -118.543 -3.42936 0 0 1.01997e+06 3529.29 0.37 0.05 0.19 -1 -1 0.37 0.0196605 0.0174598 67 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 6.26 vpr 65.14 MiB -1 -1 0.16 20660 1 0.03 -1 -1 34040 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 319 272 1 200 77 17 17 289 -1 unnamed_device 26.7 MiB 0.96 790 9042 2534 5268 1240 65.1 MiB 0.07 0.00 3.00077 -96.7127 -3.00077 3.00077 0.94 0.000476243 0.00043161 0.0269271 0.024469 46 2207 24 6.99608e+06 206020 828058. 2865.25 2.00 0.110202 0.0962267 28066 200906 -1 1499 21 1541 2135 126452 30546 2.97491 2.97491 -109.517 -2.97491 0 0 1.01997e+06 3529.29 0.40 0.06 0.18 -1 -1 0.40 0.0224141 0.0198411 86 60 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 20.46 vpr 65.29 MiB -1 -1 0.17 20284 1 0.03 -1 -1 34036 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 30 32 329 273 1 202 81 17 17 289 -1 unnamed_device 26.8 MiB 1.37 841 10231 2948 5073 2210 65.3 MiB 0.08 0.00 2.70194 -87.7568 -2.70194 2.70194 0.98 0.000479241 0.000434223 0.0307192 0.0279828 40 2812 39 6.99608e+06 279598 706193. 2443.58 15.69 0.265545 0.231586 26914 176310 -1 2075 20 1444 2066 191007 41507 3.32481 3.32481 -117.624 -3.32481 0 0 926341. 3205.33 0.37 0.07 0.17 -1 -1 0.37 0.0232446 0.0207478 91 52 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 7.26 vpr 64.81 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33692 -1 -1 17 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 28 32 277 229 1 170 77 17 17 289 -1 unnamed_device 26.3 MiB 0.51 857 13443 4623 7041 1779 64.8 MiB 0.09 0.00 3.06285 -91.2465 -3.06285 3.06285 0.92 0.000415127 0.000378422 0.0362605 0.0330721 38 2322 29 6.99608e+06 250167 678818. 2348.85 3.54 0.144589 0.127068 26626 170182 -1 1850 23 1373 2153 172724 34707 3.92746 3.92746 -117.596 -3.92746 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0216588 0.019149 71 20 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 8.72 vpr 64.74 MiB -1 -1 0.17 20592 1 0.03 -1 -1 33608 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 30 32 317 269 1 200 77 17 17 289 -1 unnamed_device 26.3 MiB 2.23 824 10835 4518 5855 462 64.7 MiB 0.08 0.00 3.54051 -110.83 -3.54051 3.54051 0.95 0.000444651 0.000404532 0.032177 0.029329 46 2477 26 6.99608e+06 220735 828058. 2865.25 3.16 0.141425 0.123503 28066 200906 -1 1759 18 1406 1948 140548 31722 3.96755 3.96755 -138.889 -3.96755 0 0 1.01997e+06 3529.29 0.39 0.06 0.19 -1 -1 0.39 0.0200587 0.017841 87 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 18.73 vpr 64.89 MiB -1 -1 0.16 20176 1 0.03 -1 -1 33620 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 335 282 1 216 78 17 17 289 -1 unnamed_device 26.4 MiB 0.95 958 11532 4419 4440 2673 64.9 MiB 0.09 0.00 2.7677 -100.015 -2.7677 2.7677 0.97 0.000494274 0.000451624 0.0358655 0.0327935 40 3081 40 6.99608e+06 206020 706193. 2443.58 14.38 0.288233 0.251511 26914 176310 -1 2474 23 2073 2822 317660 70259 3.51211 3.51211 -136.822 -3.51211 0 0 926341. 3205.33 0.35 0.10 0.16 -1 -1 0.35 0.0259292 0.0230244 93 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 8.66 vpr 64.93 MiB -1 -1 0.16 20400 1 0.03 -1 -1 33852 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 26.4 MiB 0.44 748 8919 2572 5135 1212 64.9 MiB 0.07 0.00 3.86008 -100.236 -3.86008 3.86008 0.98 0.000475434 0.000433299 0.0235716 0.0214841 46 2203 34 6.99608e+06 353176 828058. 2865.25 4.89 0.167225 0.144969 28066 200906 -1 1595 15 909 1573 103628 23762 3.55532 3.55532 -113.694 -3.55532 0 0 1.01997e+06 3529.29 0.37 0.04 0.18 -1 -1 0.37 0.0170747 0.0152357 74 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 13.06 vpr 65.02 MiB -1 -1 0.16 20700 1 0.03 -1 -1 33832 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 350 275 1 202 78 17 17 289 -1 unnamed_device 26.6 MiB 2.28 988 11200 4704 6275 221 65.0 MiB 0.09 0.00 3.51478 -119.446 -3.51478 3.51478 0.96 0.000541334 0.0004863 0.0373691 0.0341261 38 3610 33 6.99608e+06 206020 678818. 2348.85 7.36 0.169831 0.148734 26626 170182 -1 2763 33 2355 3461 435996 115873 4.4649 4.4649 -155.662 -4.4649 0 0 902133. 3121.57 0.34 0.15 0.16 -1 -1 0.34 0.0360334 0.0317689 86 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 27.37 vpr 65.36 MiB -1 -1 0.15 20620 1 0.03 -1 -1 34048 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66928 32 32 385 308 1 237 81 17 17 289 -1 unnamed_device 26.8 MiB 0.85 1069 10581 3820 4375 2386 65.4 MiB 0.09 0.00 4.0077 -127.842 -4.0077 4.0077 0.96 0.000551932 0.000504231 0.0362968 0.0331687 44 3663 45 6.99608e+06 250167 787024. 2723.27 23.08 0.321668 0.28098 27778 195446 -1 2443 22 2275 3275 309106 76325 4.55314 4.55314 -158.421 -4.55314 0 0 997811. 3452.63 0.40 0.10 0.18 -1 -1 0.40 0.0286466 0.0255524 102 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 8.52 vpr 65.49 MiB -1 -1 0.17 20576 1 0.03 -1 -1 33740 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67060 32 32 387 309 1 244 81 17 17 289 -1 unnamed_device 26.9 MiB 0.95 1098 13206 4825 6600 1781 65.5 MiB 0.10 0.00 3.47616 -116.907 -3.47616 3.47616 0.98 0.000302563 0.00027639 0.0404144 0.0368362 46 3837 28 6.99608e+06 250167 828058. 2865.25 4.10 0.176098 0.154786 28066 200906 -1 2833 22 2129 3124 289159 58732 3.87 3.87 -147.27 -3.87 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0284485 0.0253631 104 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 7.50 vpr 64.84 MiB -1 -1 0.15 20308 1 0.03 -1 -1 33416 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 30 32 272 232 1 171 75 17 17 289 -1 unnamed_device 26.4 MiB 1.03 592 9081 2599 4640 1842 64.8 MiB 0.06 0.00 3.63675 -103.141 -3.63675 3.63675 0.97 0.000410476 0.000374335 0.0257983 0.0235806 48 1841 31 6.99608e+06 191304 865456. 2994.66 3.07 0.138945 0.121961 28354 207349 -1 1441 18 1150 1627 127170 31905 3.45286 3.45286 -118.706 -3.45286 0 0 1.05005e+06 3633.38 0.40 0.05 0.19 -1 -1 0.40 0.0182821 0.0163386 71 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 10.13 vpr 65.50 MiB -1 -1 0.16 20484 1 0.03 -1 -1 33700 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 30 32 375 299 1 233 80 17 17 289 -1 unnamed_device 26.9 MiB 1.04 898 11088 3619 4989 2480 65.5 MiB 0.08 0.00 4.22166 -128.126 -4.22166 4.22166 0.94 0.000560292 0.000508228 0.0349088 0.0317119 54 2507 44 6.99608e+06 264882 949917. 3286.91 5.64 0.246516 0.213111 29506 232905 -1 1948 25 2196 3078 227374 54686 4.57911 4.57911 -151.941 -4.57911 0 0 1.17392e+06 4061.99 0.46 0.09 0.20 -1 -1 0.46 0.0291283 0.025766 104 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 10.03 vpr 65.11 MiB -1 -1 0.15 20616 1 0.03 -1 -1 34012 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 340 270 1 197 78 17 17 289 -1 unnamed_device 26.8 MiB 1.27 846 12694 5333 6865 496 65.1 MiB 0.10 0.00 3.85334 -114.876 -3.85334 3.85334 0.98 0.000493213 0.00044912 0.04077 0.0372314 46 2761 26 6.99608e+06 206020 828058. 2865.25 5.27 0.219864 0.19215 28066 200906 -1 1998 26 1633 2643 238122 51775 4.28795 4.28795 -141.139 -4.28795 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0297618 0.0263053 82 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 8.10 vpr 65.15 MiB -1 -1 0.17 20716 1 0.03 -1 -1 33872 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 31 32 340 275 1 199 80 17 17 289 -1 unnamed_device 26.7 MiB 1.33 824 10916 3457 5321 2138 65.1 MiB 0.09 0.00 4.27285 -115.768 -4.27285 4.27285 0.97 0.000495 0.000451045 0.0341111 0.0312247 46 2309 46 6.99608e+06 250167 828058. 2865.25 3.37 0.175917 0.154662 28066 200906 -1 1800 23 1339 1910 121612 28768 4.45681 4.45681 -139.856 -4.45681 0 0 1.01997e+06 3529.29 0.38 0.06 0.19 -1 -1 0.38 0.0253869 0.0224012 87 43 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 9.12 vpr 65.40 MiB -1 -1 0.18 20500 1 0.03 -1 -1 33892 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 30 32 377 310 1 234 82 17 17 289 -1 unnamed_device 26.8 MiB 2.27 1045 15212 5515 7525 2172 65.4 MiB 0.12 0.00 3.44926 -107.329 -3.44926 3.44926 0.98 0.000535124 0.000486869 0.0476775 0.0434423 44 3455 44 6.99608e+06 294314 787024. 2723.27 3.39 0.196307 0.1728 27778 195446 -1 2379 21 2233 3109 243962 52982 4.03731 4.03731 -142.38 -4.03731 0 0 997811. 3452.63 0.39 0.09 0.18 -1 -1 0.39 0.0274744 0.0245583 108 78 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 10.35 vpr 65.05 MiB -1 -1 0.16 20436 1 0.03 -1 -1 33928 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 32 32 365 294 1 223 81 17 17 289 -1 unnamed_device 26.5 MiB 1.90 1271 16531 5984 8406 2141 65.1 MiB 0.13 0.00 3.75306 -124.358 -3.75306 3.75306 0.94 0.000510682 0.000465314 0.0515018 0.0469561 46 2982 20 6.99608e+06 250167 828058. 2865.25 5.06 0.228023 0.198794 28066 200906 -1 2420 21 1772 2563 204143 42577 4.15291 4.15291 -150.07 -4.15291 0 0 1.01997e+06 3529.29 0.38 0.07 0.19 -1 -1 0.38 0.0248452 0.0220949 95 54 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 10.45 vpr 65.32 MiB -1 -1 0.17 20724 1 0.03 -1 -1 33896 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 29 32 378 310 1 237 81 17 17 289 -1 unnamed_device 26.7 MiB 2.84 1165 9531 3101 4544 1886 65.3 MiB 0.08 0.00 3.11675 -104.42 -3.11675 3.11675 0.94 0.000529978 0.000482384 0.0316476 0.0288258 38 3375 26 6.99608e+06 294314 678818. 2348.85 4.27 0.166373 0.146228 26626 170182 -1 2655 23 2151 2812 244626 50145 3.80196 3.80196 -132.455 -3.80196 0 0 902133. 3121.57 0.36 0.09 0.16 -1 -1 0.36 0.0279646 0.0247511 109 79 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 12.56 vpr 64.62 MiB -1 -1 0.14 20244 1 0.03 -1 -1 33880 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 243 205 1 140 74 17 17 289 -1 unnamed_device 26.1 MiB 1.38 624 10149 3858 5233 1058 64.6 MiB 0.07 0.00 2.91658 -85.962 -2.91658 2.91658 0.92 0.000400307 0.000365767 0.0273554 0.0250278 38 2105 23 6.99608e+06 147157 678818. 2348.85 8.01 0.202127 0.175442 26626 170182 -1 1584 21 1115 1728 136337 28984 2.88437 2.88437 -107.331 -2.88437 0 0 902133. 3121.57 0.33 0.05 0.16 -1 -1 0.33 0.018566 0.0163989 54 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 10.27 vpr 65.39 MiB -1 -1 0.17 20728 1 0.03 -1 -1 33588 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 373 302 1 234 81 17 17 289 -1 unnamed_device 26.7 MiB 0.75 1079 14956 5560 6924 2472 65.4 MiB 0.12 0.00 4.21916 -133.906 -4.21916 4.21916 0.98 0.000528401 0.000478421 0.047609 0.0433195 56 2477 23 6.99608e+06 250167 973134. 3367.25 5.89 0.220746 0.192834 29794 239141 -1 2151 19 1592 2288 231625 47587 4.5129 4.5129 -152.827 -4.5129 0 0 1.19926e+06 4149.71 0.47 0.08 0.23 -1 -1 0.47 0.0256972 0.0230801 100 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 7.68 vpr 65.20 MiB -1 -1 0.16 20628 1 0.03 -1 -1 33640 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 397 314 1 249 81 17 17 289 -1 unnamed_device 26.6 MiB 1.03 1038 11806 4600 6230 976 65.2 MiB 0.10 0.00 4.125 -136.873 -4.125 4.125 0.98 0.000566307 0.000516443 0.0408714 0.0372801 48 3340 27 6.99608e+06 250167 865456. 2994.66 3.10 0.184773 0.162759 28354 207349 -1 2683 20 2373 3332 331649 69240 4.78344 4.78344 -172.184 -4.78344 0 0 1.05005e+06 3633.38 0.41 0.10 0.20 -1 -1 0.41 0.0284198 0.0254571 109 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 7.99 vpr 64.93 MiB -1 -1 0.14 20224 1 0.03 -1 -1 33836 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 269 231 1 168 75 17 17 289 -1 unnamed_device 26.4 MiB 1.09 782 12715 5398 7027 290 64.9 MiB 0.08 0.00 3.03397 -94.935 -3.03397 3.03397 0.97 0.000402033 0.000367165 0.035295 0.0322032 36 2278 38 6.99608e+06 161872 648988. 2245.63 3.64 0.159494 0.140524 26050 158493 -1 1696 20 1180 1468 124635 26959 3.05391 3.05391 -109.828 -3.05391 0 0 828058. 2865.25 0.34 0.06 0.14 -1 -1 0.34 0.020681 0.0184061 69 26 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 6.05 vpr 64.54 MiB -1 -1 0.16 20408 1 0.03 -1 -1 33692 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 26.0 MiB 0.56 520 10156 4208 5460 488 64.5 MiB 0.06 0.00 2.78823 -83.3973 -2.78823 2.78823 0.98 0.00038541 0.00035254 0.027093 0.0247675 40 1986 43 6.99608e+06 191304 706193. 2443.58 2.17 0.120287 0.105938 26914 176310 -1 1531 19 1159 1692 141978 36628 3.44082 3.44082 -116.803 -3.44082 0 0 926341. 3205.33 0.36 0.06 0.16 -1 -1 0.36 0.0177805 0.0158211 56 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 9.10 vpr 65.30 MiB -1 -1 0.15 20552 1 0.03 -1 -1 33488 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 348 274 1 208 79 17 17 289 -1 unnamed_device 26.9 MiB 0.87 904 12585 3591 6489 2505 65.3 MiB 0.09 0.00 3.57951 -115.674 -3.57951 3.57951 0.95 0.000492524 0.000443955 0.0383023 0.0348045 44 2631 37 6.99608e+06 220735 787024. 2723.27 4.90 0.210713 0.183281 27778 195446 -1 1886 22 1561 2117 148664 34042 3.93935 3.93935 -136.751 -3.93935 0 0 997811. 3452.63 0.39 0.06 0.17 -1 -1 0.39 0.0244827 0.0216884 88 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 10.11 vpr 65.29 MiB -1 -1 0.16 20904 1 0.03 -1 -1 33892 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 32 32 356 289 1 218 79 17 17 289 -1 unnamed_device 26.8 MiB 1.99 1011 12923 5460 7214 249 65.3 MiB 0.10 0.00 3.63687 -114.181 -3.63687 3.63687 1.00 0.000512184 0.000466437 0.042575 0.0387467 38 3385 47 6.99608e+06 220735 678818. 2348.85 4.74 0.190678 0.167487 26626 170182 -1 2370 24 1901 2606 192658 41420 4.54021 4.54021 -145.164 -4.54021 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0270122 0.0238555 95 53 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 10.00 vpr 65.08 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33764 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.7 MiB 0.48 834 15481 6763 7987 731 65.1 MiB 0.12 0.00 3.77681 -111.474 -3.77681 3.77681 0.99 0.000516362 0.000469858 0.0487555 0.0443729 60 2791 23 6.99608e+06 250167 1.01997e+06 3529.29 5.91 0.223303 0.195086 30658 258169 -1 1638 20 1441 2475 151299 35025 3.93725 3.93725 -132.612 -3.93725 0 0 1.27783e+06 4421.56 0.48 0.06 0.25 -1 -1 0.48 0.0239108 0.0212626 83 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 7.43 vpr 64.95 MiB -1 -1 0.16 20232 1 0.03 -1 -1 33600 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 30 32 316 264 1 197 78 17 17 289 -1 unnamed_device 26.6 MiB 1.28 788 9706 3488 4525 1693 65.0 MiB 0.07 0.00 3.02754 -88.8726 -3.02754 3.02754 0.95 0.000458375 0.00041713 0.0290752 0.0265676 44 2913 40 6.99608e+06 235451 787024. 2723.27 2.74 0.140387 0.122689 27778 195446 -1 1819 30 1874 2785 293285 88250 3.44887 3.44887 -111.408 -3.44887 0 0 997811. 3452.63 0.40 0.11 0.18 -1 -1 0.40 0.0304349 0.0267978 86 47 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 6.50 vpr 64.73 MiB -1 -1 0.15 20244 1 0.03 -1 -1 34044 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 27 32 255 219 1 145 74 17 17 289 -1 unnamed_device 26.3 MiB 1.07 487 10459 4407 5408 644 64.7 MiB 0.07 0.00 2.96122 -84.2305 -2.96122 2.96122 0.98 0.000381696 0.000346765 0.0282647 0.0257799 38 1689 31 6.99608e+06 220735 678818. 2348.85 2.14 0.13637 0.119461 26626 170182 -1 1252 18 926 1390 88619 22352 3.33712 3.33712 -109.295 -3.33712 0 0 902133. 3121.57 0.35 0.05 0.15 -1 -1 0.35 0.0177372 0.0158279 66 26 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 10.72 vpr 65.58 MiB -1 -1 0.17 20760 1 0.03 -1 -1 33916 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 421 327 1 257 82 17 17 289 -1 unnamed_device 27.2 MiB 0.97 1215 16280 7180 8676 424 65.6 MiB 0.15 0.00 3.41284 -117.869 -3.41284 3.41284 0.95 0.000548929 0.000505518 0.0567989 0.0515993 54 3476 33 6.99608e+06 264882 949917. 3286.91 5.97 0.291098 0.25581 29506 232905 -1 2711 22 2270 3461 285867 58254 4.65241 4.65241 -148.813 -4.65241 0 0 1.17392e+06 4061.99 0.45 0.09 0.21 -1 -1 0.45 0.0295072 0.0263227 111 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 9.75 vpr 65.36 MiB -1 -1 0.16 20740 1 0.03 -1 -1 33968 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 31 32 365 296 1 229 80 17 17 289 -1 unnamed_device 26.7 MiB 1.96 1215 14528 4935 7929 1664 65.4 MiB 0.12 0.00 4.34903 -133.1 -4.34903 4.34903 0.93 0.00051112 0.000464557 0.0453993 0.0415107 40 3218 29 6.99608e+06 250167 706193. 2443.58 4.43 0.181345 0.160258 26914 176310 -1 2739 22 2360 3274 340418 65338 5.12834 5.12834 -174.894 -5.12834 0 0 926341. 3205.33 0.36 0.11 0.16 -1 -1 0.36 0.0288488 0.0258211 100 60 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 9.26 vpr 65.25 MiB -1 -1 0.16 20272 1 0.03 -1 -1 33872 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 331 280 1 215 78 17 17 289 -1 unnamed_device 26.8 MiB 1.03 1014 9706 2762 6061 883 65.3 MiB 0.08 0.00 3.46994 -124.611 -3.46994 3.46994 0.96 0.000479452 0.000437065 0.0301405 0.0275462 40 2743 48 6.99608e+06 206020 706193. 2443.58 4.87 0.240694 0.209773 26914 176310 -1 2245 21 1656 2079 174161 38358 4.02985 4.02985 -152.533 -4.02985 0 0 926341. 3205.33 0.35 0.07 0.17 -1 -1 0.35 0.0235657 0.0209855 91 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 6.45 vpr 64.75 MiB -1 -1 0.15 20592 1 0.03 -1 -1 34032 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 326 263 1 197 79 17 17 289 -1 unnamed_device 26.5 MiB 0.78 907 12585 5304 6821 460 64.7 MiB 0.10 0.00 3.34348 -104.597 -3.34348 3.34348 0.96 0.00049053 0.000444341 0.0388069 0.0352728 44 2900 25 6.99608e+06 220735 787024. 2723.27 2.29 0.154157 0.135085 27778 195446 -1 2073 21 1348 1901 145786 31983 3.92011 3.92011 -132.242 -3.92011 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0232979 0.0206639 81 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 8.01 vpr 65.61 MiB -1 -1 0.16 20732 1 0.03 -1 -1 33816 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67188 31 32 373 294 1 221 80 17 17 289 -1 unnamed_device 26.9 MiB 1.53 977 14012 5601 6789 1622 65.6 MiB 0.11 0.00 3.45118 -103.39 -3.45118 3.45118 0.94 0.000487669 0.00043951 0.0428142 0.0387028 40 2838 37 6.99608e+06 250167 706193. 2443.58 3.16 0.184942 0.162284 26914 176310 -1 2428 22 2149 3018 264099 63254 4.29696 4.29696 -144.871 -4.29696 0 0 926341. 3205.33 0.35 0.09 0.15 -1 -1 0.35 0.0264751 0.0234588 97 46 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 8.09 vpr 65.21 MiB -1 -1 0.17 20800 1 0.03 -1 -1 34144 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 30 32 325 268 1 198 79 17 17 289 -1 unnamed_device 26.8 MiB 1.68 904 12416 5191 6608 617 65.2 MiB 0.09 0.00 2.85029 -90.0497 -2.85029 2.85029 0.95 0.000463741 0.00042177 0.037138 0.0338314 44 2642 49 6.99608e+06 250167 787024. 2723.27 3.02 0.172713 0.151214 27778 195446 -1 2048 23 1616 2336 183815 41116 3.26176 3.26176 -116.813 -3.26176 0 0 997811. 3452.63 0.41 0.07 0.18 -1 -1 0.41 0.025229 0.0222529 88 46 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 7.47 vpr 65.25 MiB -1 -1 0.15 20592 1 0.03 -1 -1 33800 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 350 275 1 209 78 17 17 289 -1 unnamed_device 26.8 MiB 0.90 919 12196 5093 6690 413 65.2 MiB 0.10 0.00 3.51478 -114.727 -3.51478 3.51478 0.97 0.000507971 0.000461267 0.0408408 0.0372989 50 3182 49 6.99608e+06 206020 902133. 3121.57 3.07 0.20776 0.183573 28642 213929 -1 2187 23 1788 2679 232016 57047 4.25631 4.25631 -146.563 -4.25631 0 0 1.08113e+06 3740.92 0.43 0.09 0.19 -1 -1 0.43 0.0289611 0.0258246 88 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 8.68 vpr 65.12 MiB -1 -1 0.16 20516 1 0.03 -1 -1 34008 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 386 307 1 240 80 17 17 289 -1 unnamed_device 26.6 MiB 2.95 1027 12636 4739 6959 938 65.1 MiB 0.11 0.00 2.94423 -103.749 -2.94423 2.94423 0.95 0.000534481 0.000487304 0.0428307 0.0390638 44 3028 30 6.99608e+06 235451 787024. 2723.27 2.33 0.172678 0.151186 27778 195446 -1 2163 19 1758 2350 158249 36072 3.45481 3.45481 -130.296 -3.45481 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0245364 0.021883 103 59 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 7.01 vpr 64.86 MiB -1 -1 0.15 20344 1 0.03 -1 -1 34052 -1 -1 14 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 29 32 269 229 1 168 75 17 17 289 -1 unnamed_device 26.4 MiB 1.52 667 10977 4403 5653 921 64.9 MiB 0.07 0.00 3.37515 -99.403 -3.37515 3.37515 0.95 0.000396754 0.00036073 0.0306185 0.0279585 38 1802 35 6.99608e+06 206020 678818. 2348.85 2.23 0.138219 0.121445 26626 170182 -1 1447 21 1351 1796 124241 27656 3.44186 3.44186 -117.802 -3.44186 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.0201409 0.0178349 70 28 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 9.07 vpr 64.78 MiB -1 -1 0.16 20280 1 0.03 -1 -1 33964 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 310 266 1 182 78 17 17 289 -1 unnamed_device 26.2 MiB 2.50 818 12362 5466 6567 329 64.8 MiB 0.09 0.00 3.25048 -108.694 -3.25048 3.25048 0.96 0.000444862 0.000404868 0.0357191 0.0325779 40 2210 28 6.99608e+06 206020 706193. 2443.58 3.21 0.148636 0.130524 26914 176310 -1 2012 22 1655 2267 286511 78662 4.24811 4.24811 -142.004 -4.24811 0 0 926341. 3205.33 0.36 0.10 0.16 -1 -1 0.36 0.0250155 0.0223058 79 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 6.59 vpr 64.80 MiB -1 -1 0.16 20132 1 0.03 -1 -1 33548 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 31 32 326 261 1 193 78 17 17 289 -1 unnamed_device 26.5 MiB 0.90 757 11200 3996 5321 1883 64.8 MiB 0.08 0.00 3.35878 -99.9459 -3.35878 3.35878 0.97 0.000484968 0.000442575 0.0349173 0.0318944 50 2011 21 6.99608e+06 220735 902133. 3121.57 2.23 0.147991 0.13032 28642 213929 -1 1551 21 1572 2290 155842 38434 3.65252 3.65252 -123.358 -3.65252 0 0 1.08113e+06 3740.92 0.42 0.07 0.20 -1 -1 0.42 0.0240295 0.0214374 80 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 6.76 vpr 64.62 MiB -1 -1 0.16 20500 1 0.03 -1 -1 33956 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 29 32 262 224 1 162 74 17 17 289 -1 unnamed_device 26.2 MiB 1.02 606 9839 4101 5178 560 64.6 MiB 0.07 0.00 3.02297 -87.5909 -3.02297 3.02297 0.98 0.000389322 0.000353832 0.0279307 0.0255056 44 2020 30 6.99608e+06 191304 787024. 2723.27 2.35 0.140383 0.123915 27778 195446 -1 1178 20 1005 1304 78034 21735 3.09411 3.09411 -101.553 -3.09411 0 0 997811. 3452.63 0.41 0.05 0.18 -1 -1 0.41 0.0197001 0.0175046 68 25 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 13.84 vpr 64.94 MiB -1 -1 0.15 20332 1 0.03 -1 -1 33728 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 278 238 1 178 76 17 17 289 -1 unnamed_device 26.5 MiB 0.89 938 11596 4367 5545 1684 64.9 MiB 0.08 0.00 3.40815 -110.366 -3.40815 3.40815 0.96 0.000434145 0.00039395 0.033045 0.0301025 40 2354 29 6.99608e+06 176588 706193. 2443.58 9.62 0.252249 0.219281 26914 176310 -1 2125 20 1377 1843 204005 38838 3.62816 3.62816 -132.197 -3.62816 0 0 926341. 3205.33 0.37 0.07 0.16 -1 -1 0.37 0.0210658 0.0187801 73 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 7.51 vpr 65.45 MiB -1 -1 0.17 20632 1 0.03 -1 -1 33940 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 31 32 373 300 1 231 80 17 17 289 -1 unnamed_device 26.9 MiB 1.03 998 10572 3647 5212 1713 65.4 MiB 0.09 0.00 3.61381 -116.286 -3.61381 3.61381 0.96 0.000507003 0.000461733 0.0345076 0.0314936 46 2875 28 6.99608e+06 250167 828058. 2865.25 3.07 0.16209 0.141525 28066 200906 -1 2240 19 1864 2542 200459 44742 4.01336 4.01336 -145.536 -4.01336 0 0 1.01997e+06 3529.29 0.39 0.07 0.19 -1 -1 0.39 0.0245254 0.0218616 101 60 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 14.56 vpr 64.88 MiB -1 -1 0.15 20408 1 0.03 -1 -1 33848 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 31 32 265 230 1 171 76 17 17 289 -1 unnamed_device 26.4 MiB 0.89 668 11596 4832 6379 385 64.9 MiB 0.08 0.00 3.10427 -93.1977 -3.10427 3.10427 0.98 0.000404131 0.000367465 0.0319099 0.0290986 40 2299 33 6.99608e+06 191304 706193. 2443.58 10.32 0.254422 0.222495 26914 176310 -1 1792 18 1151 1599 146060 31943 3.36681 3.36681 -115.791 -3.36681 0 0 926341. 3205.33 0.37 0.06 0.16 -1 -1 0.37 0.0193097 0.0172908 71 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 7.72 vpr 65.33 MiB -1 -1 0.17 20712 1 0.03 -1 -1 33996 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 32 32 349 286 1 207 79 17 17 289 -1 unnamed_device 26.9 MiB 1.22 1039 11064 4217 5765 1082 65.3 MiB 0.09 0.00 2.87229 -99.4775 -2.87229 2.87229 0.97 0.00051102 0.000465645 0.0361615 0.0329621 36 3357 31 6.99608e+06 220735 648988. 2245.63 3.18 0.139673 0.122676 26050 158493 -1 2432 20 1571 2102 206496 41871 3.64366 3.64366 -133.317 -3.64366 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0249069 0.0222391 91 54 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 24.88 vpr 65.61 MiB -1 -1 0.18 20736 1 0.03 -1 -1 33924 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 31 32 396 325 1 255 83 17 17 289 -1 unnamed_device 27.2 MiB 2.97 1134 11063 4202 5771 1090 65.6 MiB 0.10 0.00 3.80112 -129.442 -3.80112 3.80112 0.98 0.000536483 0.000486807 0.0364354 0.0331968 48 3134 39 6.99608e+06 294314 865456. 2994.66 18.35 0.347674 0.303087 28354 207349 -1 2640 20 2468 3444 362405 73401 4.62739 4.62739 -166.299 -4.62739 0 0 1.05005e+06 3633.38 0.40 0.11 0.20 -1 -1 0.40 0.0271731 0.0243006 113 87 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 19.63 vpr 64.97 MiB -1 -1 0.15 20144 1 0.03 -1 -1 33660 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 32 32 303 262 1 192 76 17 17 289 -1 unnamed_device 26.3 MiB 2.11 722 9676 3479 4475 1722 65.0 MiB 0.07 0.00 2.79904 -93.1152 -2.79904 2.79904 0.99 0.000460878 0.000415165 0.0294562 0.0268722 48 2432 30 6.99608e+06 176588 865456. 2994.66 14.03 0.250813 0.2173 28354 207349 -1 1886 21 1665 2183 180068 43416 3.33581 3.33581 -124.822 -3.33581 0 0 1.05005e+06 3633.38 0.42 0.07 0.20 -1 -1 0.42 0.0220414 0.0195123 80 54 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 13.46 vpr 64.91 MiB -1 -1 0.14 20284 1 0.03 -1 -1 33688 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 290 244 1 172 75 17 17 289 -1 unnamed_device 26.4 MiB 0.76 722 11609 3927 5546 2136 64.9 MiB 0.08 0.00 3.13712 -100.64 -3.13712 3.13712 0.94 0.000392717 0.000355736 0.0317736 0.0287117 40 2156 44 6.99608e+06 161872 706193. 2443.58 9.48 0.256115 0.221875 26914 176310 -1 1595 22 1339 1908 131390 34537 3.59811 3.59811 -121.496 -3.59811 0 0 926341. 3205.33 0.35 0.06 0.15 -1 -1 0.35 0.0207767 0.0184099 72 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 10.02 vpr 65.09 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33700 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 318 257 1 191 78 17 17 289 -1 unnamed_device 26.5 MiB 1.67 834 11200 4634 6158 408 65.1 MiB 0.08 0.00 3.36978 -103.576 -3.36978 3.36978 0.95 0.000469698 0.000426148 0.0351273 0.0320726 48 2169 28 6.99608e+06 206020 865456. 2994.66 4.94 0.192013 0.166685 28354 207349 -1 1753 20 1517 2128 148194 34854 3.72172 3.72172 -126.699 -3.72172 0 0 1.05005e+06 3633.38 0.42 0.06 0.20 -1 -1 0.42 0.0227501 0.0202683 79 27 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 8.62 vpr 65.17 MiB -1 -1 0.17 20544 1 0.03 -1 -1 34056 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 29 32 324 268 1 195 79 17 17 289 -1 unnamed_device 26.8 MiB 1.68 862 10219 4251 5344 624 65.2 MiB 0.07 0.00 2.89747 -87.1647 -2.89747 2.89747 0.96 0.000446244 0.000405626 0.0306753 0.0279005 40 2685 41 6.99608e+06 264882 706193. 2443.58 3.56 0.16372 0.14361 26914 176310 -1 2080 31 1868 2619 313123 107781 3.55882 3.55882 -112.689 -3.55882 0 0 926341. 3205.33 0.35 0.12 0.17 -1 -1 0.35 0.0316679 0.0278551 88 49 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 9.89 vpr 65.39 MiB -1 -1 0.16 20528 1 0.03 -1 -1 33784 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 393 312 1 235 81 17 17 289 -1 unnamed_device 26.8 MiB 1.61 1292 11981 4470 5947 1564 65.4 MiB 0.10 0.00 4.35209 -146.514 -4.35209 4.35209 0.94 0.00054555 0.000490914 0.041382 0.0375227 40 3621 23 6.99608e+06 250167 706193. 2443.58 4.89 0.237975 0.206987 26914 176310 -1 3228 19 2397 3654 355978 70721 5.0221 5.0221 -186.27 -5.0221 0 0 926341. 3205.33 0.35 0.11 0.16 -1 -1 0.35 0.0279932 0.0249959 105 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 8.76 vpr 64.63 MiB -1 -1 0.14 20208 1 0.03 -1 -1 33784 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 26.1 MiB 0.98 841 12716 4556 6340 1820 64.6 MiB 0.08 0.00 2.70223 -81.2143 -2.70223 2.70223 0.94 0.000366298 0.000334202 0.0313375 0.0286493 34 2046 27 6.99608e+06 191304 618332. 2139.56 4.60 0.165794 0.143763 25762 151098 -1 1788 21 999 1600 152648 30780 2.78632 2.78632 -103.263 -2.78632 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0181277 0.0159848 54 -1 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 9.43 vpr 65.81 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33696 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 32 32 412 334 1 258 84 17 17 289 -1 unnamed_device 27.2 MiB 2.97 1180 15822 6054 7062 2706 65.8 MiB 0.12 0.00 3.87123 -131.912 -3.87123 3.87123 0.94 0.000554264 0.000502457 0.0505685 0.0459954 38 3994 33 6.99608e+06 294314 678818. 2348.85 3.09 0.175861 0.15545 26626 170182 -1 3057 21 2449 3067 292386 61744 5.2379 5.2379 -186.718 -5.2379 0 0 902133. 3121.57 0.35 0.10 0.15 -1 -1 0.35 0.0303609 0.0270597 116 87 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 10.85 vpr 65.36 MiB -1 -1 0.16 20516 1 0.03 -1 -1 33728 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 26.6 MiB 0.97 945 11604 4837 6431 336 65.4 MiB 0.09 0.00 3.63182 -126.713 -3.63182 3.63182 0.96 0.000547097 0.000495629 0.0377244 0.0343465 46 3220 47 6.99608e+06 235451 828058. 2865.25 6.41 0.187138 0.16403 28066 200906 -1 2157 22 2576 3248 307437 67830 4.3546 4.3546 -157.593 -4.3546 0 0 1.01997e+06 3529.29 0.39 0.10 0.19 -1 -1 0.39 0.0280082 0.0250149 110 93 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 9.81 vpr 65.14 MiB -1 -1 0.17 20836 1 0.03 -1 -1 33836 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 32 32 360 293 1 219 79 17 17 289 -1 unnamed_device 26.7 MiB 1.57 998 9036 3650 5045 341 65.1 MiB 0.08 0.00 3.03377 -100.173 -3.03377 3.03377 0.96 0.000504787 0.000459073 0.0302188 0.0276032 44 2815 41 6.99608e+06 220735 787024. 2723.27 4.85 0.230104 0.200698 27778 195446 -1 2022 21 1521 2038 138886 31608 3.34951 3.34951 -122.125 -3.34951 0 0 997811. 3452.63 0.39 0.07 0.19 -1 -1 0.39 0.0257737 0.0229309 94 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 8.07 vpr 65.62 MiB -1 -1 0.17 20512 1 0.03 -1 -1 33764 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 396 299 1 228 79 17 17 289 -1 unnamed_device 27.0 MiB 1.04 1132 14444 5309 6767 2368 65.6 MiB 0.13 0.00 4.65368 -140.023 -4.65368 4.65368 0.98 0.00057248 0.000520431 0.0519823 0.0474227 46 3038 33 6.99608e+06 220735 828058. 2865.25 3.48 0.197569 0.174086 28066 200906 -1 2440 22 2102 3144 258061 51832 4.8595 4.8595 -165.481 -4.8595 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0292925 0.0261703 98 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 11.61 vpr 64.32 MiB -1 -1 0.14 20304 1 0.03 -1 -1 33812 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65868 30 32 224 207 1 132 74 17 17 289 -1 unnamed_device 25.8 MiB 0.72 479 9529 3121 5078 1330 64.3 MiB 0.06 0.00 2.28455 -79.4386 -2.28455 2.28455 0.98 0.000350518 0.000321301 0.0234997 0.0214708 40 1375 29 6.99608e+06 176588 706193. 2443.58 7.62 0.192607 0.166255 26914 176310 -1 1167 21 896 1114 106318 25749 2.65968 2.65968 -99.1298 -2.65968 0 0 926341. 3205.33 0.34 0.05 0.17 -1 -1 0.34 0.0170752 0.0150924 53 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 11.09 vpr 64.67 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33644 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 30 32 286 239 1 157 76 17 17 289 -1 unnamed_device 26.2 MiB 3.70 622 11276 3909 5778 1589 64.7 MiB 0.08 0.00 3.15062 -95.7915 -3.15062 3.15062 0.94 0.000396666 0.000359625 0.0311551 0.0283115 46 1580 20 6.99608e+06 206020 828058. 2865.25 4.08 0.195191 0.16905 28066 200906 -1 1390 23 889 1416 160748 38954 3.56606 3.56606 -122.412 -3.56606 0 0 1.01997e+06 3529.29 0.40 0.06 0.18 -1 -1 0.40 0.0215859 0.0190333 68 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 19.82 vpr 65.00 MiB -1 -1 0.15 20144 1 0.03 -1 -1 33880 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 296 247 1 182 81 17 17 289 -1 unnamed_device 26.5 MiB 0.63 727 11106 4168 5512 1426 65.0 MiB 0.08 0.00 3.05994 -96.9807 -3.05994 3.05994 0.97 0.000447098 0.000407399 0.0310514 0.0283238 50 2260 31 6.99608e+06 250167 902133. 3121.57 15.77 0.252176 0.219428 28642 213929 -1 1777 24 1401 2211 229794 50355 3.60636 3.60636 -129.999 -3.60636 0 0 1.08113e+06 3740.92 0.41 0.08 0.20 -1 -1 0.41 0.0239596 0.0211671 78 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 7.29 vpr 64.48 MiB -1 -1 0.15 20300 1 0.03 -1 -1 33840 -1 -1 16 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 25 32 216 194 1 136 73 17 17 289 -1 unnamed_device 25.9 MiB 1.16 490 7825 3171 3964 690 64.5 MiB 0.05 0.00 2.77723 -63.3331 -2.77723 2.77723 0.98 0.000339224 0.000308425 0.0192032 0.0175167 38 1645 28 6.99608e+06 235451 678818. 2348.85 2.91 0.107179 0.093396 26626 170182 -1 1037 20 796 1065 63026 16013 2.95662 2.95662 -78.8281 -2.95662 0 0 902133. 3121.57 0.36 0.04 0.16 -1 -1 0.36 0.0162875 0.01445 59 19 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 11.39 vpr 65.43 MiB -1 -1 0.16 20576 1 0.03 -1 -1 33972 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 32 32 376 307 1 234 81 17 17 289 -1 unnamed_device 26.9 MiB 3.34 1234 10581 2568 6699 1314 65.4 MiB 0.09 0.00 3.12752 -107.9 -3.12752 3.12752 0.95 0.000538578 0.000492222 0.0347053 0.0317499 46 2988 21 6.99608e+06 250167 828058. 2865.25 4.62 0.233571 0.205414 28066 200906 -1 2475 20 1945 2876 207905 43904 3.66072 3.66072 -131.363 -3.66072 0 0 1.01997e+06 3529.29 0.40 0.08 0.19 -1 -1 0.40 0.0273253 0.0244891 103 69 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 11.20 vpr 65.35 MiB -1 -1 0.18 20760 1 0.03 -1 -1 33752 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 31 32 409 331 1 258 82 17 17 289 -1 unnamed_device 26.8 MiB 2.62 1162 15568 6070 6724 2774 65.3 MiB 0.13 0.00 3.58081 -118.825 -3.58081 3.58081 0.97 0.000564026 0.000513035 0.0524486 0.0477896 46 3130 26 6.99608e+06 279598 828058. 2865.25 5.06 0.254341 0.222835 28066 200906 -1 2322 24 2126 2851 208153 45875 4.23845 4.23845 -148.194 -4.23845 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0318388 0.0283159 117 86 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_001.v common 17.26 vpr 64.68 MiB -1 -1 0.23 20956 14 0.32 -1 -1 37192 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 277 309 1 203 83 17 17 289 -1 unnamed_device 26.3 MiB 1.97 1299 10523 2778 6382 1363 64.7 MiB 0.09 0.00 6.81046 -146.858 -6.81046 6.81046 0.93 0.000628061 0.000567725 0.0400117 0.0362747 36 3672 24 6.79088e+06 255968 648988. 2245.63 11.60 0.285878 0.249661 25390 158009 -1 3031 22 1600 4520 284403 61028 7.33618 7.33618 -169.083 -7.33618 0 0 828058. 2865.25 0.33 0.11 0.15 -1 -1 0.33 0.037231 0.0334405 130 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_002.v common 10.40 vpr 64.80 MiB -1 -1 0.23 20788 14 0.35 -1 -1 36468 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 30 32 272 304 1 194 81 17 17 289 -1 unnamed_device 26.4 MiB 2.57 1040 10581 2735 5587 2259 64.8 MiB 0.09 0.00 6.24419 -126.365 -6.24419 6.24419 0.95 0.000612963 0.000560092 0.0408935 0.0370097 34 3433 36 6.79088e+06 255968 618332. 2139.56 4.15 0.220851 0.194832 25102 150614 -1 2846 22 1563 4302 293522 65894 7.20733 7.20733 -160.059 -7.20733 0 0 787024. 2723.27 0.31 0.10 0.13 -1 -1 0.31 0.0348505 0.0311806 125 181 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_003.v common 12.81 vpr 64.81 MiB -1 -1 0.21 20672 11 0.29 -1 -1 36684 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 280 312 1 193 83 17 17 289 -1 unnamed_device 26.4 MiB 3.92 1253 8543 2297 5708 538 64.8 MiB 0.08 0.00 5.55944 -125.582 -5.55944 5.55944 0.94 0.000618069 0.000560342 0.0335358 0.0303955 36 3229 30 6.79088e+06 255968 648988. 2245.63 5.25 0.268414 0.235052 25390 158009 -1 2765 17 1269 3695 216110 48332 6.0572 6.0572 -145.754 -6.0572 0 0 828058. 2865.25 0.34 0.08 0.14 -1 -1 0.34 0.0310439 0.0281058 130 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_004.v common 7.46 vpr 65.03 MiB -1 -1 0.22 20528 12 0.41 -1 -1 36236 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 29 32 275 307 1 202 85 17 17 289 -1 unnamed_device 26.6 MiB 1.30 1191 5665 1381 3728 556 65.0 MiB 0.06 0.00 6.16917 -123.049 -6.16917 6.16917 0.93 0.000626297 0.000565894 0.0229646 0.0209245 38 2917 22 6.79088e+06 323328 678818. 2348.85 2.43 0.173228 0.15181 25966 169698 -1 2430 17 1253 3534 170307 39210 6.36938 6.36938 -137.392 -6.36938 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.031901 0.0289424 136 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_005.v common 9.22 vpr 65.04 MiB -1 -1 0.23 20980 13 0.35 -1 -1 36300 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 302 334 1 234 86 17 17 289 -1 unnamed_device 26.5 MiB 2.10 1366 11615 3128 7379 1108 65.0 MiB 0.11 0.00 6.88531 -147.057 -6.88531 6.88531 0.96 0.000710471 0.000647554 0.047762 0.0433863 38 3674 31 6.79088e+06 296384 678818. 2348.85 3.34 0.233362 0.206369 25966 169698 -1 2989 15 1447 3795 198280 45178 7.13591 7.13591 -163.814 -7.13591 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0295655 0.0267772 152 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_006.v common 22.19 vpr 64.95 MiB -1 -1 0.24 20772 13 0.31 -1 -1 36456 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 292 324 1 210 83 17 17 289 -1 unnamed_device 26.5 MiB 1.95 1303 11243 2808 6626 1809 64.9 MiB 0.10 0.00 6.45897 -132.965 -6.45897 6.45897 0.94 0.000660496 0.000595336 0.0451985 0.0407985 38 3327 26 6.79088e+06 255968 678818. 2348.85 16.54 0.356729 0.310076 25966 169698 -1 2881 19 1343 4141 211307 47509 6.83487 6.83487 -155.001 -6.83487 0 0 902133. 3121.57 0.33 0.08 0.16 -1 -1 0.33 0.0325155 0.0292254 137 197 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_007.v common 6.24 vpr 64.51 MiB -1 -1 0.18 20548 12 0.23 -1 -1 36552 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 27 32 229 261 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 1.54 855 8336 2203 5398 735 64.5 MiB 0.07 0.00 5.62102 -103.783 -5.62102 5.62102 0.93 0.000542666 0.000492072 0.0283114 0.0256968 30 2561 37 6.79088e+06 282912 556674. 1926.21 1.37 0.123378 0.108344 24526 138013 -1 1946 18 1103 2594 138224 32534 6.20483 6.20483 -124.443 -6.20483 0 0 706193. 2443.58 0.29 0.06 0.12 -1 -1 0.29 0.025055 0.022392 106 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_008.v common 22.59 vpr 64.38 MiB -1 -1 0.19 20536 12 0.24 -1 -1 36388 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 31 32 229 261 1 188 80 17 17 289 -1 unnamed_device 25.9 MiB 3.29 1145 12636 4174 6508 1954 64.4 MiB 0.10 0.00 5.2739 -114.048 -5.2739 5.2739 0.93 0.000515105 0.000468095 0.0414477 0.0377673 36 3442 48 6.79088e+06 229024 648988. 2245.63 15.82 0.294262 0.257836 25390 158009 -1 2797 20 1293 3311 228694 49212 5.65324 5.65324 -137.45 -5.65324 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0268302 0.0237972 106 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_009.v common 9.96 vpr 64.34 MiB -1 -1 0.22 20424 12 0.21 -1 -1 36592 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 31 32 235 267 1 194 83 17 17 289 -1 unnamed_device 25.8 MiB 3.63 1133 5483 1060 4224 199 64.3 MiB 0.05 0.00 5.70019 -124.604 -5.70019 5.70019 0.98 0.00054621 0.000496898 0.0201244 0.0183905 38 3034 45 6.79088e+06 269440 678818. 2348.85 2.79 0.176588 0.155028 25966 169698 -1 2434 16 1179 3097 179690 39241 6.07609 6.07609 -142.229 -6.07609 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0234633 0.0211285 113 142 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_010.v common 11.07 vpr 64.75 MiB -1 -1 0.21 20540 13 0.24 -1 -1 36708 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 250 282 1 182 79 17 17 289 -1 unnamed_device 26.2 MiB 2.50 1138 11402 3072 6495 1835 64.8 MiB 0.09 0.00 6.15798 -138.641 -6.15798 6.15798 0.93 0.000572512 0.000517884 0.0413511 0.0375159 36 3056 31 6.79088e+06 202080 648988. 2245.63 5.07 0.270493 0.235884 25390 158009 -1 2449 17 1076 2621 152862 35035 6.49817 6.49817 -160.078 -6.49817 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0267893 0.024218 106 155 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_011.v common 12.42 vpr 64.50 MiB -1 -1 0.21 20508 12 0.23 -1 -1 36404 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 30 32 216 248 1 161 79 17 17 289 -1 unnamed_device 26.1 MiB 2.06 989 12078 3726 6350 2002 64.5 MiB 0.09 0.00 5.74288 -123.577 -5.74288 5.74288 0.96 0.000516599 0.000466833 0.0392753 0.0355871 30 2636 34 6.79088e+06 229024 556674. 1926.21 6.88 0.196744 0.171888 24526 138013 -1 1984 17 854 2069 104428 24689 6.02149 6.02149 -139.714 -6.02149 0 0 706193. 2443.58 0.30 0.05 0.13 -1 -1 0.30 0.0235041 0.0212064 96 125 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_012.v common 10.79 vpr 64.61 MiB -1 -1 0.20 20624 12 0.19 -1 -1 36548 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 236 268 1 171 81 17 17 289 -1 unnamed_device 26.1 MiB 2.80 1051 8831 2517 5014 1300 64.6 MiB 0.07 0.00 5.05901 -126.733 -5.05901 5.05901 0.97 0.000532786 0.000484359 0.0300436 0.0272463 44 2602 16 6.79088e+06 229024 787024. 2723.27 4.45 0.200732 0.175305 27118 194962 -1 2163 15 938 2513 132834 29872 5.30961 5.30961 -140.806 -5.30961 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0217292 0.0195989 101 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_013.v common 8.05 vpr 64.83 MiB -1 -1 0.23 20908 13 0.32 -1 -1 35964 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 283 315 1 215 84 17 17 289 -1 unnamed_device 26.4 MiB 2.28 1365 12528 3458 6809 2261 64.8 MiB 0.11 0.00 6.68505 -140.507 -6.68505 6.68505 0.93 0.000628268 0.000557401 0.0475346 0.0430928 38 3314 27 6.79088e+06 269440 678818. 2348.85 2.05 0.179962 0.159061 25966 169698 -1 2830 15 1238 3320 186698 41099 7.06095 7.06095 -163.164 -7.06095 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.0277323 0.025187 134 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_014.v common 8.59 vpr 65.01 MiB -1 -1 0.23 20732 14 0.38 -1 -1 36788 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 303 335 1 230 86 17 17 289 -1 unnamed_device 26.5 MiB 2.28 1404 6890 1519 4936 435 65.0 MiB 0.07 0.00 7.01072 -151.737 -7.01072 7.01072 0.98 0.000720525 0.000644078 0.0301508 0.0272419 40 3266 31 6.79088e+06 296384 706193. 2443.58 2.47 0.231133 0.204221 26254 175826 -1 3053 20 1628 4095 242186 54877 7.47267 7.47267 -172.737 -7.47267 0 0 926341. 3205.33 0.37 0.09 0.16 -1 -1 0.37 0.035579 0.0319821 151 208 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_015.v common 11.05 vpr 64.16 MiB -1 -1 0.19 20408 11 0.22 -1 -1 36496 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65696 29 32 225 257 1 176 82 17 17 289 -1 unnamed_device 25.7 MiB 2.82 923 11474 4154 5232 2088 64.2 MiB 0.09 0.00 5.52794 -112.023 -5.52794 5.52794 0.93 0.000505177 0.000457215 0.0360539 0.032755 44 2485 23 6.79088e+06 282912 787024. 2723.27 4.62 0.238476 0.208243 27118 194962 -1 1950 32 1011 2478 312238 153704 5.77854 5.77854 -126.753 -5.77854 0 0 997811. 3452.63 0.40 0.14 0.18 -1 -1 0.40 0.0373262 0.0330992 106 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_016.v common 10.22 vpr 65.07 MiB -1 -1 0.23 20728 12 0.35 -1 -1 36416 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 301 333 1 221 88 17 17 289 -1 unnamed_device 26.5 MiB 1.71 1140 13348 3535 7104 2709 65.1 MiB 0.12 0.00 6.12997 -130.612 -6.12997 6.12997 0.97 0.000692136 0.000625187 0.0521465 0.0472679 46 3419 42 6.79088e+06 323328 828058. 2865.25 4.58 0.246546 0.216735 27406 200422 -1 2644 31 1506 4789 398275 142505 6.83138 6.83138 -155.028 -6.83138 0 0 1.01997e+06 3529.29 0.38 0.15 0.19 -1 -1 0.38 0.0475451 0.0422937 145 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_017.v common 12.52 vpr 64.91 MiB -1 -1 0.22 20808 14 0.30 -1 -1 36584 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 277 309 1 210 83 17 17 289 -1 unnamed_device 26.5 MiB 2.62 1341 7103 1796 4851 456 64.9 MiB 0.07 0.00 6.59895 -142.265 -6.59895 6.59895 0.93 0.00059692 0.000538126 0.0280339 0.0254169 38 3648 31 6.79088e+06 255968 678818. 2348.85 6.30 0.201566 0.177794 25966 169698 -1 2977 15 1310 3722 217820 46492 7.22545 7.22545 -165.27 -7.22545 0 0 902133. 3121.57 0.34 0.08 0.14 -1 -1 0.34 0.0279766 0.0253782 126 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_018.v common 12.60 vpr 64.50 MiB -1 -1 0.20 20780 12 0.20 -1 -1 36392 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 32 32 227 259 1 172 79 17 17 289 -1 unnamed_device 26.0 MiB 2.08 918 12078 5073 6627 378 64.5 MiB 0.09 0.00 5.71487 -130.672 -5.71487 5.71487 0.94 0.000521764 0.000471102 0.0405879 0.0367122 36 2889 44 6.79088e+06 202080 648988. 2245.63 7.06 0.257809 0.224273 25390 158009 -1 2217 17 1036 2566 160123 36453 5.84017 5.84017 -145.994 -5.84017 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0236909 0.021327 105 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_019.v common 9.79 vpr 63.75 MiB -1 -1 0.18 20168 10 0.12 -1 -1 36728 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65280 30 32 175 207 1 133 75 17 17 289 -1 unnamed_device 25.3 MiB 2.41 792 8765 2915 4474 1376 63.8 MiB 0.06 0.00 4.04526 -100.707 -4.04526 4.04526 0.93 0.000404718 0.000367681 0.0234848 0.0213098 36 2040 23 6.79088e+06 175136 648988. 2245.63 4.14 0.141632 0.12273 25390 158009 -1 1689 15 651 1453 98002 21538 4.20278 4.20278 -117.736 -4.20278 0 0 828058. 2865.25 0.33 0.04 0.15 -1 -1 0.33 0.0170487 0.0153682 66 84 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_020.v common 10.21 vpr 64.31 MiB -1 -1 0.20 20512 13 0.23 -1 -1 36096 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 31 32 231 263 1 186 81 17 17 289 -1 unnamed_device 25.8 MiB 2.58 1123 12156 3644 6546 1966 64.3 MiB 0.10 0.00 6.16922 -132.125 -6.16922 6.16922 0.96 0.000535341 0.000486143 0.0412404 0.0375444 36 2955 20 6.79088e+06 242496 648988. 2245.63 4.10 0.173362 0.15268 25390 158009 -1 2369 19 1080 2563 166156 39708 6.41628 6.41628 -148.504 -6.41628 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0273252 0.0244994 107 138 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_021.v common 10.91 vpr 65.12 MiB -1 -1 0.23 20800 13 0.36 -1 -1 36300 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 304 336 1 224 85 17 17 289 -1 unnamed_device 26.6 MiB 2.24 1341 14035 4079 8203 1753 65.1 MiB 0.13 0.00 6.38406 -139.509 -6.38406 6.38406 0.94 0.000692484 0.000628013 0.0563446 0.0510414 44 3467 21 6.79088e+06 282912 787024. 2723.27 4.84 0.290169 0.255028 27118 194962 -1 2831 18 1401 3916 212274 47405 6.54507 6.54507 -154.76 -6.54507 0 0 997811. 3452.63 0.38 0.08 0.18 -1 -1 0.38 0.0320077 0.0288544 143 209 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_022.v common 11.33 vpr 64.98 MiB -1 -1 0.24 20676 13 0.36 -1 -1 36740 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 32 32 288 320 1 216 85 17 17 289 -1 unnamed_device 26.5 MiB 2.76 1414 10129 2962 5945 1222 65.0 MiB 0.09 0.00 6.21612 -140.759 -6.21612 6.21612 0.93 0.000656887 0.000587007 0.0396089 0.0357035 38 3926 40 6.79088e+06 282912 678818. 2348.85 4.78 0.227354 0.199854 25966 169698 -1 3305 18 1408 4168 262278 55329 6.71732 6.71732 -161.021 -6.71732 0 0 902133. 3121.57 0.35 0.09 0.16 -1 -1 0.35 0.0339237 0.0307966 141 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_023.v common 5.62 vpr 64.03 MiB -1 -1 0.16 20128 9 0.11 -1 -1 36160 -1 -1 18 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65564 26 32 152 184 1 122 76 17 17 289 -1 unnamed_device 25.6 MiB 1.38 674 11276 4270 5797 1209 64.0 MiB 0.07 0.00 3.8527 -78.6612 -3.8527 3.8527 0.98 0.000367706 0.000332699 0.0275103 0.0250869 30 1649 19 6.79088e+06 242496 556674. 1926.21 0.99 0.075689 0.0667521 24526 138013 -1 1320 15 627 1410 70100 16893 3.8527 3.8527 -88.9122 -3.8527 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0156302 0.013982 67 69 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_024.v common 10.68 vpr 64.86 MiB -1 -1 0.21 20552 13 0.35 -1 -1 36380 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 287 319 1 214 87 17 17 289 -1 unnamed_device 26.4 MiB 2.26 1311 8919 2297 6321 301 64.9 MiB 0.09 0.00 6.87423 -142.05 -6.87423 6.87423 0.97 0.000625599 0.000557094 0.0356567 0.032218 44 3190 21 6.79088e+06 309856 787024. 2723.27 4.56 0.275027 0.242591 27118 194962 -1 2618 16 1363 3645 195802 43997 7.12483 7.12483 -157.277 -7.12483 0 0 997811. 3452.63 0.40 0.08 0.18 -1 -1 0.40 0.0314589 0.0286075 136 192 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_025.v common 11.61 vpr 64.04 MiB -1 -1 0.16 20044 8 0.11 -1 -1 36056 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65580 32 32 154 186 1 126 75 17 17 289 -1 unnamed_device 25.7 MiB 2.37 594 4973 1132 3695 146 64.0 MiB 0.04 0.00 3.54052 -79.1271 -3.54052 3.54052 0.93 0.000358044 0.000325899 0.0128407 0.0117094 30 2154 45 6.79088e+06 148192 556674. 1926.21 6.13 0.130205 0.112843 24526 138013 -1 1510 20 698 1510 80703 20544 3.73718 3.73718 -97.2866 -3.73718 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0165499 0.0146817 60 59 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_026.v common 9.77 vpr 64.84 MiB -1 -1 0.22 20556 15 0.29 -1 -1 36328 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 254 286 1 202 82 17 17 289 -1 unnamed_device 26.5 MiB 2.64 1261 13254 4224 6686 2344 64.8 MiB 0.11 0.00 7.39781 -151.302 -7.39781 7.39781 0.98 0.000605673 0.000541739 0.0489778 0.0445019 36 3847 36 6.79088e+06 242496 648988. 2245.63 3.43 0.178509 0.15746 25390 158009 -1 3034 18 1444 4127 268813 58703 7.55107 7.55107 -170.138 -7.55107 0 0 828058. 2865.25 0.31 0.09 0.15 -1 -1 0.31 0.0281639 0.025349 121 159 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_027.v common 9.68 vpr 64.49 MiB -1 -1 0.20 20480 13 0.27 -1 -1 36700 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 260 292 1 195 82 17 17 289 -1 unnamed_device 26.1 MiB 2.12 1140 10762 2946 5653 2163 64.5 MiB 0.09 0.00 5.68905 -123.926 -5.68905 5.68905 0.93 0.000545438 0.00049081 0.0377908 0.0341748 40 2978 31 6.79088e+06 242496 706193. 2443.58 3.88 0.201496 0.17762 26254 175826 -1 2964 31 1370 4034 650022 302772 6.19025 6.19025 -145.399 -6.19025 0 0 926341. 3205.33 0.35 0.23 0.15 -1 -1 0.35 0.0455394 0.0407566 117 165 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_028.v common 10.13 vpr 64.91 MiB -1 -1 0.21 20808 13 0.34 -1 -1 36648 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 279 311 1 202 82 17 17 289 -1 unnamed_device 26.5 MiB 1.94 1164 12186 3851 6304 2031 64.9 MiB 0.11 0.00 6.49822 -139.112 -6.49822 6.49822 0.93 0.000627234 0.000563757 0.0468911 0.042438 46 2847 21 6.79088e+06 242496 828058. 2865.25 4.44 0.265316 0.231698 27406 200422 -1 2419 16 1279 3637 185296 42770 6.87412 6.87412 -157.813 -6.87412 0 0 1.01997e+06 3529.29 0.38 0.07 0.19 -1 -1 0.38 0.0285758 0.0258481 136 184 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_029.v common 10.04 vpr 64.51 MiB -1 -1 0.20 20400 12 0.20 -1 -1 36456 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 32 32 238 270 1 186 80 17 17 289 -1 unnamed_device 26.0 MiB 2.37 1104 11260 3726 5788 1746 64.5 MiB 0.09 0.00 5.61414 -128.399 -5.61414 5.61414 0.96 0.00049585 0.000442432 0.0383478 0.0346578 36 2820 31 6.79088e+06 215552 648988. 2245.63 4.17 0.175228 0.153174 25390 158009 -1 2302 16 969 2305 148969 32803 5.86474 5.86474 -145.44 -5.86474 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0253163 0.0229384 103 143 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_030.v common 8.83 vpr 64.34 MiB -1 -1 0.21 20496 11 0.19 -1 -1 36432 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 30 32 213 245 1 164 80 17 17 289 -1 unnamed_device 25.9 MiB 2.26 1022 9024 2221 5386 1417 64.3 MiB 0.08 0.00 5.07364 -114.347 -5.07364 5.07364 0.98 0.000526609 0.000481526 0.0290015 0.0263833 34 2870 38 6.79088e+06 242496 618332. 2139.56 3.12 0.135793 0.119064 25102 150614 -1 2402 22 1151 2765 196108 42621 5.64199 5.64199 -136.607 -5.64199 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.026204 0.0233053 95 122 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_031.v common 8.16 vpr 64.48 MiB -1 -1 0.19 20480 11 0.21 -1 -1 36324 -1 -1 21 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 28 32 227 259 1 171 81 17 17 289 -1 unnamed_device 26.1 MiB 1.80 997 7781 1903 4744 1134 64.5 MiB 0.06 0.00 5.49223 -108.467 -5.49223 5.49223 0.93 0.000542882 0.000484705 0.0255346 0.0231583 34 2798 45 6.79088e+06 282912 618332. 2139.56 3.00 0.183018 0.16108 25102 150614 -1 2220 17 974 2652 172430 38216 5.99343 5.99343 -126.373 -5.99343 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0244641 0.0220213 109 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_032.v common 9.08 vpr 64.65 MiB -1 -1 0.19 20608 12 0.25 -1 -1 36700 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 274 306 1 209 81 17 17 289 -1 unnamed_device 26.3 MiB 3.04 1143 12506 4276 5841 2389 64.6 MiB 0.10 0.00 5.90738 -136.439 -5.90738 5.90738 0.94 0.000598377 0.000538195 0.0468526 0.0423895 36 3324 46 6.79088e+06 229024 648988. 2245.63 2.49 0.207605 0.182973 25390 158009 -1 2648 16 1362 3298 196291 45445 6.15798 6.15798 -154.707 -6.15798 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0269554 0.0242479 119 179 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_033.v common 8.40 vpr 64.51 MiB -1 -1 0.17 20504 12 0.18 -1 -1 36300 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 31 32 237 269 1 176 80 17 17 289 -1 unnamed_device 26.0 MiB 2.54 971 7648 1699 5716 233 64.5 MiB 0.06 0.00 5.55148 -116.926 -5.55148 5.55148 0.90 0.000512583 0.000467471 0.0258266 0.0236038 34 2955 22 6.79088e+06 229024 618332. 2139.56 2.59 0.125165 0.109637 25102 150614 -1 2255 20 1380 3595 201195 46352 5.98224 5.98224 -140.501 -5.98224 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0267726 0.0238963 101 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_034.v common 8.44 vpr 64.31 MiB -1 -1 0.20 20348 10 0.18 -1 -1 36328 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 29 32 220 252 1 166 78 17 17 289 -1 unnamed_device 25.8 MiB 1.80 976 9872 2681 6406 785 64.3 MiB 0.08 0.00 4.98748 -113.133 -4.98748 4.98748 0.92 0.000500068 0.000452085 0.0331072 0.0300179 36 2609 20 6.79088e+06 229024 648988. 2245.63 3.24 0.158722 0.139227 25390 158009 -1 2083 14 857 2337 135720 30390 5.23808 5.23808 -125.914 -5.23808 0 0 828058. 2865.25 0.33 0.05 0.15 -1 -1 0.33 0.0212025 0.0192177 103 131 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_035.v common 9.58 vpr 65.15 MiB -1 -1 0.23 21344 13 0.35 -1 -1 36668 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 315 347 1 232 85 17 17 289 -1 unnamed_device 26.6 MiB 2.11 1344 14035 4538 7322 2175 65.2 MiB 0.12 0.00 6.6382 -140.913 -6.6382 6.6382 0.92 0.000657345 0.000590431 0.0553058 0.0498077 46 3254 22 6.79088e+06 282912 828058. 2865.25 3.68 0.238632 0.210494 27406 200422 -1 2749 18 1485 4253 211608 47882 6.6851 6.6851 -154.139 -6.6851 0 0 1.01997e+06 3529.29 0.39 0.08 0.17 -1 -1 0.39 0.034676 0.0312681 149 220 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_036.v common 9.27 vpr 64.75 MiB -1 -1 0.23 21268 14 0.41 -1 -1 36800 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 282 314 1 225 82 17 17 289 -1 unnamed_device 26.3 MiB 2.62 1246 6668 1385 5059 224 64.8 MiB 0.07 0.00 6.74118 -146.348 -6.74118 6.74118 0.93 0.000633444 0.000572397 0.0280726 0.0254779 44 3474 26 6.79088e+06 242496 787024. 2723.27 2.79 0.186893 0.163896 27118 194962 -1 2713 17 1366 3757 196074 44765 7.07788 7.07788 -164.183 -7.07788 0 0 997811. 3452.63 0.38 0.08 0.18 -1 -1 0.38 0.0306914 0.0278111 136 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_037.v common 9.27 vpr 64.59 MiB -1 -1 0.20 20672 12 0.19 -1 -1 36380 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 31 32 241 273 1 173 79 17 17 289 -1 unnamed_device 26.1 MiB 2.62 1100 8867 2378 5663 826 64.6 MiB 0.07 0.00 5.82898 -130.14 -5.82898 5.82898 0.96 0.000560435 0.000506892 0.03194 0.0289122 34 2989 36 6.79088e+06 215552 618332. 2139.56 3.19 0.154907 0.135813 25102 150614 -1 2483 19 1094 3030 201715 43922 6.49119 6.49119 -154.028 -6.49119 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0252326 0.0225166 101 148 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_038.v common 12.79 vpr 64.75 MiB -1 -1 0.22 20672 12 0.34 -1 -1 36624 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 31 32 307 339 1 226 87 17 17 289 -1 unnamed_device 26.2 MiB 2.66 1378 8919 2182 5703 1034 64.8 MiB 0.08 0.00 6.09421 -131.718 -6.09421 6.09421 0.91 0.000758874 0.000685128 0.0351807 0.0320019 44 3592 40 6.79088e+06 323328 787024. 2723.27 6.44 0.290285 0.254007 27118 194962 -1 2809 17 1369 4155 223401 48918 6.34481 6.34481 -143.707 -6.34481 0 0 997811. 3452.63 0.37 0.08 0.18 -1 -1 0.37 0.0312757 0.0283403 146 214 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_039.v common 7.89 vpr 65.05 MiB -1 -1 0.23 20952 14 0.41 -1 -1 37052 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 31 32 293 325 1 209 85 17 17 289 -1 unnamed_device 26.6 MiB 1.56 1297 9757 2667 6293 797 65.1 MiB 0.09 0.00 6.88537 -142.73 -6.88537 6.88537 0.94 0.000675978 0.000614496 0.039528 0.0359191 36 3328 21 6.79088e+06 296384 648988. 2245.63 2.58 0.216543 0.191683 25390 158009 -1 2849 18 1261 3519 201520 46536 7.42577 7.42577 -159.939 -7.42577 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0340674 0.0309425 142 200 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_040.v common 9.40 vpr 64.86 MiB -1 -1 0.25 21152 13 0.32 -1 -1 36236 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 31 32 276 308 1 215 86 17 17 289 -1 unnamed_device 26.4 MiB 2.49 1206 4433 888 3276 269 64.9 MiB 0.05 0.00 7.31166 -143.361 -7.31166 7.31166 0.96 0.000645939 0.000585724 0.0194826 0.017825 36 3828 50 6.79088e+06 309856 648988. 2245.63 3.26 0.195938 0.171679 25390 158009 -1 2885 17 1357 3476 208327 47434 7.43696 7.43696 -159.65 -7.43696 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.030141 0.0272386 136 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_041.v common 10.05 vpr 64.91 MiB -1 -1 0.21 20724 13 0.30 -1 -1 36348 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 31 32 269 301 1 204 84 17 17 289 -1 unnamed_device 26.5 MiB 2.15 1198 12162 3885 6108 2169 64.9 MiB 0.10 0.00 6.67042 -135.628 -6.67042 6.67042 0.91 0.000588828 0.000534691 0.0441593 0.0401473 40 3209 25 6.79088e+06 282912 706193. 2443.58 4.25 0.214297 0.191199 26254 175826 -1 3004 31 1535 4503 575928 217053 7.04632 7.04632 -156.016 -7.04632 0 0 926341. 3205.33 0.34 0.20 0.15 -1 -1 0.34 0.0488638 0.044089 125 176 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_042.v common 10.44 vpr 64.55 MiB -1 -1 0.20 20380 12 0.23 -1 -1 36592 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 264 296 1 184 80 17 17 289 -1 unnamed_device 26.0 MiB 2.40 1008 12120 4187 5866 2067 64.5 MiB 0.10 0.00 5.64559 -119.642 -5.64559 5.64559 0.94 0.000567431 0.000512455 0.0449688 0.0407435 36 3080 33 6.79088e+06 215552 648988. 2245.63 4.48 0.241625 0.211212 25390 158009 -1 2312 15 1073 2913 171077 39853 5.99343 5.99343 -138.765 -5.99343 0 0 828058. 2865.25 0.34 0.07 0.15 -1 -1 0.34 0.0257298 0.0233742 111 169 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_043.v common 11.64 vpr 65.20 MiB -1 -1 0.26 21440 14 0.50 -1 -1 36872 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 324 356 1 241 85 17 17 289 -1 unnamed_device 26.8 MiB 1.41 1568 9199 2388 6033 778 65.2 MiB 0.10 0.00 6.7243 -147.587 -6.7243 6.7243 0.95 0.000752028 0.000681722 0.0424814 0.038511 44 4095 27 6.79088e+06 282912 787024. 2723.27 6.18 0.301037 0.26417 27118 194962 -1 3300 16 1502 4562 253187 56557 7.4761 7.4761 -172.344 -7.4761 0 0 997811. 3452.63 0.39 0.09 0.18 -1 -1 0.39 0.0357206 0.0326359 159 229 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_044.v common 9.48 vpr 64.36 MiB -1 -1 0.18 20568 11 0.23 -1 -1 36152 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 31 32 249 281 1 186 79 17 17 289 -1 unnamed_device 25.8 MiB 2.54 1170 6501 1525 4634 342 64.4 MiB 0.06 0.00 5.48104 -120.034 -5.48104 5.48104 0.92 0.000522657 0.000470557 0.0238407 0.0215797 38 3174 42 6.79088e+06 215552 678818. 2348.85 3.54 0.189396 0.1662 25966 169698 -1 2582 16 1250 3485 194607 42620 5.61747 5.61747 -135.279 -5.61747 0 0 902133. 3121.57 0.34 0.07 0.14 -1 -1 0.34 0.0265283 0.023866 112 156 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_045.v common 11.70 vpr 65.09 MiB -1 -1 0.24 20620 13 0.34 -1 -1 36424 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 284 316 1 193 83 17 17 289 -1 unnamed_device 26.6 MiB 2.15 1191 11423 2968 6267 2188 65.1 MiB 0.10 0.00 6.38411 -136.826 -6.38411 6.38411 0.94 0.000631518 0.00057457 0.0442223 0.0400526 36 3540 21 6.79088e+06 269440 648988. 2245.63 5.81 0.196602 0.172393 25390 158009 -1 2714 33 1522 5056 418383 148136 7.04632 7.04632 -159.692 -7.04632 0 0 828058. 2865.25 0.31 0.16 0.15 -1 -1 0.31 0.0464501 0.0411636 137 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_046.v common 11.42 vpr 65.17 MiB -1 -1 0.22 20740 12 0.32 -1 -1 36580 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 303 335 1 212 85 17 17 289 -1 unnamed_device 26.7 MiB 2.33 1242 14965 5585 7301 2079 65.2 MiB 0.14 0.00 5.95428 -129.63 -5.95428 5.95428 0.97 0.000653731 0.000588702 0.0595986 0.053713 38 3764 29 6.79088e+06 282912 678818. 2348.85 5.31 0.243883 0.215738 25966 169698 -1 2949 16 1451 4566 255556 56373 6.33362 6.33362 -151.413 -6.33362 0 0 902133. 3121.57 0.33 0.09 0.15 -1 -1 0.33 0.0321632 0.0292558 146 208 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_047.v common 10.70 vpr 64.81 MiB -1 -1 0.20 20332 13 0.31 -1 -1 36404 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 272 304 1 200 86 17 17 289 -1 unnamed_device 26.4 MiB 1.65 1153 11804 3670 6382 1752 64.8 MiB 0.10 0.00 6.47021 -137.224 -6.47021 6.47021 0.98 0.000600263 0.000543594 0.0441221 0.0400862 34 3287 41 6.79088e+06 296384 618332. 2139.56 5.41 0.343904 0.303673 25102 150614 -1 2742 18 1317 3498 192578 44683 6.75652 6.75652 -157.838 -6.75652 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0286335 0.0258291 131 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_048.v common 11.50 vpr 64.84 MiB -1 -1 0.22 20784 13 0.27 -1 -1 37144 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 271 303 1 212 82 17 17 289 -1 unnamed_device 26.4 MiB 3.17 1131 12008 4148 5800 2060 64.8 MiB 0.10 0.00 6.13346 -131.55 -6.13346 6.13346 0.96 0.000614758 0.000551341 0.0456324 0.0411897 38 3529 34 6.79088e+06 242496 678818. 2348.85 4.69 0.211758 0.186065 25966 169698 -1 2562 16 1343 3507 198373 44501 6.21956 6.21956 -146.458 -6.21956 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0271971 0.0245642 124 176 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_049.v common 10.53 vpr 64.91 MiB -1 -1 0.22 20660 12 0.29 -1 -1 36508 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 288 320 1 218 84 17 17 289 -1 unnamed_device 26.4 MiB 2.24 1384 7221 1754 4791 676 64.9 MiB 0.07 0.00 6.20837 -138.171 -6.20837 6.20837 0.89 0.000608406 0.000551267 0.0283204 0.0256835 44 3380 36 6.79088e+06 269440 787024. 2723.27 4.76 0.286734 0.253803 27118 194962 -1 2829 16 1256 4111 231336 49661 6.41207 6.41207 -151.778 -6.41207 0 0 997811. 3452.63 0.37 0.08 0.16 -1 -1 0.37 0.0301487 0.0274113 140 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_050.v common 8.33 vpr 65.18 MiB -1 -1 0.23 21008 13 0.37 -1 -1 37080 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 306 338 1 225 84 17 17 289 -1 unnamed_device 26.7 MiB 1.91 1323 4110 692 3301 117 65.2 MiB 0.05 0.00 6.76001 -142.904 -6.76001 6.76001 0.93 0.000676756 0.000610725 0.0195992 0.0178809 38 3405 20 6.79088e+06 269440 678818. 2348.85 2.73 0.19213 0.169214 25966 169698 -1 2818 17 1423 4075 198266 46895 7.01061 7.01061 -162.029 -7.01061 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.033176 0.0300544 145 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_051.v common 7.89 vpr 64.80 MiB -1 -1 0.20 20740 14 0.35 -1 -1 36536 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 262 294 1 194 84 17 17 289 -1 unnamed_device 26.4 MiB 1.82 1242 13992 4125 7675 2192 64.8 MiB 0.11 0.00 6.67048 -139.801 -6.67048 6.67048 0.94 0.000606725 0.000550505 0.049722 0.0450177 36 3455 36 6.79088e+06 269440 648988. 2245.63 2.42 0.177718 0.156752 25390 158009 -1 2903 18 1348 3737 232802 51080 7.46497 7.46497 -165.286 -7.46497 0 0 828058. 2865.25 0.32 0.08 0.14 -1 -1 0.32 0.028705 0.0258503 125 167 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_052.v common 13.59 vpr 65.01 MiB -1 -1 0.23 20844 13 0.33 -1 -1 36608 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 291 323 1 214 85 17 17 289 -1 unnamed_device 26.5 MiB 2.75 1289 14221 4071 8219 1931 65.0 MiB 0.13 0.00 6.51285 -133.328 -6.51285 6.51285 0.95 0.000650425 0.000591775 0.0543698 0.0493995 36 3581 27 6.79088e+06 282912 648988. 2245.63 7.11 0.228304 0.202444 25390 158009 -1 3132 18 1532 4201 254439 56749 6.84955 6.84955 -157.417 -6.84955 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0332088 0.0300985 136 196 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_053.v common 10.76 vpr 64.93 MiB -1 -1 0.24 20880 13 0.33 -1 -1 36616 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 31 32 302 334 1 224 84 17 17 289 -1 unnamed_device 26.4 MiB 2.13 1358 6123 1356 3867 900 64.9 MiB 0.06 0.00 6.45902 -141.579 -6.45902 6.45902 0.93 0.000641123 0.000565222 0.0257229 0.0231997 38 3861 37 6.79088e+06 282912 678818. 2348.85 5.00 0.218598 0.192089 25966 169698 -1 2958 23 1795 4946 271739 59113 6.99942 6.99942 -161.933 -6.99942 0 0 902133. 3121.57 0.34 0.10 0.15 -1 -1 0.34 0.0374585 0.0333664 144 209 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_054.v common 10.90 vpr 64.96 MiB -1 -1 0.24 20964 12 0.38 -1 -1 36684 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 308 340 1 225 85 17 17 289 -1 unnamed_device 26.4 MiB 1.81 1293 13663 4448 6578 2637 65.0 MiB 0.12 0.00 6.36178 -135.889 -6.36178 6.36178 0.97 0.000696114 0.000630613 0.0549403 0.0498411 46 3261 27 6.79088e+06 282912 828058. 2865.25 5.17 0.284384 0.250893 27406 200422 -1 2628 17 1461 4068 195006 45914 6.57319 6.57319 -150.831 -6.57319 0 0 1.01997e+06 3529.29 0.41 0.08 0.18 -1 -1 0.41 0.0339686 0.0308726 147 213 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_055.v common 7.68 vpr 64.15 MiB -1 -1 0.19 20212 11 0.16 -1 -1 36356 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 32 32 216 248 1 160 78 17 17 289 -1 unnamed_device 25.8 MiB 1.54 794 5888 1237 4556 95 64.1 MiB 0.05 0.00 5.10508 -108.421 -5.10508 5.10508 0.96 0.000495437 0.000449839 0.0202063 0.0184396 34 2564 37 6.79088e+06 188608 618332. 2139.56 2.76 0.143497 0.126287 25102 150614 -1 2106 18 993 2380 161179 39315 5.56703 5.56703 -134.723 -5.56703 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0234791 0.0210947 91 121 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_056.v common 9.63 vpr 64.91 MiB -1 -1 0.20 20608 13 0.25 -1 -1 36244 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 254 286 1 197 84 17 17 289 -1 unnamed_device 26.6 MiB 2.05 1142 5391 1044 4126 221 64.9 MiB 0.05 0.00 6.36948 -134.926 -6.36948 6.36948 0.90 0.000553945 0.000502595 0.0201236 0.0184322 38 2855 37 6.79088e+06 269440 678818. 2348.85 4.19 0.221949 0.194274 25966 169698 -1 2477 16 1154 3022 168586 39433 6.74882 6.74882 -159.334 -6.74882 0 0 902133. 3121.57 0.33 0.07 0.14 -1 -1 0.33 0.0265242 0.0240159 118 159 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_057.v common 10.70 vpr 65.45 MiB -1 -1 0.25 21504 14 0.55 -1 -1 36656 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 32 32 338 370 1 251 88 17 17 289 -1 unnamed_device 26.9 MiB 1.57 1624 8668 2292 5827 549 65.5 MiB 0.10 0.00 7.64076 -154.409 -7.64076 7.64076 0.99 0.00079716 0.000714665 0.0412668 0.0372426 46 4035 24 6.79088e+06 323328 828058. 2865.25 5.04 0.240997 0.212948 27406 200422 -1 3284 17 1695 4937 251855 55567 8.1923 8.1923 -175.792 -8.1923 0 0 1.01997e+06 3529.29 0.38 0.09 0.19 -1 -1 0.38 0.0367989 0.0333663 171 243 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_058.v common 26.03 vpr 64.84 MiB -1 -1 0.22 20724 13 0.36 -1 -1 36768 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 271 303 1 215 85 17 17 289 -1 unnamed_device 26.4 MiB 1.93 1376 8827 2531 5567 729 64.8 MiB 0.08 0.00 6.76001 -145.356 -6.76001 6.76001 0.95 0.000643103 0.000583904 0.0343106 0.0311897 40 3300 27 6.79088e+06 282912 706193. 2443.58 20.26 0.372833 0.325878 26254 175826 -1 3132 26 1360 3642 422049 173601 7.13591 7.13591 -171.004 -7.13591 0 0 926341. 3205.33 0.35 0.16 0.17 -1 -1 0.35 0.0408352 0.036534 134 176 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_059.v common 7.95 vpr 64.29 MiB -1 -1 0.19 20484 11 0.20 -1 -1 36244 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65836 30 32 224 256 1 163 79 17 17 289 -1 unnamed_device 25.8 MiB 0.81 1031 4980 1094 3561 325 64.3 MiB 0.04 0.00 5.69249 -122.087 -5.69249 5.69249 0.92 0.000479061 0.000434995 0.0182445 0.0166493 36 2870 23 6.79088e+06 229024 648988. 2245.63 3.80 0.192606 0.167484 25390 158009 -1 2316 17 1043 2986 180608 39590 5.9788 5.9788 -139.027 -5.9788 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0233686 0.0209889 101 133 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_060.v common 8.80 vpr 65.50 MiB -1 -1 0.27 21436 15 0.66 -1 -1 36948 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 351 383 1 259 89 17 17 289 -1 unnamed_device 27.0 MiB 1.38 1508 9197 2375 5998 824 65.5 MiB 0.10 0.00 7.85565 -160.53 -7.85565 7.85565 0.94 0.000805577 0.000720974 0.0420727 0.0379966 44 4033 44 6.79088e+06 336800 787024. 2723.27 3.24 0.219093 0.19281 27118 194962 -1 3095 18 1586 4602 228067 53299 8.35685 8.35685 -182.505 -8.35685 0 0 997811. 3452.63 0.38 0.09 0.18 -1 -1 0.38 0.0387409 0.0350632 179 256 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_061.v common 8.86 vpr 64.97 MiB -1 -1 0.22 20752 13 0.40 -1 -1 36316 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 297 329 1 217 84 17 17 289 -1 unnamed_device 26.5 MiB 1.37 1287 12345 3324 7419 1602 65.0 MiB 0.11 0.00 6.76001 -145.768 -6.76001 6.76001 0.94 0.00068696 0.00062303 0.0511042 0.0462777 34 3713 40 6.79088e+06 269440 618332. 2139.56 3.74 0.206251 0.18228 25102 150614 -1 3073 17 1369 3623 224509 50111 7.01061 7.01061 -164.038 -7.01061 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0324735 0.0295063 139 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_062.v common 6.85 vpr 64.14 MiB -1 -1 0.17 20208 11 0.15 -1 -1 36288 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65684 32 32 231 263 1 165 77 17 17 289 -1 unnamed_device 25.7 MiB 1.45 1049 10998 3160 6693 1145 64.1 MiB 0.09 0.00 5.40613 -119.346 -5.40613 5.40613 0.92 0.000540628 0.000483971 0.0379051 0.0344268 38 2434 18 6.79088e+06 175136 678818. 2348.85 2.07 0.158369 0.139226 25966 169698 -1 2046 15 814 2149 113275 25508 5.65673 5.65673 -134.722 -5.65673 0 0 902133. 3121.57 0.33 0.05 0.16 -1 -1 0.33 0.0213151 0.0191983 94 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_063.v common 10.27 vpr 65.08 MiB -1 -1 0.22 20932 12 0.38 -1 -1 36528 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 32 32 305 337 1 217 84 17 17 289 -1 unnamed_device 26.4 MiB 1.39 1356 9600 2570 6006 1024 65.1 MiB 0.09 0.00 6.42326 -135.664 -6.42326 6.42326 0.95 0.000676368 0.000610746 0.0401354 0.0363367 44 3223 21 6.79088e+06 269440 787024. 2723.27 5.07 0.279579 0.245552 27118 194962 -1 2728 17 1226 3894 208072 45745 6.58776 6.58776 -153.699 -6.58776 0 0 997811. 3452.63 0.38 0.08 0.18 -1 -1 0.38 0.031108 0.028037 146 210 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_064.v common 22.17 vpr 64.36 MiB -1 -1 0.17 20216 12 0.23 -1 -1 36544 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 32 32 243 275 1 187 82 17 17 289 -1 unnamed_device 25.8 MiB 1.43 1012 13788 4962 6947 1879 64.4 MiB 0.11 0.00 6.07963 -128.905 -6.07963 6.07963 0.93 0.000587147 0.000530635 0.0471194 0.0429002 40 2543 31 6.79088e+06 242496 706193. 2443.58 17.13 0.347093 0.305147 26254 175826 -1 2333 32 1666 4908 476357 172022 6.33023 6.33023 -145.025 -6.33023 0 0 926341. 3205.33 0.37 0.18 0.16 -1 -1 0.37 0.0438871 0.0390837 113 148 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_065.v common 8.08 vpr 64.35 MiB -1 -1 0.20 20460 12 0.23 -1 -1 36608 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 30 32 228 260 1 166 79 17 17 289 -1 unnamed_device 25.9 MiB 1.21 926 5656 1193 4227 236 64.3 MiB 0.05 0.00 6.16917 -122.538 -6.16917 6.16917 0.96 0.000589448 0.000490214 0.0210122 0.0190363 36 2522 27 6.79088e+06 229024 648988. 2245.63 3.37 0.161516 0.142006 25390 158009 -1 2066 17 875 2408 136826 32070 6.41977 6.41977 -138.947 -6.41977 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0234934 0.0211161 106 137 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_066.v common 9.27 vpr 64.89 MiB -1 -1 0.22 20904 12 0.32 -1 -1 36436 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 29 32 275 307 1 201 87 17 17 289 -1 unnamed_device 26.4 MiB 2.43 1225 4887 997 3512 378 64.9 MiB 0.05 0.00 6.25527 -120.607 -6.25527 6.25527 0.93 0.000578961 0.000519214 0.0199595 0.0181273 38 3205 48 6.79088e+06 350272 678818. 2348.85 3.28 0.212257 0.186769 25966 169698 -1 2782 19 1292 3862 221336 48352 6.41972 6.41972 -135.807 -6.41972 0 0 902133. 3121.57 0.34 0.08 0.14 -1 -1 0.34 0.0311523 0.0279613 140 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_067.v common 11.77 vpr 65.32 MiB -1 -1 0.21 20876 13 0.40 -1 -1 36384 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 32 32 330 362 1 257 87 17 17 289 -1 unnamed_device 26.9 MiB 1.18 1481 9303 2299 6055 949 65.3 MiB 0.10 0.00 6.67386 -141.288 -6.67386 6.67386 0.90 0.000732999 0.000666313 0.0406909 0.0369725 36 4265 36 6.79088e+06 309856 648988. 2245.63 6.96 0.262682 0.233826 25390 158009 -1 3451 20 2170 5380 318815 70080 7.08547 7.08547 -167.686 -7.08547 0 0 828058. 2865.25 0.31 0.11 0.13 -1 -1 0.31 0.0402154 0.0364794 160 235 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_068.v common 10.44 vpr 64.86 MiB -1 -1 0.23 20672 12 0.29 -1 -1 36612 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 290 322 1 218 84 17 17 289 -1 unnamed_device 26.4 MiB 1.53 1320 6489 1374 4569 546 64.9 MiB 0.07 0.00 6.70187 -141.173 -6.70187 6.70187 0.99 0.000690179 0.000619727 0.0282579 0.0255968 46 3197 22 6.79088e+06 269440 828058. 2865.25 5.16 0.24666 0.215701 27406 200422 -1 2761 17 1545 4505 235462 51481 6.82717 6.82717 -158.411 -6.82717 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0313824 0.028321 140 195 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_069.v common 9.16 vpr 64.46 MiB -1 -1 0.20 20484 12 0.18 -1 -1 37024 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 214 246 1 160 79 17 17 289 -1 unnamed_device 26.0 MiB 2.34 952 4304 892 3266 146 64.5 MiB 0.04 0.00 6.12227 -127.798 -6.12227 6.12227 0.97 0.000489011 0.000443965 0.0156134 0.0142343 36 2407 24 6.79088e+06 202080 648988. 2245.63 3.43 0.141964 0.124647 25390 158009 -1 2199 17 885 2317 151629 33771 6.12227 6.12227 -142.207 -6.12227 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.022082 0.0198501 93 119 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_070.v common 8.54 vpr 64.66 MiB -1 -1 0.22 20596 12 0.27 -1 -1 36628 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 31 32 244 276 1 178 82 17 17 289 -1 unnamed_device 26.1 MiB 1.73 1153 9160 2665 5629 866 64.7 MiB 0.08 0.00 5.97433 -125.395 -5.97433 5.97433 0.95 0.00056748 0.000515788 0.0327936 0.0298901 34 3251 35 6.79088e+06 255968 618332. 2139.56 3.26 0.166288 0.147044 25102 150614 -1 2599 16 1081 2901 183753 40904 6.47553 6.47553 -147.196 -6.47553 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0247962 0.0224157 111 151 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_071.v common 8.29 vpr 64.77 MiB -1 -1 0.23 21104 11 0.24 -1 -1 36416 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 30 32 276 308 1 190 82 17 17 289 -1 unnamed_device 26.4 MiB 1.78 1156 8626 2147 5545 934 64.8 MiB 0.08 0.00 5.62872 -116.366 -5.62872 5.62872 0.96 0.000621954 0.000564788 0.0339082 0.0307897 38 2914 22 6.79088e+06 269440 678818. 2348.85 2.89 0.186278 0.163854 25966 169698 -1 2499 20 1137 3624 292407 106077 5.87932 5.87932 -131.862 -5.87932 0 0 902133. 3121.57 0.33 0.11 0.16 -1 -1 0.33 0.0308774 0.0276428 125 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_072.v common 9.54 vpr 64.52 MiB -1 -1 0.19 20460 11 0.23 -1 -1 36480 -1 -1 19 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 28 32 253 285 1 176 79 17 17 289 -1 unnamed_device 25.9 MiB 1.44 1024 5149 1225 3564 360 64.5 MiB 0.05 0.00 5.48104 -107.687 -5.48104 5.48104 0.91 0.000593419 0.000533309 0.0205326 0.0187392 36 2795 20 6.79088e+06 255968 648988. 2245.63 4.76 0.172987 0.153175 25390 158009 -1 2508 17 1190 3493 214213 47156 5.60634 5.60634 -124.534 -5.60634 0 0 828058. 2865.25 0.31 0.07 0.13 -1 -1 0.31 0.0273424 0.0247155 116 166 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_073.v common 10.38 vpr 64.58 MiB -1 -1 0.20 20452 13 0.25 -1 -1 36564 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 30 32 235 267 1 172 80 17 17 289 -1 unnamed_device 26.1 MiB 2.07 1061 12292 3804 6727 1761 64.6 MiB 0.09 0.00 5.9509 -124.204 -5.9509 5.9509 0.92 0.000504798 0.000455857 0.0403284 0.0364346 36 2967 26 6.79088e+06 242496 648988. 2245.63 4.85 0.184058 0.162221 25390 158009 -1 2403 19 1033 2891 172166 38640 6.0762 6.0762 -139.404 -6.0762 0 0 828058. 2865.25 0.32 0.07 0.13 -1 -1 0.32 0.026318 0.0235405 108 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_074.v common 10.15 vpr 64.82 MiB -1 -1 0.22 20928 12 0.24 -1 -1 36400 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 26.5 MiB 2.51 1225 6490 1526 4609 355 64.8 MiB 0.06 0.00 5.66792 -136.48 -5.66792 5.66792 0.92 0.000582894 0.00052805 0.0257649 0.0233795 38 3115 19 6.79088e+06 242496 678818. 2348.85 4.12 0.173859 0.153022 25966 169698 -1 2534 14 1086 2891 154118 34918 6.12992 6.12992 -155.325 -6.12992 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.0256125 0.0233314 120 169 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_075.v common 8.22 vpr 64.82 MiB -1 -1 0.20 20388 13 0.36 -1 -1 36412 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 31 32 278 310 1 200 84 17 17 289 -1 unnamed_device 26.4 MiB 2.04 1261 9051 2499 5595 957 64.8 MiB 0.08 0.00 6.92806 -142.643 -6.92806 6.92806 0.97 0.000649815 0.000589687 0.0363101 0.0330291 34 3402 34 6.79088e+06 282912 618332. 2139.56 2.46 0.196185 0.173903 25102 150614 -1 2792 20 1487 4128 232712 53737 7.39006 7.39006 -161.916 -7.39006 0 0 787024. 2723.27 0.32 0.09 0.14 -1 -1 0.32 0.035044 0.031523 137 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_076.v common 24.00 vpr 64.58 MiB -1 -1 0.23 20840 14 0.33 -1 -1 36676 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 290 322 1 212 84 17 17 289 -1 unnamed_device 26.1 MiB 1.59 1354 13809 4092 7816 1901 64.6 MiB 0.13 0.00 7.26476 -155.727 -7.26476 7.26476 0.95 0.000654308 0.00059231 0.0556446 0.0503682 40 3155 19 6.79088e+06 269440 706193. 2443.58 18.62 0.367468 0.322716 26254 175826 -1 3001 18 1297 3595 222936 48514 7.76595 7.76595 -175.878 -7.76595 0 0 926341. 3205.33 0.36 0.08 0.16 -1 -1 0.36 0.03102 0.0278973 132 195 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_077.v common 24.26 vpr 64.59 MiB -1 -1 0.23 20716 14 0.31 -1 -1 36432 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 26.1 MiB 2.62 995 13206 4190 6602 2414 64.6 MiB 0.11 0.00 6.75231 -131.012 -6.75231 6.75231 0.94 0.000621012 0.000548667 0.0500608 0.0452284 38 3582 47 6.79088e+06 229024 678818. 2348.85 17.96 0.330166 0.287754 25966 169698 -1 2364 17 1281 3632 205653 52632 6.87761 6.87761 -148.347 -6.87761 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0285233 0.0257242 122 174 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_078.v common 10.36 vpr 64.94 MiB -1 -1 0.23 21220 13 0.42 -1 -1 36748 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 296 328 1 223 86 17 17 289 -1 unnamed_device 26.4 MiB 2.15 1453 7457 1751 5135 571 64.9 MiB 0.08 0.00 6.99948 -143.294 -6.99948 6.99948 0.93 0.000665064 0.000591127 0.0310576 0.0280702 38 3794 31 6.79088e+06 296384 678818. 2348.85 4.39 0.212301 0.186507 25966 169698 -1 3253 18 1799 4911 276642 60087 7.62598 7.62598 -168.089 -7.62598 0 0 902133. 3121.57 0.34 0.10 0.16 -1 -1 0.34 0.0342193 0.0309198 144 201 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_079.v common 9.69 vpr 64.32 MiB -1 -1 0.19 20412 13 0.22 -1 -1 36352 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 30 32 234 266 1 175 80 17 17 289 -1 unnamed_device 25.8 MiB 2.28 1067 11948 3595 6419 1934 64.3 MiB 0.09 0.00 5.91857 -125.062 -5.91857 5.91857 0.91 0.000516756 0.000470483 0.0393065 0.0357861 44 2488 18 6.79088e+06 242496 787024. 2723.27 3.98 0.213019 0.187404 27118 194962 -1 2012 14 930 2422 127714 28931 6.29447 6.29447 -140.493 -6.29447 0 0 997811. 3452.63 0.38 0.05 0.16 -1 -1 0.38 0.022138 0.0201394 104 143 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_080.v common 10.21 vpr 65.08 MiB -1 -1 0.23 21012 13 0.53 -1 -1 36760 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 30 32 291 323 1 225 84 17 17 289 -1 unnamed_device 26.5 MiB 2.05 1324 11796 3320 6990 1486 65.1 MiB 0.11 0.00 6.54518 -135.575 -6.54518 6.54518 0.93 0.000639972 0.000576226 0.0469741 0.0424668 38 3664 23 6.79088e+06 296384 678818. 2348.85 4.28 0.221644 0.195586 25966 169698 -1 2930 22 1787 4889 246794 56715 7.10661 7.10661 -159.61 -7.10661 0 0 902133. 3121.57 0.34 0.10 0.14 -1 -1 0.34 0.0385902 0.0346575 145 200 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_081.v common 21.98 vpr 64.59 MiB -1 -1 0.23 20628 14 0.39 -1 -1 36760 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 274 306 1 205 82 17 17 289 -1 unnamed_device 26.1 MiB 1.95 1283 6134 1394 4015 725 64.6 MiB 0.06 0.00 7.05762 -149.408 -7.05762 7.05762 0.97 0.000577061 0.000522808 0.0258454 0.0235516 36 3568 38 6.79088e+06 242496 648988. 2245.63 16.28 0.337437 0.297567 25390 158009 -1 3037 19 1330 3764 263962 55885 7.43352 7.43352 -169.916 -7.43352 0 0 828058. 2865.25 0.31 0.09 0.14 -1 -1 0.31 0.0314869 0.0284108 128 179 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_082.v common 10.26 vpr 64.75 MiB -1 -1 0.22 21044 13 0.27 -1 -1 36868 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 31 32 266 298 1 196 82 17 17 289 -1 unnamed_device 26.4 MiB 2.10 1171 11474 3141 6554 1779 64.7 MiB 0.10 0.00 6.28333 -136.535 -6.28333 6.28333 0.92 0.000627389 0.000567028 0.0440367 0.0398312 38 3063 24 6.79088e+06 255968 678818. 2348.85 4.58 0.255302 0.221785 25966 169698 -1 2573 18 1260 3430 184395 40872 6.60884 6.60884 -155.353 -6.60884 0 0 902133. 3121.57 0.36 0.08 0.15 -1 -1 0.36 0.030465 0.0274192 124 173 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_083.v common 8.88 vpr 64.74 MiB -1 -1 0.24 20728 13 0.27 -1 -1 36636 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 30 32 266 298 1 199 81 17 17 289 -1 unnamed_device 26.3 MiB 2.05 1189 9531 3169 4648 1714 64.7 MiB 0.08 0.00 6.13113 -122.629 -6.13113 6.13113 0.95 0.000598421 0.00054122 0.0372858 0.0338795 38 3331 28 6.79088e+06 255968 678818. 2348.85 3.18 0.189329 0.166284 25966 169698 -1 2734 18 1406 3864 217751 47461 6.24519 6.24519 -138.227 -6.24519 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0302968 0.0273289 121 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_084.v common 14.54 vpr 65.09 MiB -1 -1 0.24 20928 14 0.45 -1 -1 36256 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 310 342 1 231 85 17 17 289 -1 unnamed_device 26.5 MiB 2.02 1563 10687 2688 6174 1825 65.1 MiB 0.11 0.00 7.30047 -154.524 -7.30047 7.30047 0.96 0.00071827 0.000639379 0.0459123 0.0414861 38 4295 25 6.79088e+06 282912 678818. 2348.85 8.55 0.229579 0.202813 25966 169698 -1 3400 17 1589 4550 251176 54820 7.42577 7.42577 -169.932 -7.42577 0 0 902133. 3121.57 0.33 0.09 0.16 -1 -1 0.33 0.0336697 0.0304311 154 215 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_085.v common 8.27 vpr 64.94 MiB -1 -1 0.24 20956 11 0.35 -1 -1 36384 -1 -1 23 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 29 32 262 294 1 201 84 17 17 289 -1 unnamed_device 26.5 MiB 2.51 1042 10332 2854 5719 1759 64.9 MiB 0.09 0.00 6.13002 -115.481 -6.13002 6.13002 0.93 0.000601706 0.000537505 0.0377249 0.0341954 38 2958 26 6.79088e+06 309856 678818. 2348.85 2.06 0.168475 0.148152 25966 169698 -1 2314 17 1243 3652 181315 43534 6.38062 6.38062 -131.318 -6.38062 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0295673 0.0267632 136 173 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_086.v common 11.68 vpr 64.40 MiB -1 -1 0.17 20132 13 0.20 -1 -1 36364 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65944 32 32 222 254 1 182 78 17 17 289 -1 unnamed_device 26.0 MiB 3.69 970 6552 1513 4811 228 64.4 MiB 0.06 0.00 5.95084 -134.489 -5.95084 5.95084 0.93 0.000497874 0.000451927 0.023167 0.0211162 44 2697 18 6.79088e+06 188608 787024. 2723.27 4.51 0.189612 0.164716 27118 194962 -1 2087 19 1078 2497 145412 32906 6.03694 6.03694 -148.625 -6.03694 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0250788 0.0224553 98 127 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_087.v common 8.36 vpr 64.70 MiB -1 -1 0.22 20972 14 0.28 -1 -1 36432 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 267 299 1 200 81 17 17 289 -1 unnamed_device 26.3 MiB 1.90 1036 6731 1426 5215 90 64.7 MiB 0.06 0.00 7.17517 -141.92 -7.17517 7.17517 0.90 0.000582923 0.000528681 0.0264023 0.0240449 38 3181 21 6.79088e+06 229024 678818. 2348.85 3.03 0.192275 0.170791 25966 169698 -1 2513 17 1178 3120 166363 37899 7.17517 7.17517 -158.683 -7.17517 0 0 902133. 3121.57 0.33 0.07 0.14 -1 -1 0.33 0.0289855 0.0262765 122 172 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_088.v common 8.70 vpr 65.19 MiB -1 -1 0.22 21284 15 0.50 -1 -1 36768 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 32 32 334 366 1 254 87 17 17 289 -1 unnamed_device 26.8 MiB 1.78 1439 7575 1712 5351 512 65.2 MiB 0.08 0.00 7.43275 -158.86 -7.43275 7.43275 0.92 0.000767028 0.00069238 0.0356628 0.0322408 44 3994 31 6.79088e+06 309856 787024. 2723.27 3.04 0.194744 0.171536 27118 194962 -1 3191 18 1676 4490 247381 55257 7.89474 7.89474 -177.665 -7.89474 0 0 997811. 3452.63 0.38 0.09 0.18 -1 -1 0.38 0.0370239 0.0335502 163 239 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_089.v common 10.72 vpr 64.30 MiB -1 -1 0.17 20408 11 0.19 -1 -1 36348 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 32 32 220 252 1 168 79 17 17 289 -1 unnamed_device 25.9 MiB 1.88 972 10388 3119 5289 1980 64.3 MiB 0.08 0.00 5.50342 -119.473 -5.50342 5.50342 0.94 0.000478189 0.000430473 0.0324153 0.0292983 34 2827 47 6.79088e+06 202080 618332. 2139.56 5.50 0.228796 0.19976 25102 150614 -1 2238 20 991 2574 162582 36112 5.91503 5.91503 -140.895 -5.91503 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0243444 0.0216912 97 125 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_090.v common 9.97 vpr 64.71 MiB -1 -1 0.18 20220 12 0.23 -1 -1 36620 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 31 32 244 276 1 193 80 17 17 289 -1 unnamed_device 26.1 MiB 1.92 1184 6272 1478 4198 596 64.7 MiB 0.06 0.00 5.44959 -123.238 -5.44959 5.44959 0.97 0.000583779 0.000512289 0.0249385 0.0226163 44 3071 23 6.79088e+06 229024 787024. 2723.27 4.45 0.216129 0.188143 27118 194962 -1 2577 17 1218 3375 182447 41209 5.70019 5.70019 -141.54 -5.70019 0 0 997811. 3452.63 0.38 0.07 0.18 -1 -1 0.38 0.0251659 0.0225725 112 151 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_091.v common 21.52 vpr 65.20 MiB -1 -1 0.23 20764 12 0.38 -1 -1 36460 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 300 332 1 219 83 17 17 289 -1 unnamed_device 26.6 MiB 1.49 1382 5123 1131 3579 413 65.2 MiB 0.06 0.00 6.21181 -135.633 -6.21181 6.21181 0.96 0.0007123 0.000646852 0.0240753 0.0219781 36 4269 35 6.79088e+06 255968 648988. 2245.63 16.27 0.340809 0.29929 25390 158009 -1 3259 21 1810 5309 333145 72280 6.67381 6.67381 -163.514 -6.67381 0 0 828058. 2865.25 0.33 0.11 0.15 -1 -1 0.33 0.0380572 0.0342479 143 205 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_092.v common 11.66 vpr 64.52 MiB -1 -1 0.23 20780 12 0.30 -1 -1 36376 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 271 303 1 209 82 17 17 289 -1 unnamed_device 26.1 MiB 2.37 1312 9338 2701 6024 613 64.5 MiB 0.09 0.00 6.08307 -132.83 -6.08307 6.08307 0.98 0.000641795 0.000584407 0.0372035 0.0338276 38 3626 28 6.79088e+06 242496 678818. 2348.85 5.60 0.295968 0.26082 25966 169698 -1 2861 17 1274 3667 206363 45402 6.41977 6.41977 -152.257 -6.41977 0 0 902133. 3121.57 0.34 0.07 0.15 -1 -1 0.34 0.0284249 0.0257009 130 176 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_093.v common 9.68 vpr 65.26 MiB -1 -1 0.23 21040 14 0.57 -1 -1 36420 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 327 359 1 233 86 17 17 289 -1 unnamed_device 26.9 MiB 2.23 1384 6890 1438 5172 280 65.3 MiB 0.08 0.00 7.5658 -152.044 -7.5658 7.5658 0.94 0.000755641 0.000676807 0.0323682 0.0292362 44 3667 21 6.79088e+06 296384 787024. 2723.27 3.19 0.224294 0.198017 27118 194962 -1 3024 43 1568 4772 750523 409017 7.64071 7.64071 -169.873 -7.64071 0 0 997811. 3452.63 0.39 0.32 0.18 -1 -1 0.39 0.0737501 0.0656703 167 232 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_094.v common 7.18 vpr 64.75 MiB -1 -1 0.22 20420 12 0.25 -1 -1 36576 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 30 32 246 278 1 185 81 17 17 289 -1 unnamed_device 26.3 MiB 1.80 1057 10581 3212 4923 2446 64.7 MiB 0.09 0.00 6.07188 -116.532 -6.07188 6.07188 0.90 0.000563898 0.000513116 0.0375569 0.0340868 38 2962 21 6.79088e+06 255968 678818. 2348.85 1.94 0.15854 0.140876 25966 169698 -1 2496 17 1142 3292 185497 41142 6.49468 6.49468 -135.198 -6.49468 0 0 902133. 3121.57 0.33 0.07 0.14 -1 -1 0.33 0.0272273 0.0246792 121 155 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_095.v common 7.16 vpr 64.38 MiB -1 -1 0.19 20524 11 0.21 -1 -1 36528 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 27 32 219 251 1 163 78 17 17 289 -1 unnamed_device 25.9 MiB 2.33 900 11200 2933 6530 1737 64.4 MiB 0.08 0.00 5.66792 -104.013 -5.66792 5.66792 0.92 0.000497409 0.000454219 0.0363439 0.0330877 28 2640 28 6.79088e+06 255968 531479. 1839.03 1.59 0.125199 0.111113 23950 126010 -1 2286 20 1149 3022 194444 43917 5.82893 5.82893 -122.99 -5.82893 0 0 648988. 2245.63 0.26 0.07 0.11 -1 -1 0.26 0.025653 0.0229299 104 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_096.v common 11.74 vpr 65.45 MiB -1 -1 0.26 21484 13 0.54 -1 -1 36532 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 32 32 380 412 1 276 90 17 17 289 -1 unnamed_device 26.8 MiB 2.00 1693 10743 2712 6835 1196 65.4 MiB 0.12 0.00 6.75763 -141.164 -6.75763 6.75763 0.93 0.000853533 0.00076789 0.0498985 0.044994 46 4284 31 6.79088e+06 350272 828058. 2865.25 5.64 0.343085 0.30068 27406 200422 -1 3614 18 1786 5554 286648 62901 7.00823 7.00823 -156.875 -7.00823 0 0 1.01997e+06 3529.29 0.39 0.10 0.18 -1 -1 0.39 0.0407509 0.0368656 188 285 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_097.v common 8.42 vpr 65.01 MiB -1 -1 0.23 20668 14 0.30 -1 -1 36388 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 31 32 277 309 1 197 85 17 17 289 -1 unnamed_device 26.6 MiB 2.04 1195 6037 1209 4595 233 65.0 MiB 0.06 0.00 6.8027 -140.243 -6.8027 6.8027 0.95 0.000637226 0.000574929 0.0240898 0.0218654 38 3035 22 6.79088e+06 296384 678818. 2348.85 2.79 0.184183 0.161751 25966 169698 -1 2404 17 1215 3194 155647 36432 7.0533 7.0533 -155.24 -7.0533 0 0 902133. 3121.57 0.34 0.07 0.15 -1 -1 0.34 0.0290538 0.0261707 130 184 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_098.v common 8.85 vpr 64.55 MiB -1 -1 0.22 20348 12 0.21 -1 -1 36224 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 229 261 1 174 82 17 17 289 -1 unnamed_device 26.1 MiB 2.00 1163 8804 2510 5532 762 64.5 MiB 0.07 0.00 6.02467 -132.982 -6.02467 6.02467 0.97 0.000543213 0.000494544 0.0301991 0.0275467 34 3096 39 6.79088e+06 242496 618332. 2139.56 3.34 0.176782 0.154525 25102 150614 -1 2589 18 1107 2774 182103 40367 6.52587 6.52587 -154.91 -6.52587 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0248866 0.0222372 109 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_099.v common 18.64 vpr 64.71 MiB -1 -1 0.22 20356 13 0.36 -1 -1 36508 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 263 295 1 199 82 17 17 289 -1 unnamed_device 26.3 MiB 1.73 1285 8092 1940 5248 904 64.7 MiB 0.08 0.00 6.71317 -144.222 -6.71317 6.71317 0.96 0.000640103 0.000580825 0.0319053 0.0290014 38 3111 36 6.79088e+06 242496 678818. 2348.85 13.05 0.30333 0.266479 25966 169698 -1 2688 33 1265 3540 442922 207124 6.71317 6.71317 -156.85 -6.71317 0 0 902133. 3121.57 0.35 0.18 0.16 -1 -1 0.35 0.0477802 0.0427108 128 168 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_100.v common 11.36 vpr 65.24 MiB -1 -1 0.25 21024 13 0.40 -1 -1 36844 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 31 32 321 353 1 241 87 17 17 289 -1 unnamed_device 26.8 MiB 1.98 1388 9687 2321 6371 995 65.2 MiB 0.10 0.00 6.07958 -131.417 -6.07958 6.07958 0.97 0.000764774 0.00068035 0.0425707 0.0382951 50 3299 29 6.79088e+06 323328 902133. 3121.57 5.40 0.320499 0.282447 27982 213445 -1 3031 20 1695 4935 263516 58127 6.11534 6.11534 -143.353 -6.11534 0 0 1.08113e+06 3740.92 0.43 0.10 0.19 -1 -1 0.43 0.0410977 0.0370893 157 228 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_101.v common 9.95 vpr 65.14 MiB -1 -1 0.22 20940 11 0.31 -1 -1 36324 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 30 32 287 319 1 201 84 17 17 289 -1 unnamed_device 26.6 MiB 2.05 1263 9783 2507 6146 1130 65.1 MiB 0.09 0.00 5.66792 -118.324 -5.66792 5.66792 0.92 0.000641522 0.00057991 0.0381952 0.0345342 34 3512 47 6.79088e+06 296384 618332. 2139.56 4.27 0.195455 0.171968 25102 150614 -1 2948 18 1524 4784 307271 66672 6.11867 6.11867 -138.758 -6.11867 0 0 787024. 2723.27 0.32 0.10 0.14 -1 -1 0.32 0.0330424 0.0299581 141 196 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_102.v common 10.86 vpr 64.85 MiB -1 -1 0.23 20692 15 0.43 -1 -1 36192 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 296 328 1 220 86 17 17 289 -1 unnamed_device 26.3 MiB 1.79 1350 9536 2644 6436 456 64.8 MiB 0.09 0.00 7.21442 -154.203 -7.21442 7.21442 0.97 0.000682671 0.000618614 0.0389416 0.0352462 44 3495 33 6.79088e+06 296384 787024. 2723.27 5.15 0.306472 0.270096 27118 194962 -1 2705 17 1181 3849 194899 43457 7.67991 7.67991 -172.825 -7.67991 0 0 997811. 3452.63 0.39 0.08 0.18 -1 -1 0.39 0.0311282 0.0283135 147 201 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_103.v common 9.46 vpr 65.02 MiB -1 -1 0.23 21256 13 0.39 -1 -1 36232 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 285 317 1 217 85 17 17 289 -1 unnamed_device 26.5 MiB 2.28 1369 7153 1830 4835 488 65.0 MiB 0.07 0.00 6.57668 -145.772 -6.57668 6.57668 0.91 0.000650847 0.000591576 0.0288332 0.026184 38 3314 29 6.79088e+06 282912 678818. 2348.85 3.54 0.2116 0.187761 25966 169698 -1 2772 17 1341 3903 186448 43363 7.03867 7.03867 -166.5 -7.03867 0 0 902133. 3121.57 0.33 0.08 0.14 -1 -1 0.33 0.0315647 0.0286542 143 190 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_104.v common 9.19 vpr 64.65 MiB -1 -1 0.20 20800 12 0.25 -1 -1 36572 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 29 32 239 271 1 185 79 17 17 289 -1 unnamed_device 26.2 MiB 2.08 1011 5487 1182 4084 221 64.7 MiB 0.05 0.00 6.04731 -123.992 -6.04731 6.04731 0.93 0.000553749 0.000503136 0.0208663 0.0190335 36 3061 28 6.79088e+06 242496 648988. 2245.63 3.66 0.158077 0.138046 25390 158009 -1 2442 15 1162 2883 178919 40092 6.58771 6.58771 -145.619 -6.58771 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0227971 0.0206115 111 150 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_105.v common 16.51 vpr 64.43 MiB -1 -1 0.21 20628 11 0.20 -1 -1 36020 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 32 32 235 267 1 172 78 17 17 289 -1 unnamed_device 26.0 MiB 1.89 1018 6552 1549 4725 278 64.4 MiB 0.06 0.00 5.57833 -123.024 -5.57833 5.57833 0.95 0.000523832 0.000475252 0.0241892 0.0220572 30 3147 46 6.79088e+06 188608 556674. 1926.21 11.23 0.215713 0.188413 24526 138013 -1 2376 17 1108 2657 148360 34056 5.86813 5.86813 -142.262 -5.86813 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0246756 0.0222883 98 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_106.v common 10.50 vpr 64.84 MiB -1 -1 0.22 20784 13 0.41 -1 -1 36584 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 31 32 294 326 1 212 84 17 17 289 -1 unnamed_device 26.3 MiB 1.38 1312 8685 2280 5635 770 64.8 MiB 0.08 0.00 6.9357 -137.041 -6.9357 6.9357 0.95 0.000652659 0.000591019 0.0353886 0.0321694 42 3440 44 6.79088e+06 282912 744469. 2576.02 5.28 0.328998 0.287995 26542 182613 -1 2777 19 1547 4589 265937 58454 7.38651 7.38651 -153.418 -7.38651 0 0 949917. 3286.91 0.37 0.10 0.17 -1 -1 0.37 0.0351348 0.0316132 143 201 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_107.v common 8.47 vpr 64.39 MiB -1 -1 0.20 20476 10 0.21 -1 -1 36556 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 29 32 219 251 1 163 78 17 17 289 -1 unnamed_device 26.0 MiB 2.31 784 9374 2284 6546 544 64.4 MiB 0.08 0.00 5.03782 -104.747 -5.03782 5.03782 0.95 0.000509545 0.000462057 0.0322615 0.0292727 34 2501 38 6.79088e+06 229024 618332. 2139.56 2.69 0.172803 0.151867 25102 150614 -1 2047 20 1032 2697 156619 37868 5.66432 5.66432 -127.96 -5.66432 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0258018 0.0230674 101 130 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_108.v common 11.35 vpr 64.60 MiB -1 -1 0.19 20544 14 0.23 -1 -1 36292 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 239 271 1 184 82 17 17 289 -1 unnamed_device 26.0 MiB 3.22 1042 12898 3923 6584 2391 64.6 MiB 0.10 0.00 6.62358 -137.051 -6.62358 6.62358 0.96 0.00054222 0.000486935 0.0433006 0.0390499 36 2986 24 6.79088e+06 242496 648988. 2245.63 4.59 0.237487 0.20765 25390 158009 -1 2369 20 1317 3506 214749 47763 6.96022 6.96022 -158.149 -6.96022 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0293912 0.0262559 110 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_109.v common 20.26 vpr 64.84 MiB -1 -1 0.24 20864 13 0.35 -1 -1 36432 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 31 32 266 298 1 209 83 17 17 289 -1 unnamed_device 26.4 MiB 3.04 1245 9083 2390 5842 851 64.8 MiB 0.08 0.00 6.34835 -135.308 -6.34835 6.34835 0.96 0.000653431 0.000586688 0.0351627 0.0319257 36 3545 27 6.79088e+06 269440 648988. 2245.63 13.49 0.300173 0.261548 25390 158009 -1 2917 17 1353 3628 237934 51726 6.79916 6.79916 -157.614 -6.79916 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0289301 0.0260336 125 173 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_110.v common 21.33 vpr 64.18 MiB -1 -1 0.17 20432 12 0.18 -1 -1 36616 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65724 31 32 225 257 1 172 80 17 17 289 -1 unnamed_device 25.8 MiB 3.89 889 7648 2444 3880 1324 64.2 MiB 0.06 0.00 5.57833 -115.945 -5.57833 5.57833 0.94 0.000462552 0.000410998 0.0253298 0.0228811 38 2985 42 6.79088e+06 229024 678818. 2348.85 13.99 0.297304 0.258528 25966 169698 -1 2072 30 1202 3202 325699 137617 5.98988 5.98988 -133.721 -5.98988 0 0 902133. 3121.57 0.34 0.13 0.15 -1 -1 0.34 0.034761 0.0308369 99 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_111.v common 18.69 vpr 64.89 MiB -1 -1 0.23 20820 12 0.24 -1 -1 36468 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 288 320 1 203 82 17 17 289 -1 unnamed_device 26.4 MiB 2.39 1075 7914 1789 5922 203 64.9 MiB 0.08 0.00 5.91508 -127.079 -5.91508 5.91508 0.97 0.000642479 0.000581284 0.0322955 0.0292435 36 3322 45 6.79088e+06 242496 648988. 2245.63 12.72 0.332641 0.289973 25390 158009 -1 2535 18 1264 3639 220621 50395 6.29098 6.29098 -143.668 -6.29098 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0309178 0.027728 130 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_112.v common 23.87 vpr 64.93 MiB -1 -1 0.25 20948 13 0.37 -1 -1 36660 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 31 32 282 314 1 212 83 17 17 289 -1 unnamed_device 26.4 MiB 1.39 1245 13223 4004 7203 2016 64.9 MiB 0.12 0.00 6.69391 -144.564 -6.69391 6.69391 0.97 0.000638975 0.000577229 0.0518291 0.0467988 38 3420 27 6.79088e+06 269440 678818. 2348.85 18.63 0.345573 0.303172 25966 169698 -1 2787 16 1319 3730 202886 44701 6.78001 6.78001 -158.236 -6.78001 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0301294 0.0274353 143 189 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_113.v common 9.75 vpr 64.52 MiB -1 -1 0.20 20400 11 0.21 -1 -1 36284 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 233 265 1 183 80 17 17 289 -1 unnamed_device 26.1 MiB 2.34 1038 11948 3403 6315 2230 64.5 MiB 0.09 0.00 5.0702 -121.482 -5.0702 5.0702 0.94 0.000497916 0.000446661 0.0404193 0.0366476 36 3304 26 6.79088e+06 215552 648988. 2245.63 3.82 0.174553 0.153181 25390 158009 -1 2698 29 1255 3265 331779 125427 5.52445 5.52445 -144.69 -5.52445 0 0 828058. 2865.25 0.34 0.13 0.15 -1 -1 0.34 0.0374073 0.0332957 106 138 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_114.v common 9.70 vpr 64.86 MiB -1 -1 0.20 20804 13 0.26 -1 -1 36560 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 254 286 1 188 79 17 17 289 -1 unnamed_device 26.4 MiB 3.06 1103 9881 3364 4500 2017 64.9 MiB 0.08 0.00 6.29458 -136.168 -6.29458 6.29458 0.97 0.000574089 0.000520085 0.0378474 0.0343364 36 3428 28 6.79088e+06 202080 648988. 2245.63 3.06 0.172133 0.152513 25390 158009 -1 2698 18 1365 3625 223830 50427 6.67042 6.67042 -157.556 -6.67042 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0303564 0.0273789 113 159 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_115.v common 10.47 vpr 64.82 MiB -1 -1 0.21 20976 13 0.32 -1 -1 36548 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 285 317 1 214 83 17 17 289 -1 unnamed_device 26.4 MiB 1.43 1307 9443 2471 5673 1299 64.8 MiB 0.09 0.00 6.49473 -143.781 -6.49473 6.49473 0.93 0.000637249 0.000577474 0.0376595 0.0340674 44 3378 21 6.79088e+06 255968 787024. 2723.27 5.29 0.265376 0.232807 27118 194962 -1 2778 16 1214 3313 189638 41601 6.94909 6.94909 -162.451 -6.94909 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0293898 0.0267179 136 190 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_116.v common 20.36 vpr 64.65 MiB -1 -1 0.23 21060 11 0.24 -1 -1 36732 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 29 32 243 275 1 184 80 17 17 289 -1 unnamed_device 26.1 MiB 2.57 973 11776 4225 5456 2095 64.6 MiB 0.09 0.00 5.38344 -106.741 -5.38344 5.38344 0.94 0.000551354 0.000498727 0.0411007 0.0371166 36 3121 34 6.79088e+06 255968 648988. 2245.63 14.26 0.278656 0.241684 25390 158009 -1 2439 18 1203 3384 216371 48934 5.70008 5.70008 -128.025 -5.70008 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0266334 0.0238598 116 154 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_117.v common 22.93 vpr 65.08 MiB -1 -1 0.21 21304 14 0.39 -1 -1 36508 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 318 350 1 238 87 17 17 289 -1 unnamed_device 26.6 MiB 1.70 1358 12375 2986 7056 2333 65.1 MiB 0.11 0.00 7.68761 -161.022 -7.68761 7.68761 0.91 0.00072224 0.000655567 0.0508565 0.0461461 30 4256 31 6.79088e+06 309856 556674. 1926.21 17.63 0.389889 0.345286 24526 138013 -1 3075 18 1643 4276 227079 52192 7.68761 7.68761 -182.724 -7.68761 0 0 706193. 2443.58 0.28 0.09 0.12 -1 -1 0.28 0.0357621 0.032477 159 223 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_118.v common 8.79 vpr 64.62 MiB -1 -1 0.18 20084 12 0.19 -1 -1 36884 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 31 32 222 254 1 188 82 17 17 289 -1 unnamed_device 26.1 MiB 2.93 1087 12898 4279 6278 2341 64.6 MiB 0.10 0.00 5.36349 -125.306 -5.36349 5.36349 0.94 0.000504063 0.000456283 0.0406693 0.0368045 38 2804 28 6.79088e+06 255968 678818. 2348.85 2.38 0.165215 0.144518 25966 169698 -1 2362 17 1089 2546 147865 32801 5.82549 5.82549 -142.245 -5.82549 0 0 902133. 3121.57 0.36 0.06 0.16 -1 -1 0.36 0.024242 0.0218656 106 129 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_119.v common 9.00 vpr 64.93 MiB -1 -1 0.22 21336 13 0.35 -1 -1 37216 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 282 314 1 211 84 17 17 289 -1 unnamed_device 26.5 MiB 1.67 1333 5391 1085 3738 568 64.9 MiB 0.05 0.00 6.66283 -141.183 -6.66283 6.66283 0.93 0.000601133 0.000530871 0.0219843 0.019746 38 3726 21 6.79088e+06 269440 678818. 2348.85 3.71 0.184953 0.162577 25966 169698 -1 2942 17 1339 3928 203382 45739 7.17511 7.17511 -159.487 -7.17511 0 0 902133. 3121.57 0.34 0.08 0.14 -1 -1 0.34 0.0304568 0.0275063 136 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_120.v common 7.92 vpr 64.60 MiB -1 -1 0.21 20864 13 0.22 -1 -1 36124 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 238 270 1 180 84 17 17 289 -1 unnamed_device 26.1 MiB 1.41 1076 12345 3840 6601 1904 64.6 MiB 0.10 0.00 6.33716 -140.535 -6.33716 6.33716 0.96 0.000541184 0.000490138 0.040831 0.0370746 34 3212 34 6.79088e+06 269440 618332. 2139.56 2.98 0.178858 0.15855 25102 150614 -1 2547 17 1075 2709 171537 38994 6.71306 6.71306 -162.652 -6.71306 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0254325 0.0229354 107 143 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_121.v common 9.76 vpr 64.67 MiB -1 -1 0.23 20768 12 0.27 -1 -1 36624 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 269 301 1 190 83 17 17 289 -1 unnamed_device 26.3 MiB 1.90 1198 7283 1663 5251 369 64.7 MiB 0.07 0.00 5.87167 -132.399 -5.87167 5.87167 0.95 0.000642338 0.000578338 0.0301157 0.0272929 36 3081 34 6.79088e+06 255968 648988. 2245.63 4.24 0.196378 0.172206 25390 158009 -1 2579 18 1106 3194 175812 40433 6.24757 6.24757 -148.261 -6.24757 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0319011 0.0288055 128 174 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_122.v common 9.71 vpr 65.60 MiB -1 -1 0.25 21484 15 0.63 -1 -1 36984 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 32 32 350 382 1 256 89 17 17 289 -1 unnamed_device 27.0 MiB 1.44 1565 12761 3559 7102 2100 65.6 MiB 0.13 0.00 7.68761 -162.589 -7.68761 7.68761 0.95 0.000826814 0.00074838 0.0593456 0.0538307 44 4271 34 6.79088e+06 336800 787024. 2723.27 4.03 0.260196 0.229303 27118 194962 -1 3279 17 1694 5262 281396 63186 8.22801 8.22801 -181.699 -8.22801 0 0 997811. 3452.63 0.39 0.10 0.18 -1 -1 0.39 0.0399569 0.0362672 183 255 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_123.v common 6.57 vpr 63.90 MiB -1 -1 0.17 20072 10 0.11 -1 -1 36332 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65436 30 32 172 204 1 133 74 17 17 289 -1 unnamed_device 25.5 MiB 1.76 777 7359 2276 4279 804 63.9 MiB 0.05 0.00 4.08102 -100.592 -4.08102 4.08102 0.91 0.000386609 0.000351527 0.0205512 0.0187024 36 1872 32 6.79088e+06 161664 648988. 2245.63 1.70 0.0976677 0.0861597 25390 158009 -1 1650 18 712 1677 106704 24100 4.08102 4.08102 -110.694 -4.08102 0 0 828058. 2865.25 0.31 0.05 0.13 -1 -1 0.31 0.018023 0.016169 66 81 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_124.v common 8.76 vpr 64.34 MiB -1 -1 0.21 20448 13 0.23 -1 -1 35960 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65880 30 32 228 260 1 176 79 17 17 289 -1 unnamed_device 25.8 MiB 1.96 921 6332 1442 4159 731 64.3 MiB 0.06 0.00 6.47021 -131.827 -6.47021 6.47021 0.94 0.000570455 0.00051858 0.0235782 0.0214858 30 3138 43 6.79088e+06 229024 556674. 1926.21 3.41 0.122059 0.107171 24526 138013 -1 2376 18 1140 2846 155678 36056 6.72081 6.72081 -154.772 -6.72081 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0246467 0.0221134 103 137 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_125.v common 10.46 vpr 64.84 MiB -1 -1 0.19 20484 12 0.24 -1 -1 36728 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 26.2 MiB 2.41 1186 13610 3872 7549 2189 64.8 MiB 0.11 0.00 5.90733 -133.846 -5.90733 5.90733 0.96 0.000587544 0.000533215 0.0497203 0.0450299 38 2877 20 6.79088e+06 242496 678818. 2348.85 4.47 0.269376 0.237029 25966 169698 -1 2442 14 1172 2809 146376 33364 6.36938 6.36938 -156.721 -6.36938 0 0 902133. 3121.57 0.35 0.06 0.15 -1 -1 0.35 0.0253846 0.0230833 117 169 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_126.v common 10.11 vpr 64.24 MiB -1 -1 0.17 20560 9 0.16 -1 -1 36172 -1 -1 18 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65784 25 32 183 215 1 134 75 17 17 289 -1 unnamed_device 25.7 MiB 1.08 672 12715 3976 7561 1178 64.2 MiB 0.08 0.00 4.27129 -82.6904 -4.27129 4.27129 0.96 0.000424367 0.000385184 0.0375155 0.0339834 30 2031 49 6.79088e+06 242496 556674. 1926.21 5.69 0.200751 0.174669 24526 138013 -1 1538 15 699 1898 95796 22443 4.39659 4.39659 -95.9929 -4.39659 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0179927 0.0162444 86 102 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_127.v common 8.86 vpr 64.88 MiB -1 -1 0.23 20772 12 0.31 -1 -1 36424 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 300 332 1 226 85 17 17 289 -1 unnamed_device 26.3 MiB 1.78 1452 14407 4182 8030 2195 64.9 MiB 0.12 0.00 6.04387 -138.13 -6.04387 6.04387 0.92 0.000627177 0.000557029 0.0549257 0.0495392 40 3474 23 6.79088e+06 282912 706193. 2443.58 3.36 0.227596 0.201462 26254 175826 -1 3216 17 1617 4470 289231 63641 6.41977 6.41977 -160.487 -6.41977 0 0 926341. 3205.33 0.35 0.09 0.15 -1 -1 0.35 0.0321502 0.0291154 143 205 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_128.v common 9.24 vpr 64.98 MiB -1 -1 0.24 21320 13 0.40 -1 -1 36624 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 31 32 290 322 1 215 85 17 17 289 -1 unnamed_device 26.5 MiB 2.39 1318 9199 2062 5460 1677 65.0 MiB 0.09 0.00 6.928 -146.391 -6.928 6.928 0.93 0.00067371 0.000606249 0.0364128 0.0330318 38 3613 36 6.79088e+06 296384 678818. 2348.85 3.05 0.217515 0.190986 25966 169698 -1 2912 20 1392 3935 198701 45115 6.9672 6.9672 -159.514 -6.9672 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0344967 0.0310278 147 197 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 8.86 vpr 65.05 MiB -1 -1 0.16 20396 1 0.03 -1 -1 33808 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 32 32 354 285 1 207 90 17 17 289 -1 unnamed_device 26.6 MiB 3.53 1204 13155 4344 7859 952 65.1 MiB 0.11 0.00 4.31702 -132.808 -4.31702 4.31702 0.95 0.000521476 0.000473583 0.0359013 0.0326456 34 2934 35 6.87369e+06 363320 618332. 2139.56 2.10 0.158732 0.138466 25762 151098 -1 2432 20 1570 2484 209620 45462 4.5446 4.5446 -158.127 -4.5446 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0223984 0.0198099 142 47 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 7.15 vpr 65.08 MiB -1 -1 0.17 20492 1 0.03 -1 -1 33904 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 30 32 363 293 1 199 86 17 17 289 -1 unnamed_device 26.7 MiB 2.96 1023 9536 2567 5956 1013 65.1 MiB 0.09 0.00 3.65445 -111.378 -3.65445 3.65445 0.93 0.000498532 0.000445261 0.0276475 0.0251543 30 2160 22 6.87369e+06 335372 556674. 1926.21 1.01 0.0944837 0.0827122 25186 138497 -1 1828 21 1459 2264 106361 28478 3.82346 3.82346 -132.599 -3.82346 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.0234211 0.0205627 138 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 7.96 vpr 64.84 MiB -1 -1 0.16 20100 1 0.03 -1 -1 33900 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 26.3 MiB 3.08 1042 7153 1647 5062 444 64.8 MiB 0.07 0.00 3.45035 -102.032 -3.45035 3.45035 0.94 0.000448327 0.00040825 0.0194691 0.0177807 34 2480 22 6.87369e+06 293451 618332. 2139.56 1.64 0.119136 0.103743 25762 151098 -1 2114 22 1293 1787 134358 31515 3.86676 3.86676 -124.86 -3.86676 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0226174 0.0199506 124 26 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 6.01 vpr 64.86 MiB -1 -1 0.15 20160 1 0.03 -1 -1 33848 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 29 32 308 248 1 172 90 17 17 289 -1 unnamed_device 26.3 MiB 1.18 978 12552 3794 7466 1292 64.9 MiB 0.09 0.00 3.69012 -105.3 -3.69012 3.69012 0.94 0.000393678 0.000357506 0.0298305 0.0270459 34 2391 27 6.87369e+06 405241 618332. 2139.56 1.60 0.132936 0.115132 25762 151098 -1 2064 22 1500 2748 209721 48063 3.8767 3.8767 -122.674 -3.8767 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0232706 0.0204809 124 25 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 8.79 vpr 65.04 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33728 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 336 268 1 181 91 17 17 289 -1 unnamed_device 26.4 MiB 1.44 1069 12127 3256 8126 745 65.0 MiB 0.11 0.00 3.56782 -109.183 -3.56782 3.56782 0.91 0.000480234 0.000439725 0.0310812 0.0283147 30 2608 25 6.87369e+06 377294 556674. 1926.21 4.29 0.175205 0.15402 25186 138497 -1 2006 22 1332 2726 158196 37725 3.7914 3.7914 -129.809 -3.7914 0 0 706193. 2443.58 0.28 0.06 0.12 -1 -1 0.28 0.0226307 0.019972 131 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 5.87 vpr 64.97 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33984 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 366 295 1 189 94 17 17 289 -1 unnamed_device 26.5 MiB 1.71 1066 10957 2969 7191 797 65.0 MiB 0.10 0.00 2.67957 -99.0661 -2.67957 2.67957 0.92 0.000645805 0.000577123 0.0290258 0.0264695 32 2655 25 6.87369e+06 419215 586450. 2029.24 0.99 0.0994742 0.0875588 25474 144626 -1 2190 19 1399 2219 174510 40656 3.25191 3.25191 -128.049 -3.25191 0 0 744469. 2576.02 0.29 0.06 0.14 -1 -1 0.29 0.0216857 0.0191528 136 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 8.80 vpr 64.64 MiB -1 -1 0.15 20236 1 0.03 -1 -1 34288 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 27 32 259 221 1 141 78 17 17 289 -1 unnamed_device 26.1 MiB 2.69 623 12528 3238 8291 999 64.6 MiB 0.09 0.00 2.94598 -84.4417 -2.94598 2.94598 0.94 0.000402978 0.000368104 0.0322232 0.0294079 34 1709 24 6.87369e+06 265503 618332. 2139.56 2.96 0.153273 0.13228 25762 151098 -1 1373 18 998 1670 118225 28272 3.08026 3.08026 -101.482 -3.08026 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0162842 0.0143502 97 26 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 7.31 vpr 64.79 MiB -1 -1 0.15 20136 1 0.03 -1 -1 33756 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 26.2 MiB 1.06 910 16295 5327 8217 2751 64.8 MiB 0.11 0.00 2.74825 -84.2401 -2.74825 2.74825 0.98 0.000431785 0.000391873 0.0341963 0.0309963 28 2330 20 6.87369e+06 447163 531479. 1839.03 3.04 0.15153 0.131719 24610 126494 -1 2079 22 1213 2059 167561 37296 3.18286 3.18286 -104.863 -3.18286 0 0 648988. 2245.63 0.26 0.06 0.12 -1 -1 0.26 0.0199977 0.0175049 119 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 9.82 vpr 64.63 MiB -1 -1 0.16 20228 1 0.03 -1 -1 33812 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 31 32 317 271 1 175 80 17 17 289 -1 unnamed_device 26.1 MiB 2.79 740 7992 1853 5030 1109 64.6 MiB 0.07 0.00 2.65757 -87.8608 -2.65757 2.65757 0.96 0.000474247 0.000432758 0.0234168 0.0213722 36 1984 21 6.87369e+06 237555 648988. 2245.63 3.75 0.152264 0.131356 26050 158493 -1 1752 19 1270 1840 125195 30682 3.20291 3.20291 -112.3 -3.20291 0 0 828058. 2865.25 0.34 0.06 0.15 -1 -1 0.34 0.0199735 0.0176705 113 60 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 9.03 vpr 64.85 MiB -1 -1 0.14 20480 1 0.03 -1 -1 33988 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 298 248 1 162 80 17 17 289 -1 unnamed_device 26.4 MiB 4.33 788 7476 1809 5291 376 64.9 MiB 0.07 0.00 3.21683 -107.397 -3.21683 3.21683 0.95 0.00041261 0.000371338 0.0204939 0.0186087 34 2093 31 6.87369e+06 223581 618332. 2139.56 1.56 0.118859 0.102687 25762 151098 -1 1751 23 1264 2093 155104 37960 3.11946 3.11946 -122.645 -3.11946 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0208153 0.0182127 107 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 8.32 vpr 64.52 MiB -1 -1 0.16 20448 1 0.03 -1 -1 33596 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 30 32 303 262 1 148 78 17 17 289 -1 unnamed_device 26.1 MiB 3.63 738 6884 1779 4589 516 64.5 MiB 0.06 0.00 3.28893 -97.5252 -3.28893 3.28893 0.97 0.000445127 0.000409318 0.0206551 0.0188528 34 1823 20 6.87369e+06 223581 618332. 2139.56 1.49 0.111835 0.0969993 25762 151098 -1 1519 16 835 1373 86991 21118 3.11326 3.11326 -111.636 -3.11326 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0170362 0.0151378 98 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 7.33 vpr 64.73 MiB -1 -1 0.15 20404 1 0.03 -1 -1 33632 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 26.3 MiB 2.68 1005 11631 3362 7063 1206 64.7 MiB 0.09 0.00 3.0081 -102.337 -3.0081 3.0081 0.95 0.000417634 0.000381196 0.0291661 0.0264804 34 2345 23 6.87369e+06 237555 618332. 2139.56 1.47 0.117094 0.101793 25762 151098 -1 1982 23 1148 1581 114812 27612 3.22011 3.22011 -122.431 -3.22011 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0204415 0.0179717 107 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 9.43 vpr 64.95 MiB -1 -1 0.16 20716 1 0.03 -1 -1 33992 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 26.6 MiB 4.39 1017 16983 5386 9533 2064 64.9 MiB 0.15 0.00 3.36593 -110.453 -3.36593 3.36593 0.94 0.000497899 0.000446717 0.0468123 0.042451 34 2720 21 6.87369e+06 321398 618332. 2139.56 1.73 0.158225 0.138527 25762 151098 -1 2293 20 1893 2883 222845 51693 3.38291 3.38291 -130.537 -3.38291 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0227666 0.0200752 142 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 7.47 vpr 64.84 MiB -1 -1 0.14 20456 1 0.03 -1 -1 33768 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 26.5 MiB 2.65 1021 17375 4684 10530 2161 64.8 MiB 0.14 0.00 3.88148 -120.44 -3.88148 3.88148 0.91 0.000501448 0.000456287 0.0430929 0.0393343 26 2807 42 6.87369e+06 433189 503264. 1741.40 1.73 0.143095 0.126919 24322 120374 -1 2414 20 1717 2810 242102 55388 4.38366 4.38366 -148.989 -4.38366 0 0 618332. 2139.56 0.25 0.08 0.10 -1 -1 0.25 0.0236976 0.0209379 133 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.13 vpr 64.62 MiB -1 -1 0.13 20088 1 0.03 -1 -1 33872 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 29 32 248 215 1 142 80 17 17 289 -1 unnamed_device 26.1 MiB 1.96 596 9368 2305 6541 522 64.6 MiB 0.07 0.00 2.63557 -78.8285 -2.63557 2.63557 0.94 0.000398021 0.000363123 0.0234792 0.0214267 28 1905 20 6.87369e+06 265503 531479. 1839.03 1.07 0.0752195 0.0660376 24610 126494 -1 1623 21 1059 1657 123015 30350 2.94131 2.94131 -102.953 -2.94131 0 0 648988. 2245.63 0.27 0.05 0.12 -1 -1 0.27 0.0179044 0.0157042 94 21 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 7.65 vpr 64.89 MiB -1 -1 0.16 20524 1 0.03 -1 -1 34000 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 370 297 1 191 88 17 17 289 -1 unnamed_device 26.5 MiB 2.46 865 11398 3123 6712 1563 64.9 MiB 0.10 0.00 2.9366 -95.9942 -2.9366 2.9366 0.94 0.000509431 0.000464526 0.0323889 0.0295157 34 2741 39 6.87369e+06 335372 618332. 2139.56 1.94 0.16289 0.141901 25762 151098 -1 1950 21 1520 2674 205372 48483 3.22491 3.22491 -118.443 -3.22491 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0239714 0.0211317 135 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 9.51 vpr 65.08 MiB -1 -1 0.16 20728 1 0.03 -1 -1 33852 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 338 269 1 204 85 17 17 289 -1 unnamed_device 26.7 MiB 4.64 1050 9013 2192 6322 499 65.1 MiB 0.08 0.00 3.24063 -108.847 -3.24063 3.24063 0.97 0.000512182 0.000466693 0.0260107 0.0237014 34 2627 20 6.87369e+06 293451 618332. 2139.56 1.62 0.137403 0.120076 25762 151098 -1 2189 19 1588 2311 166927 38727 3.09951 3.09951 -121.942 -3.09951 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0221263 0.0196305 140 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 7.71 vpr 64.92 MiB -1 -1 0.16 20248 1 0.03 -1 -1 33592 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 323 276 1 156 92 17 17 289 -1 unnamed_device 26.4 MiB 2.97 895 15410 4821 8560 2029 64.9 MiB 0.12 0.00 2.46506 -93.2432 -2.46506 2.46506 0.94 0.000478338 0.000423195 0.0366778 0.0332926 34 2087 19 6.87369e+06 391268 618332. 2139.56 1.50 0.131534 0.114239 25762 151098 -1 1796 22 1102 1594 115623 27756 2.43847 2.43847 -106.232 -2.43847 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0216493 0.0190173 109 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 4.69 vpr 64.32 MiB -1 -1 0.14 20072 1 0.03 -1 -1 33668 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 30 32 222 206 1 117 76 17 17 289 -1 unnamed_device 25.9 MiB 0.69 678 11276 4126 5716 1434 64.3 MiB 0.07 0.00 2.10903 -75.4981 -2.10903 2.10903 0.94 0.000358611 0.000327857 0.0265206 0.0241706 32 1581 19 6.87369e+06 195634 586450. 2029.24 0.91 0.0696673 0.0611567 25474 144626 -1 1375 20 726 1023 88047 20100 2.13612 2.13612 -89.7402 -2.13612 0 0 744469. 2576.02 0.29 0.04 0.13 -1 -1 0.29 0.0154275 0.013528 71 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 7.97 vpr 64.68 MiB -1 -1 0.14 20296 1 0.03 -1 -1 33884 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 31 32 291 243 1 178 82 17 17 289 -1 unnamed_device 26.2 MiB 3.28 998 10050 3228 6176 646 64.7 MiB 0.08 0.00 3.93483 -123.477 -3.93483 3.93483 0.94 0.000483747 0.000440093 0.02557 0.0232288 34 2181 22 6.87369e+06 265503 618332. 2139.56 1.53 0.116639 0.101005 25762 151098 -1 1885 21 1113 1678 120286 27636 3.69321 3.69321 -138.177 -3.69321 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0200179 0.0176058 116 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 5.35 vpr 65.00 MiB -1 -1 0.16 20268 1 0.03 -1 -1 34024 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 342 271 1 181 99 17 17 289 -1 unnamed_device 26.6 MiB 1.05 1192 18111 4986 10816 2309 65.0 MiB 0.14 0.00 3.33899 -111.682 -3.33899 3.33899 0.94 0.000499936 0.000455802 0.041982 0.0381774 32 2551 31 6.87369e+06 489084 586450. 2029.24 1.03 0.112742 0.0991361 25474 144626 -1 2148 23 1645 2489 187712 42901 3.7534 3.7534 -136.772 -3.7534 0 0 744469. 2576.02 0.30 0.07 0.14 -1 -1 0.30 0.023991 0.0210731 137 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 7.53 vpr 65.18 MiB -1 -1 0.17 20512 1 0.03 -1 -1 33632 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 32 32 372 300 1 206 86 17 17 289 -1 unnamed_device 26.8 MiB 2.50 1104 8213 1851 5940 422 65.2 MiB 0.08 0.00 3.42215 -106.583 -3.42215 3.42215 0.95 0.000522067 0.000474725 0.0250722 0.0228999 38 2390 20 6.87369e+06 307425 678818. 2348.85 1.69 0.135639 0.11773 26626 170182 -1 2147 20 1388 2314 165765 36600 3.84876 3.84876 -126.255 -3.84876 0 0 902133. 3121.57 0.35 0.07 0.16 -1 -1 0.35 0.0243532 0.0216094 142 59 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 6.29 vpr 64.23 MiB -1 -1 0.13 20288 1 0.03 -1 -1 34224 -1 -1 17 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 26 32 190 182 1 108 75 17 17 289 -1 unnamed_device 25.8 MiB 1.93 344 9713 3545 4055 2113 64.2 MiB 0.05 0.00 2.06503 -56.4036 -2.06503 2.06503 0.92 0.000291269 0.000265801 0.0193546 0.0176799 34 1258 24 6.87369e+06 237555 618332. 2139.56 1.41 0.0841063 0.0731692 25762 151098 -1 799 17 583 775 49767 14736 2.37877 2.37877 -75.4641 -2.37877 0 0 787024. 2723.27 0.30 0.03 0.13 -1 -1 0.30 0.0118268 0.0104665 67 21 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 5.98 vpr 64.92 MiB -1 -1 0.15 20272 1 0.03 -1 -1 33892 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 26.4 MiB 1.24 998 5271 1082 3713 476 64.9 MiB 0.05 0.00 3.71512 -108.094 -3.71512 3.71512 0.95 0.000445844 0.00040592 0.0138268 0.0126496 34 2339 23 6.87369e+06 321398 618332. 2139.56 1.57 0.108093 0.093502 25762 151098 -1 2091 21 1329 2391 183586 40616 3.7824 3.7824 -127.825 -3.7824 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0199969 0.0176192 119 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 4.45 vpr 64.14 MiB -1 -1 0.12 19900 1 0.03 -1 -1 33732 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65676 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 25.9 MiB 0.49 533 10636 4408 5985 243 64.1 MiB 0.06 0.00 2.08703 -66.0984 -2.08703 2.08703 0.96 0.000303485 0.000274629 0.0203051 0.0183961 28 1388 27 6.87369e+06 167686 531479. 1839.03 0.94 0.061918 0.0540805 24610 126494 -1 1231 20 676 820 66783 16500 2.40077 2.40077 -85.6913 -2.40077 0 0 648988. 2245.63 0.27 0.04 0.11 -1 -1 0.27 0.0129243 0.011422 65 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 7.24 vpr 64.43 MiB -1 -1 0.15 20244 1 0.03 -1 -1 33976 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 300 245 1 169 94 17 17 289 -1 unnamed_device 25.9 MiB 1.02 827 10957 2485 7973 499 64.4 MiB 0.09 0.00 3.63082 -102.435 -3.63082 3.63082 0.95 0.000461967 0.000420725 0.0254304 0.0231775 28 2080 24 6.87369e+06 419215 531479. 1839.03 3.06 0.165141 0.143126 24610 126494 -1 1840 20 1191 1964 129299 32456 4.0267 4.0267 -130.181 -4.0267 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0205055 0.0181528 120 21 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 5.28 vpr 64.88 MiB -1 -1 0.16 20212 1 0.03 -1 -1 34020 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.3 MiB 1.03 1035 13703 3871 8512 1320 64.9 MiB 0.11 0.00 2.73725 -91.0691 -2.73725 2.73725 0.98 0.00045818 0.000408627 0.0313355 0.0283538 26 2481 21 6.87369e+06 433189 503264. 1741.40 1.00 0.0912344 0.0799291 24322 120374 -1 2170 19 1310 2271 168982 38295 3.23386 3.23386 -117.253 -3.23386 0 0 618332. 2139.56 0.25 0.06 0.12 -1 -1 0.25 0.0194589 0.0171508 130 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 7.01 vpr 64.87 MiB -1 -1 0.15 20596 1 0.03 -1 -1 33524 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 32 32 338 277 1 186 92 17 17 289 -1 unnamed_device 26.5 MiB 2.08 1001 11270 2968 7533 769 64.9 MiB 0.10 0.00 3.71518 -107.036 -3.71518 3.71518 0.98 0.000789847 0.0007212 0.0292094 0.026616 34 2482 20 6.87369e+06 391268 618332. 2139.56 1.62 0.137374 0.119845 25762 151098 -1 2025 23 1451 2527 167137 39800 3.91776 3.91776 -130.692 -3.91776 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0250282 0.0220785 131 47 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 5.60 vpr 64.40 MiB -1 -1 0.15 20264 1 0.03 -1 -1 33684 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 32 32 284 241 1 148 80 17 17 289 -1 unnamed_device 26.0 MiB 1.10 758 12292 4348 5539 2405 64.4 MiB 0.09 0.00 2.61357 -89.9597 -2.61357 2.61357 0.96 0.000425814 0.000388002 0.0327362 0.0298893 28 2224 31 6.87369e+06 223581 531479. 1839.03 1.33 0.102279 0.090353 24610 126494 -1 1770 23 1090 1699 143707 33934 3.00781 3.00781 -111.1 -3.00781 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0212513 0.0186693 99 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.57 vpr 64.57 MiB -1 -1 0.15 20284 1 0.03 -1 -1 33528 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 30 32 262 227 1 138 88 17 17 289 -1 unnamed_device 26.0 MiB 1.42 725 10033 2465 6301 1267 64.6 MiB 0.07 0.00 2.60257 -83.4629 -2.60257 2.60257 0.94 0.000406058 0.000369268 0.0227774 0.0207728 32 1803 21 6.87369e+06 363320 586450. 2029.24 0.97 0.0759823 0.0665884 25474 144626 -1 1551 19 977 1587 117708 26928 2.78301 2.78301 -99.246 -2.78301 0 0 744469. 2576.02 0.29 0.05 0.14 -1 -1 0.29 0.0173777 0.0152791 97 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 5.39 vpr 64.75 MiB -1 -1 0.14 20352 1 0.03 -1 -1 33800 -1 -1 18 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 28 32 260 223 1 140 78 17 17 289 -1 unnamed_device 26.2 MiB 1.03 653 12860 4741 6584 1535 64.8 MiB 0.09 0.00 2.8296 -82.4227 -2.8296 2.8296 0.91 0.000379827 0.000346202 0.030761 0.0280665 32 1913 23 6.87369e+06 251529 586450. 2029.24 1.32 0.0940469 0.0824229 25474 144626 -1 1544 22 1048 1852 142763 35752 2.98326 2.98326 -101.314 -2.98326 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0186891 0.0164764 95 27 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 4.97 vpr 64.62 MiB -1 -1 0.14 20328 1 0.03 -1 -1 33456 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 26.3 MiB 0.84 819 9181 2266 6333 582 64.6 MiB 0.07 0.00 3.20393 -100.286 -3.20393 3.20393 0.96 0.000420769 0.000381316 0.0223019 0.0202964 32 2245 24 6.87369e+06 237555 586450. 2029.24 0.98 0.0755272 0.0662389 25474 144626 -1 1843 18 1150 1888 138606 32445 3.01161 3.01161 -115.801 -3.01161 0 0 744469. 2576.02 0.30 0.05 0.13 -1 -1 0.30 0.0167707 0.0148639 101 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.10 vpr 64.73 MiB -1 -1 0.15 20648 1 0.03 -1 -1 33692 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 31 32 271 231 1 149 89 17 17 289 -1 unnamed_device 26.4 MiB 1.03 778 9197 1989 6831 377 64.7 MiB 0.07 0.00 2.8296 -88.0864 -2.8296 2.8296 0.94 0.000422663 0.000383634 0.0211721 0.0192411 30 1908 22 6.87369e+06 363320 556674. 1926.21 0.95 0.0763 0.0667212 25186 138497 -1 1586 22 792 1531 91147 22199 2.83496 2.83496 -104.851 -2.83496 0 0 706193. 2443.58 0.30 0.05 0.12 -1 -1 0.30 0.0198373 0.0174776 102 26 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 8.24 vpr 64.61 MiB -1 -1 0.14 20160 1 0.03 -1 -1 33800 -1 -1 25 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 29 32 291 250 1 154 86 17 17 289 -1 unnamed_device 26.2 MiB 3.56 860 13505 3573 8124 1808 64.6 MiB 0.10 0.00 2.42106 -82.6823 -2.42106 2.42106 0.95 0.000420707 0.000383346 0.0321181 0.0292594 34 1953 18 6.87369e+06 349346 618332. 2139.56 1.48 0.118061 0.102774 25762 151098 -1 1722 21 1157 1723 123276 29507 2.51977 2.51977 -102.353 -2.51977 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0192361 0.0168655 106 48 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 12.94 vpr 65.07 MiB -1 -1 0.16 20604 1 0.03 -1 -1 33912 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 367 282 1 201 104 17 17 289 -1 unnamed_device 26.6 MiB 4.20 1171 15232 4033 9175 2024 65.1 MiB 0.13 0.00 3.28579 -102.179 -3.28579 3.28579 0.95 0.00054489 0.000495039 0.0358423 0.0325445 26 3163 37 6.87369e+06 558954 503264. 1741.40 5.48 0.200949 0.175133 24322 120374 -1 2708 23 1798 3441 338593 71179 3.956 3.956 -130.961 -3.956 0 0 618332. 2139.56 0.26 0.10 0.11 -1 -1 0.26 0.0271762 0.023909 156 26 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 8.79 vpr 65.36 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33968 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 32 32 391 311 1 194 102 17 17 289 -1 unnamed_device 26.9 MiB 3.85 1097 17000 4575 10194 2231 65.4 MiB 0.14 0.00 3.09148 -109.588 -3.09148 3.09148 0.97 0.000561673 0.000515558 0.0424422 0.0384944 34 2312 24 6.87369e+06 531006 618332. 2139.56 1.58 0.160458 0.139743 25762 151098 -1 1980 20 1668 2708 159232 37076 2.77866 2.77866 -117.934 -2.77866 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0255908 0.0226236 148 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 6.94 vpr 64.77 MiB -1 -1 0.13 20176 1 0.03 -1 -1 33796 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 26.3 MiB 2.30 884 14081 5194 6489 2398 64.8 MiB 0.10 0.00 3.32193 -102.37 -3.32193 3.32193 0.91 0.000406387 0.000364464 0.0349167 0.0318773 34 2327 21 6.87369e+06 251529 618332. 2139.56 1.57 0.129906 0.114094 25762 151098 -1 1888 22 1281 1935 153390 35118 3.30791 3.30791 -119.359 -3.30791 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0200198 0.0176557 109 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 8.10 vpr 64.96 MiB -1 -1 0.17 20472 1 0.03 -1 -1 33972 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 370 297 1 187 89 17 17 289 -1 unnamed_device 26.6 MiB 3.10 877 15533 4576 8222 2735 65.0 MiB 0.13 0.00 2.9678 -96.0281 -2.9678 2.9678 0.94 0.000519691 0.000469477 0.0433536 0.0393652 34 2623 25 6.87369e+06 363320 618332. 2139.56 1.69 0.160032 0.139481 25762 151098 -1 2014 20 1620 2716 182708 44176 3.02256 3.02256 -115.855 -3.02256 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0244652 0.0216354 136 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 10.49 vpr 65.13 MiB -1 -1 0.17 20656 1 0.03 -1 -1 33916 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 31 32 377 302 1 237 88 17 17 289 -1 unnamed_device 26.6 MiB 4.65 1235 17053 5614 8644 2795 65.1 MiB 0.15 0.00 4.36902 -137.043 -4.36902 4.36902 0.97 0.00055412 0.000506154 0.0492069 0.0448328 34 3428 24 6.87369e+06 349346 618332. 2139.56 2.42 0.190351 0.168113 25762 151098 -1 2749 24 2432 3627 308454 68875 5.11439 5.11439 -168.979 -5.11439 0 0 787024. 2723.27 0.32 0.10 0.13 -1 -1 0.32 0.0306002 0.027019 159 60 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 8.58 vpr 65.16 MiB -1 -1 0.17 20404 1 0.03 -1 -1 33788 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 31 32 383 305 1 212 90 17 17 289 -1 unnamed_device 26.7 MiB 4.16 1074 16773 5661 8063 3049 65.2 MiB 0.15 0.00 4.33584 -133.913 -4.33584 4.33584 0.94 0.000535582 0.000486098 0.0472253 0.0429385 30 2768 25 6.87369e+06 377294 556674. 1926.21 1.14 0.124722 0.110181 25186 138497 -1 2042 18 1379 2123 125125 29660 4.42655 4.42655 -155.407 -4.42655 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.023487 0.0209009 152 60 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 7.84 vpr 65.10 MiB -1 -1 0.15 20728 1 0.03 -1 -1 33648 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 31 32 352 285 1 186 88 17 17 289 -1 unnamed_device 26.7 MiB 3.29 972 10423 2904 6299 1220 65.1 MiB 0.10 0.00 3.22963 -104.153 -3.22963 3.22963 0.96 0.000494236 0.00044846 0.0283985 0.0257985 32 3175 50 6.87369e+06 349346 586450. 2029.24 1.35 0.120971 0.105761 25474 144626 -1 2369 23 1650 2773 219384 52186 3.31991 3.31991 -125.166 -3.31991 0 0 744469. 2576.02 0.30 0.08 0.13 -1 -1 0.30 0.0250962 0.0219926 131 51 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 7.57 vpr 64.53 MiB -1 -1 0.14 20508 1 0.03 -1 -1 33816 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 291 242 1 183 84 17 17 289 -1 unnamed_device 26.0 MiB 2.87 1056 14541 5276 7305 1960 64.5 MiB 0.11 0.00 3.52545 -100.891 -3.52545 3.52545 0.93 0.000436049 0.000398093 0.0375726 0.0342622 34 2529 22 6.87369e+06 279477 618332. 2139.56 1.54 0.132015 0.115254 25762 151098 -1 2054 18 1289 1947 139144 32676 3.82676 3.82676 -119.483 -3.82676 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0188593 0.0166444 119 24 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 8.69 vpr 65.58 MiB -1 -1 0.17 20468 1 0.03 -1 -1 33892 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 32 32 457 356 1 225 102 17 17 289 -1 unnamed_device 27.0 MiB 4.08 1268 13192 3427 8863 902 65.6 MiB 0.13 0.00 3.94568 -130.369 -3.94568 3.94568 0.94 0.000605258 0.000549924 0.0362587 0.0329305 32 3530 49 6.87369e+06 531006 586450. 2029.24 1.31 0.143367 0.125437 25474 144626 -1 2620 21 1880 2960 219971 51457 4.18326 4.18326 -154.256 -4.18326 0 0 744469. 2576.02 0.29 0.08 0.13 -1 -1 0.29 0.0279296 0.0246702 173 84 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 8.44 vpr 64.42 MiB -1 -1 0.16 20432 1 0.03 -1 -1 33588 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 31 32 261 225 1 148 85 17 17 289 -1 unnamed_device 26.1 MiB 2.06 885 13291 3761 8364 1166 64.4 MiB 0.10 0.00 2.78925 -88.8806 -2.78925 2.78925 0.97 0.000407684 0.000371656 0.030884 0.028092 34 1941 49 6.87369e+06 307425 618332. 2139.56 3.18 0.152237 0.131718 25762 151098 -1 1697 16 900 1502 105210 24220 2.94126 2.94126 -105.794 -2.94126 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0150911 0.0133616 96 24 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 7.98 vpr 64.88 MiB -1 -1 0.17 20488 1 0.03 -1 -1 33848 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 26.4 MiB 2.81 1163 15017 4305 8854 1858 64.9 MiB 0.13 0.00 3.78918 -119.277 -3.78918 3.78918 0.97 0.000502147 0.000456389 0.0419302 0.0382363 34 3071 25 6.87369e+06 321398 618332. 2139.56 1.84 0.156473 0.13691 25762 151098 -1 2480 21 1800 2711 225667 51596 4.12426 4.12426 -143.841 -4.12426 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0229527 0.0202377 140 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 6.78 vpr 64.65 MiB -1 -1 0.17 20600 1 0.03 -1 -1 33656 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 349 284 1 183 96 17 17 289 -1 unnamed_device 26.3 MiB 2.32 960 16959 5005 8975 2979 64.6 MiB 0.14 0.00 2.9146 -93.1402 -2.9146 2.9146 0.96 0.000519924 0.000473697 0.0425879 0.0388142 30 2407 29 6.87369e+06 447163 556674. 1926.21 1.18 0.118573 0.104568 25186 138497 -1 1852 22 1141 1984 112146 26846 2.86001 2.86001 -108.023 -2.86001 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0240247 0.0211493 132 50 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 4.95 vpr 64.96 MiB -1 -1 0.15 20004 1 0.03 -1 -1 33976 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 26.4 MiB 0.78 1057 14562 4411 8073 2078 65.0 MiB 0.11 0.00 3.35979 -108.146 -3.35979 3.35979 0.93 0.000404877 0.000363783 0.0323277 0.0291994 28 2584 26 6.87369e+06 363320 531479. 1839.03 1.06 0.0954066 0.0837081 24610 126494 -1 2254 20 1455 2777 202758 46339 3.6041 3.6041 -128.763 -3.6041 0 0 648988. 2245.63 0.27 0.07 0.11 -1 -1 0.27 0.0199 0.0175515 123 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 7.80 vpr 65.02 MiB -1 -1 0.16 20420 1 0.03 -1 -1 33900 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 353 287 1 203 86 17 17 289 -1 unnamed_device 26.7 MiB 3.57 1082 9725 2417 6372 936 65.0 MiB 0.09 0.00 3.93315 -121.002 -3.93315 3.93315 0.94 0.000539008 0.000487153 0.0290561 0.0264652 30 2602 31 6.87369e+06 307425 556674. 1926.21 1.05 0.103735 0.0908468 25186 138497 -1 2032 19 1105 1558 93768 23218 3.3592 3.3592 -126.593 -3.3592 0 0 706193. 2443.58 0.29 0.05 0.13 -1 -1 0.29 0.0220622 0.0194974 136 52 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 8.58 vpr 65.05 MiB -1 -1 0.17 20612 1 0.03 -1 -1 34012 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 361 291 1 189 96 17 17 289 -1 unnamed_device 26.7 MiB 3.59 1027 15864 4682 8227 2955 65.0 MiB 0.13 0.00 2.9366 -98.844 -2.9366 2.9366 0.98 0.000501592 0.000456178 0.0396511 0.0361264 34 2577 27 6.87369e+06 447163 618332. 2139.56 1.67 0.161009 0.141034 25762 151098 -1 2066 24 1534 2778 183704 43950 3.02331 3.02331 -119.254 -3.02331 0 0 787024. 2723.27 0.32 0.08 0.13 -1 -1 0.32 0.0274699 0.0241041 136 52 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 10.65 vpr 64.90 MiB -1 -1 0.15 20540 1 0.03 -1 -1 33968 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 382 305 1 193 99 17 17 289 -1 unnamed_device 26.5 MiB 3.36 920 18567 5784 8597 4186 64.9 MiB 0.13 0.00 3.24063 -105.558 -3.24063 3.24063 0.91 0.000513979 0.000468232 0.0446636 0.040658 38 2332 21 6.87369e+06 489084 678818. 2348.85 4.09 0.217921 0.190817 26626 170182 -1 1880 21 1518 2576 168568 39475 2.87101 2.87101 -111.87 -2.87101 0 0 902133. 3121.57 0.33 0.07 0.14 -1 -1 0.33 0.0247736 0.0219321 144 59 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 5.70 vpr 64.83 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33540 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 306 248 1 170 97 17 17 289 -1 unnamed_device 26.3 MiB 1.00 900 10975 2629 7859 487 64.8 MiB 0.08 0.00 3.39279 -101.124 -3.39279 3.39279 0.94 0.000441919 0.000405899 0.0231985 0.0209736 26 2541 44 6.87369e+06 461137 503264. 1741.40 1.65 0.10589 0.0925643 24322 120374 -1 2169 21 1473 2597 224969 50994 3.7421 3.7421 -126.793 -3.7421 0 0 618332. 2139.56 0.25 0.07 0.10 -1 -1 0.25 0.0200996 0.0176449 124 21 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 7.46 vpr 65.08 MiB -1 -1 0.16 20312 1 0.03 -1 -1 33796 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 26.7 MiB 2.58 1105 9347 2191 6389 767 65.1 MiB 0.09 0.00 3.84368 -115.344 -3.84368 3.84368 0.96 0.000477745 0.000428015 0.0257494 0.0234609 34 2848 33 6.87369e+06 307425 618332. 2139.56 1.65 0.136671 0.118916 25762 151098 -1 2302 23 1636 2360 168534 38916 3.93776 3.93776 -135.388 -3.93776 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0233408 0.0205443 135 26 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 7.53 vpr 65.21 MiB -1 -1 0.15 20832 1 0.03 -1 -1 33972 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 31 32 373 299 1 204 85 17 17 289 -1 unnamed_device 26.7 MiB 2.37 1153 16081 5272 8365 2444 65.2 MiB 0.14 0.00 3.72318 -119.527 -3.72318 3.72318 0.94 0.00052355 0.0004774 0.0468075 0.0426396 34 2910 24 6.87369e+06 307425 618332. 2139.56 1.92 0.161763 0.141632 25762 151098 -1 2541 23 1689 2660 235193 51628 4.17236 4.17236 -144.061 -4.17236 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0254213 0.022311 141 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 10.64 vpr 65.09 MiB -1 -1 0.16 20880 1 0.03 -1 -1 33924 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 387 315 1 194 85 17 17 289 -1 unnamed_device 26.7 MiB 3.28 1055 11803 3443 7648 712 65.1 MiB 0.11 0.00 3.65075 -115.066 -3.65075 3.65075 0.97 0.000555756 0.000507135 0.037157 0.0339026 36 2770 29 6.87369e+06 293451 648988. 2245.63 4.03 0.217044 0.188411 26050 158493 -1 2336 22 1528 2746 204033 47052 3.84676 3.84676 -135.608 -3.84676 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.025885 0.0227214 135 74 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 4.89 vpr 64.54 MiB -1 -1 0.14 20620 1 0.03 -1 -1 33720 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 251 219 1 141 86 17 17 289 -1 unnamed_device 26.0 MiB 0.87 730 8780 2126 6228 426 64.5 MiB 0.07 0.00 2.7886 -85.3614 -2.7886 2.7886 0.93 0.00040654 0.000370019 0.0202677 0.0184844 30 1824 22 6.87369e+06 307425 556674. 1926.21 0.93 0.0700839 0.0613498 25186 138497 -1 1532 20 815 1372 84323 20474 2.67866 2.67866 -98.9811 -2.67866 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0173836 0.0153004 93 20 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 6.92 vpr 64.92 MiB -1 -1 0.16 20584 1 0.03 -1 -1 33744 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 341 285 1 188 82 17 17 289 -1 unnamed_device 26.3 MiB 1.96 981 15568 5714 7507 2347 64.9 MiB 0.12 0.00 3.03076 -110.051 -3.03076 3.03076 0.91 0.000464721 0.000422446 0.0431427 0.039278 34 2609 24 6.87369e+06 251529 618332. 2139.56 1.73 0.149682 0.13057 25762 151098 -1 2294 22 1727 2481 224167 50561 3.41421 3.41421 -136.54 -3.41421 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0228664 0.0200589 124 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 11.22 vpr 65.11 MiB -1 -1 0.17 20816 1 0.03 -1 -1 34136 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 32 32 387 293 1 236 88 17 17 289 -1 unnamed_device 26.5 MiB 3.46 1259 15688 5171 8446 2071 65.1 MiB 0.16 0.00 4.25892 -128.132 -4.25892 4.25892 0.94 0.00057676 0.00052625 0.0474182 0.0431688 36 3098 37 6.87369e+06 335372 648988. 2245.63 4.43 0.239546 0.208325 26050 158493 -1 2496 20 1738 2773 191309 45586 4.6669 4.6669 -151.556 -4.6669 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0247563 0.0219151 166 28 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 8.40 vpr 65.10 MiB -1 -1 0.16 20196 1 0.03 -1 -1 33504 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 340 270 1 185 98 17 17 289 -1 unnamed_device 26.7 MiB 3.53 1017 18998 6042 10452 2504 65.1 MiB 0.15 0.00 3.45661 -114.141 -3.45661 3.45661 0.97 0.00049769 0.000454324 0.0480193 0.0440021 34 2322 18 6.87369e+06 475111 618332. 2139.56 1.52 0.152535 0.134006 25762 151098 -1 1930 21 1282 2051 143937 32799 2.79286 2.79286 -115.751 -2.79286 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0234022 0.0206908 137 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.47 vpr 64.58 MiB -1 -1 0.15 20152 1 0.03 -1 -1 33544 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 30 32 278 235 1 150 87 17 17 289 -1 unnamed_device 26.2 MiB 0.88 861 12567 3393 7231 1943 64.6 MiB 0.09 0.00 2.9769 -95.6358 -2.9769 2.9769 0.93 0.000398068 0.000362168 0.0283236 0.0257312 34 1933 21 6.87369e+06 349346 618332. 2139.56 1.46 0.116602 0.101678 25762 151098 -1 1676 22 1191 1948 145735 33816 3.07561 3.07561 -110.983 -3.07561 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0191922 0.0168107 104 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 11.67 vpr 65.32 MiB -1 -1 0.17 20828 1 0.03 -1 -1 33812 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 431 332 1 239 89 17 17 289 -1 unnamed_device 26.8 MiB 6.06 1397 15335 4325 9308 1702 65.3 MiB 0.15 0.00 4.57575 -141.302 -4.57575 4.57575 0.95 0.000593268 0.000539106 0.0498317 0.0454016 34 3374 22 6.87369e+06 349346 618332. 2139.56 2.26 0.192191 0.168988 25762 151098 -1 2732 23 2425 3552 286351 63171 5.1025 5.1025 -171.346 -5.1025 0 0 787024. 2723.27 0.31 0.09 0.14 -1 -1 0.31 0.0294469 0.0259397 171 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 8.03 vpr 65.08 MiB -1 -1 0.16 20368 1 0.03 -1 -1 33844 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 336 268 1 182 99 17 17 289 -1 unnamed_device 26.6 MiB 3.82 1027 11043 2706 7378 959 65.1 MiB 0.10 0.00 3.70602 -113.448 -3.70602 3.70602 0.94 0.000506052 0.000460588 0.0262685 0.0239063 32 2367 22 6.87369e+06 489084 586450. 2029.24 1.00 0.0893972 0.0783688 25474 144626 -1 2027 22 1610 2646 231441 50696 3.8824 3.8824 -132.113 -3.8824 0 0 744469. 2576.02 0.29 0.08 0.14 -1 -1 0.29 0.0232413 0.0204454 135 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 5.54 vpr 64.48 MiB -1 -1 0.15 20292 1 0.03 -1 -1 33704 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.9 MiB 0.83 774 14713 4478 8191 2044 64.5 MiB 0.10 0.00 2.8436 -83.7272 -2.8436 2.8436 0.97 0.000383035 0.000347915 0.0305998 0.0278041 26 2050 34 6.87369e+06 335372 503264. 1741.40 1.56 0.0932447 0.0819789 24322 120374 -1 1792 22 1189 2015 170227 41007 3.33146 3.33146 -107.706 -3.33146 0 0 618332. 2139.56 0.25 0.06 0.11 -1 -1 0.25 0.0183023 0.0160142 94 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 8.80 vpr 65.11 MiB -1 -1 0.17 20480 1 0.03 -1 -1 33768 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 349 273 1 191 101 17 17 289 -1 unnamed_device 26.7 MiB 2.42 1148 18196 5448 10152 2596 65.1 MiB 0.15 0.00 4.06437 -112.431 -4.06437 4.06437 0.91 0.000494259 0.00044022 0.0409588 0.0368965 28 2666 26 6.87369e+06 517032 531479. 1839.03 3.25 0.197286 0.172309 24610 126494 -1 2431 22 1685 3102 222029 51355 4.41425 4.41425 -142.211 -4.41425 0 0 648988. 2245.63 0.26 0.08 0.11 -1 -1 0.26 0.0243242 0.0214437 145 26 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 4.97 vpr 64.47 MiB -1 -1 0.14 20124 1 0.03 -1 -1 33728 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66016 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.9 MiB 0.90 671 8003 1757 5740 506 64.5 MiB 0.06 0.00 2.8626 -89.6716 -2.8626 2.8626 0.93 0.000385446 0.000353492 0.0184136 0.0166797 30 1909 31 6.87369e+06 265503 556674. 1926.21 1.03 0.0766418 0.0666733 25186 138497 -1 1511 20 835 1451 84067 21244 2.78466 2.78466 -108.573 -2.78466 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0163369 0.0144045 98 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 7.98 vpr 64.78 MiB -1 -1 0.15 20364 1 0.03 -1 -1 33496 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 30 32 278 235 1 151 96 17 17 289 -1 unnamed_device 26.3 MiB 2.97 876 16302 5171 8678 2453 64.8 MiB 0.12 0.00 2.97898 -93.3988 -2.97898 2.97898 0.93 0.000414857 0.000378205 0.0338865 0.030833 26 2168 35 6.87369e+06 475111 503264. 1741.40 1.89 0.102556 0.0901181 24322 120374 -1 1861 19 1193 2137 155747 35428 2.96596 2.96596 -110.765 -2.96596 0 0 618332. 2139.56 0.25 0.06 0.11 -1 -1 0.25 0.0177053 0.0155505 109 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 9.78 vpr 64.75 MiB -1 -1 0.16 20628 1 0.03 -1 -1 33812 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 29 32 355 287 1 200 85 17 17 289 -1 unnamed_device 26.4 MiB 4.60 939 10315 2373 7375 567 64.8 MiB 0.10 0.00 3.21063 -97.8768 -3.21063 3.21063 0.95 0.000502438 0.000457039 0.0298817 0.0271595 34 3031 27 6.87369e+06 335372 618332. 2139.56 1.95 0.144056 0.125291 25762 151098 -1 2197 21 1807 2722 195288 48465 3.35911 3.35911 -121.42 -3.35911 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0230819 0.0203152 138 56 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 7.60 vpr 64.55 MiB -1 -1 0.17 20548 1 0.03 -1 -1 33780 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 358 289 1 183 90 17 17 289 -1 unnamed_device 26.2 MiB 2.64 1098 14964 4275 8653 2036 64.5 MiB 0.12 0.00 3.48515 -116.696 -3.48515 3.48515 0.94 0.000526117 0.000479964 0.0405708 0.0369304 34 2497 23 6.87369e+06 363320 618332. 2139.56 1.59 0.152167 0.132617 25762 151098 -1 2108 22 1661 2578 183010 42137 3.6964 3.6964 -135.545 -3.6964 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0256833 0.0226479 132 51 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 6.97 vpr 65.13 MiB -1 -1 0.15 20908 1 0.03 -1 -1 33600 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 353 285 1 188 91 17 17 289 -1 unnamed_device 26.6 MiB 2.63 1149 11923 3179 7779 965 65.1 MiB 0.10 0.00 3.69318 -116.202 -3.69318 3.69318 0.97 0.000503688 0.000457279 0.0317652 0.0289161 32 2969 28 6.87369e+06 377294 586450. 2029.24 1.08 0.107236 0.0943431 25474 144626 -1 2361 21 1537 2568 212777 49610 4.29666 4.29666 -146.718 -4.29666 0 0 744469. 2576.02 0.30 0.08 0.13 -1 -1 0.30 0.0246765 0.0218211 133 48 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 8.75 vpr 64.68 MiB -1 -1 0.15 20488 1 0.03 -1 -1 33536 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 26.3 MiB 3.97 1003 12585 3734 7404 1447 64.7 MiB 0.10 0.00 3.74452 -113.984 -3.74452 3.74452 0.93 0.000410782 0.000372992 0.0327498 0.0298829 34 2234 22 6.87369e+06 209608 618332. 2139.56 1.59 0.124557 0.108848 25762 151098 -1 2061 20 1114 1549 129935 29211 3.4398 3.4398 -121.871 -3.4398 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0186147 0.0164263 103 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 7.81 vpr 64.73 MiB -1 -1 0.17 20352 1 0.03 -1 -1 33892 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 31 32 319 272 1 176 80 17 17 289 -1 unnamed_device 26.2 MiB 3.03 998 13152 3741 7657 1754 64.7 MiB 0.10 0.00 2.99776 -103.303 -2.99776 2.99776 0.94 0.000445245 0.00040515 0.0363163 0.0330697 34 2417 21 6.87369e+06 237555 618332. 2139.56 1.56 0.132283 0.115264 25762 151098 -1 2114 21 1319 1954 151422 36189 3.1782 3.1782 -125.163 -3.1782 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0210481 0.0185052 114 60 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 7.35 vpr 65.03 MiB -1 -1 0.16 20356 1 0.03 -1 -1 34088 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 30 32 329 273 1 167 96 17 17 289 -1 unnamed_device 26.4 MiB 3.06 1003 17178 4924 10225 2029 65.0 MiB 0.12 0.00 2.84355 -85.591 -2.84355 2.84355 0.95 0.000470483 0.000427438 0.0391841 0.0355661 32 2457 27 6.87369e+06 475111 586450. 2029.24 1.03 0.106719 0.0938765 25474 144626 -1 1980 20 1151 2121 151333 35049 2.67966 2.67966 -99.7046 -2.67966 0 0 744469. 2576.02 0.30 0.06 0.13 -1 -1 0.30 0.0204465 0.0179924 124 52 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 6.41 vpr 64.92 MiB -1 -1 0.14 20124 1 0.03 -1 -1 34056 -1 -1 35 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 28 32 277 229 1 156 95 17 17 289 -1 unnamed_device 26.4 MiB 2.14 904 10679 2591 7120 968 64.9 MiB 0.08 0.00 3.27479 -88.419 -3.27479 3.27479 0.95 0.000452793 0.000411427 0.0216025 0.01958 26 2184 21 6.87369e+06 489084 503264. 1741.40 1.15 0.0819372 0.0719462 24322 120374 -1 2059 23 1467 2722 232194 51168 3.8707 3.8707 -117.227 -3.8707 0 0 618332. 2139.56 0.26 0.07 0.11 -1 -1 0.26 0.0202581 0.0177243 117 20 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 8.75 vpr 64.80 MiB -1 -1 0.15 20392 1 0.03 -1 -1 33784 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 30 32 317 269 1 155 79 17 17 289 -1 unnamed_device 26.4 MiB 3.30 728 11402 4740 5897 765 64.8 MiB 0.09 0.00 3.16363 -95.7832 -3.16363 3.16363 0.95 0.000451443 0.000411342 0.0326225 0.0297411 34 2424 43 6.87369e+06 237555 618332. 2139.56 2.26 0.132959 0.115872 25762 151098 -1 1779 21 1391 2387 197551 48694 3.39216 3.39216 -122.884 -3.39216 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0208013 0.0183039 105 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 8.31 vpr 64.96 MiB -1 -1 0.16 20888 1 0.03 -1 -1 33772 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 335 282 1 189 81 17 17 289 -1 unnamed_device 26.4 MiB 3.28 1013 14256 4326 7839 2091 65.0 MiB 0.12 0.00 2.9238 -103.215 -2.9238 2.9238 0.98 0.000485041 0.000443128 0.0413837 0.0377475 34 2613 24 6.87369e+06 237555 618332. 2139.56 1.71 0.156453 0.137244 25762 151098 -1 2287 20 1414 2127 182122 41253 3.50651 3.50651 -130.188 -3.50651 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0218841 0.0192342 122 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 5.81 vpr 64.50 MiB -1 -1 0.16 20156 1 0.03 -1 -1 33832 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 25.9 MiB 0.93 946 9466 2399 6334 733 64.5 MiB 0.09 0.00 3.58982 -105.213 -3.58982 3.58982 0.96 0.000460683 0.000420433 0.0223358 0.0203493 34 2375 24 6.87369e+06 433189 618332. 2139.56 1.64 0.120859 0.104796 25762 151098 -1 2013 23 1351 2366 157369 37320 3.6931 3.6931 -122.103 -3.6931 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0219472 0.0192709 129 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 9.61 vpr 65.02 MiB -1 -1 0.16 20660 1 0.03 -1 -1 33868 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 26.6 MiB 3.90 1027 12375 3563 7137 1675 65.0 MiB 0.12 0.00 3.78918 -118.751 -3.78918 3.78918 0.95 0.000520482 0.000473642 0.0355831 0.0324122 34 3252 24 6.87369e+06 321398 618332. 2139.56 2.40 0.161652 0.142231 25762 151098 -1 2475 22 1962 2909 219696 53494 4.12106 4.12106 -143.095 -4.12106 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0248782 0.0220244 147 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 10.37 vpr 65.01 MiB -1 -1 0.17 20468 1 0.03 -1 -1 33700 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 385 308 1 196 100 17 17 289 -1 unnamed_device 26.6 MiB 4.62 985 13556 3401 8710 1445 65.0 MiB 0.10 0.00 4.24987 -125.238 -4.24987 4.24987 0.93 0.000532878 0.000485437 0.0336696 0.0305563 34 2955 23 6.87369e+06 503058 618332. 2139.56 2.49 0.153612 0.13407 25762 151098 -1 2312 24 1760 3037 228366 56089 4.47055 4.47055 -149.687 -4.47055 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0270067 0.0237301 147 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 11.78 vpr 65.18 MiB -1 -1 0.15 20576 1 0.03 -1 -1 33748 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 387 309 1 192 105 17 17 289 -1 unnamed_device 26.7 MiB 3.53 1135 20359 6258 10748 3353 65.2 MiB 0.16 0.00 3.61982 -117.192 -3.61982 3.61982 0.91 0.000532559 0.000484564 0.0463581 0.0419697 30 2831 33 6.87369e+06 572927 556674. 1926.21 5.07 0.227688 0.200051 25186 138497 -1 2115 23 1474 2774 181826 41674 3.4945 3.4945 -130.413 -3.4945 0 0 706193. 2443.58 0.28 0.07 0.12 -1 -1 0.28 0.0257807 0.022738 148 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.05 vpr 64.37 MiB -1 -1 0.15 20180 1 0.03 -1 -1 33856 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 30 32 272 232 1 151 79 17 17 289 -1 unnamed_device 26.0 MiB 2.94 875 14613 4926 7902 1785 64.4 MiB 0.11 0.00 3.28893 -103.003 -3.28893 3.28893 0.94 0.00040717 0.000370974 0.036944 0.0337209 28 2175 32 6.87369e+06 237555 531479. 1839.03 0.98 0.0969508 0.0854076 24610 126494 -1 1870 21 1223 2068 134638 32162 3.32821 3.32821 -118.433 -3.32821 0 0 648988. 2245.63 0.26 0.06 0.12 -1 -1 0.26 0.0190057 0.0166869 99 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 8.42 vpr 65.09 MiB -1 -1 0.17 20544 1 0.03 -1 -1 34048 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 30 32 375 299 1 188 84 17 17 289 -1 unnamed_device 26.6 MiB 4.13 1020 10515 2939 6379 1197 65.1 MiB 0.10 0.00 3.68402 -118.486 -3.68402 3.68402 0.94 0.00053208 0.000485367 0.0332836 0.0303892 30 2232 29 6.87369e+06 307425 556674. 1926.21 1.05 0.110656 0.0972707 25186 138497 -1 1889 21 1399 2347 149285 33981 3.4927 3.4927 -131.482 -3.4927 0 0 706193. 2443.58 0.28 0.06 0.13 -1 -1 0.28 0.0246834 0.0217895 136 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 8.15 vpr 65.04 MiB -1 -1 0.17 20652 1 0.03 -1 -1 33916 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 26.6 MiB 3.08 1128 11415 3028 7564 823 65.0 MiB 0.10 0.00 4.00821 -123.654 -4.00821 4.00821 0.94 0.000522763 0.000478939 0.0314377 0.0286675 34 2766 24 6.87369e+06 321398 618332. 2139.56 1.84 0.142078 0.123768 25762 151098 -1 2293 22 1731 2910 212855 50304 4.20336 4.20336 -142.998 -4.20336 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0236843 0.0208294 140 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 7.85 vpr 65.18 MiB -1 -1 0.17 20536 1 0.03 -1 -1 33936 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 31 32 340 275 1 201 91 17 17 289 -1 unnamed_device 26.7 MiB 2.82 1112 17023 4936 10304 1783 65.2 MiB 0.14 0.00 4.43294 -126.224 -4.43294 4.43294 0.94 0.000489998 0.000446016 0.0434913 0.0396168 34 2956 23 6.87369e+06 391268 618332. 2139.56 1.75 0.153136 0.133661 25762 151098 -1 2359 21 1644 2654 204474 47602 4.61485 4.61485 -150.449 -4.61485 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0228998 0.0201414 141 43 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 7.99 vpr 65.13 MiB -1 -1 0.18 20628 1 0.03 -1 -1 33752 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 30 32 377 310 1 183 94 17 17 289 -1 unnamed_device 26.7 MiB 3.18 997 16921 5396 8944 2581 65.1 MiB 0.14 0.00 3.69518 -115.863 -3.69518 3.69518 0.94 0.000522469 0.000474343 0.0440576 0.0400218 32 2753 35 6.87369e+06 447163 586450. 2029.24 1.50 0.14035 0.122725 25474 144626 -1 2149 19 1362 2172 186191 42775 3.21096 3.21096 -123.154 -3.21096 0 0 744469. 2576.02 0.31 0.07 0.14 -1 -1 0.31 0.0231814 0.0204425 135 78 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 7.86 vpr 65.01 MiB -1 -1 0.16 20452 1 0.03 -1 -1 33712 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 365 294 1 187 85 17 17 289 -1 unnamed_device 26.6 MiB 2.89 1057 15709 5104 8282 2323 65.0 MiB 0.14 0.00 3.73418 -120.089 -3.73418 3.73418 0.93 0.000500751 0.000455553 0.0453139 0.0412898 34 2749 23 6.87369e+06 293451 618332. 2139.56 1.70 0.158356 0.138701 25762 151098 -1 2397 20 1639 2934 247420 54505 4.089 4.089 -146.676 -4.089 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0226774 0.0199875 132 54 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 7.61 vpr 65.10 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33764 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 29 32 378 310 1 179 90 17 17 289 -1 unnamed_device 26.7 MiB 2.98 982 12954 3602 7806 1546 65.1 MiB 0.11 0.00 3.18563 -100.418 -3.18563 3.18563 0.91 0.000510128 0.000465921 0.0345471 0.0314746 34 2232 22 6.87369e+06 405241 618332. 2139.56 1.50 0.144886 0.126087 25762 151098 -1 1924 20 1451 2451 165628 39241 2.91201 2.91201 -111.049 -2.91201 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0228858 0.0202095 132 79 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 4.85 vpr 64.41 MiB -1 -1 0.15 20176 1 0.03 -1 -1 33960 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65960 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.9 MiB 0.79 835 9006 2438 6072 496 64.4 MiB 0.07 0.00 3.18563 -100.403 -3.18563 3.18563 0.94 0.000392745 0.000359492 0.0221433 0.0201982 28 1900 21 6.87369e+06 237555 531479. 1839.03 0.95 0.0731314 0.0640615 24610 126494 -1 1783 19 993 1452 111603 26810 3.06931 3.06931 -114.951 -3.06931 0 0 648988. 2245.63 0.27 0.05 0.12 -1 -1 0.27 0.0169251 0.0149542 96 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 10.07 vpr 65.15 MiB -1 -1 0.14 20396 1 0.03 -1 -1 34008 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 373 302 1 184 98 17 17 289 -1 unnamed_device 26.8 MiB 5.21 1060 14273 3801 9156 1316 65.1 MiB 0.12 0.00 3.66382 -116.633 -3.66382 3.66382 0.95 0.000496745 0.000454466 0.0349074 0.0316085 34 2505 22 6.87369e+06 475111 618332. 2139.56 1.60 0.147676 0.128675 25762 151098 -1 2101 21 1395 2321 154380 37630 3.8011 3.8011 -131.916 -3.8011 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0238341 0.020928 137 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 9.68 vpr 64.90 MiB -1 -1 0.17 20412 1 0.03 -1 -1 33964 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 397 314 1 197 85 17 17 289 -1 unnamed_device 26.5 MiB 4.76 1162 13663 3892 7971 1800 64.9 MiB 0.12 0.00 3.54952 -124.484 -3.54952 3.54952 0.92 0.000557118 0.000503641 0.0416893 0.0380132 34 2634 22 6.87369e+06 293451 618332. 2139.56 1.67 0.168805 0.148149 25762 151098 -1 2195 22 1857 3091 216983 48717 3.7984 3.7984 -149.032 -3.7984 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0267061 0.0235967 142 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 8.19 vpr 64.73 MiB -1 -1 0.15 20256 1 0.03 -1 -1 33844 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 269 231 1 170 80 17 17 289 -1 unnamed_device 26.3 MiB 3.59 1057 14184 4438 8343 1403 64.7 MiB 0.10 0.00 3.34852 -102.009 -3.34852 3.34852 0.91 0.000394767 0.000358867 0.0354424 0.0323994 34 2287 22 6.87369e+06 223581 618332. 2139.56 1.50 0.125532 0.109998 25762 151098 -1 1960 20 1189 1623 125972 29342 3.4708 3.4708 -120.506 -3.4708 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0183519 0.0162112 106 26 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 4.98 vpr 64.42 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33868 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.8 MiB 0.86 688 8003 1882 5278 843 64.4 MiB 0.06 0.00 3.17463 -94.6151 -3.17463 3.17463 0.94 0.000384152 0.000349518 0.0187119 0.0170692 32 1947 25 6.87369e+06 279477 586450. 2029.24 1.00 0.0711336 0.0622621 25474 144626 -1 1668 19 1094 1754 127281 31263 3.18361 3.18361 -111.757 -3.18361 0 0 744469. 2576.02 0.29 0.05 0.14 -1 -1 0.29 0.0168879 0.014885 99 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 8.69 vpr 65.12 MiB -1 -1 0.16 20596 1 0.03 -1 -1 33872 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 26.6 MiB 3.56 1048 13143 3762 8265 1116 65.1 MiB 0.12 0.00 3.74338 -121.833 -3.74338 3.74338 0.94 0.00050466 0.000459197 0.0364019 0.0332101 34 3058 47 6.87369e+06 321398 618332. 2139.56 1.88 0.166275 0.144966 25762 151098 -1 2232 21 1949 2618 185567 44871 4.02406 4.02406 -144.917 -4.02406 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0234322 0.0206718 145 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 8.15 vpr 64.93 MiB -1 -1 0.17 20668 1 0.03 -1 -1 34048 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 356 289 1 202 91 17 17 289 -1 unnamed_device 26.5 MiB 3.10 1147 9271 2181 6560 530 64.9 MiB 0.09 0.00 4.30764 -129.95 -4.30764 4.30764 0.95 0.000514456 0.000468928 0.0256082 0.0233825 34 2934 28 6.87369e+06 377294 618332. 2139.56 1.78 0.142547 0.123911 25762 151098 -1 2475 24 1827 2957 220122 50875 5.01085 5.01085 -157.81 -5.01085 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0270726 0.0237349 142 53 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 5.74 vpr 65.14 MiB -1 -1 0.15 20560 1 0.03 -1 -1 33868 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.7 MiB 0.92 1313 20052 5969 11572 2511 65.1 MiB 0.16 0.00 4.13357 -123.907 -4.13357 4.13357 0.93 0.000484005 0.000435469 0.0463892 0.0420538 32 3190 26 6.87369e+06 503058 586450. 2029.24 1.55 0.141928 0.124396 25474 144626 -1 2652 22 1917 3529 307003 67679 4.70185 4.70185 -152.097 -4.70185 0 0 744469. 2576.02 0.30 0.09 0.12 -1 -1 0.30 0.0255492 0.0225133 157 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 7.15 vpr 64.98 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33652 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 30 32 316 264 1 165 96 17 17 289 -1 unnamed_device 26.5 MiB 2.84 824 15864 4441 8350 3073 65.0 MiB 0.11 0.00 2.83325 -86.0399 -2.83325 2.83325 0.91 0.000450565 0.000411783 0.0344959 0.0311818 28 2201 33 6.87369e+06 475111 531479. 1839.03 1.22 0.113225 0.100048 24610 126494 -1 1911 21 1361 2342 186297 44266 3.05726 3.05726 -107.924 -3.05726 0 0 648988. 2245.63 0.26 0.07 0.11 -1 -1 0.26 0.0209486 0.0184007 119 47 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 5.51 vpr 64.37 MiB -1 -1 0.15 20124 1 0.03 -1 -1 34232 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 27 32 255 219 1 139 80 17 17 289 -1 unnamed_device 25.8 MiB 1.08 599 13324 3844 8199 1281 64.4 MiB 0.09 0.00 2.8908 -78.0905 -2.8908 2.8908 0.92 0.00038237 0.000348495 0.0308447 0.0281399 34 1446 22 6.87369e+06 293451 618332. 2139.56 1.36 0.10882 0.0945292 25762 151098 -1 1228 20 882 1279 77946 19578 2.83301 2.83301 -91.374 -2.83301 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0167669 0.0147553 96 26 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 12.57 vpr 65.38 MiB -1 -1 0.18 20672 1 0.03 -1 -1 33916 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 421 327 1 233 88 17 17 289 -1 unnamed_device 26.8 MiB 4.31 1381 16468 4888 9543 2037 65.4 MiB 0.17 0.00 3.58845 -117.662 -3.58845 3.58845 0.96 0.00060939 0.000555297 0.0537989 0.0490754 36 3594 23 6.87369e+06 335372 648988. 2245.63 4.81 0.249311 0.217934 26050 158493 -1 2937 20 1888 3080 244813 52865 3.90776 3.90776 -142.094 -3.90776 0 0 828058. 2865.25 0.35 0.09 0.15 -1 -1 0.35 0.0276637 0.0245652 165 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 10.81 vpr 65.01 MiB -1 -1 0.18 20520 1 0.03 -1 -1 33664 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 31 32 365 296 1 203 85 17 17 289 -1 unnamed_device 26.6 MiB 5.06 916 12547 3429 7930 1188 65.0 MiB 0.12 0.00 4.46437 -133.819 -4.46437 4.46437 0.97 0.00051287 0.0004664 0.0374223 0.0341161 36 2396 23 6.87369e+06 307425 648988. 2245.63 2.38 0.161117 0.141604 26050 158493 -1 2018 19 1533 2408 169434 40228 4.356 4.356 -146.536 -4.356 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0233754 0.0207828 139 60 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 9.65 vpr 65.06 MiB -1 -1 0.15 20672 1 0.03 -1 -1 33720 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 331 280 1 185 82 17 17 289 -1 unnamed_device 26.5 MiB 4.99 995 10584 2811 6901 872 65.1 MiB 0.09 0.00 3.45235 -118.525 -3.45235 3.45235 0.92 0.00047136 0.000421161 0.0299486 0.0272857 34 2474 22 6.87369e+06 251529 618332. 2139.56 1.51 0.131769 0.114971 25762 151098 -1 2171 20 1339 1982 145396 33441 3.49286 3.49286 -141.409 -3.49286 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0204453 0.0180044 118 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 8.06 vpr 64.87 MiB -1 -1 0.16 20680 1 0.03 -1 -1 34096 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 326 263 1 176 97 17 17 289 -1 unnamed_device 26.3 MiB 1.37 1092 18079 5031 10968 2080 64.9 MiB 0.15 0.00 4.22895 -118.87 -4.22895 4.22895 0.95 0.000501901 0.000456116 0.0414588 0.0376466 34 2566 22 6.87369e+06 461137 618332. 2139.56 3.40 0.174367 0.151643 25762 151098 -1 2232 19 1190 1939 167229 36336 3.8656 3.8656 -128.978 -3.8656 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0203413 0.0179812 129 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 6.32 vpr 64.86 MiB -1 -1 0.15 20616 1 0.03 -1 -1 33640 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 31 32 373 294 1 197 97 17 17 289 -1 unnamed_device 26.5 MiB 2.22 1073 18745 5536 10630 2579 64.9 MiB 0.15 0.00 3.46135 -105.573 -3.46135 3.46135 0.91 0.000512357 0.000466701 0.0459176 0.0418597 32 2621 23 6.87369e+06 475111 586450. 2029.24 0.96 0.113971 0.10056 25474 144626 -1 2063 21 1312 2288 152944 36349 3.61536 3.61536 -122.498 -3.61536 0 0 744469. 2576.02 0.29 0.06 0.12 -1 -1 0.29 0.0246383 0.0217906 149 46 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 6.91 vpr 64.89 MiB -1 -1 0.16 20764 1 0.03 -1 -1 33664 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 30 32 325 268 1 172 93 17 17 289 -1 unnamed_device 26.4 MiB 2.39 912 12063 3171 8275 617 64.9 MiB 0.10 0.00 2.8846 -86.2435 -2.8846 2.8846 0.93 0.000440619 0.000397253 0.0283016 0.0256561 28 2502 22 6.87369e+06 433189 531479. 1839.03 1.39 0.0952014 0.0836622 24610 126494 -1 2110 23 1401 2402 186414 43103 3.18291 3.18291 -108.618 -3.18291 0 0 648988. 2245.63 0.27 0.07 0.11 -1 -1 0.27 0.0224353 0.0195897 124 46 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 10.21 vpr 64.95 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33528 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 350 275 1 216 86 17 17 289 -1 unnamed_device 26.5 MiB 3.99 1107 17096 6507 8128 2461 65.0 MiB 0.15 0.00 3.95364 -125.973 -3.95364 3.95364 0.94 0.00050434 0.000459132 0.0475126 0.0432955 34 3212 26 6.87369e+06 307425 618332. 2139.56 2.92 0.166809 0.146212 25762 151098 -1 2603 21 2156 3274 278539 62261 4.17765 4.17765 -149.454 -4.17765 0 0 787024. 2723.27 0.30 0.09 0.14 -1 -1 0.30 0.0237971 0.0210149 148 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 9.70 vpr 65.20 MiB -1 -1 0.15 20628 1 0.03 -1 -1 33664 -1 -1 36 32 0 0 exited with return code 2 c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 386 307 1 196 100 17 17 289 -1 unnamed_device 26.7 MiB 3.66 1031 12628 3253 8377 998 65.2 MiB 0.11 0.00 3.24063 -108.952 -3.24063 3.24063 0.95 0.000537609 0.000488019 0.0318201 0.0289264 24 3147 45 6.87369e+06 503058 470940. 1629.55 2.28 0.12908 0.112854 24034 113901 -1 -1 -1 5799 10424 2343232 602328 -1 -1 -1 -1 -1 -1 -1 -1 0.23 0.70 0.11 -1 -1 0.23 -1 -1 147 59 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 6.31 vpr 64.45 MiB -1 -1 0.15 20396 1 0.03 -1 -1 34000 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 29 32 269 229 1 150 80 17 17 289 -1 unnamed_device 26.1 MiB 2.24 680 8852 2485 5092 1275 64.4 MiB 0.07 0.00 3.00718 -91.0226 -3.00718 3.00718 0.94 0.00042133 0.000380734 0.0230407 0.0210239 32 1643 20 6.87369e+06 265503 586450. 2029.24 0.96 0.0739909 0.0648532 25474 144626 -1 1401 21 1225 1786 115749 27170 3.10146 3.10146 -107.201 -3.10146 0 0 744469. 2576.02 0.32 0.06 0.13 -1 -1 0.32 0.0195572 0.0172587 101 28 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 6.88 vpr 64.75 MiB -1 -1 0.15 20424 1 0.03 -1 -1 33844 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 310 266 1 176 81 17 17 289 -1 unnamed_device 26.2 MiB 1.92 930 14956 4511 8442 2003 64.7 MiB 0.12 0.00 3.5666 -103.336 -3.5666 3.5666 0.97 0.000457254 0.000416126 0.041721 0.0379867 34 2322 21 6.87369e+06 237555 618332. 2139.56 1.71 0.136937 0.119629 25762 151098 -1 1995 23 1154 1602 136185 29731 3.36121 3.36121 -125.023 -3.36121 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.022115 0.0194703 112 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 6.41 vpr 65.04 MiB -1 -1 0.16 20132 1 0.03 -1 -1 33828 -1 -1 39 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 31 32 326 261 1 178 102 17 17 289 -1 unnamed_device 26.7 MiB 1.98 888 16048 4648 8537 2863 65.0 MiB 0.13 0.00 3.70112 -104.79 -3.70112 3.70112 0.98 0.000483565 0.000439757 0.0352122 0.0319673 32 2743 37 6.87369e+06 544980 586450. 2029.24 1.14 0.112598 0.0989473 25474 144626 -1 1978 22 1563 2742 201859 47327 3.9197 3.9197 -126.208 -3.9197 0 0 744469. 2576.02 0.30 0.07 0.13 -1 -1 0.30 0.0234428 0.0205917 135 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 8.47 vpr 64.60 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33940 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 26.2 MiB 3.82 807 8336 2181 5185 970 64.6 MiB 0.07 0.00 3.70248 -100.07 -3.70248 3.70248 0.95 0.000405506 0.000370531 0.0213662 0.0195484 34 2095 28 6.87369e+06 265503 618332. 2139.56 1.52 0.108543 0.0939806 25762 151098 -1 1748 18 1064 1428 111280 26819 3.46886 3.46886 -110.713 -3.46886 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0162409 0.0143493 107 25 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 8.16 vpr 64.77 MiB -1 -1 0.14 20320 1 0.03 -1 -1 33952 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 278 238 1 158 79 17 17 289 -1 unnamed_device 26.4 MiB 3.60 870 7684 1744 5441 499 64.8 MiB 0.06 0.00 3.31093 -107.104 -3.31093 3.31093 0.91 0.000408956 0.000374264 0.0204114 0.0186564 34 2100 22 6.87369e+06 209608 618332. 2139.56 1.51 0.111728 0.097243 25762 151098 -1 1791 23 1489 2545 191568 43975 2.99596 2.99596 -117.268 -2.99596 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0203807 0.0178641 101 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 7.75 vpr 65.08 MiB -1 -1 0.15 20864 1 0.03 -1 -1 33968 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 31 32 373 300 1 185 100 17 17 289 -1 unnamed_device 26.7 MiB 3.52 989 12860 3598 7966 1296 65.1 MiB 0.11 0.00 3.11528 -104.533 -3.11528 3.11528 0.94 0.00052142 0.000474708 0.0317721 0.0289199 30 2144 20 6.87369e+06 517032 556674. 1926.21 1.00 0.0980581 0.0859984 25186 138497 -1 1777 21 1273 2085 118474 28071 2.87286 2.87286 -116.302 -2.87286 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0233981 0.0206127 141 60 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 7.85 vpr 64.30 MiB -1 -1 0.15 20188 1 0.03 -1 -1 33808 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 31 32 265 230 1 169 80 17 17 289 -1 unnamed_device 25.9 MiB 3.53 844 5756 1165 4184 407 64.3 MiB 0.05 0.00 3.0319 -94.5954 -3.0319 3.0319 0.94 0.000410437 0.000373344 0.0153951 0.0140497 28 2744 41 6.87369e+06 237555 531479. 1839.03 1.31 0.089208 0.0780324 24610 126494 -1 1961 20 1220 1709 142127 37972 3.13061 3.13061 -120.072 -3.13061 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0186385 0.0164896 105 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 11.45 vpr 64.80 MiB -1 -1 0.14 20712 1 0.03 -1 -1 34032 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 349 286 1 177 95 17 17 289 -1 unnamed_device 26.4 MiB 3.60 981 10031 2195 7405 431 64.8 MiB 0.09 0.00 2.9036 -92.5407 -2.9036 2.9036 0.93 0.000485153 0.000441026 0.0250436 0.0227837 30 2472 22 6.87369e+06 433189 556674. 1926.21 4.70 0.158309 0.137728 25186 138497 -1 1927 21 1028 1771 101432 24876 2.73171 2.73171 -107.166 -2.73171 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0221884 0.0195454 129 54 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 8.46 vpr 65.08 MiB -1 -1 0.17 20584 1 0.03 -1 -1 33944 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 31 32 396 325 1 185 95 17 17 289 -1 unnamed_device 26.7 MiB 4.07 955 16295 5564 8035 2696 65.1 MiB 0.13 0.00 2.9696 -103.404 -2.9696 2.9696 0.98 0.000559059 0.000497946 0.0441059 0.0400536 32 2648 26 6.87369e+06 447163 586450. 2029.24 1.07 0.118122 0.103713 25474 144626 -1 2111 21 1837 2698 220232 50479 3.24676 3.24676 -128.281 -3.24676 0 0 744469. 2576.02 0.29 0.08 0.14 -1 -1 0.29 0.0247474 0.0217903 137 87 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 7.65 vpr 64.41 MiB -1 -1 0.12 20348 1 0.03 -1 -1 33628 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 32 32 303 262 1 154 80 17 17 289 -1 unnamed_device 26.0 MiB 2.87 708 8336 1826 5706 804 64.4 MiB 0.06 0.00 2.8516 -87.9482 -2.8516 2.8516 0.96 0.000428631 0.000388558 0.0227876 0.0207718 34 1958 32 6.87369e+06 223581 618332. 2139.56 1.63 0.124501 0.107776 25762 151098 -1 1550 16 972 1577 108053 27710 2.66571 2.66571 -103.859 -2.66571 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.016583 0.0146872 99 54 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 6.71 vpr 64.93 MiB -1 -1 0.13 20276 1 0.03 -1 -1 33808 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 26.4 MiB 1.83 989 14322 5003 7418 1901 64.9 MiB 0.11 0.00 3.36109 -108.361 -3.36109 3.36109 0.98 0.000467466 0.00042137 0.0374669 0.0341946 34 2438 22 6.87369e+06 251529 618332. 2139.56 1.59 0.136247 0.119348 25762 151098 -1 2085 21 1527 2277 192017 42393 3.3345 3.3345 -123.807 -3.3345 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0204364 0.0179965 114 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 8.15 vpr 65.09 MiB -1 -1 0.15 20428 1 0.03 -1 -1 33856 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 318 257 1 197 86 17 17 289 -1 unnamed_device 26.4 MiB 3.19 941 7079 1617 5049 413 65.1 MiB 0.07 0.00 4.03908 -110.471 -4.03908 4.03908 0.96 0.000480984 0.000437866 0.0201003 0.0183819 34 2467 22 6.87369e+06 307425 618332. 2139.56 1.69 0.125018 0.108947 25762 151098 -1 2099 21 1530 2121 147128 35361 3.98006 3.98006 -131.826 -3.98006 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0219791 0.0193214 132 27 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 8.86 vpr 64.83 MiB -1 -1 0.16 20720 1 0.03 -1 -1 33572 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 29 32 324 268 1 169 90 17 17 289 -1 unnamed_device 26.3 MiB 3.08 910 15366 4626 8253 2487 64.8 MiB 0.12 0.00 3.20763 -92.8782 -3.20763 3.20763 0.91 0.00046478 0.00042173 0.0370081 0.0337976 30 2026 23 6.87369e+06 405241 556674. 1926.21 2.69 0.16199 0.141854 25186 138497 -1 1631 16 772 1352 71335 17792 2.82701 2.82701 -99.0818 -2.82701 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0179969 0.0159788 123 49 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 9.20 vpr 65.00 MiB -1 -1 0.17 20764 1 0.03 -1 -1 33636 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 393 312 1 215 86 17 17 289 -1 unnamed_device 26.5 MiB 3.57 1112 16151 5093 8311 2747 65.0 MiB 0.14 0.00 4.14151 -131.605 -4.14151 4.14151 0.99 0.000554612 0.000503229 0.0488332 0.0444126 34 2977 24 6.87369e+06 307425 618332. 2139.56 2.23 0.180555 0.158575 25762 151098 -1 2472 23 1925 2962 282001 60494 4.33386 4.33386 -151.112 -4.33386 0 0 787024. 2723.27 0.32 0.10 0.14 -1 -1 0.32 0.029078 0.025767 151 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.36 vpr 64.43 MiB -1 -1 0.15 20080 1 0.03 -1 -1 33716 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.9 MiB 0.81 840 10400 2691 6334 1375 64.4 MiB 0.07 0.00 2.9769 -91.9689 -2.9769 2.9769 0.93 0.000372109 0.000340196 0.0240568 0.021978 34 1848 24 6.87369e+06 237555 618332. 2139.56 1.42 0.102694 0.0893349 25762 151098 -1 1654 19 933 1462 108457 24819 2.69971 2.69971 -101.877 -2.69971 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0163242 0.0144396 92 -1 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 6.56 vpr 65.27 MiB -1 -1 0.17 20764 1 0.03 -1 -1 33844 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 32 32 412 334 1 194 99 17 17 289 -1 unnamed_device 26.8 MiB 2.30 1078 19251 6137 10457 2657 65.3 MiB 0.15 0.00 3.50715 -119.693 -3.50715 3.50715 0.94 0.000557874 0.000507533 0.0494262 0.0448808 30 2539 22 6.87369e+06 489084 556674. 1926.21 1.01 0.124475 0.109819 25186 138497 -1 2083 19 1254 1793 106871 25490 3.72316 3.72316 -136.306 -3.72316 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0235527 0.0207513 145 87 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 10.06 vpr 65.07 MiB -1 -1 0.16 20488 1 0.03 -1 -1 33604 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 5.25 961 10744 2764 7013 967 65.1 MiB 0.09 0.00 2.9898 -111.33 -2.9898 2.9898 0.96 0.000523275 0.000476003 0.0356114 0.0324515 34 2355 21 6.87369e+06 223581 618332. 2139.56 1.58 0.14415 0.125476 25762 151098 -1 1931 21 1521 2184 167217 38221 3.21381 3.21381 -135.019 -3.21381 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0233046 0.0204312 114 93 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 8.06 vpr 64.92 MiB -1 -1 0.16 20508 1 0.03 -1 -1 33960 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 360 293 1 182 96 17 17 289 -1 unnamed_device 26.6 MiB 3.37 1117 16302 4782 9127 2393 64.9 MiB 0.14 0.00 3.24063 -105.014 -3.24063 3.24063 0.91 0.000505708 0.000459155 0.0399767 0.0364024 34 2410 22 6.87369e+06 447163 618332. 2139.56 1.51 0.149931 0.13143 25762 151098 -1 2112 22 1290 2026 142416 32941 3.00701 3.00701 -113.423 -3.00701 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.024084 0.0211538 134 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 10.61 vpr 64.96 MiB -1 -1 0.17 20620 1 0.03 -1 -1 33956 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 396 299 1 240 89 17 17 289 -1 unnamed_device 26.5 MiB 4.81 1274 12563 3567 7498 1498 65.0 MiB 0.13 0.00 4.69005 -144.336 -4.69005 4.69005 0.98 0.000554238 0.00050356 0.0387391 0.0353642 34 3374 27 6.87369e+06 349346 618332. 2139.56 2.42 0.191806 0.169178 25762 151098 -1 2705 19 2145 3237 258765 59938 4.8851 4.8851 -161.868 -4.8851 0 0 787024. 2723.27 0.32 0.09 0.14 -1 -1 0.32 0.0262923 0.0234179 171 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 5.80 vpr 64.22 MiB -1 -1 0.13 20472 1 0.03 -1 -1 33468 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 30 32 224 207 1 137 77 17 17 289 -1 unnamed_device 25.8 MiB 1.44 809 9205 2544 4967 1694 64.2 MiB 0.06 0.00 2.40522 -81.1084 -2.40522 2.40522 0.91 0.000335549 0.000305289 0.0211247 0.0192232 34 1666 21 6.87369e+06 209608 618332. 2139.56 1.37 0.0919083 0.0797353 25762 151098 -1 1521 19 646 864 77984 16878 2.44836 2.44836 -99.2186 -2.44836 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.0151302 0.0134147 81 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 5.82 vpr 64.63 MiB -1 -1 0.15 20272 1 0.03 -1 -1 34020 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 30 32 286 239 1 151 81 17 17 289 -1 unnamed_device 26.2 MiB 1.28 830 11981 4007 5980 1994 64.6 MiB 0.08 0.00 3.14163 -100.812 -3.14163 3.14163 0.91 0.000409079 0.000371875 0.0302757 0.0276562 34 1868 22 6.87369e+06 265503 618332. 2139.56 1.41 0.117405 0.102106 25762 151098 -1 1644 20 1137 1672 120889 28158 2.99331 2.99331 -114.185 -2.99331 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0190778 0.0167633 105 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 5.41 vpr 64.82 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33992 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 296 247 1 158 87 17 17 289 -1 unnamed_device 26.4 MiB 1.24 898 15255 5007 8419 1829 64.8 MiB 0.11 0.00 2.9879 -101.502 -2.9879 2.9879 0.93 0.000437524 0.000398007 0.0374136 0.0339925 30 2265 21 6.87369e+06 321398 556674. 1926.21 1.01 0.0955059 0.0841829 25186 138497 -1 1918 18 1024 1879 126126 28685 3.09461 3.09461 -119.72 -3.09461 0 0 706193. 2443.58 0.29 0.05 0.13 -1 -1 0.29 0.018182 0.0160201 109 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 5.05 vpr 64.23 MiB -1 -1 0.16 20144 1 0.03 -1 -1 33696 -1 -1 29 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 25 32 216 194 1 123 86 17 17 289 -1 unnamed_device 25.6 MiB 0.91 504 12938 4287 5737 2914 64.2 MiB 0.08 0.00 2.9029 -68.0577 -2.9029 2.9029 0.98 0.000358006 0.000327439 0.0253652 0.0231158 32 1589 26 6.87369e+06 405241 586450. 2029.24 0.97 0.0720271 0.0630957 25474 144626 -1 1157 20 839 1490 97968 24770 2.83296 2.83296 -80.1632 -2.83296 0 0 744469. 2576.02 0.31 0.04 0.14 -1 -1 0.31 0.015213 0.0133534 87 19 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 8.49 vpr 64.85 MiB -1 -1 0.16 20580 1 0.03 -1 -1 34060 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 376 307 1 193 84 17 17 289 -1 unnamed_device 26.5 MiB 3.21 1061 15090 4808 7967 2315 64.8 MiB 0.13 0.00 3.64275 -112.262 -3.64275 3.64275 0.97 0.00052737 0.000474941 0.0471716 0.0428136 34 3021 49 6.87369e+06 279477 618332. 2139.56 1.94 0.162132 0.142267 25762 151098 -1 2430 23 1564 2692 206805 49334 3.85476 3.85476 -136.73 -3.85476 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0253753 0.0223075 133 69 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 10.07 vpr 65.27 MiB -1 -1 0.17 20452 1 0.03 -1 -1 34008 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 31 32 409 331 1 193 94 17 17 289 -1 unnamed_device 26.8 MiB 3.59 1032 13939 3814 9136 989 65.3 MiB 0.13 0.00 3.48023 -114.653 -3.48023 3.48023 0.95 0.000556285 0.000505159 0.0386667 0.0351638 34 2398 21 6.87369e+06 433189 618332. 2139.56 3.20 0.213059 0.184203 25762 151098 -1 2011 19 1620 2483 160187 39582 3.06831 3.06831 -123.298 -3.06831 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0233703 0.0205988 143 86 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 7.82 vpr 65.02 MiB -1 -1 0.15 20624 1 0.03 -1 -1 34000 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 354 285 1 223 88 17 17 289 -1 unnamed_device 26.4 MiB 2.90 1214 14713 4233 9054 1426 65.0 MiB 0.14 0.00 4.26107 -127.141 -4.26107 4.26107 0.92 0.000519511 0.000475804 0.0407336 0.037231 34 2940 25 6.89349e+06 338252 618332. 2139.56 1.75 0.163145 0.143678 25762 151098 -1 2393 20 1532 2333 171083 41069 4.32415 4.32415 -147.557 -4.32415 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.022997 0.0203697 149 47 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 6.64 vpr 65.03 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33848 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 30 32 363 293 1 228 88 17 17 289 -1 unnamed_device 26.7 MiB 1.83 1167 11983 2953 7809 1221 65.0 MiB 0.10 0.00 3.89968 -122.519 -3.89968 3.89968 0.92 0.000495581 0.000452628 0.0332321 0.0303443 34 2943 27 6.89349e+06 366440 618332. 2139.56 1.68 0.154811 0.135219 25762 151098 -1 2442 22 2076 3125 224734 51232 4.16144 4.16144 -147.245 -4.16144 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0251299 0.0221548 156 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 7.35 vpr 64.75 MiB -1 -1 0.15 20392 1 0.03 -1 -1 34008 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 26.3 MiB 2.26 1099 15523 4948 8573 2002 64.7 MiB 0.13 0.00 3.32519 -100.006 -3.32519 3.32519 0.97 0.000451044 0.000411583 0.0400291 0.036436 34 2532 48 6.89349e+06 295971 618332. 2139.56 1.82 0.158083 0.137976 25762 151098 -1 2108 25 1223 1799 126011 29675 3.33865 3.33865 -117.65 -3.33865 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0248917 0.0218884 125 26 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 6.83 vpr 64.73 MiB -1 -1 0.16 20136 1 0.03 -1 -1 33884 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 29 32 308 248 1 195 85 17 17 289 -1 unnamed_device 26.2 MiB 1.96 938 16081 6466 7389 2226 64.7 MiB 0.13 0.00 3.92328 -106.805 -3.92328 3.92328 0.94 0.000463717 0.000423645 0.0426725 0.038938 34 2486 30 6.89349e+06 338252 618332. 2139.56 1.63 0.147218 0.128558 25762 151098 -1 1848 18 1208 1920 115171 27976 3.72556 3.72556 -116.85 -3.72556 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0190792 0.0169543 134 25 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 10.40 vpr 64.79 MiB -1 -1 0.14 20244 1 0.03 -1 -1 33644 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 336 268 1 212 87 17 17 289 -1 unnamed_device 26.3 MiB 1.61 1287 11415 3479 5825 2111 64.8 MiB 0.10 0.00 4.24401 -124.601 -4.24401 4.24401 0.92 0.000474674 0.000432812 0.0308667 0.0281937 34 3402 40 6.89349e+06 324158 618332. 2139.56 5.65 0.247351 0.217273 25762 151098 -1 2752 21 1878 3365 309935 64821 4.66699 4.66699 -153.877 -4.66699 0 0 787024. 2723.27 0.30 0.09 0.13 -1 -1 0.30 0.0237766 0.0210573 142 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 7.65 vpr 65.05 MiB -1 -1 0.17 20612 1 0.03 -1 -1 33552 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 366 295 1 231 97 17 17 289 -1 unnamed_device 26.6 MiB 2.52 1308 19855 6635 10787 2433 65.1 MiB 0.17 0.00 3.42286 -111.444 -3.42286 3.42286 0.97 0.000519887 0.000470246 0.0494929 0.0449577 34 3103 25 6.89349e+06 465097 618332. 2139.56 1.74 0.152636 0.133593 25762 151098 -1 2495 20 1690 2878 194745 45020 3.35765 3.35765 -126.989 -3.35765 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0230734 0.0202935 162 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 6.48 vpr 64.36 MiB -1 -1 0.15 20192 1 0.03 -1 -1 34080 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 27 32 259 221 1 160 80 17 17 289 -1 unnamed_device 25.8 MiB 1.57 834 13324 3953 7741 1630 64.4 MiB 0.09 0.00 3.25123 -92.5861 -3.25123 3.25123 0.94 0.000387558 0.000351289 0.0326661 0.0298275 36 1829 21 6.89349e+06 295971 648988. 2245.63 1.74 0.119765 0.104888 26050 158493 -1 1563 19 1125 1642 125405 27836 2.97416 2.97416 -103.932 -2.97416 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0166625 0.014693 107 26 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 7.20 vpr 64.68 MiB -1 -1 0.16 20172 1 0.03 -1 -1 33784 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 26.3 MiB 0.87 870 15647 5073 7866 2708 64.7 MiB 0.11 0.00 2.54074 -78.8558 -2.54074 2.54074 0.95 0.000428919 0.000390873 0.0326825 0.029682 34 2153 22 6.89349e+06 451003 618332. 2139.56 3.07 0.166079 0.143549 25762 151098 -1 1743 22 1018 1697 112177 26553 2.55831 2.55831 -94.0253 -2.55831 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0202621 0.0177456 119 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 6.96 vpr 64.44 MiB -1 -1 0.17 20360 1 0.03 -1 -1 33900 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 31 32 317 271 1 207 83 17 17 289 -1 unnamed_device 25.9 MiB 1.97 1110 13583 4929 5993 2661 64.4 MiB 0.11 0.00 2.80245 -99.26 -2.80245 2.80245 0.93 0.000446008 0.000404873 0.0355215 0.032393 34 2731 22 6.89349e+06 281877 618332. 2139.56 1.82 0.141728 0.124451 25762 151098 -1 2204 21 1574 2114 182239 38913 3.05496 3.05496 -118.574 -3.05496 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0215036 0.0189699 130 60 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 7.15 vpr 64.69 MiB -1 -1 0.14 20552 1 0.03 -1 -1 34008 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 32 32 298 248 1 185 82 17 17 289 -1 unnamed_device 26.2 MiB 2.51 941 9516 2381 6135 1000 64.7 MiB 0.08 0.00 3.15648 -104.965 -3.15648 3.15648 0.92 0.000444593 0.00040543 0.0252618 0.0230757 34 2331 20 6.89349e+06 253689 618332. 2139.56 1.54 0.119878 0.104677 25762 151098 -1 1929 17 1042 1405 96026 22422 3.2305 3.2305 -124.014 -3.2305 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0173192 0.0153675 120 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 7.24 vpr 64.64 MiB -1 -1 0.16 20208 1 0.03 -1 -1 33748 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 30 32 303 262 1 191 83 17 17 289 -1 unnamed_device 26.1 MiB 2.38 1118 14483 4527 7969 1987 64.6 MiB 0.11 0.00 3.58297 -108.561 -3.58297 3.58297 0.91 0.000420507 0.00038429 0.0364185 0.033259 34 2354 21 6.89349e+06 295971 618332. 2139.56 1.74 0.136405 0.119592 25762 151098 -1 2122 19 1306 1788 132678 29797 3.6325 3.6325 -127.379 -3.6325 0 0 787024. 2723.27 0.29 0.05 0.15 -1 -1 0.29 0.0187501 0.0164767 124 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 6.51 vpr 64.46 MiB -1 -1 0.14 20276 1 0.03 -1 -1 33720 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 26.1 MiB 1.74 956 14256 4096 8723 1437 64.5 MiB 0.10 0.00 2.911 -95.433 -2.911 2.911 0.91 0.000395985 0.00036063 0.0348336 0.0318287 34 2290 43 6.89349e+06 239595 618332. 2139.56 1.67 0.144513 0.126599 25762 151098 -1 1914 21 987 1375 106533 24480 2.79396 2.79396 -110.557 -2.79396 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.0200465 0.017686 108 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 9.40 vpr 64.80 MiB -1 -1 0.15 20584 1 0.03 -1 -1 33736 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 26.3 MiB 2.31 997 16791 5555 8237 2999 64.8 MiB 0.14 0.00 3.19568 -104.214 -3.19568 3.19568 0.92 0.000485185 0.000443078 0.0447622 0.0408646 36 2494 24 6.89349e+06 324158 648988. 2245.63 3.92 0.208551 0.182857 26050 158493 -1 2056 18 1497 2317 165763 37476 3.19906 3.19906 -116.896 -3.19906 0 0 828058. 2865.25 0.31 0.06 0.13 -1 -1 0.31 0.0203772 0.0180226 143 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 7.12 vpr 64.86 MiB -1 -1 0.15 20860 1 0.03 -1 -1 33936 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 363 295 1 232 88 17 17 289 -1 unnamed_device 26.6 MiB 2.14 1237 16273 4603 9085 2585 64.9 MiB 0.14 0.00 4.42931 -129.469 -4.42931 4.42931 0.91 0.000483489 0.000439862 0.0440965 0.0401478 34 3069 27 6.89349e+06 338252 618332. 2139.56 1.79 0.148441 0.131432 25762 151098 -1 2487 21 1829 2525 187149 44185 4.61295 4.61295 -154.299 -4.61295 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0240911 0.0213215 153 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 7.01 vpr 64.44 MiB -1 -1 0.15 20176 1 0.03 -1 -1 33508 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 29 32 248 215 1 160 79 17 17 289 -1 unnamed_device 25.9 MiB 2.28 828 9543 2408 6323 812 64.4 MiB 0.07 0.00 2.55142 -81.9482 -2.55142 2.55142 0.95 0.000390582 0.000355746 0.0234629 0.0214464 34 1977 20 6.89349e+06 253689 618332. 2139.56 1.55 0.104838 0.0910372 25762 151098 -1 1666 19 1044 1489 108412 25698 2.64866 2.64866 -94.7448 -2.64866 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0162489 0.0143261 102 21 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 7.95 vpr 65.00 MiB -1 -1 0.16 20476 1 0.03 -1 -1 33860 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 370 297 1 234 88 17 17 289 -1 unnamed_device 26.6 MiB 2.66 1341 15298 4523 8737 2038 65.0 MiB 0.13 0.00 3.3439 -110.98 -3.3439 3.3439 0.93 0.000529202 0.000483669 0.0437947 0.039863 34 3308 25 6.89349e+06 338252 618332. 2139.56 2.00 0.171482 0.150255 25762 151098 -1 2752 22 2047 3308 281262 59839 3.57225 3.57225 -132.703 -3.57225 0 0 787024. 2723.27 0.29 0.09 0.14 -1 -1 0.29 0.0250714 0.0219765 159 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 7.26 vpr 64.87 MiB -1 -1 0.16 20452 1 0.03 -1 -1 34084 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 338 269 1 205 86 17 17 289 -1 unnamed_device 26.3 MiB 2.27 1142 13694 4281 7359 2054 64.9 MiB 0.11 0.00 3.18768 -107.577 -3.18768 3.18768 0.91 0.000472906 0.000430443 0.0371077 0.0338562 36 2536 22 6.89349e+06 310065 648988. 2245.63 1.85 0.157272 0.138805 26050 158493 -1 2236 16 1301 1917 158203 33449 3.19711 3.19711 -121.769 -3.19711 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0189809 0.0169209 142 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 7.17 vpr 64.85 MiB -1 -1 0.15 20584 1 0.03 -1 -1 33488 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 323 276 1 215 85 17 17 289 -1 unnamed_device 26.3 MiB 1.98 1179 16267 4856 9680 1731 64.8 MiB 0.13 0.00 2.80245 -104.61 -2.80245 2.80245 0.92 0.000437656 0.000399415 0.041631 0.0379831 34 2776 41 6.89349e+06 295971 618332. 2139.56 2.05 0.175624 0.155302 25762 151098 -1 2349 19 1449 1911 146028 32588 2.89221 2.89221 -122.703 -2.89221 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.020422 0.0180828 131 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 5.09 vpr 64.32 MiB -1 -1 0.14 20480 1 0.03 -1 -1 33640 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 30 32 222 206 1 141 77 17 17 289 -1 unnamed_device 25.9 MiB 1.20 715 5456 1202 3908 346 64.3 MiB 0.04 0.00 2.15123 -73.4367 -2.15123 2.15123 0.93 0.000348667 0.000318147 0.0131737 0.0120456 30 1579 19 6.89349e+06 211408 556674. 1926.21 0.89 0.0564477 0.0491161 25186 138497 -1 1363 18 564 679 45907 10995 2.11002 2.11002 -87.7289 -2.11002 0 0 706193. 2443.58 0.28 0.03 0.13 -1 -1 0.28 0.0144593 0.0127243 82 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 7.82 vpr 64.68 MiB -1 -1 0.16 20236 1 0.03 -1 -1 33844 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 31 32 291 243 1 179 82 17 17 289 -1 unnamed_device 26.3 MiB 2.57 931 14678 5107 7399 2172 64.7 MiB 0.12 0.00 3.72732 -118.161 -3.72732 3.72732 0.98 0.000440085 0.00040149 0.0380296 0.0346313 36 2163 24 6.89349e+06 267783 648988. 2245.63 1.97 0.141437 0.124144 26050 158493 -1 1798 22 1256 2001 146002 33310 3.36035 3.36035 -125.331 -3.36035 0 0 828058. 2865.25 0.32 0.06 0.13 -1 -1 0.32 0.0208374 0.0183587 117 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.15 vpr 64.57 MiB -1 -1 0.14 20560 1 0.03 -1 -1 33940 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 32 32 342 271 1 207 98 17 17 289 -1 unnamed_device 26.0 MiB 1.24 1121 18098 5847 9989 2262 64.6 MiB 0.14 0.00 3.68693 -121.727 -3.68693 3.68693 0.91 0.000491501 0.000449583 0.0410945 0.0374848 34 2624 22 6.89349e+06 479191 618332. 2139.56 1.78 0.158561 0.13954 25762 151098 -1 2122 22 1495 2241 153724 35133 3.91094 3.91094 -140.255 -3.91094 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0231134 0.020409 151 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 9.11 vpr 64.91 MiB -1 -1 0.16 20644 1 0.03 -1 -1 33924 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 372 300 1 229 87 17 17 289 -1 unnamed_device 26.5 MiB 1.56 1311 9111 2463 5760 888 64.9 MiB 0.09 0.00 3.53795 -113.225 -3.53795 3.53795 0.93 0.000517898 0.000471227 0.026783 0.024461 36 2999 19 6.89349e+06 324158 648988. 2245.63 4.27 0.190436 0.16506 26050 158493 -1 2518 21 1748 2739 194441 42650 3.7065 3.7065 -133.858 -3.7065 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0241141 0.0212572 155 59 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 5.90 vpr 64.15 MiB -1 -1 0.14 19984 1 0.03 -1 -1 34244 -1 -1 19 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 26 32 190 182 1 126 77 17 17 289 -1 unnamed_device 25.7 MiB 1.39 442 11813 4730 5237 1846 64.1 MiB 0.06 0.00 2.20251 -59.9837 -2.20251 2.20251 0.91 0.000293716 0.000267942 0.0224558 0.0204621 34 1397 21 6.89349e+06 267783 618332. 2139.56 1.50 0.0902635 0.0787196 25762 151098 -1 1075 19 772 917 79348 21035 2.38625 2.38625 -74.8051 -2.38625 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0128172 0.0112624 76 21 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 5.18 vpr 64.57 MiB -1 -1 0.16 20396 1 0.03 -1 -1 33952 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 26.2 MiB 1.04 992 5655 1153 4209 293 64.6 MiB 0.06 0.00 3.65437 -106.778 -3.65437 3.65437 0.93 0.000438961 0.000399951 0.0147308 0.0134218 28 2375 24 6.89349e+06 324158 531479. 1839.03 1.09 0.0759754 0.0663427 24610 126494 -1 2252 20 1425 2492 194269 44207 3.76845 3.76845 -129.081 -3.76845 0 0 648988. 2245.63 0.26 0.07 0.12 -1 -1 0.26 0.0194734 0.017154 119 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 5.04 vpr 63.96 MiB -1 -1 0.13 20028 1 0.03 -1 -1 33600 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65492 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 25.5 MiB 0.43 431 9516 3885 5180 451 64.0 MiB 0.05 0.00 1.84032 -58.7538 -1.84032 1.84032 0.96 0.000311697 0.000284895 0.0190384 0.017264 34 1283 45 6.89349e+06 169126 618332. 2139.56 1.51 0.0831767 0.0719603 25762 151098 -1 1054 19 645 827 66903 17570 2.02876 2.02876 -75.5818 -2.02876 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.0131531 0.011548 65 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 6.52 vpr 64.66 MiB -1 -1 0.16 20212 1 0.03 -1 -1 33696 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 32 32 300 245 1 187 84 17 17 289 -1 unnamed_device 26.2 MiB 1.86 962 14175 3766 8385 2024 64.7 MiB 0.11 0.00 3.95808 -113.056 -3.95808 3.95808 0.92 0.000445359 0.000405652 0.0371632 0.0339548 34 2461 20 6.89349e+06 281877 618332. 2139.56 1.50 0.134528 0.117901 25762 151098 -1 2034 18 1132 1660 115564 28077 4.00226 4.00226 -125.417 -4.00226 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.0194303 0.017263 125 21 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 5.06 vpr 64.52 MiB -1 -1 0.15 20396 1 0.03 -1 -1 33804 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.1 MiB 0.88 1065 17375 5092 9935 2348 64.5 MiB 0.13 0.00 2.7033 -91.5418 -2.7033 2.7033 0.93 0.000456451 0.000417859 0.0381814 0.0346926 28 2592 30 6.89349e+06 436909 531479. 1839.03 1.05 0.10327 0.090764 24610 126494 -1 2274 22 1360 2495 185371 41365 2.67775 2.67775 -108.068 -2.67775 0 0 648988. 2245.63 0.26 0.07 0.12 -1 -1 0.26 0.0210041 0.0183952 130 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 7.60 vpr 64.89 MiB -1 -1 0.16 20804 1 0.03 -1 -1 33656 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 338 277 1 215 87 17 17 289 -1 unnamed_device 26.3 MiB 2.61 1126 11031 2881 6858 1292 64.9 MiB 0.10 0.00 3.79978 -109.038 -3.79978 3.79978 0.96 0.000499036 0.000452989 0.0311822 0.0283481 34 2782 21 6.89349e+06 324158 618332. 2139.56 1.72 0.138059 0.120148 25762 151098 -1 2358 21 1605 2409 164688 39006 3.83476 3.83476 -126.659 -3.83476 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0225646 0.019856 142 47 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 6.96 vpr 64.54 MiB -1 -1 0.14 20324 1 0.03 -1 -1 33840 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 284 241 1 177 81 17 17 289 -1 unnamed_device 26.1 MiB 2.08 995 11456 3373 6255 1828 64.5 MiB 0.09 0.00 2.9839 -102.38 -2.9839 2.9839 0.94 0.000435457 0.000395693 0.0305097 0.0278119 34 2282 31 6.89349e+06 239595 618332. 2139.56 1.68 0.134155 0.117207 25762 151098 -1 1907 19 1264 1816 129053 29357 2.82416 2.82416 -113.888 -2.82416 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.018401 0.0162155 112 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 6.87 vpr 64.53 MiB -1 -1 0.15 20220 1 0.03 -1 -1 33720 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 30 32 262 227 1 161 79 17 17 289 -1 unnamed_device 26.0 MiB 2.11 883 13092 4667 6018 2407 64.5 MiB 0.09 0.00 3.26582 -95.078 -3.26582 3.26582 0.96 0.000392066 0.000355991 0.0327671 0.02983 34 2191 25 6.89349e+06 239595 618332. 2139.56 1.57 0.123803 0.107771 25762 151098 -1 1840 20 1025 1666 125288 28583 3.5863 3.5863 -115.942 -3.5863 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0184313 0.0163111 104 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 6.04 vpr 64.36 MiB -1 -1 0.16 20224 1 0.03 -1 -1 33800 -1 -1 20 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 28 32 260 223 1 163 80 17 17 289 -1 unnamed_device 25.8 MiB 1.92 897 13324 4235 7704 1385 64.4 MiB 0.10 0.00 3.27894 -97.2693 -3.27894 3.27894 0.92 0.000396871 0.000361544 0.0317795 0.0289331 30 2291 25 6.89349e+06 281877 556674. 1926.21 1.06 0.089978 0.0793328 25186 138497 -1 1849 18 957 1597 107369 24604 3.45875 3.45875 -117.488 -3.45875 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0160969 0.0142538 107 27 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 4.86 vpr 64.27 MiB -1 -1 0.14 20460 1 0.03 -1 -1 33636 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65808 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 25.8 MiB 0.71 799 12156 3105 8175 876 64.3 MiB 0.10 0.00 3.24508 -101.69 -3.24508 3.24508 0.98 0.000404013 0.000370459 0.0310671 0.0283457 30 2111 19 6.89349e+06 239595 556674. 1926.21 0.97 0.0834402 0.0735788 25186 138497 -1 1749 21 1057 1811 122196 27245 2.79386 2.79386 -111.876 -2.79386 0 0 706193. 2443.58 0.29 0.05 0.13 -1 -1 0.29 0.0187111 0.0164912 101 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 7.01 vpr 64.53 MiB -1 -1 0.15 20608 1 0.03 -1 -1 33448 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 31 32 271 231 1 172 81 17 17 289 -1 unnamed_device 25.9 MiB 1.87 891 14431 4881 6988 2562 64.5 MiB 0.11 0.00 2.82865 -91.106 -2.82865 2.82865 0.93 0.000404218 0.000367446 0.0361453 0.0329066 34 2243 49 6.89349e+06 253689 618332. 2139.56 1.97 0.14967 0.130459 25762 151098 -1 1861 21 1039 1539 114731 26737 2.79006 2.79006 -107.109 -2.79006 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.0195305 0.0172319 108 26 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 7.25 vpr 64.63 MiB -1 -1 0.16 20364 1 0.03 -1 -1 33736 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 29 32 291 250 1 185 83 17 17 289 -1 unnamed_device 26.2 MiB 2.18 871 14123 3525 9365 1233 64.6 MiB 0.10 0.00 2.84275 -83.5485 -2.84275 2.84275 0.97 0.000436278 0.000390566 0.0363799 0.0331567 36 2050 22 6.89349e+06 310065 648988. 2245.63 1.81 0.13391 0.117179 26050 158493 -1 1699 18 986 1343 83541 21086 2.72096 2.72096 -96.9431 -2.72096 0 0 828058. 2865.25 0.32 0.04 0.15 -1 -1 0.32 0.0174321 0.0154169 120 48 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 7.18 vpr 65.00 MiB -1 -1 0.16 20376 1 0.03 -1 -1 33572 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 367 282 1 224 89 17 17 289 -1 unnamed_device 26.7 MiB 1.91 1321 14345 3951 8541 1853 65.0 MiB 0.14 0.00 3.60205 -109.719 -3.60205 3.60205 0.96 0.000532056 0.000485675 0.0401283 0.0365646 34 3204 25 6.89349e+06 352346 618332. 2139.56 1.99 0.179931 0.158412 25762 151098 -1 2583 21 1457 2439 192212 41693 3.60416 3.60416 -124.443 -3.60416 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0258964 0.0229676 159 26 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 8.49 vpr 64.80 MiB -1 -1 0.17 20804 1 0.03 -1 -1 33784 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 391 311 1 250 88 17 17 289 -1 unnamed_device 26.4 MiB 2.46 1342 16078 5333 8863 1882 64.8 MiB 0.14 0.00 3.57677 -122.298 -3.57677 3.57677 0.95 0.000540233 0.000490725 0.0474274 0.043135 34 3631 31 6.89349e+06 338252 618332. 2139.56 2.70 0.185939 0.162883 25762 151098 -1 2853 21 2352 3233 315530 66528 3.75255 3.75255 -145.95 -3.75255 0 0 787024. 2723.27 0.30 0.10 0.14 -1 -1 0.30 0.0265541 0.0234512 168 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 6.05 vpr 64.64 MiB -1 -1 0.14 20592 1 0.03 -1 -1 34032 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 26.3 MiB 1.37 933 9706 2569 6078 1059 64.6 MiB 0.08 0.00 3.21878 -100.089 -3.21878 3.21878 0.91 0.000428977 0.000382741 0.0248366 0.022676 34 2122 20 6.89349e+06 253689 618332. 2139.56 1.63 0.120089 0.105287 25762 151098 -1 1847 23 1171 1843 176030 36127 3.05485 3.05485 -114.037 -3.05485 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0202954 0.0177433 109 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 7.88 vpr 65.00 MiB -1 -1 0.17 20584 1 0.03 -1 -1 34020 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 31 32 370 297 1 235 88 17 17 289 -1 unnamed_device 26.6 MiB 2.57 1278 11593 3170 7401 1022 65.0 MiB 0.11 0.00 3.42249 -111.085 -3.42249 3.42249 0.93 0.000523598 0.000466452 0.0336009 0.0304175 34 3269 23 6.89349e+06 352346 618332. 2139.56 2.04 0.156743 0.136876 25762 151098 -1 2758 20 1712 2544 193286 42979 3.7144 3.7144 -137.223 -3.7144 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0243319 0.0214832 160 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 10.79 vpr 65.12 MiB -1 -1 0.17 20688 1 0.03 -1 -1 33740 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 31 32 377 302 1 241 88 17 17 289 -1 unnamed_device 26.7 MiB 3.08 1178 13933 4197 7735 2001 65.1 MiB 0.14 0.00 4.34127 -130.272 -4.34127 4.34127 0.97 0.00056193 0.000512868 0.041116 0.0374947 38 2852 24 6.89349e+06 352346 678818. 2348.85 4.28 0.218808 0.190764 26626 170182 -1 2448 18 1758 2559 190924 40178 4.66428 4.66428 -155.12 -4.66428 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0230058 0.020461 163 60 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 7.83 vpr 64.81 MiB -1 -1 0.17 20548 1 0.03 -1 -1 33720 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 383 305 1 240 88 17 17 289 -1 unnamed_device 26.4 MiB 2.61 1138 15688 4816 8173 2699 64.8 MiB 0.14 0.00 4.74618 -136.117 -4.74618 4.74618 1.00 0.000540554 0.00049406 0.0461577 0.0419961 34 2972 25 6.89349e+06 352346 618332. 2139.56 1.86 0.171335 0.149912 25762 151098 -1 2320 22 1874 2811 192911 44258 4.73554 4.73554 -163.324 -4.73554 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0269936 0.0238681 166 60 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.26 vpr 64.83 MiB -1 -1 0.16 20668 1 0.03 -1 -1 33968 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 31 32 352 285 1 223 87 17 17 289 -1 unnamed_device 26.2 MiB 2.26 1126 12759 3721 7822 1216 64.8 MiB 0.12 0.00 3.17668 -101.657 -3.17668 3.17668 0.96 0.000555448 0.000510034 0.0363065 0.0331522 34 2939 23 6.89349e+06 338252 618332. 2139.56 1.73 0.157418 0.137886 25762 151098 -1 2256 18 1554 2292 142706 35261 2.95831 2.95831 -113.195 -2.95831 0 0 787024. 2723.27 0.32 0.06 0.13 -1 -1 0.32 0.021461 0.0190177 148 51 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 6.92 vpr 64.50 MiB -1 -1 0.16 20460 1 0.03 -1 -1 33712 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 32 32 291 242 1 188 84 17 17 289 -1 unnamed_device 26.1 MiB 2.19 989 14175 5590 7349 1236 64.5 MiB 0.11 0.00 3.64925 -99.1057 -3.64925 3.64925 0.91 0.000422547 0.000384029 0.0345252 0.0314547 34 2559 26 6.89349e+06 281877 618332. 2139.56 1.60 0.136169 0.119127 25762 151098 -1 2082 21 1195 1728 126769 29863 3.70276 3.70276 -117.849 -3.70276 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0199562 0.0176377 120 24 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 8.99 vpr 65.48 MiB -1 -1 0.16 21176 1 0.03 -1 -1 33740 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 32 32 457 356 1 296 95 17 17 289 -1 unnamed_device 27.0 MiB 2.68 1522 11543 2757 8138 648 65.5 MiB 0.13 0.00 4.19571 -136.492 -4.19571 4.19571 0.96 0.000621024 0.000564257 0.0360505 0.0327646 34 4736 48 6.89349e+06 436909 618332. 2139.56 2.93 0.18424 0.161022 25762 151098 -1 3237 25 2604 3916 312438 71846 4.99139 4.99139 -176.994 -4.99139 0 0 787024. 2723.27 0.30 0.11 0.14 -1 -1 0.30 0.0323735 0.0284669 203 84 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 6.61 vpr 64.36 MiB -1 -1 0.15 20284 1 0.03 -1 -1 33804 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 31 32 261 225 1 171 81 17 17 289 -1 unnamed_device 25.8 MiB 1.97 1018 10056 2694 6355 1007 64.4 MiB 0.07 0.00 2.974 -92.1126 -2.974 2.974 0.94 0.000399657 0.000364878 0.024508 0.0223658 34 2204 23 6.89349e+06 253689 618332. 2139.56 1.49 0.10941 0.0950114 25762 151098 -1 1933 17 1145 1554 117683 26662 3.03351 3.03351 -108.752 -3.03351 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0161304 0.014249 106 24 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 8.84 vpr 64.81 MiB -1 -1 0.16 20736 1 0.03 -1 -1 33752 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 26.2 MiB 1.77 1236 10481 2814 6547 1120 64.8 MiB 0.10 0.00 3.75642 -119.135 -3.75642 3.75642 0.95 0.000502581 0.000450352 0.029568 0.0269731 30 2808 32 6.89349e+06 324158 556674. 1926.21 3.84 0.18554 0.161059 25186 138497 -1 2216 22 1409 2078 116007 27803 3.9346 3.9346 -137.838 -3.9346 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0238599 0.0211326 140 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 7.78 vpr 64.98 MiB -1 -1 0.15 20532 1 0.03 -1 -1 33964 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 349 284 1 222 87 17 17 289 -1 unnamed_device 26.6 MiB 2.53 1301 16023 5316 8071 2636 65.0 MiB 0.14 0.00 3.41329 -109.461 -3.41329 3.41329 0.96 0.000482653 0.000439413 0.0438142 0.0398272 34 3230 24 6.89349e+06 324158 618332. 2139.56 1.96 0.172899 0.151942 25762 151098 -1 2593 20 1421 2289 177595 39579 3.6724 3.6724 -129.599 -3.6724 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0225673 0.0199423 149 50 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 5.79 vpr 64.58 MiB -1 -1 0.14 20252 1 0.03 -1 -1 33952 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 26.2 MiB 0.70 1058 12552 3287 7737 1528 64.6 MiB 0.10 0.00 3.37229 -107.321 -3.37229 3.37229 0.93 0.000471267 0.00043018 0.0300709 0.027368 34 2366 20 6.89349e+06 366440 618332. 2139.56 1.86 0.130755 0.114563 25762 151098 -1 2098 22 1387 2615 185855 40765 3.6232 3.6232 -125.916 -3.6232 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0220779 0.0195113 123 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 9.27 vpr 64.78 MiB -1 -1 0.17 20668 1 0.03 -1 -1 33624 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 353 287 1 220 87 17 17 289 -1 unnamed_device 26.5 MiB 2.06 1074 13911 4654 6551 2706 64.8 MiB 0.12 0.00 3.42271 -103.027 -3.42271 3.42271 0.93 0.000506156 0.000460523 0.0390261 0.0355354 36 2555 22 6.89349e+06 324158 648988. 2245.63 3.96 0.192267 0.166744 26050 158493 -1 2223 19 1499 2115 168064 37572 3.02926 3.02926 -114.863 -3.02926 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0237567 0.0210661 148 52 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 8.46 vpr 64.91 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33820 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 361 291 1 233 88 17 17 289 -1 unnamed_device 26.6 MiB 2.44 1108 14908 4824 7385 2699 64.9 MiB 0.12 0.00 3.31619 -102.977 -3.31619 3.31619 0.93 0.000506741 0.000461114 0.0408111 0.0371008 36 3281 34 6.89349e+06 338252 648988. 2245.63 2.72 0.166873 0.145395 26050 158493 -1 2470 19 1642 2455 190923 44162 3.90705 3.90705 -129.732 -3.90705 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0221305 0.0194443 154 52 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 7.37 vpr 64.80 MiB -1 -1 0.16 20648 1 0.03 -1 -1 33652 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 382 305 1 243 90 17 17 289 -1 unnamed_device 26.4 MiB 2.10 1356 13758 4192 7257 2309 64.8 MiB 0.13 0.00 3.22384 -110.279 -3.22384 3.22384 0.95 0.000480718 0.000438021 0.0380414 0.0344812 34 3283 29 6.89349e+06 366440 618332. 2139.56 1.95 0.141419 0.123996 25762 151098 -1 2666 21 1810 2537 198013 43136 3.12551 3.12551 -127.459 -3.12551 0 0 787024. 2723.27 0.33 0.08 0.14 -1 -1 0.33 0.026069 0.023094 164 59 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 6.90 vpr 64.72 MiB -1 -1 0.16 20564 1 0.03 -1 -1 33756 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 32 32 306 248 1 188 85 17 17 289 -1 unnamed_device 26.3 MiB 1.92 954 14221 3790 8871 1560 64.7 MiB 0.12 0.00 3.61195 -107.106 -3.61195 3.61195 0.98 0.000436795 0.000396498 0.037029 0.0337158 34 2377 31 6.89349e+06 295971 618332. 2139.56 1.69 0.149937 0.131477 25762 151098 -1 1935 20 1177 1910 128213 31756 3.66526 3.66526 -123.89 -3.66526 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0199684 0.0176263 128 21 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 6.80 vpr 64.57 MiB -1 -1 0.14 20244 1 0.03 -1 -1 33756 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 26.1 MiB 1.94 1095 11048 2744 7222 1082 64.6 MiB 0.10 0.00 3.93308 -117.677 -3.93308 3.93308 0.94 0.000468175 0.000427164 0.0291171 0.02659 34 2822 43 6.89349e+06 310065 618332. 2139.56 1.65 0.132226 0.115653 25762 151098 -1 2312 20 1380 2031 146501 33630 3.8428 3.8428 -130.75 -3.8428 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0212992 0.0188294 135 26 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 6.71 vpr 65.00 MiB -1 -1 0.15 20460 1 0.03 -1 -1 34032 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 31 32 373 299 1 227 87 17 17 289 -1 unnamed_device 26.6 MiB 1.49 1438 14871 4798 8065 2008 65.0 MiB 0.14 0.00 3.81572 -120.928 -3.81572 3.81572 0.92 0.000508764 0.00046484 0.041477 0.037815 34 3496 45 6.89349e+06 338252 618332. 2139.56 2.00 0.187808 0.164703 25762 151098 -1 2801 23 1798 2879 252245 52500 3.90996 3.90996 -142.025 -3.90996 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.025779 0.0227172 156 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 10.41 vpr 65.09 MiB -1 -1 0.17 20640 1 0.03 -1 -1 33880 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 387 315 1 249 89 17 17 289 -1 unnamed_device 26.6 MiB 3.00 1313 8801 1909 6412 480 65.1 MiB 0.09 0.00 3.80725 -116.552 -3.80725 3.80725 0.93 0.000524585 0.000477304 0.0259615 0.0236177 36 3220 28 6.89349e+06 352346 648988. 2245.63 4.16 0.20007 0.173007 26050 158493 -1 2747 22 1886 2814 192181 44062 3.63536 3.63536 -133.834 -3.63536 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0251804 0.0220782 166 74 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 6.36 vpr 64.39 MiB -1 -1 0.15 20236 1 0.03 -1 -1 33520 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 251 219 1 156 79 17 17 289 -1 unnamed_device 25.9 MiB 1.83 846 8867 2360 6012 495 64.4 MiB 0.07 0.00 2.79059 -89.1076 -2.79059 2.79059 0.93 0.000376881 0.000342391 0.0215083 0.0195347 34 1978 24 6.89349e+06 211408 618332. 2139.56 1.44 0.102205 0.0883822 25762 151098 -1 1754 21 998 1615 103948 24750 2.89726 2.89726 -101.525 -2.89726 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0175102 0.0153958 96 20 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 6.80 vpr 64.88 MiB -1 -1 0.16 20824 1 0.03 -1 -1 33800 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 341 285 1 219 84 17 17 289 -1 unnamed_device 26.3 MiB 1.51 1015 10881 2467 7293 1121 64.9 MiB 0.09 0.00 3.45729 -116.703 -3.45729 3.45729 0.95 0.000492895 0.000442133 0.0311408 0.0283939 36 2726 22 6.89349e+06 281877 648988. 2245.63 2.04 0.122481 0.107739 26050 158493 -1 2144 22 1876 2555 188538 44365 3.65205 3.65205 -139.988 -3.65205 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0234877 0.0206998 138 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 7.10 vpr 65.00 MiB -1 -1 0.17 20516 1 0.03 -1 -1 33840 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 387 293 1 237 89 17 17 289 -1 unnamed_device 26.5 MiB 1.99 1416 17117 5679 9084 2354 65.0 MiB 0.16 0.00 4.49577 -134.492 -4.49577 4.49577 0.91 0.000536614 0.000490818 0.0494236 0.0450555 36 3175 26 6.89349e+06 352346 648988. 2245.63 1.88 0.155986 0.138074 26050 158493 -1 2748 21 1844 2988 212566 45951 4.38245 4.38245 -148.382 -4.38245 0 0 828058. 2865.25 0.32 0.08 0.13 -1 -1 0.32 0.0264275 0.0233945 168 28 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 9.55 vpr 64.91 MiB -1 -1 0.15 20296 1 0.03 -1 -1 33588 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 340 270 1 212 86 17 17 289 -1 unnamed_device 26.4 MiB 2.21 1004 14450 4790 7027 2633 64.9 MiB 0.12 0.00 3.53796 -113.493 -3.53796 3.53796 0.97 0.000484011 0.000440171 0.0409764 0.0373766 36 2660 19 6.89349e+06 310065 648988. 2245.63 4.03 0.196771 0.171311 26050 158493 -1 2186 20 1621 2381 180525 41091 3.22686 3.22686 -122.753 -3.22686 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0233578 0.0206877 144 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 6.69 vpr 64.62 MiB -1 -1 0.16 20340 1 0.03 -1 -1 33792 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 30 32 278 235 1 175 89 17 17 289 -1 unnamed_device 26.2 MiB 1.70 1008 17711 5346 10399 1966 64.6 MiB 0.13 0.00 3.17564 -102.032 -3.17564 3.17564 0.94 0.000412242 0.00037446 0.0390578 0.035545 34 2221 22 6.89349e+06 380534 618332. 2139.56 1.72 0.115141 0.101216 25762 151098 -1 1986 22 1257 2111 154249 35358 3.48495 3.48495 -124.405 -3.48495 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0202894 0.0178336 118 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 11.38 vpr 65.21 MiB -1 -1 0.17 21004 1 0.03 -1 -1 33700 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 32 32 431 332 1 270 91 17 17 289 -1 unnamed_device 26.7 MiB 3.72 1598 14983 4019 9208 1756 65.2 MiB 0.18 0.00 5.53985 -161.329 -5.53985 5.53985 0.95 0.000619456 0.000564081 0.0467677 0.0425621 38 3685 21 6.89349e+06 380534 678818. 2348.85 4.24 0.236912 0.205834 26626 170182 -1 3193 21 2232 3463 259707 55282 5.55253 5.55253 -187.141 -5.55253 0 0 902133. 3121.57 0.35 0.09 0.16 -1 -1 0.35 0.0292415 0.026039 188 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 6.26 vpr 64.79 MiB -1 -1 0.15 20604 1 0.03 -1 -1 33676 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 336 268 1 205 85 17 17 289 -1 unnamed_device 26.3 MiB 1.59 1069 15709 4543 9110 2056 64.8 MiB 0.12 0.00 3.82232 -121.404 -3.82232 3.82232 0.91 0.000466732 0.000424441 0.0428751 0.0390839 34 2588 24 6.89349e+06 295971 618332. 2139.56 1.54 0.151806 0.133292 25762 151098 -1 2238 21 1746 2446 177923 41013 3.9728 3.9728 -141.708 -3.9728 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0226602 0.0200557 139 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 6.21 vpr 64.14 MiB -1 -1 0.14 20384 1 0.03 -1 -1 33652 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65680 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.7 MiB 0.66 700 11203 2591 7175 1437 64.1 MiB 0.08 0.00 2.8828 -80.534 -2.8828 2.8828 0.95 0.000391969 0.000358306 0.0231761 0.0211679 30 1745 28 6.89349e+06 338252 556674. 1926.21 2.42 0.127794 0.11071 25186 138497 -1 1525 20 847 1528 99174 23222 2.75811 2.75811 -94.3849 -2.75811 0 0 706193. 2443.58 0.31 0.05 0.13 -1 -1 0.31 0.0184708 0.0162603 94 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 7.28 vpr 64.93 MiB -1 -1 0.16 20744 1 0.03 -1 -1 33516 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 349 273 1 214 87 17 17 289 -1 unnamed_device 26.4 MiB 2.12 1248 13527 4219 7847 1461 64.9 MiB 0.12 0.00 4.19497 -117.606 -4.19497 4.19497 0.98 0.000494773 0.000450892 0.0381212 0.0346179 34 3070 21 6.89349e+06 324158 618332. 2139.56 1.85 0.152422 0.133042 25762 151098 -1 2513 21 1466 2566 175916 39733 4.3393 4.3393 -139.268 -4.3393 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0229944 0.0202818 149 26 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 6.89 vpr 64.40 MiB -1 -1 0.12 20148 1 0.03 -1 -1 34104 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.9 MiB 0.73 705 7283 1575 5151 557 64.4 MiB 0.05 0.00 2.81765 -87.6139 -2.81765 2.81765 0.96 0.000393352 0.000358835 0.0171488 0.0156369 34 1860 18 6.89349e+06 267783 618332. 2139.56 3.08 0.139117 0.120173 25762 151098 -1 1459 18 1032 1776 113105 28197 2.70506 2.70506 -101.131 -2.70506 0 0 787024. 2723.27 0.32 0.05 0.13 -1 -1 0.32 0.0163255 0.0143852 98 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 6.39 vpr 64.38 MiB -1 -1 0.14 20320 1 0.03 -1 -1 33552 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 30 32 278 235 1 175 82 17 17 289 -1 unnamed_device 26.0 MiB 1.45 854 9516 2323 6740 453 64.4 MiB 0.08 0.00 3.17368 -94.5914 -3.17368 3.17368 0.95 0.000419711 0.000382706 0.0243987 0.0223467 34 2168 29 6.89349e+06 281877 618332. 2139.56 1.79 0.133959 0.118062 25762 151098 -1 1948 21 1262 1797 139742 32371 3.16966 3.16966 -112.864 -3.16966 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0197819 0.0174855 113 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 8.77 vpr 64.98 MiB -1 -1 0.14 20624 1 0.03 -1 -1 33968 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 29 32 355 287 1 224 87 17 17 289 -1 unnamed_device 26.6 MiB 3.52 1099 11991 3115 8122 754 65.0 MiB 0.11 0.00 3.60013 -107.086 -3.60013 3.60013 0.97 0.000494764 0.000451822 0.0335819 0.0305811 34 2992 39 6.89349e+06 366440 618332. 2139.56 1.96 0.175667 0.15351 25762 151098 -1 2348 20 1655 2441 188687 43471 3.53834 3.53834 -125.749 -3.53834 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0238848 0.0210845 154 56 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 7.68 vpr 65.07 MiB -1 -1 0.15 20604 1 0.03 -1 -1 33804 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 358 289 1 230 86 17 17 289 -1 unnamed_device 26.7 MiB 2.18 1279 16907 6119 8984 1804 65.1 MiB 0.15 0.00 4.11834 -130.881 -4.11834 4.11834 0.98 0.000516161 0.000469825 0.0488176 0.0445301 34 3169 32 6.89349e+06 310065 618332. 2139.56 2.20 0.19066 0.168344 25762 151098 -1 2462 22 1857 2710 183402 43528 4.20505 4.20505 -150.53 -4.20505 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0242008 0.0213203 151 51 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 7.38 vpr 65.00 MiB -1 -1 0.15 20564 1 0.03 -1 -1 34028 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 353 285 1 228 87 17 17 289 -1 unnamed_device 26.4 MiB 1.81 1306 11223 2863 7379 981 65.0 MiB 0.10 0.00 4.28447 -128.177 -4.28447 4.28447 0.96 0.000515612 0.000456219 0.0327213 0.0297851 36 3144 29 6.89349e+06 324158 648988. 2245.63 2.28 0.173512 0.152446 26050 158493 -1 2718 20 1950 2794 239587 50893 4.36935 4.36935 -150.518 -4.36935 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0241533 0.021376 150 48 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 5.98 vpr 64.52 MiB -1 -1 0.14 20364 1 0.03 -1 -1 33492 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 25.9 MiB 1.90 935 12247 4169 6567 1511 64.5 MiB 0.10 0.00 3.71247 -110.557 -3.71247 3.71247 0.96 0.000423098 0.000386604 0.0326571 0.0297873 30 2156 19 6.89349e+06 211408 556674. 1926.21 0.96 0.0886619 0.0782416 25186 138497 -1 1793 20 855 1193 82557 18816 3.18905 3.18905 -116.88 -3.18905 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0185029 0.0163965 105 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 6.84 vpr 64.81 MiB -1 -1 0.15 20180 1 0.03 -1 -1 34000 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 319 272 1 203 83 17 17 289 -1 unnamed_device 26.3 MiB 1.78 1000 15203 5165 7372 2666 64.8 MiB 0.12 0.00 2.9155 -100.463 -2.9155 2.9155 0.92 0.000486505 0.000443383 0.0410309 0.0372276 34 2702 23 6.89349e+06 281877 618332. 2139.56 1.87 0.148838 0.129774 25762 151098 -1 2189 24 1668 2295 177165 39693 3.10515 3.10515 -119.924 -3.10515 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0249192 0.021872 131 60 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 7.85 vpr 64.86 MiB -1 -1 0.14 20456 1 0.03 -1 -1 34012 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 30 32 329 273 1 213 88 17 17 289 -1 unnamed_device 26.3 MiB 2.39 1176 12373 3727 7538 1108 64.9 MiB 0.10 0.00 3.0363 -92.5923 -3.0363 3.0363 0.97 0.000473946 0.000432056 0.0316512 0.0288082 34 2745 45 6.89349e+06 366440 618332. 2139.56 2.25 0.170859 0.149688 25762 151098 -1 2291 20 1389 2033 159759 35231 2.97821 2.97821 -109.878 -2.97821 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0219403 0.0194123 142 52 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 6.52 vpr 64.48 MiB -1 -1 0.16 20412 1 0.03 -1 -1 33780 -1 -1 23 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 28 32 277 229 1 171 83 17 17 289 -1 unnamed_device 26.1 MiB 1.66 887 13583 5697 6722 1164 64.5 MiB 0.09 0.00 3.50369 -90.3791 -3.50369 3.50369 0.94 0.000416476 0.000377862 0.0333537 0.0303302 36 1956 18 6.89349e+06 324158 648988. 2245.63 1.72 0.122605 0.106885 26050 158493 -1 1526 20 1059 1719 96726 23337 3.70146 3.70146 -101.634 -3.70146 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.018048 0.0159176 119 20 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 10.13 vpr 64.83 MiB -1 -1 0.15 20352 1 0.03 -1 -1 33820 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 30 32 317 269 1 202 83 17 17 289 -1 unnamed_device 26.3 MiB 2.79 1085 14663 4703 7768 2192 64.8 MiB 0.12 0.00 3.54502 -110.802 -3.54502 3.54502 0.97 0.000456049 0.000415576 0.0389052 0.0354138 36 2551 21 6.89349e+06 295971 648988. 2245.63 4.03 0.191689 0.167054 26050 158493 -1 2203 20 1682 2370 195612 40702 3.68864 3.68864 -133.051 -3.68864 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0214228 0.0189379 130 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 8.34 vpr 64.71 MiB -1 -1 0.16 20844 1 0.03 -1 -1 33756 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 335 282 1 222 84 17 17 289 -1 unnamed_device 26.1 MiB 2.44 1246 9783 2582 5736 1465 64.7 MiB 0.09 0.00 3.03554 -108.938 -3.03554 3.03554 0.99 0.000466707 0.000423903 0.0274906 0.0250641 34 3052 41 6.89349e+06 281877 618332. 2139.56 2.57 0.137574 0.120504 25762 151098 -1 2577 21 1912 2633 236169 50591 3.09105 3.09105 -128.22 -3.09105 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0219815 0.0194632 138 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 5.06 vpr 64.66 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33732 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 26.2 MiB 0.76 1068 17134 4658 10392 2084 64.7 MiB 0.14 0.00 3.69462 -109.783 -3.69462 3.69462 0.91 0.000459736 0.000417642 0.039119 0.0354728 32 2745 25 6.89349e+06 436909 586450. 2029.24 1.11 0.101611 0.0893276 25474 144626 -1 2182 20 1365 2592 193748 43413 3.7948 3.7948 -125.086 -3.7948 0 0 744469. 2576.02 0.29 0.07 0.14 -1 -1 0.29 0.0196465 0.0172299 129 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 7.57 vpr 64.71 MiB -1 -1 0.15 20748 1 0.03 -1 -1 34020 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 26.2 MiB 2.24 1013 14487 4470 7256 2761 64.7 MiB 0.12 0.00 3.78342 -121.532 -3.78342 3.78342 0.91 0.000502758 0.000459433 0.0398568 0.0364458 34 3268 26 6.89349e+06 324158 618332. 2139.56 2.20 0.170384 0.150119 25762 151098 -1 2437 21 1852 2810 241205 53301 4.10436 4.10436 -147.681 -4.10436 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0239865 0.0211035 148 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 8.70 vpr 65.12 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33912 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 385 308 1 244 91 17 17 289 -1 unnamed_device 26.7 MiB 2.12 1402 15391 5331 8291 1769 65.1 MiB 0.15 0.00 4.36021 -136.876 -4.36021 4.36021 0.92 0.000527155 0.00047874 0.0432255 0.0395019 34 3562 48 6.89349e+06 380534 618332. 2139.56 3.29 0.204674 0.180691 25762 151098 -1 2809 23 2144 2987 379566 121941 4.44565 4.44565 -159.116 -4.44565 0 0 787024. 2723.27 0.31 0.12 0.13 -1 -1 0.31 0.0282927 0.02511 164 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 9.05 vpr 64.98 MiB -1 -1 0.16 20520 1 0.03 -1 -1 33612 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 387 309 1 248 90 17 17 289 -1 unnamed_device 26.5 MiB 2.28 1383 12753 3253 7703 1797 65.0 MiB 0.13 0.00 3.66297 -123.421 -3.66297 3.66297 0.96 0.000556675 0.000507239 0.0372182 0.0338495 36 3133 31 6.89349e+06 366440 648988. 2245.63 3.45 0.194884 0.171714 26050 158493 -1 2800 17 1645 2409 188156 40122 3.6625 3.6625 -139.69 -3.6625 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0238237 0.0212197 164 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 6.94 vpr 64.15 MiB -1 -1 0.16 20272 1 0.03 -1 -1 33736 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 30 32 272 232 1 176 83 17 17 289 -1 unnamed_device 25.8 MiB 2.16 961 15383 5315 7803 2265 64.1 MiB 0.11 0.00 3.29223 -102.912 -3.29223 3.29223 0.93 0.000431998 0.000389963 0.038421 0.0350016 34 2339 24 6.89349e+06 295971 618332. 2139.56 1.62 0.128929 0.11276 25762 151098 -1 1904 22 1371 1972 157146 35008 3.23721 3.23721 -111.729 -3.23721 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0193195 0.0169991 112 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 10.31 vpr 65.07 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33980 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 30 32 375 299 1 236 88 17 17 289 -1 unnamed_device 26.6 MiB 2.98 1248 10813 2534 7525 754 65.1 MiB 0.11 0.00 4.46357 -133.845 -4.46357 4.46357 0.94 0.000540176 0.000490626 0.032281 0.0293236 38 2685 22 6.89349e+06 366440 678818. 2348.85 4.02 0.196271 0.169559 26626 170182 -1 2304 23 1673 2398 167871 36823 4.38215 4.38215 -151.569 -4.38215 0 0 902133. 3121.57 0.36 0.07 0.15 -1 -1 0.36 0.0262533 0.0231725 162 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 7.09 vpr 64.98 MiB -1 -1 0.15 20476 1 0.03 -1 -1 33984 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 26.4 MiB 1.44 987 8535 1959 5883 693 65.0 MiB 0.08 0.00 4.12775 -120.614 -4.12775 4.12775 0.91 0.000481612 0.000438345 0.0237416 0.0215891 34 2883 26 6.89349e+06 324158 618332. 2139.56 2.55 0.126858 0.111781 25762 151098 -1 2274 21 1501 2563 237348 52678 3.90816 3.90816 -133.869 -3.90816 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0233921 0.0206391 139 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 7.09 vpr 64.96 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33792 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 31 32 340 275 1 211 86 17 17 289 -1 unnamed_device 26.4 MiB 2.16 1112 7457 1593 5369 495 65.0 MiB 0.08 0.00 3.97284 -116.355 -3.97284 3.97284 0.93 0.000515161 0.000468958 0.0220662 0.0201341 34 2891 31 6.89349e+06 324158 618332. 2139.56 1.74 0.139933 0.121576 25762 151098 -1 2296 23 1705 2538 168455 40249 4.55469 4.55469 -147.076 -4.55469 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0243133 0.0213743 142 43 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 8.01 vpr 64.91 MiB -1 -1 0.17 20612 1 0.03 -1 -1 33888 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 30 32 377 310 1 241 89 17 17 289 -1 unnamed_device 26.6 MiB 2.35 1409 14741 4394 7895 2452 64.9 MiB 0.13 0.00 3.74362 -115.714 -3.74362 3.74362 0.96 0.000521988 0.000474656 0.0409219 0.0372023 34 3333 50 6.89349e+06 380534 618332. 2139.56 2.33 0.178328 0.156775 25762 151098 -1 2713 18 1798 2634 241905 59575 3.91785 3.91785 -139.433 -3.91785 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0221577 0.0196016 162 78 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 9.63 vpr 64.90 MiB -1 -1 0.17 20580 1 0.03 -1 -1 33448 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 365 294 1 230 87 17 17 289 -1 unnamed_device 26.6 MiB 3.39 1168 12567 3277 8211 1079 64.9 MiB 0.12 0.00 4.53067 -134.342 -4.53067 4.53067 0.98 0.000530955 0.000483246 0.0366762 0.033341 36 2953 42 6.89349e+06 324158 648988. 2245.63 2.87 0.190015 0.167186 26050 158493 -1 2548 21 1927 2846 206600 48193 4.40635 4.40635 -152.47 -4.40635 0 0 828058. 2865.25 0.34 0.08 0.14 -1 -1 0.34 0.0252298 0.0223355 155 54 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 9.10 vpr 65.10 MiB -1 -1 0.16 20620 1 0.03 -1 -1 33912 -1 -1 30 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 29 32 378 310 1 247 91 17 17 289 -1 unnamed_device 26.7 MiB 1.94 1324 14575 3657 8994 1924 65.1 MiB 0.13 0.00 3.68595 -113.583 -3.68595 3.68595 0.95 0.000532534 0.000477847 0.039986 0.0361876 36 2925 24 6.89349e+06 422815 648988. 2245.63 3.91 0.194549 0.168693 26050 158493 -1 2574 20 1701 2305 160193 35546 3.6264 3.6264 -127.966 -3.6264 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0234377 0.020649 166 79 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 5.38 vpr 64.37 MiB -1 -1 0.15 20168 1 0.03 -1 -1 33696 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.9 MiB 0.63 856 9181 2604 5846 731 64.4 MiB 0.07 0.00 3.26403 -101.059 -3.26403 3.26403 0.98 0.000383169 0.000348897 0.022671 0.0207186 34 1889 20 6.89349e+06 239595 618332. 2139.56 1.55 0.108808 0.0950698 25762 151098 -1 1697 19 883 1441 108087 24433 2.87996 2.87996 -108.661 -2.87996 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0166559 0.0146552 96 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 9.28 vpr 65.07 MiB -1 -1 0.13 20592 1 0.03 -1 -1 33932 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 373 302 1 241 89 17 17 289 -1 unnamed_device 26.6 MiB 1.90 1340 16127 4634 8947 2546 65.1 MiB 0.14 0.00 4.4438 -137.071 -4.4438 4.4438 0.92 0.000508906 0.000463992 0.0444113 0.0405477 38 2706 21 6.89349e+06 352346 678818. 2348.85 4.15 0.243955 0.213264 26626 170182 -1 2512 21 1668 2399 186102 38958 4.62115 4.62115 -157.766 -4.62115 0 0 902133. 3121.57 0.34 0.07 0.14 -1 -1 0.34 0.0247169 0.0219392 156 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 10.04 vpr 65.07 MiB -1 -1 0.16 20872 1 0.03 -1 -1 34052 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 397 314 1 256 89 17 17 289 -1 unnamed_device 26.6 MiB 3.57 1296 8999 1856 6486 657 65.1 MiB 0.10 0.00 4.41647 -143.96 -4.41647 4.41647 0.97 0.000556847 0.000505563 0.0275307 0.0250836 36 3363 29 6.89349e+06 352346 648988. 2245.63 3.09 0.18693 0.16475 26050 158493 -1 2915 22 2248 3276 277200 59277 4.81268 4.81268 -171.588 -4.81268 0 0 828058. 2865.25 0.33 0.09 0.14 -1 -1 0.33 0.0288398 0.0254638 171 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.59 vpr 64.49 MiB -1 -1 0.15 20420 1 0.03 -1 -1 34000 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 32 32 269 231 1 172 82 17 17 289 -1 unnamed_device 25.9 MiB 2.92 946 12720 3584 7651 1485 64.5 MiB 0.09 0.00 3.14102 -93.6681 -3.14102 3.14102 0.94 0.000413706 0.00037632 0.0311629 0.028334 34 2088 30 6.89349e+06 253689 618332. 2139.56 1.50 0.122745 0.106668 25762 151098 -1 1826 16 854 1159 84461 20727 2.96031 2.96031 -107.038 -2.96031 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0156205 0.0137792 108 26 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 4.70 vpr 64.02 MiB -1 -1 0.13 20340 1 0.03 -1 -1 33648 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65552 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.5 MiB 0.68 814 8723 2270 5729 724 64.0 MiB 0.07 0.00 3.20583 -100.243 -3.20583 3.20583 0.92 0.000361853 0.00032985 0.0202288 0.0184575 32 2107 23 6.89349e+06 281877 586450. 2029.24 0.96 0.0692526 0.0606462 25474 144626 -1 1818 20 1176 1921 152303 34818 3.04631 3.04631 -115.125 -3.04631 0 0 744469. 2576.02 0.29 0.05 0.13 -1 -1 0.29 0.0168682 0.0148134 99 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 7.44 vpr 64.79 MiB -1 -1 0.15 20628 1 0.03 -1 -1 33788 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 26.2 MiB 2.24 1127 10647 2738 6893 1016 64.8 MiB 0.10 0.00 3.58702 -118.784 -3.58702 3.58702 0.94 0.00047364 0.000431581 0.0290139 0.026452 34 2942 22 6.89349e+06 324158 618332. 2139.56 1.96 0.154355 0.135272 25762 151098 -1 2394 19 1778 2537 195157 43447 3.73055 3.73055 -137.709 -3.73055 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.023494 0.0208426 145 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 7.05 vpr 64.85 MiB -1 -1 0.16 20500 1 0.03 -1 -1 33884 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 356 289 1 224 87 17 17 289 -1 unnamed_device 26.3 MiB 2.05 1223 13527 3789 7324 2414 64.9 MiB 0.11 0.00 3.87394 -119.268 -3.87394 3.87394 0.92 0.000506359 0.000464809 0.0368284 0.0335894 34 3200 23 6.89349e+06 324158 618332. 2139.56 1.84 0.159856 0.140547 25762 151098 -1 2573 23 1655 2422 199408 44640 4.10759 4.10759 -140.261 -4.10759 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0260298 0.0230274 149 53 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 5.65 vpr 64.86 MiB -1 -1 0.15 20680 1 0.03 -1 -1 33856 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.2 MiB 0.77 1215 19356 6311 10204 2841 64.9 MiB 0.17 0.00 4.04336 -119.003 -4.04336 4.04336 0.95 0.000528387 0.000473749 0.0456573 0.041421 28 3296 31 6.89349e+06 507378 531479. 1839.03 1.63 0.140806 0.125189 24610 126494 -1 2646 23 1967 3523 284786 61075 4.27994 4.27994 -147.011 -4.27994 0 0 648988. 2245.63 0.26 0.10 0.11 -1 -1 0.26 0.0281187 0.0247291 157 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 6.92 vpr 64.40 MiB -1 -1 0.16 20392 1 0.03 -1 -1 33884 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65944 30 32 316 264 1 208 87 17 17 289 -1 unnamed_device 25.9 MiB 1.72 1094 16407 5366 8502 2539 64.4 MiB 0.13 0.00 2.95499 -90.7028 -2.95499 2.95499 0.95 0.000455124 0.000415117 0.0402022 0.0365645 34 2470 23 6.89349e+06 352346 618332. 2139.56 1.61 0.137664 0.120226 25762 151098 -1 2048 21 1575 2340 148123 35732 3.00456 3.00456 -104.686 -3.00456 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0218414 0.0192671 136 47 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 7.89 vpr 64.57 MiB -1 -1 0.16 20324 1 0.03 -1 -1 34236 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 27 32 255 219 1 162 79 17 17 289 -1 unnamed_device 26.0 MiB 1.62 801 13261 5556 6838 867 64.6 MiB 0.09 0.00 3.41829 -92.4304 -3.41829 3.41829 0.98 0.000401327 0.000365789 0.0319765 0.0291096 30 2135 25 6.89349e+06 281877 556674. 1926.21 3.07 0.153115 0.134533 25186 138497 -1 1582 23 942 1404 107247 24248 3.1524 3.1524 -105.593 -3.1524 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0187613 0.0163967 106 26 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 11.32 vpr 65.16 MiB -1 -1 0.17 20660 1 0.03 -1 -1 33764 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 32 32 421 327 1 271 91 17 17 289 -1 unnamed_device 26.7 MiB 3.34 1526 18247 5360 10462 2425 65.2 MiB 0.17 0.00 3.70801 -120.691 -3.70801 3.70801 0.94 0.000564683 0.000508778 0.0539288 0.0491061 36 3772 47 6.89349e+06 380534 648988. 2245.63 4.60 0.275007 0.240832 26050 158493 -1 3131 21 2006 3106 233002 50570 4.16595 4.16595 -145.67 -4.16595 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0270213 0.0238792 185 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 7.72 vpr 65.07 MiB -1 -1 0.16 20564 1 0.03 -1 -1 33980 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 31 32 365 296 1 233 87 17 17 289 -1 unnamed_device 26.7 MiB 2.23 1045 17175 5962 8664 2549 65.1 MiB 0.15 0.00 4.58387 -130.323 -4.58387 4.58387 0.94 0.000506729 0.000463241 0.0481233 0.043928 34 3313 29 6.89349e+06 338252 618332. 2139.56 2.20 0.191272 0.169086 25762 151098 -1 2383 21 1937 2815 197030 46528 4.52998 4.52998 -145.998 -4.52998 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0251521 0.0222683 155 60 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 7.27 vpr 64.51 MiB -1 -1 0.15 20744 1 0.03 -1 -1 33756 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 32 32 331 280 1 221 85 17 17 289 -1 unnamed_device 26.0 MiB 2.24 1152 11617 3158 7466 993 64.5 MiB 0.10 0.00 3.42429 -116.689 -3.42429 3.42429 0.94 0.000480645 0.000439489 0.0323762 0.0295721 34 2916 21 6.89349e+06 295971 618332. 2139.56 1.79 0.146048 0.128214 25762 151098 -1 2448 23 1773 2307 192931 42238 3.7426 3.7426 -141.52 -3.7426 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0231235 0.0202441 137 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 8.16 vpr 64.89 MiB -1 -1 0.15 20596 1 0.03 -1 -1 34076 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 326 263 1 203 85 17 17 289 -1 unnamed_device 26.4 MiB 2.34 1083 13291 3890 6982 2419 64.9 MiB 0.12 0.00 4.09751 -117.709 -4.09751 4.09751 0.98 0.000541305 0.000496219 0.0376711 0.0344457 34 2925 49 6.89349e+06 295971 618332. 2139.56 2.51 0.189874 0.167879 25762 151098 -1 2273 19 1326 1968 162803 36288 3.9857 3.9857 -137.085 -3.9857 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0221378 0.0196706 135 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 7.41 vpr 64.60 MiB -1 -1 0.17 20872 1 0.03 -1 -1 33852 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 31 32 373 294 1 231 89 17 17 289 -1 unnamed_device 26.2 MiB 2.14 1187 14939 4277 8596 2066 64.6 MiB 0.14 0.00 3.52995 -104.612 -3.52995 3.52995 0.94 0.000532332 0.000484604 0.0430428 0.0391437 34 3256 35 6.89349e+06 366440 618332. 2139.56 1.99 0.162936 0.143646 25762 151098 -1 2552 19 1981 3029 218597 49196 3.8087 3.8087 -128.894 -3.8087 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0232977 0.0205036 163 46 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 7.32 vpr 64.93 MiB -1 -1 0.17 20816 1 0.03 -1 -1 33580 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 30 32 325 268 1 210 86 17 17 289 -1 unnamed_device 26.3 MiB 2.13 1234 14450 4387 8487 1576 64.9 MiB 0.13 0.00 3.48179 -99.3124 -3.48179 3.48179 0.96 0.000478947 0.000438105 0.0386764 0.0353461 34 2912 34 6.89349e+06 338252 618332. 2139.56 1.91 0.163933 0.143898 25762 151098 -1 2360 15 1255 2027 135242 31031 3.6096 3.6096 -117.325 -3.6096 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.01816 0.0161855 140 46 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 9.13 vpr 64.91 MiB -1 -1 0.17 20572 1 0.03 -1 -1 33932 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 350 275 1 215 86 17 17 289 -1 unnamed_device 26.3 MiB 2.85 1282 16151 5835 7649 2667 64.9 MiB 0.14 0.00 3.88598 -128.15 -3.88598 3.88598 0.97 0.000509025 0.000462065 0.0458124 0.0417864 36 3129 31 6.89349e+06 310065 648988. 2245.63 2.93 0.190137 0.16826 26050 158493 -1 2599 19 1639 2566 221216 47236 4.13269 4.13269 -148.311 -4.13269 0 0 828058. 2865.25 0.32 0.08 0.14 -1 -1 0.32 0.0235636 0.020927 148 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 9.83 vpr 64.96 MiB -1 -1 0.17 20556 1 0.03 -1 -1 33836 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 386 307 1 246 90 17 17 289 -1 unnamed_device 26.5 MiB 2.97 1373 15969 4551 9068 2350 65.0 MiB 0.15 0.00 3.31614 -114.102 -3.31614 3.31614 0.93 0.000522425 0.000474093 0.0444939 0.0404887 34 3325 32 6.89349e+06 366440 618332. 2139.56 3.54 0.252358 0.220272 25762 151098 -1 2588 20 1772 2527 182006 40918 3.31711 3.31711 -130.405 -3.31711 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0252116 0.0223085 167 59 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 7.52 vpr 64.50 MiB -1 -1 0.15 20104 1 0.03 -1 -1 33944 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 29 32 269 229 1 173 81 17 17 289 -1 unnamed_device 25.9 MiB 1.49 748 11456 3180 6534 1742 64.5 MiB 0.09 0.00 3.40033 -100.227 -3.40033 3.40033 0.96 0.000367852 0.000330665 0.0289684 0.0264332 28 2030 19 6.89349e+06 281877 531479. 1839.03 2.89 0.1472 0.128869 24610 126494 -1 1724 20 1388 1861 128465 30715 3.38931 3.38931 -118.625 -3.38931 0 0 648988. 2245.63 0.27 0.05 0.11 -1 -1 0.27 0.0178104 0.0157646 110 28 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 7.37 vpr 64.45 MiB -1 -1 0.14 20368 1 0.03 -1 -1 34040 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 32 32 310 266 1 200 84 17 17 289 -1 unnamed_device 26.0 MiB 1.38 1092 14541 4346 8272 1923 64.4 MiB 0.12 0.00 3.42429 -108.008 -3.42429 3.42429 0.98 0.000455121 0.000414242 0.0378091 0.0343291 36 2558 29 6.89349e+06 281877 648988. 2245.63 2.65 0.16403 0.144476 26050 158493 -1 2176 22 1646 2293 194311 41180 3.5422 3.5422 -126.588 -3.5422 0 0 828058. 2865.25 0.34 0.07 0.14 -1 -1 0.34 0.0223945 0.0196997 125 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 6.70 vpr 64.77 MiB -1 -1 0.16 20180 1 0.03 -1 -1 33860 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 31 32 326 261 1 204 85 17 17 289 -1 unnamed_device 26.3 MiB 1.72 1201 14221 4217 8174 1830 64.8 MiB 0.12 0.00 3.81078 -114.366 -3.81078 3.81078 0.98 0.000480549 0.000437879 0.0390503 0.0355981 34 2702 21 6.89349e+06 310065 618332. 2139.56 1.66 0.147392 0.129089 25762 151098 -1 2290 21 1557 2500 172448 38990 3.49076 3.49076 -126.443 -3.49076 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0232234 0.0205084 137 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 8.04 vpr 64.62 MiB -1 -1 0.15 20100 1 0.03 -1 -1 33736 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 3.26 760 9540 2523 5670 1347 64.6 MiB 0.07 0.00 3.36962 -90.8618 -3.36962 3.36962 0.97 0.000394709 0.000358811 0.0238074 0.0217253 34 2076 23 6.89349e+06 267783 618332. 2139.56 1.57 0.0961953 0.0840936 25762 151098 -1 1703 17 992 1339 100198 24324 2.9715 2.9715 -102.07 -2.9715 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0167383 0.0148499 108 25 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 6.89 vpr 64.55 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33836 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 278 238 1 182 82 17 17 289 -1 unnamed_device 26.2 MiB 2.20 936 7736 1970 5270 496 64.5 MiB 0.06 0.00 3.26703 -103.618 -3.26703 3.26703 0.94 0.000456129 0.000415943 0.0205 0.0187102 34 2159 22 6.89349e+06 253689 618332. 2139.56 1.55 0.11487 0.0998526 25762 151098 -1 1899 21 1352 1954 155470 34896 3.04016 3.04016 -116.209 -3.04016 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0189733 0.0166931 114 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 9.37 vpr 65.07 MiB -1 -1 0.17 20380 1 0.03 -1 -1 33988 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 373 300 1 236 89 17 17 289 -1 unnamed_device 26.7 MiB 1.89 1199 10781 2506 7373 902 65.1 MiB 0.10 0.00 3.60497 -116.633 -3.60497 3.60497 0.97 0.000558284 0.000504586 0.0308531 0.0281257 36 2939 25 6.89349e+06 366440 648988. 2245.63 4.17 0.222861 0.193657 26050 158493 -1 2505 22 2219 3020 244204 53571 3.64625 3.64625 -136.582 -3.64625 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0255533 0.0225245 160 60 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 6.25 vpr 64.58 MiB -1 -1 0.15 20476 1 0.03 -1 -1 33556 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 31 32 265 230 1 175 80 17 17 289 -1 unnamed_device 26.0 MiB 2.12 871 10400 2220 7543 637 64.6 MiB 0.08 0.00 2.93195 -93.9974 -2.93195 2.93195 0.94 0.000416817 0.000378178 0.0265377 0.0242012 30 2201 24 6.89349e+06 239595 556674. 1926.21 1.03 0.082695 0.0725098 25186 138497 -1 1894 22 1199 1661 108169 24844 3.03066 3.03066 -110.59 -3.03066 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0195316 0.0171219 108 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 7.13 vpr 64.93 MiB -1 -1 0.16 20728 1 0.03 -1 -1 33908 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 349 286 1 221 86 17 17 289 -1 unnamed_device 26.4 MiB 2.06 1253 14261 4543 7356 2362 64.9 MiB 0.12 0.00 3.27699 -103.618 -3.27699 3.27699 0.98 0.000503353 0.000458349 0.0399821 0.0364855 34 3082 24 6.89349e+06 310065 618332. 2139.56 1.74 0.163907 0.143884 25762 151098 -1 2486 18 1375 1978 146636 33095 3.6346 3.6346 -126.36 -3.6346 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.022121 0.0196394 146 54 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 7.63 vpr 65.04 MiB -1 -1 0.16 20488 1 0.03 -1 -1 33740 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 31 32 396 325 1 259 89 17 17 289 -1 unnamed_device 26.6 MiB 2.27 1336 15137 4686 8668 1783 65.0 MiB 0.14 0.00 3.92778 -127.361 -3.92778 3.92778 0.94 0.000539367 0.000491665 0.0437692 0.0399116 36 3194 28 6.89349e+06 366440 648988. 2245.63 2.05 0.184301 0.162447 26050 158493 -1 2690 20 2260 3258 227778 51151 4.15979 4.15979 -152.236 -4.15979 0 0 828058. 2865.25 0.32 0.08 0.14 -1 -1 0.32 0.024677 0.0218154 170 87 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 9.93 vpr 64.80 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33248 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 303 262 1 200 82 17 17 289 -1 unnamed_device 26.3 MiB 2.79 1074 8804 2303 5981 520 64.8 MiB 0.08 0.00 3.0513 -97.8122 -3.0513 3.0513 0.99 0.00044469 0.000398254 0.0239302 0.0217823 36 2367 38 6.89349e+06 253689 648988. 2245.63 3.91 0.174747 0.150717 26050 158493 -1 2024 22 1575 2160 150962 33777 2.80696 2.80696 -110.178 -2.80696 0 0 828058. 2865.25 0.34 0.06 0.14 -1 -1 0.34 0.0217944 0.0192035 124 54 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 8.53 vpr 64.65 MiB -1 -1 0.15 20272 1 0.03 -1 -1 33676 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 26.3 MiB 1.31 871 8626 2091 6236 299 64.7 MiB 0.08 0.00 3.24503 -100.934 -3.24503 3.24503 0.98 0.000453379 0.000413781 0.0233702 0.0213386 36 2166 22 6.89349e+06 253689 648988. 2245.63 3.97 0.163194 0.141727 26050 158493 -1 1866 19 1156 1726 126877 30580 3.23286 3.23286 -119.86 -3.23286 0 0 828058. 2865.25 0.32 0.05 0.14 -1 -1 0.32 0.0191706 0.0169522 115 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 6.77 vpr 64.77 MiB -1 -1 0.16 20624 1 0.03 -1 -1 33628 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 318 257 1 198 86 17 17 289 -1 unnamed_device 26.2 MiB 1.96 1058 13694 3846 7638 2210 64.8 MiB 0.11 0.00 3.98738 -115.138 -3.98738 3.98738 0.94 0.00045413 0.000415172 0.0359257 0.0327882 34 2657 23 6.89349e+06 310065 618332. 2139.56 1.61 0.140431 0.12263 25762 151098 -1 2230 20 1386 1991 145983 33516 3.72976 3.72976 -127.704 -3.72976 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0206248 0.0181071 133 27 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 6.93 vpr 64.90 MiB -1 -1 0.15 20692 1 0.03 -1 -1 33736 -1 -1 25 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 29 32 324 268 1 207 86 17 17 289 -1 unnamed_device 26.3 MiB 2.20 1151 13316 3581 7864 1871 64.9 MiB 0.11 0.00 3.15468 -91.5834 -3.15468 3.15468 0.94 0.000478923 0.000439396 0.0355045 0.0324828 34 2501 22 6.89349e+06 352346 618332. 2139.56 1.53 0.139181 0.121696 25762 151098 -1 2189 21 1277 1825 134297 30600 3.19991 3.19991 -108.908 -3.19991 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0225263 0.0199148 138 49 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 8.62 vpr 65.16 MiB -1 -1 0.17 20488 1 0.03 -1 -1 33332 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 32 32 393 312 1 243 88 17 17 289 -1 unnamed_device 26.7 MiB 2.31 1226 12373 3452 8177 744 65.2 MiB 0.12 0.00 4.55604 -146.357 -4.55604 4.55604 0.98 0.000583973 0.000532775 0.0379112 0.0345587 36 3152 44 6.89349e+06 338252 648988. 2245.63 2.92 0.204545 0.179644 26050 158493 -1 2741 22 1936 3026 226289 51486 4.69519 4.69519 -168.908 -4.69519 0 0 828058. 2865.25 0.35 0.09 0.14 -1 -1 0.35 0.0278602 0.0246033 166 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 5.12 vpr 64.06 MiB -1 -1 0.14 20184 1 0.03 -1 -1 33712 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65600 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.6 MiB 0.64 760 11432 3583 5618 2231 64.1 MiB 0.08 0.00 2.85355 -89.4893 -2.85355 2.85355 0.94 0.00038833 0.000353428 0.0264942 0.0241939 30 1827 19 6.89349e+06 239595 556674. 1926.21 0.98 0.0774989 0.0683602 25186 138497 -1 1472 21 679 1045 63861 15096 2.55636 2.55636 -98.7819 -2.55636 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.017647 0.0155761 92 -1 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 7.74 vpr 64.98 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33760 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 32 32 412 334 1 269 91 17 17 289 -1 unnamed_device 26.5 MiB 2.16 1395 11719 3171 7242 1306 65.0 MiB 0.12 0.00 4.61883 -140.281 -4.61883 4.61883 0.93 0.000571314 0.000517333 0.0338417 0.0307112 36 3234 28 6.89349e+06 380534 648988. 2245.63 2.30 0.168204 0.146478 26050 158493 -1 2635 23 1967 2712 209662 45288 5.02024 5.02024 -171.248 -5.02024 0 0 828058. 2865.25 0.33 0.09 0.14 -1 -1 0.33 0.0307617 0.0271689 175 87 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 8.37 vpr 65.11 MiB -1 -1 0.15 20596 1 0.03 -1 -1 33744 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 32 32 376 318 1 259 87 17 17 289 -1 unnamed_device 26.7 MiB 2.56 1317 16023 5065 8713 2245 65.1 MiB 0.14 0.00 3.86068 -135.313 -3.86068 3.86068 0.94 0.000530038 0.000484235 0.0454628 0.0415039 36 3134 23 6.89349e+06 324158 648988. 2245.63 2.48 0.187308 0.165634 26050 158493 -1 2633 22 2407 3016 249715 53596 4.23489 4.23489 -160.086 -4.23489 0 0 828058. 2865.25 0.33 0.09 0.14 -1 -1 0.33 0.027915 0.0247842 160 93 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 7.29 vpr 64.85 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33980 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 360 293 1 227 86 17 17 289 -1 unnamed_device 26.2 MiB 2.23 1278 16907 5618 9461 1828 64.8 MiB 0.15 0.00 3.34918 -108.188 -3.34918 3.34918 0.94 0.000513289 0.000466847 0.046561 0.0423952 34 3145 24 6.89349e+06 310065 618332. 2139.56 1.81 0.17184 0.1508 25762 151098 -1 2486 20 1440 1940 165903 35802 3.41336 3.41336 -126.588 -3.41336 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0241385 0.021367 152 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 8.47 vpr 65.16 MiB -1 -1 0.17 20532 1 0.03 -1 -1 34064 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 396 299 1 241 90 17 17 289 -1 unnamed_device 26.7 MiB 2.92 1239 12552 3723 6357 2472 65.2 MiB 0.13 0.00 4.8408 -145.59 -4.8408 4.8408 0.99 0.000543263 0.000492553 0.0378975 0.0345616 34 3426 29 6.89349e+06 366440 618332. 2139.56 2.13 0.18374 0.161361 25762 151098 -1 2706 21 2277 3549 290634 63186 4.72775 4.72775 -158.843 -4.72775 0 0 787024. 2723.27 0.32 0.10 0.14 -1 -1 0.32 0.0283461 0.0250897 172 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 5.54 vpr 64.36 MiB -1 -1 0.14 20608 1 0.03 -1 -1 33436 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 30 32 224 207 1 138 77 17 17 289 -1 unnamed_device 25.9 MiB 0.99 755 10020 3028 5884 1108 64.4 MiB 0.07 0.00 2.51156 -81.974 -2.51156 2.51156 0.95 0.000346649 0.000316076 0.0232262 0.0212137 34 1782 24 6.89349e+06 211408 618332. 2139.56 1.43 0.0827624 0.0721407 25762 151098 -1 1552 18 811 1061 90999 20069 2.36421 2.36421 -94.9409 -2.36421 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0149198 0.0131717 82 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 6.24 vpr 64.73 MiB -1 -1 0.15 20368 1 0.03 -1 -1 33732 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 30 32 286 239 1 176 82 17 17 289 -1 unnamed_device 26.3 MiB 1.36 943 12364 4183 6677 1504 64.7 MiB 0.10 0.00 3.72389 -116.987 -3.72389 3.72389 0.98 0.000441984 0.000402275 0.0318294 0.0290072 34 2196 23 6.89349e+06 281877 618332. 2139.56 1.60 0.13078 0.114201 25762 151098 -1 1914 21 1122 1660 139718 30057 3.5289 3.5289 -129.793 -3.5289 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0208245 0.0183758 119 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 9.63 vpr 64.48 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33760 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 32 32 296 247 1 187 82 17 17 289 -1 unnamed_device 26.1 MiB 2.12 992 12898 4263 6273 2362 64.5 MiB 0.11 0.00 3.40529 -111.019 -3.40529 3.40529 0.95 0.000435931 0.00039642 0.0344582 0.0313074 36 2719 33 6.89349e+06 253689 648988. 2245.63 4.31 0.192433 0.167765 26050 158493 -1 2195 20 1407 2492 190629 41898 3.512 3.512 -131.705 -3.512 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0204006 0.0181103 120 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 5.86 vpr 64.30 MiB -1 -1 0.14 20144 1 0.03 -1 -1 33712 -1 -1 21 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 25 32 216 194 1 138 78 17 17 289 -1 unnamed_device 25.8 MiB 1.29 634 11366 4025 4802 2539 64.3 MiB 0.07 0.00 2.8908 -71.862 -2.8908 2.8908 0.93 0.000338268 0.00030769 0.0251105 0.0228529 34 1622 25 6.89349e+06 295971 618332. 2139.56 1.44 0.0986347 0.0853086 25762 151098 -1 1361 21 827 1261 86707 21080 2.97146 2.97146 -82.4196 -2.97146 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0156244 0.0136772 92 19 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 10.46 vpr 64.91 MiB -1 -1 0.16 20420 1 0.03 -1 -1 33940 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 376 307 1 242 87 17 17 289 -1 unnamed_device 26.5 MiB 2.67 1431 16407 5046 9207 2154 64.9 MiB 0.16 0.00 3.47055 -111.327 -3.47055 3.47055 0.95 0.000518285 0.000471877 0.0474259 0.0431758 36 3671 26 6.89349e+06 324158 648988. 2245.63 4.45 0.24247 0.212893 26050 158493 -1 2906 19 1915 2856 224024 47007 3.70535 3.70535 -135.787 -3.70535 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0240607 0.0213422 161 69 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 8.33 vpr 65.00 MiB -1 -1 0.16 20540 1 0.03 -1 -1 34048 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 31 32 409 331 1 264 92 17 17 289 -1 unnamed_device 26.5 MiB 2.59 1295 14168 4435 7120 2613 65.0 MiB 0.13 0.00 3.87458 -125.043 -3.87458 3.87458 1.00 0.000539437 0.000488516 0.0405004 0.0367629 34 3670 23 6.89349e+06 408721 618332. 2139.56 2.30 0.181462 0.159365 25762 151098 -1 2825 26 2420 3378 281049 60817 4.47449 4.47449 -159.389 -4.47449 0 0 787024. 2723.27 0.31 0.11 0.13 -1 -1 0.31 0.0340305 0.0299541 179 86 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 17.31 vpr 64.62 MiB -1 -1 0.23 21040 14 0.32 -1 -1 36912 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 277 309 1 204 91 17 17 289 -1 unnamed_device 26.0 MiB 0.49 1378 7231 1744 4948 539 64.6 MiB 0.07 0.00 6.64956 -137.729 -6.64956 6.64956 0.95 0.000637204 0.000579153 0.0260065 0.0235923 28 3975 46 6.55708e+06 325485 500653. 1732.36 13.29 0.254516 0.222876 21310 115450 -1 3290 19 1567 4792 305936 67663 7.18944 7.18944 -164.961 -7.18944 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.0294871 0.0263418 183 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 5.74 vpr 64.74 MiB -1 -1 0.25 20788 14 0.36 -1 -1 36564 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 30 32 272 304 1 210 93 17 17 289 -1 unnamed_device 26.4 MiB 0.61 1311 9333 2235 6108 990 64.7 MiB 0.08 0.00 6.85276 -131.872 -6.85276 6.85276 0.95 0.000646981 0.00058628 0.0324338 0.0294041 28 3562 24 6.55708e+06 373705 500653. 1732.36 1.56 0.132366 0.117145 21310 115450 -1 3041 17 1444 4054 228298 53753 6.97296 6.97296 -152.845 -6.97296 0 0 612192. 2118.31 0.26 0.08 0.11 -1 -1 0.26 0.0275981 0.0247722 184 181 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 8.98 vpr 64.71 MiB -1 -1 0.20 20488 11 0.28 -1 -1 36692 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 26.1 MiB 0.39 1407 12150 3150 7318 1682 64.7 MiB 0.10 0.00 6.11164 -120.209 -6.11164 6.11164 0.94 0.000628665 0.000568918 0.0410487 0.0371709 40 2986 19 6.55708e+06 313430 666494. 2306.21 4.78 0.263572 0.230744 23614 160646 -1 2896 34 1244 4469 696999 347102 6.23184 6.23184 -135.862 -6.23184 0 0 872365. 3018.56 0.36 0.27 0.16 -1 -1 0.36 0.0526382 0.0470071 186 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 9.21 vpr 64.79 MiB -1 -1 0.20 20412 12 0.41 -1 -1 36320 -1 -1 30 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 29 32 275 307 1 205 91 17 17 289 -1 unnamed_device 26.4 MiB 0.67 1294 5191 1004 3798 389 64.8 MiB 0.06 0.00 6.46824 -120.665 -6.46824 6.46824 0.97 0.00066793 0.000601632 0.0213541 0.0194338 40 2634 40 6.55708e+06 361650 666494. 2306.21 4.78 0.27709 0.24294 23614 160646 -1 2741 18 1409 4683 247375 57238 6.6791 6.6791 -133.54 -6.6791 0 0 872365. 3018.56 0.36 0.09 0.16 -1 -1 0.36 0.0310348 0.027939 190 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 14.87 vpr 64.89 MiB -1 -1 0.22 21080 13 0.35 -1 -1 36420 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 302 334 1 233 95 17 17 289 -1 unnamed_device 26.3 MiB 0.53 1524 8087 1945 5806 336 64.9 MiB 0.08 0.00 6.5981 -142.96 -6.5981 6.5981 0.93 0.000671027 0.000606883 0.0302995 0.0274907 28 4435 48 6.55708e+06 373705 500653. 1732.36 10.71 0.272314 0.238873 21310 115450 -1 3730 31 1637 4885 434591 139167 6.7993 6.7993 -165.171 -6.7993 0 0 612192. 2118.31 0.26 0.16 0.11 -1 -1 0.26 0.0473074 0.0419048 210 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 6.44 vpr 64.74 MiB -1 -1 0.23 20896 13 0.29 -1 -1 36652 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 32 32 292 324 1 217 96 17 17 289 -1 unnamed_device 26.3 MiB 0.42 1398 13236 3407 8189 1640 64.7 MiB 0.11 0.00 6.4387 -129.863 -6.4387 6.4387 0.95 0.000688387 0.000627136 0.0438249 0.0395847 36 3103 26 6.55708e+06 385760 612192. 2118.31 2.43 0.178518 0.157548 22750 144809 -1 2733 18 1141 3502 184508 43993 6.70864 6.70864 -146.512 -6.70864 0 0 782063. 2706.10 0.32 0.08 0.14 -1 -1 0.32 0.0309054 0.0278593 198 197 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 5.04 vpr 64.09 MiB -1 -1 0.20 20464 12 0.24 -1 -1 36464 -1 -1 27 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65624 27 32 229 261 1 176 86 17 17 289 -1 unnamed_device 25.7 MiB 0.32 1010 12182 3339 7276 1567 64.1 MiB 0.09 0.00 5.95024 -108.676 -5.95024 5.95024 0.91 0.000551511 0.000499858 0.0361629 0.0327681 28 2616 30 6.55708e+06 325485 500653. 1732.36 1.40 0.123237 0.108779 21310 115450 -1 2334 20 1131 2926 163092 38663 6.07044 6.07044 -122.735 -6.07044 0 0 612192. 2118.31 0.26 0.07 0.12 -1 -1 0.26 0.0265527 0.0237263 152 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 6.50 vpr 64.18 MiB -1 -1 0.20 20468 12 0.23 -1 -1 36524 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 31 32 229 261 1 184 85 17 17 289 -1 unnamed_device 25.8 MiB 0.28 1184 11431 3105 6288 2038 64.2 MiB 0.09 0.00 5.3864 -117.646 -5.3864 5.3864 0.92 0.000516742 0.000468604 0.0356956 0.0323933 36 2866 19 6.55708e+06 265210 612192. 2118.31 2.80 0.161695 0.142382 22750 144809 -1 2523 17 1051 3077 174415 39867 5.5066 5.5066 -128.704 -5.5066 0 0 782063. 2706.10 0.32 0.07 0.14 -1 -1 0.32 0.0234438 0.0210873 140 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 9.80 vpr 64.55 MiB -1 -1 0.22 20460 12 0.20 -1 -1 36568 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 31 32 235 267 1 192 89 17 17 289 -1 unnamed_device 26.2 MiB 0.29 1185 9197 2150 5824 1223 64.6 MiB 0.08 0.00 5.54278 -115.705 -5.54278 5.54278 0.96 0.00053294 0.000486378 0.0288815 0.0262911 30 2973 28 6.55708e+06 313430 526063. 1820.29 6.05 0.219344 0.192805 21886 126133 -1 2576 16 1049 2734 156097 35802 5.66298 5.66298 -131.698 -5.66298 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.0247279 0.02229 150 142 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 5.17 vpr 64.20 MiB -1 -1 0.19 20320 13 0.23 -1 -1 36272 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 32 32 250 282 1 193 89 17 17 289 -1 unnamed_device 25.8 MiB 0.37 1122 9989 2715 5777 1497 64.2 MiB 0.08 0.00 6.10764 -131.404 -6.10764 6.10764 0.93 0.000545129 0.000494408 0.0320279 0.0290949 30 3071 19 6.55708e+06 301375 526063. 1820.29 1.40 0.110237 0.0972389 21886 126133 -1 2398 14 1118 3041 148857 36185 6.37758 6.37758 -151.231 -6.37758 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0235542 0.021374 157 155 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 5.37 vpr 64.16 MiB -1 -1 0.21 20352 12 0.23 -1 -1 36552 -1 -1 24 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65704 30 32 216 248 1 168 86 17 17 289 -1 unnamed_device 25.6 MiB 0.32 1000 10292 2838 6178 1276 64.2 MiB 0.08 0.00 6.15344 -116.886 -6.15344 6.15344 0.91 0.000502889 0.000454523 0.030512 0.0276294 28 2782 24 6.55708e+06 289320 500653. 1732.36 1.72 0.109921 0.097053 21310 115450 -1 2274 15 888 2390 140700 33449 6.27364 6.27364 -134.965 -6.27364 0 0 612192. 2118.31 0.27 0.06 0.12 -1 -1 0.27 0.01974 0.0176211 132 125 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 5.36 vpr 63.98 MiB -1 -1 0.19 20400 12 0.18 -1 -1 36224 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65520 32 32 236 268 1 183 86 17 17 289 -1 unnamed_device 25.4 MiB 0.31 1183 8780 2369 5508 903 64.0 MiB 0.07 0.00 5.71546 -130.205 -5.71546 5.71546 0.95 0.000530981 0.000481932 0.0276637 0.0251241 32 2969 42 6.55708e+06 265210 554710. 1919.41 1.70 0.144431 0.126627 22174 131602 -1 2591 16 1017 2734 171096 40355 5.94058 5.94058 -148.081 -5.94058 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.023671 0.0213151 146 141 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 5.11 vpr 64.84 MiB -1 -1 0.23 20780 13 0.31 -1 -1 36336 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 283 315 1 223 94 17 17 289 -1 unnamed_device 26.5 MiB 0.30 1389 8401 1844 5806 751 64.8 MiB 0.07 0.00 6.72796 -141.789 -6.72796 6.72796 0.91 0.000618296 0.000559383 0.0281592 0.0254862 30 3372 30 6.55708e+06 361650 526063. 1820.29 1.33 0.129142 0.114076 21886 126133 -1 2838 16 1216 3519 176197 40785 6.96836 6.96836 -158.544 -6.96836 0 0 666494. 2306.21 0.27 0.07 0.12 -1 -1 0.27 0.027189 0.0244912 191 188 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 5.90 vpr 64.98 MiB -1 -1 0.23 20804 14 0.38 -1 -1 36224 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 303 335 1 241 94 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1619 10105 2395 6688 1022 65.0 MiB 0.09 0.00 7.36616 -155.403 -7.36616 7.36616 0.95 0.000713651 0.000642935 0.0357423 0.0323538 30 3970 26 6.55708e+06 361650 526063. 1820.29 1.73 0.143132 0.126559 21886 126133 -1 3208 16 1443 4212 205514 48301 7.96715 7.96715 -179.06 -7.96715 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0317946 0.0288019 210 208 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 5.49 vpr 64.49 MiB -1 -1 0.19 20220 11 0.22 -1 -1 36064 -1 -1 27 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 29 32 225 257 1 174 88 17 17 289 -1 unnamed_device 26.1 MiB 0.30 960 11203 2717 6785 1701 64.5 MiB 0.09 0.00 5.53818 -107.159 -5.53818 5.53818 0.95 0.000531879 0.000473774 0.0336097 0.0303995 28 3061 46 6.55708e+06 325485 500653. 1732.36 1.86 0.134549 0.118692 21310 115450 -1 2435 18 1111 2941 184751 43930 5.65838 5.65838 -123.712 -5.65838 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0234993 0.0210009 147 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 8.61 vpr 64.64 MiB -1 -1 0.22 20608 12 0.34 -1 -1 36572 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 32 32 301 333 1 230 97 17 17 289 -1 unnamed_device 26.1 MiB 0.46 1284 9421 2208 5969 1244 64.6 MiB 0.09 0.00 6.15144 -124.661 -6.15144 6.15144 0.94 0.000705753 0.000637558 0.0338 0.0306003 46 2965 19 6.55708e+06 397815 782063. 2706.10 4.40 0.257091 0.224606 24766 183262 -1 2546 15 1345 4428 195720 47524 6.19064 6.19064 -135.302 -6.19064 0 0 958460. 3316.47 0.37 0.08 0.18 -1 -1 0.37 0.0285792 0.0258271 209 206 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 8.19 vpr 64.70 MiB -1 -1 0.22 20752 14 0.31 -1 -1 36464 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 32 32 277 309 1 217 93 17 17 289 -1 unnamed_device 26.1 MiB 0.36 1504 9753 2444 6369 940 64.7 MiB 0.09 0.00 6.10764 -131.576 -6.10764 6.10764 0.91 0.000628386 0.000563852 0.0347214 0.0314451 38 3376 19 6.55708e+06 349595 638502. 2209.35 4.25 0.220286 0.192645 23326 155178 -1 2930 20 1306 3821 185793 43020 6.63024 6.63024 -151.884 -6.63024 0 0 851065. 2944.86 0.32 0.08 0.15 -1 -1 0.32 0.0303273 0.0271501 184 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 7.56 vpr 64.30 MiB -1 -1 0.21 20440 12 0.19 -1 -1 36320 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 32 32 227 259 1 178 87 17 17 289 -1 unnamed_device 25.7 MiB 0.39 1142 8535 2157 5910 468 64.3 MiB 0.07 0.00 5.7221 -131.875 -5.7221 5.7221 0.95 0.000519784 0.000472097 0.0271757 0.024709 32 2872 29 6.55708e+06 277265 554710. 1919.41 3.70 0.225841 0.196503 22174 131602 -1 2509 32 1119 3341 436218 200841 6.18098 6.18098 -151.848 -6.18098 0 0 701300. 2426.64 0.30 0.17 0.13 -1 -1 0.30 0.0380294 0.0336357 140 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 6.13 vpr 63.73 MiB -1 -1 0.17 20204 10 0.11 -1 -1 36852 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65264 30 32 175 207 1 131 78 17 17 289 -1 unnamed_device 25.2 MiB 0.19 732 10370 2330 7597 443 63.7 MiB 0.07 0.00 4.61634 -101.866 -4.61634 4.61634 0.96 0.000384892 0.000350744 0.0268672 0.0244718 28 1890 15 6.55708e+06 192880 500653. 1732.36 2.78 0.118734 0.103856 21310 115450 -1 1659 14 602 1429 77491 19560 4.88266 4.88266 -121.499 -4.88266 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0151162 0.0135738 91 84 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 5.77 vpr 63.83 MiB -1 -1 0.21 20712 13 0.23 -1 -1 36128 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65364 31 32 231 263 1 184 87 17 17 289 -1 unnamed_device 25.5 MiB 0.47 1138 8919 2086 5645 1188 63.8 MiB 0.07 0.00 6.05818 -122.571 -6.05818 6.05818 0.94 0.000554227 0.000505102 0.0283606 0.0258465 28 3347 47 6.55708e+06 289320 500653. 1732.36 1.96 0.135522 0.119814 21310 115450 -1 2598 14 1103 2655 163530 39221 6.05818 6.05818 -141.216 -6.05818 0 0 612192. 2118.31 0.25 0.06 0.12 -1 -1 0.25 0.0211355 0.0190849 144 138 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 5.20 vpr 64.94 MiB -1 -1 0.21 20916 13 0.34 -1 -1 36360 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 304 336 1 224 95 17 17 289 -1 unnamed_device 26.4 MiB 0.48 1479 5927 1032 4596 299 64.9 MiB 0.06 0.00 6.4825 -130.643 -6.4825 6.4825 0.92 0.000681698 0.000610018 0.0217168 0.0196035 30 3648 32 6.55708e+06 373705 526063. 1820.29 1.25 0.130512 0.114416 21886 126133 -1 3023 20 1581 4907 238566 55664 7.29176 7.29176 -154.975 -7.29176 0 0 666494. 2306.21 0.28 0.09 0.11 -1 -1 0.28 0.0328793 0.0293893 211 209 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 9.37 vpr 64.80 MiB -1 -1 0.23 20644 13 0.36 -1 -1 36568 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 288 320 1 221 91 17 17 289 -1 unnamed_device 26.4 MiB 0.54 1482 6007 1129 4709 169 64.8 MiB 0.07 0.00 6.46824 -139.434 -6.46824 6.46824 0.95 0.000679862 0.000617506 0.0245851 0.0223654 46 3262 19 6.55708e+06 325485 782063. 2706.10 5.04 0.282624 0.247625 24766 183262 -1 2880 16 1301 4392 221422 49612 6.6399 6.6399 -153.214 -6.6399 0 0 958460. 3316.47 0.38 0.08 0.18 -1 -1 0.38 0.027808 0.0250771 194 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 6.84 vpr 63.80 MiB -1 -1 0.16 20160 9 0.10 -1 -1 36096 -1 -1 24 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65336 26 32 152 184 1 120 82 17 17 289 -1 unnamed_device 25.3 MiB 0.20 693 11830 4244 5604 1982 63.8 MiB 0.07 0.00 4.4706 -82.7138 -4.4706 4.4706 0.95 0.000353158 0.000320784 0.0259959 0.0236112 26 1865 24 6.55708e+06 289320 477104. 1650.88 3.60 0.134385 0.117862 21022 109990 -1 1580 14 657 1640 96856 22715 4.4706 4.4706 -93.4457 -4.4706 0 0 585099. 2024.56 0.26 0.04 0.10 -1 -1 0.26 0.0138552 0.0124179 87 69 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 6.05 vpr 64.50 MiB -1 -1 0.19 20492 13 0.33 -1 -1 36708 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 32 32 287 319 1 210 89 17 17 289 -1 unnamed_device 25.9 MiB 0.27 1415 11573 3127 6460 1986 64.5 MiB 0.10 0.00 6.6419 -132.854 -6.6419 6.6419 0.94 0.000644116 0.000583286 0.0419867 0.0380272 30 3919 21 6.55708e+06 301375 526063. 1820.29 2.25 0.135948 0.120304 21886 126133 -1 2968 18 1367 4115 202750 47712 6.9633 6.9633 -151.101 -6.9633 0 0 666494. 2306.21 0.30 0.09 0.12 -1 -1 0.30 0.0313904 0.0283427 193 192 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 4.24 vpr 63.54 MiB -1 -1 0.16 20252 8 0.10 -1 -1 36072 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65064 32 32 154 186 1 120 80 17 17 289 -1 unnamed_device 25.1 MiB 0.15 766 6788 2139 3405 1244 63.5 MiB 0.04 0.00 3.37088 -79.3912 -3.37088 3.37088 0.94 0.000367797 0.000335351 0.015714 0.014342 26 1750 18 6.55708e+06 192880 477104. 1650.88 1.05 0.0642155 0.0566268 21022 109990 -1 1522 15 563 1200 67804 16419 3.73148 3.73148 -94.3796 -3.73148 0 0 585099. 2024.56 0.26 0.04 0.11 -1 -1 0.26 0.0137213 0.012294 77 59 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 6.12 vpr 64.64 MiB -1 -1 0.21 20588 15 0.29 -1 -1 36616 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 254 286 1 199 92 17 17 289 -1 unnamed_device 26.2 MiB 0.41 1345 5267 929 3987 351 64.6 MiB 0.05 0.00 6.9593 -136.429 -6.9593 6.9593 0.95 0.000603812 0.000549984 0.0184068 0.0167712 36 3223 45 6.55708e+06 337540 612192. 2118.31 2.20 0.152283 0.133666 22750 144809 -1 2784 18 1386 4012 217469 49663 7.2807 7.2807 -154.321 -7.2807 0 0 782063. 2706.10 0.31 0.08 0.14 -1 -1 0.31 0.0268483 0.0240269 165 159 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 6.50 vpr 64.59 MiB -1 -1 0.21 20460 13 0.28 -1 -1 36332 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 260 292 1 207 90 17 17 289 -1 unnamed_device 26.1 MiB 0.33 1203 13557 3365 8576 1616 64.6 MiB 0.11 0.00 6.13718 -133.384 -6.13718 6.13718 0.95 0.000604297 0.00054872 0.0444075 0.0402535 28 3425 38 6.55708e+06 313430 500653. 1732.36 2.66 0.151775 0.134418 21310 115450 -1 2828 19 1407 4078 242378 55963 6.17898 6.17898 -147.77 -6.17898 0 0 612192. 2118.31 0.26 0.08 0.11 -1 -1 0.26 0.0274756 0.0245148 168 165 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 5.91 vpr 64.68 MiB -1 -1 0.21 20892 13 0.34 -1 -1 36268 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 279 311 1 212 93 17 17 289 -1 unnamed_device 26.1 MiB 0.28 1323 11433 2717 6838 1878 64.7 MiB 0.10 0.00 6.27164 -130.476 -6.27164 6.27164 0.93 0.000645564 0.000579426 0.0388034 0.0349255 32 3848 43 6.55708e+06 349595 554710. 1919.41 2.03 0.18325 0.161192 22174 131602 -1 3145 19 1719 5109 314604 76681 6.8803 6.8803 -159.845 -6.8803 0 0 701300. 2426.64 0.28 0.10 0.13 -1 -1 0.28 0.0303875 0.0271601 187 184 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 7.10 vpr 64.04 MiB -1 -1 0.20 20336 12 0.20 -1 -1 36132 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 32 32 238 270 1 189 87 17 17 289 -1 unnamed_device 25.7 MiB 0.39 1185 6039 1279 4640 120 64.0 MiB 0.06 0.00 5.57998 -122.255 -5.57998 5.57998 0.95 0.000559638 0.000500889 0.0205837 0.0187631 36 3039 29 6.55708e+06 277265 612192. 2118.31 3.29 0.173114 0.153091 22750 144809 -1 2629 16 1100 3290 199568 45173 5.82038 5.82038 -137.042 -5.82038 0 0 782063. 2706.10 0.33 0.07 0.14 -1 -1 0.33 0.0241496 0.0217974 147 143 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 4.72 vpr 63.78 MiB -1 -1 0.18 20104 11 0.19 -1 -1 36176 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65308 30 32 213 245 1 165 85 17 17 289 -1 unnamed_device 25.3 MiB 0.23 1000 12547 3434 7005 2108 63.8 MiB 0.09 0.00 5.38078 -112.862 -5.38078 5.38078 0.95 0.00050829 0.000456233 0.0384534 0.0348564 28 2520 22 6.55708e+06 277265 500653. 1732.36 1.15 0.107769 0.0953906 21310 115450 -1 2185 17 978 2589 130920 32546 5.74138 5.74138 -131.188 -5.74138 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.021541 0.0193097 131 122 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 5.15 vpr 64.20 MiB -1 -1 0.20 20044 11 0.22 -1 -1 36536 -1 -1 28 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65744 28 32 227 259 1 175 88 17 17 289 -1 unnamed_device 25.8 MiB 0.49 1033 12178 3269 6689 2220 64.2 MiB 0.08 0.00 5.50098 -107.86 -5.50098 5.50098 0.91 0.000529396 0.000480561 0.0351553 0.0318432 28 2773 32 6.55708e+06 337540 500653. 1732.36 1.33 0.124099 0.109472 21310 115450 -1 2370 22 1205 3278 178465 42038 5.65072 5.65072 -124.171 -5.65072 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0274053 0.024276 150 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 8.57 vpr 64.42 MiB -1 -1 0.19 20700 12 0.25 -1 -1 36356 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 32 32 274 306 1 206 90 17 17 289 -1 unnamed_device 25.9 MiB 0.31 1294 5316 980 4103 233 64.4 MiB 0.05 0.00 5.8417 -130.983 -5.8417 5.8417 0.92 0.000613799 0.00055363 0.0188235 0.0170513 26 3454 44 6.55708e+06 313430 477104. 1650.88 4.91 0.214036 0.186537 21022 109990 -1 3005 22 1585 4379 308727 88466 6.5237 6.5237 -158.212 -6.5237 0 0 585099. 2024.56 0.26 0.11 0.11 -1 -1 0.26 0.0323545 0.0289286 181 179 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 5.38 vpr 64.36 MiB -1 -1 0.18 20684 12 0.18 -1 -1 36412 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 31 32 237 269 1 179 86 17 17 289 -1 unnamed_device 26.0 MiB 0.66 1222 5378 1108 3900 370 64.4 MiB 0.05 0.00 5.8835 -124.503 -5.8835 5.8835 0.92 0.000558569 0.000499323 0.0189137 0.0171385 28 3113 27 6.55708e+06 277265 500653. 1732.36 1.56 0.0989497 0.0866373 21310 115450 -1 2665 16 1124 2926 180635 41964 6.4845 6.4845 -144.21 -6.4845 0 0 612192. 2118.31 0.25 0.06 0.11 -1 -1 0.25 0.0199756 0.0178989 149 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 9.71 vpr 64.05 MiB -1 -1 0.20 20264 10 0.18 -1 -1 36556 -1 -1 22 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65592 29 32 220 252 1 163 83 17 17 289 -1 unnamed_device 25.5 MiB 0.21 1054 10883 3078 5661 2144 64.1 MiB 0.08 0.00 4.95846 -103.343 -4.95846 4.95846 0.95 0.000545979 0.000493967 0.0345059 0.0312072 26 3046 49 6.55708e+06 265210 477104. 1650.88 6.19 0.208287 0.181775 21022 109990 -1 2443 18 989 2933 186605 41771 5.5106 5.5106 -125.443 -5.5106 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0233637 0.0208731 137 131 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 16.51 vpr 64.85 MiB -1 -1 0.23 20860 13 0.38 -1 -1 36280 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 315 347 1 239 95 17 17 289 -1 unnamed_device 26.3 MiB 0.29 1522 7871 1681 5622 568 64.8 MiB 0.07 0.00 6.6765 -138.374 -6.6765 6.6765 0.95 0.000703286 0.000625865 0.029125 0.0263627 30 4293 42 6.55708e+06 373705 526063. 1820.29 12.54 0.263108 0.230088 21886 126133 -1 3237 17 1479 4609 278920 80036 6.9587 6.9587 -155.575 -6.9587 0 0 666494. 2306.21 0.29 0.10 0.12 -1 -1 0.29 0.0322243 0.0289852 221 220 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 6.19 vpr 64.66 MiB -1 -1 0.24 21392 14 0.40 -1 -1 36764 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 32 32 282 314 1 220 92 17 17 289 -1 unnamed_device 26.3 MiB 0.62 1410 13133 3370 7361 2402 64.7 MiB 0.11 0.00 6.05418 -134.601 -6.05418 6.05418 0.92 0.000644723 0.000583637 0.0453911 0.0410722 38 3327 20 6.55708e+06 337540 638502. 2209.35 1.82 0.161495 0.142192 23326 155178 -1 2804 16 1391 4124 189252 44820 6.25538 6.25538 -151.219 -6.25538 0 0 851065. 2944.86 0.34 0.07 0.15 -1 -1 0.34 0.0279557 0.0251836 191 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 8.02 vpr 64.28 MiB -1 -1 0.20 20416 12 0.19 -1 -1 36344 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65824 31 32 241 273 1 189 92 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1170 15410 4449 8084 2877 64.3 MiB 0.11 0.00 6.1213 -124.138 -6.1213 6.1213 0.91 0.000525528 0.000475325 0.0425357 0.0385523 38 2634 28 6.55708e+06 349595 638502. 2209.35 4.30 0.206556 0.180333 23326 155178 -1 2271 15 915 2543 125740 29463 6.6021 6.6021 -143.051 -6.6021 0 0 851065. 2944.86 0.34 0.06 0.16 -1 -1 0.34 0.0218011 0.0196425 156 148 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 8.01 vpr 64.96 MiB -1 -1 0.24 20732 12 0.35 -1 -1 36628 -1 -1 33 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 307 339 1 235 96 17 17 289 -1 unnamed_device 26.5 MiB 0.51 1506 9951 2337 6622 992 65.0 MiB 0.09 0.00 6.5197 -135.086 -6.5197 6.5197 0.94 0.000660068 0.000598814 0.0348318 0.031529 34 3928 49 6.55708e+06 397815 585099. 2024.56 3.83 0.291703 0.254841 22462 138074 -1 3328 16 1335 3868 218197 51500 6.8803 6.8803 -155.483 -6.8803 0 0 742403. 2568.87 0.31 0.08 0.14 -1 -1 0.31 0.0306181 0.027695 218 214 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 8.91 vpr 64.95 MiB -1 -1 0.25 20964 14 0.44 -1 -1 36924 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 31 32 293 325 1 224 92 17 17 289 -1 unnamed_device 26.6 MiB 0.37 1561 5888 1079 4231 578 65.0 MiB 0.06 0.00 6.65922 -133.175 -6.65922 6.65922 0.95 0.000676817 0.00061561 0.0234705 0.021408 34 3782 42 6.55708e+06 349595 585099. 2024.56 4.79 0.292967 0.256331 22462 138074 -1 3288 18 1497 4648 260596 59799 7.2017 7.2017 -154.628 -7.2017 0 0 742403. 2568.87 0.31 0.09 0.14 -1 -1 0.31 0.0312356 0.0282019 202 200 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 6.83 vpr 64.61 MiB -1 -1 0.24 20752 13 0.33 -1 -1 36360 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 31 32 276 308 1 223 91 17 17 289 -1 unnamed_device 26.2 MiB 0.42 1425 13963 3681 8722 1560 64.6 MiB 0.12 0.00 6.4805 -134.563 -6.4805 6.4805 0.94 0.000651976 0.000593711 0.0481368 0.04376 36 3543 18 6.55708e+06 337540 612192. 2118.31 2.71 0.193144 0.169477 22750 144809 -1 2965 18 1430 4087 229444 52552 6.7601 6.7601 -152.29 -6.7601 0 0 782063. 2706.10 0.34 0.08 0.14 -1 -1 0.34 0.0292127 0.0261553 185 183 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 6.27 vpr 64.79 MiB -1 -1 0.23 20828 13 0.33 -1 -1 36660 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 31 32 269 301 1 203 89 17 17 289 -1 unnamed_device 26.2 MiB 0.44 1336 11969 3132 7203 1634 64.8 MiB 0.10 0.00 5.83004 -117.199 -5.83004 5.83004 0.93 0.0006307 0.000572436 0.0424361 0.0383223 30 3533 33 6.55708e+06 313430 526063. 1820.29 2.25 0.145307 0.128253 21886 126133 -1 2891 20 1286 4209 212627 48911 6.15144 6.15144 -135.786 -6.15144 0 0 666494. 2306.21 0.30 0.09 0.12 -1 -1 0.30 0.032255 0.0289558 179 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 5.08 vpr 64.30 MiB -1 -1 0.20 20384 12 0.23 -1 -1 36736 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 32 32 264 296 1 196 88 17 17 289 -1 unnamed_device 25.8 MiB 0.27 1238 6913 1441 5073 399 64.3 MiB 0.06 0.00 5.71184 -117.572 -5.71184 5.71184 0.94 0.000631319 0.000562982 0.024695 0.0224458 28 3495 31 6.55708e+06 289320 500653. 1732.36 1.47 0.119191 0.104821 21310 115450 -1 2915 19 1375 3903 243789 59145 5.83204 5.83204 -141.49 -5.83204 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.027922 0.0249357 171 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 10.21 vpr 64.93 MiB -1 -1 0.27 21584 14 0.51 -1 -1 37144 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 324 356 1 249 95 17 17 289 -1 unnamed_device 26.4 MiB 0.50 1758 9167 1976 6514 677 64.9 MiB 0.10 0.00 7.04736 -151.984 -7.04736 7.04736 0.95 0.000772204 0.000692501 0.0372608 0.0336013 36 4372 30 6.55708e+06 373705 612192. 2118.31 5.79 0.226856 0.199561 22750 144809 -1 3785 16 1587 5234 300558 66792 7.40796 7.40796 -171.899 -7.40796 0 0 782063. 2706.10 0.32 0.10 0.14 -1 -1 0.32 0.0334399 0.0302631 230 229 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 7.85 vpr 64.52 MiB -1 -1 0.18 20112 11 0.25 -1 -1 36212 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 31 32 249 281 1 192 89 17 17 289 -1 unnamed_device 26.1 MiB 0.44 1201 13157 3904 6958 2295 64.5 MiB 0.10 0.00 5.50098 -114.671 -5.50098 5.50098 0.93 0.000565724 0.000513945 0.0414524 0.0377073 40 2574 17 6.55708e+06 313430 666494. 2306.21 3.86 0.219493 0.191879 23614 160646 -1 2558 17 1113 3251 187000 43858 5.86158 5.86158 -135.93 -5.86158 0 0 872365. 3018.56 0.37 0.07 0.16 -1 -1 0.37 0.0262978 0.0236165 163 156 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 7.27 vpr 64.86 MiB -1 -1 0.23 20712 13 0.33 -1 -1 36352 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 31 32 284 316 1 206 91 17 17 289 -1 unnamed_device 26.5 MiB 0.43 1370 8047 1669 5529 849 64.9 MiB 0.08 0.00 6.72996 -130.875 -6.72996 6.72996 0.96 0.000655836 0.000582956 0.0300577 0.02721 28 3636 31 6.55708e+06 337540 500653. 1732.36 3.25 0.136513 0.120173 21310 115450 -1 3257 31 1568 5202 433961 132178 7.21076 7.21076 -154.485 -7.21076 0 0 612192. 2118.31 0.27 0.15 0.12 -1 -1 0.27 0.0443822 0.0393684 193 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 18.11 vpr 64.95 MiB -1 -1 0.19 20812 12 0.31 -1 -1 36568 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 303 335 1 222 93 17 17 289 -1 unnamed_device 26.4 MiB 0.52 1525 9753 2284 6567 902 64.9 MiB 0.09 0.00 5.91304 -126.537 -5.91304 5.91304 0.90 0.00065309 0.000581873 0.0341241 0.0306538 34 3881 29 6.55708e+06 349595 585099. 2024.56 14.04 0.350795 0.306997 22462 138074 -1 3336 26 1719 6534 539139 189512 6.63424 6.63424 -149.397 -6.63424 0 0 742403. 2568.87 0.31 0.18 0.13 -1 -1 0.31 0.0414851 0.0369445 210 208 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 10.86 vpr 64.48 MiB -1 -1 0.20 20636 13 0.31 -1 -1 36416 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 32 32 272 304 1 203 93 17 17 289 -1 unnamed_device 25.9 MiB 0.31 1366 6813 1497 4509 807 64.5 MiB 0.06 0.00 6.22784 -133.731 -6.22784 6.22784 0.95 0.000646659 0.000587143 0.025172 0.0228621 30 3166 25 6.55708e+06 349595 526063. 1820.29 7.08 0.280915 0.246167 21886 126133 -1 2762 15 1172 3441 166629 39084 6.70864 6.70864 -150.561 -6.70864 0 0 666494. 2306.21 0.27 0.06 0.11 -1 -1 0.27 0.025099 0.0227552 183 177 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 13.84 vpr 64.62 MiB -1 -1 0.22 20872 13 0.27 -1 -1 36888 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 271 303 1 212 90 17 17 289 -1 unnamed_device 26.0 MiB 0.37 1383 4914 889 3742 283 64.6 MiB 0.05 0.00 6.01698 -132.591 -6.01698 6.01698 0.95 0.000647037 0.000588044 0.0190386 0.0173819 30 3540 26 6.55708e+06 313430 526063. 1820.29 9.99 0.230933 0.20325 21886 126133 -1 2799 18 1183 3412 167963 39317 6.21818 6.21818 -148.114 -6.21818 0 0 666494. 2306.21 0.30 0.07 0.12 -1 -1 0.30 0.0290506 0.0261166 178 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 14.92 vpr 64.92 MiB -1 -1 0.23 20708 12 0.31 -1 -1 36580 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 288 320 1 223 94 17 17 289 -1 unnamed_device 26.5 MiB 0.54 1478 11383 2761 6876 1746 64.9 MiB 0.10 0.00 6.02864 -133.293 -6.02864 6.02864 0.94 0.000696799 0.000621721 0.0404942 0.036551 30 3839 40 6.55708e+06 361650 526063. 1820.29 10.78 0.29178 0.257783 21886 126133 -1 3081 18 1284 4331 216457 49637 6.67144 6.67144 -152.449 -6.67144 0 0 666494. 2306.21 0.29 0.09 0.12 -1 -1 0.29 0.0325114 0.0293201 197 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 7.13 vpr 65.00 MiB -1 -1 0.24 21344 13 0.38 -1 -1 37188 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 306 338 1 233 95 17 17 289 -1 unnamed_device 26.5 MiB 0.50 1547 8087 1626 5819 642 65.0 MiB 0.08 0.00 6.46824 -137.216 -6.46824 6.46824 0.93 0.000691453 0.00062511 0.0302219 0.0273751 34 3956 22 6.55708e+06 373705 585099. 2024.56 2.95 0.164804 0.145733 22462 138074 -1 3344 17 1422 4460 255246 57611 6.70864 6.70864 -153.028 -6.70864 0 0 742403. 2568.87 0.31 0.09 0.14 -1 -1 0.31 0.0317723 0.0286393 212 211 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 5.06 vpr 64.62 MiB -1 -1 0.20 20320 14 0.35 -1 -1 36204 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 262 294 1 191 88 17 17 289 -1 unnamed_device 26.1 MiB 0.31 1289 8473 2236 5614 623 64.6 MiB 0.07 0.00 6.84876 -137.901 -6.84876 6.84876 0.93 0.000586004 0.000523607 0.0290603 0.0262128 30 3095 31 6.55708e+06 289320 526063. 1820.29 1.21 0.123565 0.108678 21886 126133 -1 2588 18 1170 3743 175888 41463 7.1599 7.1599 -155.549 -7.1599 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0268665 0.0240195 168 167 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 8.61 vpr 64.72 MiB -1 -1 0.20 20896 13 0.33 -1 -1 36612 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 291 323 1 224 94 17 17 289 -1 unnamed_device 26.3 MiB 0.43 1499 6271 1214 4551 506 64.7 MiB 0.07 0.00 6.65216 -135.411 -6.65216 6.65216 0.94 0.000667747 0.000606941 0.0242921 0.0220764 36 3691 30 6.55708e+06 361650 612192. 2118.31 4.60 0.234636 0.205086 22750 144809 -1 3135 15 1333 4009 215993 50352 7.01276 7.01276 -153.143 -7.01276 0 0 782063. 2706.10 0.31 0.08 0.14 -1 -1 0.31 0.0263193 0.0237076 198 196 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 7.34 vpr 65.13 MiB -1 -1 0.25 20676 13 0.35 -1 -1 36428 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 31 32 302 334 1 235 94 17 17 289 -1 unnamed_device 26.6 MiB 0.33 1434 8401 1843 5875 683 65.1 MiB 0.08 0.00 6.4799 -135.748 -6.4799 6.4799 0.94 0.000683424 0.000621193 0.0308111 0.0279131 36 3531 29 6.55708e+06 373705 612192. 2118.31 3.34 0.201378 0.176926 22750 144809 -1 3135 18 1356 4195 231512 53760 6.8405 6.8405 -154.555 -6.8405 0 0 782063. 2706.10 0.32 0.08 0.14 -1 -1 0.32 0.0306406 0.0274954 213 209 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 14.35 vpr 64.96 MiB -1 -1 0.23 20804 12 0.38 -1 -1 36692 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 308 340 1 238 97 17 17 289 -1 unnamed_device 26.4 MiB 0.32 1589 11197 2983 6899 1315 65.0 MiB 0.10 0.00 6.10964 -134.46 -6.10964 6.10964 0.93 0.00068476 0.000621322 0.039391 0.0356096 28 4741 29 6.55708e+06 397815 500653. 1732.36 10.36 0.246727 0.216635 21310 115450 -1 3815 20 2036 5739 369368 84107 6.78138 6.78138 -163.262 -6.78138 0 0 612192. 2118.31 0.27 0.12 0.12 -1 -1 0.27 0.0357667 0.0322131 216 213 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 7.44 vpr 64.13 MiB -1 -1 0.18 20192 11 0.16 -1 -1 36400 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 32 32 216 248 1 160 82 17 17 289 -1 unnamed_device 25.6 MiB 0.29 1043 8270 2193 4722 1355 64.1 MiB 0.06 0.00 5.08892 -106.669 -5.08892 5.08892 0.94 0.000512093 0.000451266 0.0258978 0.0235962 30 2467 46 6.55708e+06 216990 526063. 1820.29 3.88 0.198452 0.173564 21886 126133 -1 2098 15 886 2306 119823 28539 5.08892 5.08892 -120.277 -5.08892 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0208843 0.0188278 125 121 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 10.73 vpr 64.49 MiB -1 -1 0.22 20808 13 0.26 -1 -1 36480 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 32 32 254 286 1 194 88 17 17 289 -1 unnamed_device 26.0 MiB 0.42 1283 10033 2378 6116 1539 64.5 MiB 0.09 0.00 6.14684 -133.05 -6.14684 6.14684 0.93 0.000592352 0.000528405 0.0341891 0.030951 30 3081 31 6.55708e+06 289320 526063. 1820.29 6.87 0.250231 0.218757 21886 126133 -1 2678 16 1135 3216 157128 37041 6.31084 6.31084 -149.214 -6.31084 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.024974 0.0225061 161 159 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 16.63 vpr 64.89 MiB -1 -1 0.24 21428 14 0.55 -1 -1 36564 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 338 370 1 252 97 17 17 289 -1 unnamed_device 26.5 MiB 0.31 1645 7201 1587 4956 658 64.9 MiB 0.08 0.00 7.13802 -146.534 -7.13802 7.13802 0.94 0.000776543 0.000690048 0.0290157 0.0262077 28 5201 33 6.55708e+06 397815 500653. 1732.36 12.45 0.270488 0.237548 21310 115450 -1 4231 20 2495 7912 501850 111840 7.46964 7.46964 -172.942 -7.46964 0 0 612192. 2118.31 0.28 0.15 0.12 -1 -1 0.28 0.0413663 0.0372542 245 243 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 5.66 vpr 64.79 MiB -1 -1 0.21 20664 13 0.37 -1 -1 36428 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 32 32 271 303 1 212 91 17 17 289 -1 unnamed_device 26.2 MiB 0.47 1483 8659 2075 5739 845 64.8 MiB 0.08 0.00 6.4779 -143.013 -6.4779 6.4779 0.94 0.000623648 0.000565703 0.0303019 0.0274485 30 3468 22 6.55708e+06 325485 526063. 1820.29 1.61 0.125859 0.111475 21886 126133 -1 2986 19 1218 3522 181395 41632 6.8385 6.8385 -161.925 -6.8385 0 0 666494. 2306.21 0.28 0.08 0.12 -1 -1 0.28 0.030558 0.0274933 178 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 9.33 vpr 64.18 MiB -1 -1 0.21 20828 11 0.21 -1 -1 36504 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 30 32 224 256 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.20 1056 13105 3637 7273 2195 64.2 MiB 0.09 0.00 5.73878 -119.706 -5.73878 5.73878 0.95 0.000507564 0.000460593 0.0395975 0.0358734 28 2748 23 6.55708e+06 277265 500653. 1732.36 5.78 0.201741 0.176975 21310 115450 -1 2356 17 914 2633 147929 35129 5.85898 5.85898 -137.253 -5.85898 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0231143 0.0206958 139 133 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 8.90 vpr 64.88 MiB -1 -1 0.25 21472 15 0.67 -1 -1 36816 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 351 383 1 268 98 17 17 289 -1 unnamed_device 26.7 MiB 0.38 1738 6398 1188 4870 340 64.9 MiB 0.07 0.00 7.74667 -152.974 -7.74667 7.74667 0.96 0.000804052 0.000731972 0.0284003 0.0258708 36 4513 32 6.55708e+06 409870 612192. 2118.31 4.41 0.26405 0.233513 22750 144809 -1 3755 22 1787 5714 335898 82272 8.33801 8.33801 -177.142 -8.33801 0 0 782063. 2706.10 0.33 0.13 0.14 -1 -1 0.33 0.0451094 0.0405469 257 256 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 8.31 vpr 64.77 MiB -1 -1 0.22 20752 13 0.39 -1 -1 36340 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 297 329 1 215 92 17 17 289 -1 unnamed_device 26.4 MiB 0.35 1341 16445 4319 9553 2573 64.8 MiB 0.14 0.00 6.89196 -139.203 -6.89196 6.89196 0.94 0.000685491 0.000610029 0.0585127 0.0527709 34 3603 47 6.55708e+06 337540 585099. 2024.56 4.24 0.319034 0.280203 22462 138074 -1 2957 19 1296 3819 214738 49969 7.25256 7.25256 -159.94 -7.25256 0 0 742403. 2568.87 0.31 0.08 0.14 -1 -1 0.31 0.0324569 0.0292047 203 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 4.81 vpr 63.92 MiB -1 -1 0.19 20148 11 0.16 -1 -1 36628 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65452 32 32 231 263 1 176 86 17 17 289 -1 unnamed_device 25.4 MiB 0.36 1079 10670 2760 6773 1137 63.9 MiB 0.08 0.00 5.16732 -114.648 -5.16732 5.16732 0.92 0.000532924 0.000482174 0.0326522 0.0296038 30 2649 16 6.55708e+06 265210 526063. 1820.29 1.19 0.0989123 0.0872286 21886 126133 -1 2243 15 962 2740 125984 30512 5.28752 5.28752 -128.956 -5.28752 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0215466 0.0194079 141 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 15.22 vpr 65.18 MiB -1 -1 0.21 20780 12 0.36 -1 -1 36456 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 305 337 1 231 94 17 17 289 -1 unnamed_device 26.7 MiB 0.50 1510 9892 2482 6147 1263 65.2 MiB 0.09 0.00 6.1611 -129.511 -6.1611 6.1611 0.91 0.000730451 0.000658778 0.0346365 0.0311418 28 4503 36 6.55708e+06 361650 500653. 1732.36 11.20 0.256518 0.224815 21310 115450 -1 3809 23 2181 6808 488139 107639 7.43584 7.43584 -164.591 -7.43584 0 0 612192. 2118.31 0.26 0.14 0.10 -1 -1 0.26 0.0386296 0.0344373 213 210 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 5.29 vpr 64.38 MiB -1 -1 0.16 20120 12 0.22 -1 -1 36212 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 32 32 243 275 1 186 90 17 17 289 -1 unnamed_device 26.0 MiB 0.42 1168 10542 2561 6541 1440 64.4 MiB 0.09 0.00 6.06844 -127.107 -6.06844 6.06844 0.89 0.000600262 0.000520795 0.0334831 0.0302556 28 3174 23 6.55708e+06 313430 500653. 1732.36 1.68 0.125153 0.110706 21310 115450 -1 2651 18 1141 3182 179231 42556 6.38984 6.38984 -148.36 -6.38984 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0268353 0.0240345 153 148 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 5.92 vpr 64.32 MiB -1 -1 0.21 20552 12 0.22 -1 -1 36548 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 30 32 228 260 1 161 83 17 17 289 -1 unnamed_device 25.8 MiB 0.24 980 11063 3215 5473 2375 64.3 MiB 0.08 0.00 5.82944 -117.747 -5.82944 5.82944 0.96 0.000536282 0.000484008 0.0347937 0.0315672 26 2858 40 6.55708e+06 253155 477104. 1650.88 2.30 0.130756 0.115317 21022 109990 -1 2318 22 1179 3387 207114 48180 6.31284 6.31284 -138.884 -6.31284 0 0 585099. 2024.56 0.25 0.08 0.11 -1 -1 0.25 0.0274649 0.0243829 140 137 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 19.58 vpr 64.55 MiB -1 -1 0.24 20684 12 0.34 -1 -1 36564 -1 -1 31 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 29 32 275 307 1 206 92 17 17 289 -1 unnamed_device 26.2 MiB 0.29 1347 5681 1105 4130 446 64.5 MiB 0.06 0.00 5.58198 -108.434 -5.58198 5.58198 0.96 0.00066551 0.000585866 0.0223085 0.0201972 28 3901 41 6.55708e+06 373705 500653. 1732.36 15.65 0.236228 0.20627 21310 115450 -1 3295 22 1674 5731 459074 118486 6.38418 6.38418 -133.491 -6.38418 0 0 612192. 2118.31 0.27 0.14 0.12 -1 -1 0.27 0.0348174 0.0311523 191 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 7.46 vpr 65.02 MiB -1 -1 0.23 20660 13 0.42 -1 -1 36568 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 32 32 330 362 1 256 97 17 17 289 -1 unnamed_device 26.4 MiB 0.68 1596 6091 1106 4589 396 65.0 MiB 0.06 0.00 7.25056 -149.828 -7.25056 7.25056 0.95 0.000730801 0.000667345 0.024712 0.0224815 36 3889 24 6.55708e+06 397815 612192. 2118.31 3.03 0.203391 0.179028 22750 144809 -1 3459 17 1619 4574 260688 60908 7.28976 7.28976 -164.952 -7.28976 0 0 782063. 2706.10 0.32 0.10 0.14 -1 -1 0.32 0.0332518 0.0300774 238 235 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 8.42 vpr 64.82 MiB -1 -1 0.23 20628 12 0.28 -1 -1 36564 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 290 322 1 220 96 17 17 289 -1 unnamed_device 26.3 MiB 0.49 1327 12360 3501 7386 1473 64.8 MiB 0.11 0.00 6.2023 -126.011 -6.2023 6.2023 0.94 0.000691661 0.000628619 0.042143 0.0381884 36 3356 44 6.55708e+06 385760 612192. 2118.31 4.33 0.288213 0.251959 22750 144809 -1 2917 18 1421 4225 230139 53833 6.58984 6.58984 -145.463 -6.58984 0 0 782063. 2706.10 0.31 0.08 0.15 -1 -1 0.31 0.0300483 0.0270397 200 195 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 5.27 vpr 64.33 MiB -1 -1 0.19 20432 12 0.18 -1 -1 36620 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 32 32 214 246 1 164 84 17 17 289 -1 unnamed_device 25.8 MiB 0.62 1135 10149 2462 6257 1430 64.3 MiB 0.08 0.00 5.60752 -119.408 -5.60752 5.60752 0.94 0.000506814 0.000456298 0.0310014 0.0280621 30 2511 22 6.55708e+06 241100 526063. 1820.29 1.23 0.10354 0.0912818 21886 126133 -1 2249 32 927 2716 365854 190146 5.72972 5.72972 -132.494 -5.72972 0 0 666494. 2306.21 0.29 0.14 0.12 -1 -1 0.29 0.0334376 0.0294463 126 119 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 14.26 vpr 64.57 MiB -1 -1 0.21 20228 12 0.27 -1 -1 36636 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 31 32 244 276 1 182 87 17 17 289 -1 unnamed_device 26.2 MiB 0.33 1177 12375 3387 6891 2097 64.6 MiB 0.10 0.00 5.89878 -117.754 -5.89878 5.89878 0.95 0.000570243 0.000518323 0.0401513 0.036546 28 3578 26 6.55708e+06 289320 500653. 1732.36 10.39 0.203259 0.178237 21310 115450 -1 2947 22 1496 4793 370179 103097 6.38418 6.38418 -140.972 -6.38418 0 0 612192. 2118.31 0.27 0.12 0.11 -1 -1 0.27 0.029489 0.0263345 154 151 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 8.62 vpr 64.58 MiB -1 -1 0.22 20392 11 0.23 -1 -1 36804 -1 -1 30 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 30 32 276 308 1 210 92 17 17 289 -1 unnamed_device 26.0 MiB 0.18 1415 11684 3005 6575 2104 64.6 MiB 0.09 0.00 5.55244 -111.164 -5.55244 5.55244 0.95 0.000645409 0.00058541 0.0369911 0.0333574 36 3390 27 6.55708e+06 361650 612192. 2118.31 4.86 0.225863 0.197668 22750 144809 -1 2957 27 1155 3746 383537 154375 6.03324 6.03324 -130.404 -6.03324 0 0 782063. 2706.10 0.32 0.15 0.14 -1 -1 0.32 0.0390342 0.034878 190 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 6.29 vpr 64.34 MiB -1 -1 0.19 20592 11 0.25 -1 -1 36388 -1 -1 27 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65880 28 32 253 285 1 181 87 17 17 289 -1 unnamed_device 25.9 MiB 0.18 1110 9879 2527 6538 814 64.3 MiB 0.08 0.00 5.44692 -100.796 -5.44692 5.44692 0.91 0.000620478 0.000562147 0.0335368 0.0302744 36 2629 25 6.55708e+06 325485 612192. 2118.31 2.67 0.177677 0.155989 22750 144809 -1 2272 16 976 3456 183534 41823 5.56712 5.56712 -113.781 -5.56712 0 0 782063. 2706.10 0.33 0.07 0.14 -1 -1 0.33 0.0246114 0.0221273 172 166 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 10.38 vpr 64.16 MiB -1 -1 0.21 20548 13 0.26 -1 -1 36264 -1 -1 25 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65704 30 32 235 267 1 174 87 17 17 289 -1 unnamed_device 25.8 MiB 0.35 1100 4887 930 3736 221 64.2 MiB 0.05 0.00 6.0017 -114.095 -6.0017 6.0017 0.95 0.000569183 0.000518789 0.0175658 0.0160324 28 2978 22 6.55708e+06 301375 500653. 1732.36 6.57 0.24186 0.212182 21310 115450 -1 2577 21 1047 3233 330279 116334 6.6027 6.6027 -135.94 -6.6027 0 0 612192. 2118.31 0.27 0.12 0.11 -1 -1 0.27 0.0295095 0.0264656 148 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 5.24 vpr 64.48 MiB -1 -1 0.21 20580 12 0.24 -1 -1 36324 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66028 32 32 264 296 1 207 92 17 17 289 -1 unnamed_device 26.0 MiB 0.36 1297 12305 3023 7216 2066 64.5 MiB 0.10 0.00 5.8417 -128.186 -5.8417 5.8417 0.92 0.000613012 0.000551911 0.0397963 0.0361085 30 3382 30 6.55708e+06 337540 526063. 1820.29 1.45 0.136077 0.120226 21886 126133 -1 2669 15 1205 3247 164823 39700 6.2833 6.2833 -151.565 -6.2833 0 0 666494. 2306.21 0.27 0.06 0.12 -1 -1 0.27 0.0218894 0.0196948 174 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 5.11 vpr 64.56 MiB -1 -1 0.21 20448 13 0.36 -1 -1 36476 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 31 32 278 310 1 202 90 17 17 289 -1 unnamed_device 26.0 MiB 0.35 1311 5517 1031 4052 434 64.6 MiB 0.05 0.00 6.88936 -133.054 -6.88936 6.88936 0.94 0.00063843 0.000579915 0.0213539 0.019505 28 3234 22 6.55708e+06 325485 500653. 1732.36 1.23 0.115789 0.102396 21310 115450 -1 2790 22 1458 4580 255898 58133 7.45116 7.45116 -156.484 -7.45116 0 0 612192. 2118.31 0.26 0.10 0.11 -1 -1 0.26 0.0339335 0.030219 187 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 5.32 vpr 64.47 MiB -1 -1 0.22 20772 14 0.32 -1 -1 36396 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66016 32 32 290 322 1 214 92 17 17 289 -1 unnamed_device 26.1 MiB 0.31 1361 13340 3813 7167 2360 64.5 MiB 0.11 0.00 6.8411 -135.771 -6.8411 6.8411 0.94 0.000644351 0.000583712 0.0459342 0.0416678 30 3185 21 6.55708e+06 337540 526063. 1820.29 1.45 0.141383 0.125602 21886 126133 -1 2694 17 1314 3701 174214 41984 7.25056 7.25056 -154.758 -7.25056 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.0293359 0.0264771 196 195 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 5.37 vpr 64.49 MiB -1 -1 0.23 20808 14 0.30 -1 -1 36488 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 269 301 1 199 89 17 17 289 -1 unnamed_device 26.0 MiB 0.34 1146 8009 1888 5047 1074 64.5 MiB 0.07 0.00 6.30884 -127.798 -6.30884 6.30884 0.93 0.000629188 0.000572715 0.0285788 0.0259331 30 3023 20 6.55708e+06 301375 526063. 1820.29 1.48 0.116937 0.103467 21886 126133 -1 2450 52 1163 3558 513586 237024 6.62824 6.62824 -143.691 -6.62824 0 0 666494. 2306.21 0.26 0.21 0.11 -1 -1 0.26 0.0605969 0.0529872 175 174 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 7.98 vpr 64.69 MiB -1 -1 0.22 21024 13 0.40 -1 -1 36312 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 32 32 296 328 1 221 93 17 17 289 -1 unnamed_device 26.3 MiB 0.34 1422 6183 1209 4389 585 64.7 MiB 0.06 0.00 6.76916 -133.677 -6.76916 6.76916 0.92 0.00065265 0.00059006 0.0225415 0.0203857 38 3116 18 6.55708e+06 349595 638502. 2209.35 4.01 0.245387 0.21357 23326 155178 -1 2803 19 1284 4048 185687 44700 7.00956 7.00956 -147.586 -7.00956 0 0 851065. 2944.86 0.33 0.08 0.14 -1 -1 0.33 0.0315952 0.0283225 205 201 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 5.33 vpr 64.22 MiB -1 -1 0.20 20584 13 0.24 -1 -1 35976 -1 -1 24 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 30 32 234 266 1 186 86 17 17 289 -1 unnamed_device 25.9 MiB 0.50 1247 8024 1931 5322 771 64.2 MiB 0.07 0.00 6.22784 -128.097 -6.22784 6.22784 0.95 0.000543718 0.000493441 0.0264839 0.0241056 28 3054 22 6.55708e+06 289320 500653. 1732.36 1.46 0.105512 0.0931231 21310 115450 -1 2791 17 1151 2937 183896 42859 6.45798 6.45798 -147.243 -6.45798 0 0 612192. 2118.31 0.25 0.07 0.11 -1 -1 0.25 0.0234647 0.0209796 147 143 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 13.06 vpr 64.68 MiB -1 -1 0.24 21224 13 0.56 -1 -1 36320 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 30 32 291 323 1 232 94 17 17 289 -1 unnamed_device 26.2 MiB 0.41 1415 6271 1187 4688 396 64.7 MiB 0.06 0.00 6.73256 -132.364 -6.73256 6.73256 0.94 0.000643493 0.000582944 0.0238546 0.021688 32 4079 41 6.55708e+06 385760 554710. 1919.41 8.75 0.326092 0.286584 22174 131602 -1 3358 29 1649 4638 418548 150030 7.2429 7.2429 -159.3 -7.2429 0 0 701300. 2426.64 0.30 0.16 0.13 -1 -1 0.30 0.0471936 0.0421622 203 200 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 7.91 vpr 64.65 MiB -1 -1 0.23 20704 14 0.40 -1 -1 36856 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 274 306 1 210 91 17 17 289 -1 unnamed_device 26.1 MiB 0.56 1358 8659 1951 6310 398 64.6 MiB 0.08 0.00 6.61036 -137.215 -6.61036 6.61036 0.92 0.00064087 0.000577102 0.0294496 0.0265897 30 3300 23 6.55708e+06 325485 526063. 1820.29 3.75 0.234188 0.204617 21886 126133 -1 2771 15 1178 3983 189161 43885 7.05196 7.05196 -158.717 -7.05196 0 0 666494. 2306.21 0.30 0.07 0.12 -1 -1 0.30 0.0251911 0.0227871 181 179 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 8.74 vpr 64.49 MiB -1 -1 0.23 20820 13 0.28 -1 -1 36440 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 31 32 266 298 1 204 88 17 17 289 -1 unnamed_device 26.0 MiB 0.35 1349 8278 1974 5355 949 64.5 MiB 0.08 0.00 6.42704 -130.579 -6.42704 6.42704 0.95 0.000629382 0.000573519 0.0305421 0.0277997 36 3277 33 6.55708e+06 301375 612192. 2118.31 4.81 0.285751 0.250667 22750 144809 -1 2857 16 1319 3908 217848 49719 6.75044 6.75044 -148.145 -6.75044 0 0 782063. 2706.10 0.31 0.08 0.14 -1 -1 0.31 0.0265175 0.0238998 175 173 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 8.31 vpr 64.52 MiB -1 -1 0.24 20592 13 0.27 -1 -1 36532 -1 -1 27 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 30 32 266 298 1 204 89 17 17 289 -1 unnamed_device 26.0 MiB 0.45 1263 13751 4201 7179 2371 64.5 MiB 0.11 0.00 6.1611 -115.224 -6.1611 6.1611 0.90 0.000557734 0.000511378 0.0458792 0.041416 44 2777 16 6.55708e+06 325485 742403. 2568.87 4.25 0.230063 0.201484 24478 177802 -1 2338 14 954 2945 145321 33704 6.7621 6.7621 -134.786 -6.7621 0 0 937218. 3242.97 0.38 0.06 0.18 -1 -1 0.38 0.0242008 0.0219741 178 175 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 8.30 vpr 65.02 MiB -1 -1 0.23 20684 14 0.44 -1 -1 36400 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 310 342 1 238 101 17 17 289 -1 unnamed_device 26.5 MiB 0.42 1520 7151 1439 5129 583 65.0 MiB 0.07 0.00 6.7601 -141.653 -6.7601 6.7601 0.90 0.000708972 0.000636191 0.0267907 0.024275 38 3341 19 6.55708e+06 446035 638502. 2209.35 4.22 0.248501 0.216987 23326 155178 -1 2927 16 1434 4043 193028 45648 6.8803 6.8803 -154.428 -6.8803 0 0 851065. 2944.86 0.32 0.08 0.16 -1 -1 0.32 0.030073 0.0271399 218 215 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 8.26 vpr 64.26 MiB -1 -1 0.23 20756 11 0.35 -1 -1 36412 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65804 29 32 262 294 1 203 90 17 17 289 -1 unnamed_device 25.7 MiB 0.53 1295 6522 1299 4707 516 64.3 MiB 0.06 0.00 5.61152 -113.195 -5.61152 5.61152 0.95 0.000629491 0.000571921 0.0241409 0.0219577 36 3006 21 6.55708e+06 349595 612192. 2118.31 4.07 0.280471 0.245632 22750 144809 -1 2573 16 1090 3313 179169 40862 6.07244 6.07244 -130.298 -6.07244 0 0 782063. 2706.10 0.33 0.07 0.14 -1 -1 0.33 0.0280768 0.0254024 177 173 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 5.71 vpr 63.91 MiB -1 -1 0.19 20072 13 0.19 -1 -1 36512 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65444 32 32 222 254 1 180 88 17 17 289 -1 unnamed_device 25.4 MiB 0.35 1208 10033 2479 6508 1046 63.9 MiB 0.08 0.00 5.77918 -133.225 -5.77918 5.77918 0.91 0.000508864 0.000460894 0.0291713 0.0265067 28 3160 25 6.55708e+06 289320 500653. 1732.36 2.08 0.108635 0.095859 21310 115450 -1 2675 18 1073 2729 176745 40397 6.45858 6.45858 -159.746 -6.45858 0 0 612192. 2118.31 0.27 0.07 0.12 -1 -1 0.27 0.0233009 0.0208573 138 127 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 8.43 vpr 64.66 MiB -1 -1 0.23 20736 14 0.30 -1 -1 36348 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 32 32 267 299 1 205 92 17 17 289 -1 unnamed_device 26.1 MiB 0.50 1338 8786 2060 6174 552 64.7 MiB 0.08 0.00 6.6771 -139.917 -6.6771 6.6771 0.95 0.000637338 0.000568782 0.0299875 0.0272352 34 3870 46 6.55708e+06 337540 585099. 2024.56 4.25 0.196117 0.172438 22462 138074 -1 3126 37 1850 6120 501740 154460 7.0377 7.0377 -157.615 -7.0377 0 0 742403. 2568.87 0.31 0.17 0.14 -1 -1 0.31 0.0464335 0.0409117 179 172 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 8.54 vpr 65.09 MiB -1 -1 0.21 21228 15 0.50 -1 -1 36780 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 334 366 1 263 97 17 17 289 -1 unnamed_device 26.7 MiB 0.32 1709 5869 1164 4191 514 65.1 MiB 0.06 0.00 7.46001 -156.735 -7.46001 7.46001 0.92 0.000766229 0.00069189 0.0235146 0.0212194 36 4244 29 6.55708e+06 397815 612192. 2118.31 4.53 0.294556 0.25707 22750 144809 -1 3739 16 1770 5162 278828 64581 7.70041 7.70041 -176.846 -7.70041 0 0 782063. 2706.10 0.31 0.10 0.13 -1 -1 0.31 0.0333898 0.0301052 241 239 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 7.15 vpr 64.23 MiB -1 -1 0.19 20188 11 0.21 -1 -1 36484 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 32 32 220 252 1 157 86 17 17 289 -1 unnamed_device 25.7 MiB 0.47 1028 5756 1180 4285 291 64.2 MiB 0.05 0.00 5.42258 -113.307 -5.42258 5.42258 0.95 0.000505079 0.000457585 0.0187963 0.017091 28 2702 43 6.55708e+06 265210 500653. 1732.36 3.41 0.169082 0.147065 21310 115450 -1 2291 16 881 2561 149418 34702 5.78318 5.78318 -134.617 -5.78318 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0214352 0.0192604 129 125 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 6.62 vpr 64.50 MiB -1 -1 0.19 20216 12 0.23 -1 -1 36448 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 31 32 244 276 1 193 89 17 17 289 -1 unnamed_device 26.1 MiB 0.31 1205 6821 1354 4638 829 64.5 MiB 0.06 0.00 6.08312 -125.639 -6.08312 6.08312 0.96 0.000570656 0.000519617 0.0231331 0.02111 36 2963 19 6.55708e+06 313430 612192. 2118.31 2.87 0.167312 0.147857 22750 144809 -1 2488 15 1097 3075 166492 39941 6.45798 6.45798 -142.787 -6.45798 0 0 782063. 2706.10 0.33 0.07 0.14 -1 -1 0.33 0.0246988 0.0223209 156 151 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 8.59 vpr 64.81 MiB -1 -1 0.23 20792 12 0.38 -1 -1 36308 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 300 332 1 237 96 17 17 289 -1 unnamed_device 26.3 MiB 0.38 1469 9951 2618 6485 848 64.8 MiB 0.10 0.00 5.9201 -131.593 -5.9201 5.9201 0.93 0.000704801 0.00064076 0.0374901 0.0340365 34 3944 48 6.55708e+06 385760 585099. 2024.56 4.55 0.267398 0.233896 22462 138074 -1 3178 18 1415 4169 227423 53055 6.3617 6.3617 -149.918 -6.3617 0 0 742403. 2568.87 0.30 0.09 0.13 -1 -1 0.30 0.0338871 0.0306337 213 205 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 5.92 vpr 64.35 MiB -1 -1 0.22 20888 12 0.29 -1 -1 36468 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65896 32 32 271 303 1 211 90 17 17 289 -1 unnamed_device 25.8 MiB 0.37 1389 8331 1865 6003 463 64.4 MiB 0.08 0.00 6.2813 -131.805 -6.2813 6.2813 0.93 0.000639354 0.000580514 0.0301467 0.0273745 30 3758 44 6.55708e+06 313430 526063. 1820.29 2.03 0.15768 0.139929 21886 126133 -1 3124 15 1314 3994 214288 48693 6.6027 6.6027 -154.06 -6.6027 0 0 666494. 2306.21 0.28 0.08 0.12 -1 -1 0.28 0.0265967 0.0239153 181 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 7.94 vpr 65.21 MiB -1 -1 0.22 21240 14 0.57 -1 -1 36744 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 32 32 327 359 1 242 95 17 17 289 -1 unnamed_device 26.6 MiB 0.64 1671 11543 2996 7456 1091 65.2 MiB 0.11 0.00 7.09622 -146.756 -7.09622 7.09622 0.96 0.000782686 0.000708809 0.0456339 0.0412373 38 4139 23 6.55708e+06 373705 638502. 2209.35 3.27 0.230638 0.203404 23326 155178 -1 3424 16 1654 5377 263325 60680 7.53782 7.53782 -167.721 -7.53782 0 0 851065. 2944.86 0.35 0.10 0.15 -1 -1 0.35 0.0352548 0.0319271 234 232 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 6.40 vpr 64.52 MiB -1 -1 0.21 20320 12 0.26 -1 -1 36644 -1 -1 25 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 30 32 246 278 1 191 87 17 17 289 -1 unnamed_device 26.0 MiB 0.51 1284 13527 3800 7239 2488 64.5 MiB 0.10 0.00 6.01898 -117.232 -6.01898 6.01898 0.96 0.000502252 0.000456808 0.043569 0.0394426 36 3144 19 6.55708e+06 301375 612192. 2118.31 2.35 0.146963 0.129978 22750 144809 -1 2610 15 1022 3238 180577 41172 6.15344 6.15344 -129.92 -6.15344 0 0 782063. 2706.10 0.32 0.07 0.14 -1 -1 0.32 0.0251098 0.0227334 160 155 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 5.11 vpr 64.02 MiB -1 -1 0.19 20044 11 0.23 -1 -1 36164 -1 -1 26 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65560 27 32 219 251 1 163 85 17 17 289 -1 unnamed_device 25.4 MiB 0.36 900 5851 1344 3581 926 64.0 MiB 0.06 0.00 5.51064 -100.013 -5.51064 5.51064 0.93 0.000514949 0.000466809 0.021574 0.0196758 28 2631 20 6.55708e+06 313430 500653. 1732.36 1.43 0.096566 0.085207 21310 115450 -1 2225 21 1157 3512 199325 49030 5.87324 5.87324 -119.131 -5.87324 0 0 612192. 2118.31 0.27 0.08 0.12 -1 -1 0.27 0.0256865 0.0228135 140 134 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 9.57 vpr 65.21 MiB -1 -1 0.26 21676 13 0.55 -1 -1 36616 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 380 412 1 282 104 17 17 289 -1 unnamed_device 27.1 MiB 0.54 1950 18404 4591 11225 2588 65.2 MiB 0.18 0.00 6.8013 -139.508 -6.8013 6.8013 0.95 0.000877705 0.000785757 0.0716515 0.0645361 46 4041 19 6.55708e+06 482200 782063. 2706.10 4.85 0.39703 0.350624 24766 183262 -1 3589 16 1636 5524 266684 60948 7.0417 7.0417 -154.419 -7.0417 0 0 958460. 3316.47 0.40 0.10 0.18 -1 -1 0.40 0.0392773 0.0357035 286 285 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 5.02 vpr 64.46 MiB -1 -1 0.24 20888 14 0.32 -1 -1 36264 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 31 32 277 309 1 210 91 17 17 289 -1 unnamed_device 25.9 MiB 0.25 1332 7639 1822 5338 479 64.5 MiB 0.07 0.00 6.88996 -136.389 -6.88996 6.88996 0.92 0.000643594 0.000583672 0.0277088 0.0251938 30 3288 17 6.55708e+06 337540 526063. 1820.29 1.27 0.116581 0.103565 21886 126133 -1 2850 17 1223 3479 163483 39085 7.16956 7.16956 -157.211 -7.16956 0 0 666494. 2306.21 0.27 0.07 0.12 -1 -1 0.27 0.0272557 0.0245068 188 184 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 5.72 vpr 64.36 MiB -1 -1 0.21 20592 12 0.20 -1 -1 36336 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 32 32 229 261 1 178 91 17 17 289 -1 unnamed_device 25.8 MiB 0.38 1244 7843 1649 5230 964 64.4 MiB 0.07 0.00 5.95024 -133.449 -5.95024 5.95024 0.94 0.000565948 0.000515506 0.0248543 0.0226959 28 3069 34 6.55708e+06 325485 500653. 1732.36 1.97 0.12608 0.111745 21310 115450 -1 2642 17 1043 2961 191370 44009 6.07044 6.07044 -148.119 -6.07044 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0253727 0.0228135 145 134 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 13.38 vpr 64.70 MiB -1 -1 0.22 20372 13 0.35 -1 -1 36676 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 32 32 263 295 1 201 90 17 17 289 -1 unnamed_device 26.2 MiB 0.51 1287 7125 1609 5108 408 64.7 MiB 0.07 0.00 6.3623 -131.885 -6.3623 6.3623 0.95 0.00063505 0.000576883 0.0258813 0.0235179 28 3700 40 6.55708e+06 313430 500653. 1732.36 9.32 0.276129 0.24173 21310 115450 -1 3064 18 1348 3956 265551 62717 6.63224 6.63224 -150.06 -6.63224 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.0301951 0.0271108 169 168 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 6.70 vpr 65.22 MiB -1 -1 0.24 20880 13 0.40 -1 -1 36944 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 31 32 321 353 1 256 98 17 17 289 -1 unnamed_device 26.6 MiB 0.33 1670 11123 2853 7320 950 65.2 MiB 0.11 0.00 6.6399 -137.993 -6.6399 6.6399 0.95 0.000721598 0.000649029 0.0406591 0.0367845 34 4302 45 6.55708e+06 421925 585099. 2024.56 2.61 0.223193 0.196937 22462 138074 -1 3539 16 1680 5077 284876 65924 7.0815 7.0815 -158.593 -7.0815 0 0 742403. 2568.87 0.30 0.09 0.14 -1 -1 0.30 0.0308175 0.0278875 233 228 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 16.13 vpr 64.78 MiB -1 -1 0.23 20312 11 0.31 -1 -1 36580 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 30 32 287 319 1 212 93 17 17 289 -1 unnamed_device 26.4 MiB 0.29 1371 11013 2777 6591 1645 64.8 MiB 0.10 0.00 5.55444 -107.668 -5.55444 5.55444 0.94 0.000663065 0.000593128 0.0386504 0.0350596 36 3575 26 6.55708e+06 373705 612192. 2118.31 12.20 0.304548 0.266571 22750 144809 -1 2957 20 1374 4678 260426 59050 5.86358 5.86358 -125.137 -5.86358 0 0 782063. 2706.10 0.32 0.09 0.14 -1 -1 0.32 0.0330492 0.0296875 199 196 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 6.35 vpr 64.87 MiB -1 -1 0.23 20948 15 0.44 -1 -1 36476 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 32 32 296 328 1 223 93 17 17 289 -1 unnamed_device 26.4 MiB 0.59 1573 8283 1929 5503 851 64.9 MiB 0.08 0.00 7.25622 -151.279 -7.25622 7.25622 0.94 0.000691344 0.000620395 0.0308884 0.028064 30 3731 40 6.55708e+06 349595 526063. 1820.29 2.03 0.15493 0.13712 21886 126133 -1 3177 21 1507 4671 238070 54427 7.51649 7.51649 -171.766 -7.51649 0 0 666494. 2306.21 0.29 0.10 0.12 -1 -1 0.29 0.0375496 0.0337414 202 201 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 17.52 vpr 64.82 MiB -1 -1 0.23 21148 13 0.42 -1 -1 36792 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 285 317 1 224 94 17 17 289 -1 unnamed_device 26.4 MiB 0.55 1476 9679 2155 6321 1203 64.8 MiB 0.09 0.00 6.6765 -142.241 -6.6765 6.6765 0.95 0.000666049 0.000603696 0.0345835 0.0313592 28 4436 34 6.55708e+06 361650 500653. 1732.36 13.28 0.271589 0.238134 21310 115450 -1 3444 21 1472 4312 315735 85037 7.3193 7.3193 -169.388 -7.3193 0 0 612192. 2118.31 0.26 0.11 0.11 -1 -1 0.26 0.0333179 0.0297718 194 190 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 5.43 vpr 64.48 MiB -1 -1 0.20 20772 12 0.25 -1 -1 36496 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 29 32 239 271 1 189 90 17 17 289 -1 unnamed_device 26.0 MiB 0.54 1204 8934 2237 5876 821 64.5 MiB 0.07 0.00 6.0801 -125.534 -6.0801 6.0801 0.95 0.000564458 0.000514517 0.0284256 0.0259423 28 3204 24 6.55708e+06 349595 500653. 1732.36 1.48 0.11914 0.105876 21310 115450 -1 2880 20 1355 3669 211719 51157 6.2813 6.2813 -146.365 -6.2813 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0284775 0.0254597 157 150 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 6.30 vpr 64.22 MiB -1 -1 0.20 20564 11 0.19 -1 -1 35964 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 32 32 235 267 1 176 85 17 17 289 -1 unnamed_device 25.7 MiB 0.26 952 14035 4009 7528 2498 64.2 MiB 0.11 0.00 5.54018 -111.263 -5.54018 5.54018 0.92 0.000522367 0.000472871 0.0430241 0.0389832 36 2557 43 6.55708e+06 253155 612192. 2118.31 2.65 0.184691 0.161521 22750 144809 -1 2173 18 1054 2789 155241 38255 6.10198 6.10198 -131.835 -6.10198 0 0 782063. 2706.10 0.31 0.06 0.14 -1 -1 0.31 0.0238254 0.0213331 145 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 8.65 vpr 64.70 MiB -1 -1 0.22 20820 13 0.41 -1 -1 36436 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 31 32 294 326 1 226 92 17 17 289 -1 unnamed_device 26.3 MiB 0.58 1439 12719 3244 7088 2387 64.7 MiB 0.11 0.00 6.4387 -131.153 -6.4387 6.4387 0.91 0.000650401 0.000589393 0.0433609 0.0391636 34 4522 49 6.55708e+06 349595 585099. 2024.56 4.36 0.246658 0.216849 22462 138074 -1 3360 18 1789 5855 369272 81038 7.1207 7.1207 -153.832 -7.1207 0 0 742403. 2568.87 0.30 0.11 0.14 -1 -1 0.30 0.0328871 0.0297406 203 201 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 10.85 vpr 64.34 MiB -1 -1 0.19 20560 10 0.19 -1 -1 36296 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65880 29 32 219 251 1 164 85 17 17 289 -1 unnamed_device 25.8 MiB 0.21 913 14035 5246 6939 1850 64.3 MiB 0.10 0.00 5.09292 -99.2405 -5.09292 5.09292 0.92 0.000468716 0.000412526 0.0397514 0.0358712 30 2349 19 6.55708e+06 289320 526063. 1820.29 7.38 0.195908 0.170797 21886 126133 -1 1942 19 936 2861 145597 35377 5.29412 5.29412 -115.617 -5.29412 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0231435 0.020591 137 130 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 6.56 vpr 64.32 MiB -1 -1 0.20 20576 14 0.24 -1 -1 36300 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65860 32 32 239 271 1 186 88 17 17 289 -1 unnamed_device 25.9 MiB 0.62 1158 13153 3647 7119 2387 64.3 MiB 0.10 0.00 6.58503 -138.344 -6.58503 6.58503 0.93 0.000550351 0.000498604 0.0402865 0.0366235 28 3456 42 6.55708e+06 289320 500653. 1732.36 2.45 0.139306 0.122803 21310 115450 -1 2865 16 1166 3234 218755 49694 7.30623 7.30623 -165.763 -7.30623 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0233728 0.020991 146 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 5.30 vpr 64.75 MiB -1 -1 0.24 20900 13 0.34 -1 -1 36636 -1 -1 30 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 31 32 266 298 1 208 93 17 17 289 -1 unnamed_device 26.2 MiB 0.38 1220 13113 3406 7382 2325 64.7 MiB 0.11 0.00 5.98744 -129.966 -5.98744 5.98744 0.94 0.000629891 0.000569763 0.0422934 0.0383641 30 3317 26 6.55708e+06 361650 526063. 1820.29 1.31 0.135687 0.120197 21886 126133 -1 2776 18 1315 3641 180194 43546 6.34804 6.34804 -149.561 -6.34804 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.0281995 0.0253091 180 173 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 4.92 vpr 64.18 MiB -1 -1 0.21 20776 12 0.19 -1 -1 36328 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65716 31 32 225 257 1 178 89 17 17 289 -1 unnamed_device 25.6 MiB 0.38 1163 6029 1206 4357 466 64.2 MiB 0.05 0.00 5.32992 -116.93 -5.32992 5.32992 0.91 0.000503477 0.00045593 0.0179072 0.0162167 28 2838 19 6.55708e+06 313430 500653. 1732.36 1.37 0.0901798 0.0790228 21310 115450 -1 2468 15 919 2366 140137 32388 5.60952 5.60952 -134.891 -5.60952 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0199926 0.0178664 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 13.43 vpr 64.72 MiB -1 -1 0.23 20892 12 0.25 -1 -1 36672 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 32 32 288 320 1 216 90 17 17 289 -1 unnamed_device 26.1 MiB 0.36 1459 10743 2927 6675 1141 64.7 MiB 0.10 0.00 5.87384 -126.375 -5.87384 5.87384 0.94 0.000645822 0.000586226 0.0380319 0.0344514 30 3440 49 6.55708e+06 313430 526063. 1820.29 9.60 0.282555 0.247243 21886 126133 -1 2854 18 1189 3736 193342 43703 6.15344 6.15344 -145.368 -6.15344 0 0 666494. 2306.21 0.27 0.07 0.12 -1 -1 0.27 0.0290135 0.0260986 195 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 8.67 vpr 64.79 MiB -1 -1 0.24 20832 13 0.37 -1 -1 36636 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 31 32 282 314 1 222 92 17 17 289 -1 unnamed_device 26.4 MiB 0.57 1296 9614 2415 5873 1326 64.8 MiB 0.09 0.00 6.3205 -129.242 -6.3205 6.3205 0.94 0.000666621 0.00060377 0.0367327 0.0332938 36 3376 21 6.55708e+06 349595 612192. 2118.31 4.37 0.240316 0.209964 22750 144809 -1 2811 17 1322 4092 210939 50440 6.94904 6.94904 -146.298 -6.94904 0 0 782063. 2706.10 0.33 0.08 0.14 -1 -1 0.33 0.0304486 0.0274918 193 189 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 7.59 vpr 64.22 MiB -1 -1 0.20 20488 11 0.21 -1 -1 36216 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 32 32 233 265 1 183 89 17 17 289 -1 unnamed_device 25.9 MiB 0.30 1116 11177 2875 7084 1218 64.2 MiB 0.09 0.00 5.45012 -119.477 -5.45012 5.45012 0.95 0.000553933 0.000497476 0.0338932 0.0308391 44 2363 15 6.55708e+06 301375 742403. 2568.87 3.73 0.204866 0.180037 24478 177802 -1 2140 15 878 2530 123184 28611 5.69052 5.69052 -133.594 -5.69052 0 0 937218. 3242.97 0.39 0.06 0.18 -1 -1 0.39 0.0224617 0.0202753 148 138 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 8.06 vpr 64.42 MiB -1 -1 0.21 20644 13 0.27 -1 -1 36304 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 32 32 254 286 1 196 88 17 17 289 -1 unnamed_device 25.9 MiB 0.36 1165 7498 1705 5278 515 64.4 MiB 0.07 0.00 6.30884 -128.988 -6.30884 6.30884 0.94 0.000603008 0.000550227 0.0261033 0.0237477 34 3364 41 6.55708e+06 289320 585099. 2024.56 4.24 0.231747 0.201968 22462 138074 -1 2571 16 1224 3439 189280 47860 6.4825 6.4825 -150.508 -6.4825 0 0 742403. 2568.87 0.32 0.07 0.13 -1 -1 0.32 0.0252027 0.0227235 164 159 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 9.04 vpr 64.62 MiB -1 -1 0.21 20492 13 0.32 -1 -1 36388 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 285 317 1 216 92 17 17 289 -1 unnamed_device 26.3 MiB 0.82 1369 12098 3252 7054 1792 64.6 MiB 0.11 0.00 6.3969 -138.761 -6.3969 6.3969 0.95 0.000680387 0.000617608 0.0432607 0.0393059 36 3427 45 6.55708e+06 337540 612192. 2118.31 4.58 0.299917 0.263462 22750 144809 -1 2910 18 1363 3931 223292 51361 6.9979 6.9979 -160.088 -6.9979 0 0 782063. 2706.10 0.32 0.09 0.14 -1 -1 0.32 0.0316741 0.0286113 193 190 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 11.48 vpr 64.29 MiB -1 -1 0.21 20936 11 0.23 -1 -1 36532 -1 -1 27 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65832 29 32 243 275 1 185 88 17 17 289 -1 unnamed_device 25.9 MiB 0.22 1096 12958 3826 6869 2263 64.3 MiB 0.10 0.00 5.22078 -100.831 -5.22078 5.22078 0.89 0.000570168 0.000515459 0.0404038 0.0364251 32 3204 45 6.55708e+06 325485 554710. 1919.41 7.78 0.275843 0.2401 22174 131602 -1 2644 39 1385 4918 616747 263284 5.98378 5.98378 -125.285 -5.98378 0 0 701300. 2426.64 0.30 0.23 0.13 -1 -1 0.30 0.0499518 0.0441819 160 154 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 10.53 vpr 65.23 MiB -1 -1 0.24 20968 14 0.41 -1 -1 36224 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 318 350 1 251 99 17 17 289 -1 unnamed_device 26.6 MiB 0.41 1594 7167 1380 5462 325 65.2 MiB 0.08 0.00 7.1971 -154.531 -7.1971 7.1971 0.94 0.000732196 0.000661702 0.0273667 0.0248846 34 4677 50 6.55708e+06 421925 585099. 2024.56 6.38 0.328336 0.287322 22462 138074 -1 3679 18 1737 5225 295484 68384 7.4375 7.4375 -177.856 -7.4375 0 0 742403. 2568.87 0.32 0.10 0.14 -1 -1 0.32 0.0345219 0.0311203 224 223 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 6.62 vpr 64.24 MiB -1 -1 0.18 20428 12 0.19 -1 -1 36896 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65784 31 32 222 254 1 184 91 17 17 289 -1 unnamed_device 25.7 MiB 0.33 1173 9271 2248 6318 705 64.2 MiB 0.07 0.00 5.61918 -122.422 -5.61918 5.61918 0.94 0.000523991 0.000476683 0.0265871 0.0242224 36 2735 15 6.55708e+06 337540 612192. 2118.31 2.90 0.144209 0.126584 22750 144809 -1 2330 16 929 2435 137333 31783 5.88912 5.88912 -138.728 -5.88912 0 0 782063. 2706.10 0.33 0.06 0.14 -1 -1 0.33 0.0227899 0.0197915 138 129 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 6.77 vpr 64.51 MiB -1 -1 0.24 21268 13 0.37 -1 -1 37124 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 32 32 282 314 1 218 89 17 17 289 -1 unnamed_device 25.9 MiB 0.51 1324 14741 4283 8099 2359 64.5 MiB 0.13 0.00 6.6373 -131.168 -6.6373 6.6373 0.94 0.000648882 0.00058865 0.0517833 0.0469657 30 3976 41 6.55708e+06 301375 526063. 1820.29 2.60 0.172328 0.152837 21886 126133 -1 2943 17 1387 4358 211280 50365 6.7595 6.7595 -150.401 -6.7595 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0292336 0.0263866 189 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 5.75 vpr 64.32 MiB -1 -1 0.21 20904 13 0.22 -1 -1 36068 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65860 32 32 238 270 1 186 90 17 17 289 -1 unnamed_device 26.0 MiB 0.41 1225 11145 2890 6998 1257 64.3 MiB 0.09 0.00 6.2003 -133.674 -6.2003 6.2003 0.96 0.000543627 0.000495108 0.034447 0.0313703 28 3161 48 6.55708e+06 313430 500653. 1732.36 1.87 0.149274 0.132583 21310 115450 -1 2654 25 1122 3001 239058 82713 6.5217 6.5217 -155.67 -6.5217 0 0 612192. 2118.31 0.28 0.10 0.11 -1 -1 0.28 0.0315159 0.0280104 151 143 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 7.77 vpr 64.32 MiB -1 -1 0.23 20864 12 0.27 -1 -1 36336 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 32 32 269 301 1 199 90 17 17 289 -1 unnamed_device 25.8 MiB 0.31 1321 8331 2279 5504 548 64.3 MiB 0.08 0.00 5.99144 -129.522 -5.99144 5.99144 0.93 0.000660012 0.000600923 0.0295458 0.0267887 32 3632 32 6.55708e+06 313430 554710. 1919.41 3.91 0.249748 0.217437 22174 131602 -1 3108 19 1427 4485 361272 86592 6.30318 6.30318 -149.215 -6.30318 0 0 701300. 2426.64 0.31 0.12 0.13 -1 -1 0.31 0.0317703 0.0285171 176 174 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 10.59 vpr 64.84 MiB -1 -1 0.23 21380 15 0.59 -1 -1 36776 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 350 382 1 272 100 17 17 289 -1 unnamed_device 26.6 MiB 0.32 1872 6828 1323 5035 470 64.8 MiB 0.07 0.00 7.1187 -144.806 -7.1187 7.1187 0.93 0.000874732 0.000783051 0.0290076 0.0262442 36 4873 41 6.55708e+06 433980 612192. 2118.31 6.33 0.268289 0.236308 22750 144809 -1 4034 21 2113 7137 400936 89503 7.44976 7.44976 -165.312 -7.44976 0 0 782063. 2706.10 0.32 0.13 0.13 -1 -1 0.32 0.042833 0.03838 256 255 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 7.57 vpr 63.80 MiB -1 -1 0.18 20140 10 0.12 -1 -1 36256 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65336 30 32 172 204 1 134 80 17 17 289 -1 unnamed_device 25.2 MiB 0.13 707 9196 2491 4714 1991 63.8 MiB 0.06 0.00 4.60046 -100.678 -4.60046 4.60046 0.91 0.000395481 0.000358373 0.0229137 0.0208452 26 2574 42 6.55708e+06 216990 477104. 1650.88 4.22 0.157094 0.136193 21022 109990 -1 1852 49 1152 2967 446103 216092 4.96306 4.96306 -120.89 -4.96306 0 0 585099. 2024.56 0.25 0.18 0.11 -1 -1 0.25 0.0365926 0.0318005 90 81 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 10.61 vpr 64.18 MiB -1 -1 0.20 20480 13 0.24 -1 -1 36028 -1 -1 25 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65716 30 32 228 260 1 171 87 17 17 289 -1 unnamed_device 25.6 MiB 0.21 1113 8727 2049 5683 995 64.2 MiB 0.07 0.00 5.93658 -124.205 -5.93658 5.93658 0.92 0.000527659 0.000480441 0.0272481 0.0247629 28 3075 22 6.55708e+06 301375 500653. 1732.36 7.10 0.183307 0.159816 21310 115450 -1 2637 15 1029 2884 162037 38660 6.45658 6.45658 -143.91 -6.45658 0 0 612192. 2118.31 0.25 0.06 0.11 -1 -1 0.25 0.0220641 0.0199174 143 137 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 6.96 vpr 64.72 MiB -1 -1 0.20 20336 12 0.24 -1 -1 36508 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 32 32 264 296 1 204 88 17 17 289 -1 unnamed_device 26.2 MiB 0.32 1277 10033 2500 6526 1007 64.7 MiB 0.09 0.00 5.98744 -129.532 -5.98744 5.98744 0.96 0.000609852 0.000552791 0.0340142 0.0308835 30 3042 24 6.55708e+06 289320 526063. 1820.29 3.19 0.2041 0.178672 21886 126133 -1 2573 18 1286 3404 161116 38924 6.17638 6.17638 -147.652 -6.17638 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.0268985 0.0241417 171 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 5.59 vpr 64.02 MiB -1 -1 0.18 20392 9 0.16 -1 -1 36256 -1 -1 22 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65556 25 32 183 215 1 140 79 17 17 289 -1 unnamed_device 25.6 MiB 0.20 872 8360 2111 5229 1020 64.0 MiB 0.06 0.00 4.52146 -85.4086 -4.52146 4.52146 0.94 0.000958086 0.000863486 0.0249986 0.0227849 26 2529 31 6.55708e+06 265210 477104. 1650.88 2.18 0.0967056 0.0852719 21022 109990 -1 2023 17 826 2294 141768 32700 4.86274 4.86274 -100.904 -4.86274 0 0 585099. 2024.56 0.25 0.05 0.11 -1 -1 0.25 0.0190209 0.0170638 111 102 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 16.44 vpr 64.73 MiB -1 -1 0.23 20920 12 0.32 -1 -1 36300 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 32 32 300 332 1 233 97 17 17 289 -1 unnamed_device 26.1 MiB 0.38 1505 6535 1419 4335 781 64.7 MiB 0.07 0.00 6.23184 -132.341 -6.23184 6.23184 0.91 0.000685907 0.000617924 0.0246199 0.0224089 38 3602 21 6.55708e+06 397815 638502. 2209.35 12.44 0.302034 0.264663 23326 155178 -1 2947 16 1409 4116 205074 48420 6.6439 6.6439 -151.13 -6.6439 0 0 851065. 2944.86 0.34 0.08 0.15 -1 -1 0.34 0.0293696 0.0266179 212 205 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 8.86 vpr 64.81 MiB -1 -1 0.25 21388 13 0.39 -1 -1 36332 -1 -1 30 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 290 322 1 226 93 17 17 289 -1 unnamed_device 26.4 MiB 0.37 1471 4713 821 3565 327 64.8 MiB 0.05 0.00 6.6791 -139.471 -6.6791 6.6791 0.97 0.000671975 0.000601097 0.0199445 0.0182406 38 3600 35 6.55708e+06 361650 638502. 2209.35 4.66 0.281498 0.247396 23326 155178 -1 3034 17 1326 4186 202386 46576 7.3193 7.3193 -158.906 -7.3193 0 0 851065. 2944.86 0.35 0.08 0.15 -1 -1 0.35 0.031628 0.0285841 200 197 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.49 vpr 64.89 MiB -1 -1 0.16 20336 1 0.03 -1 -1 33648 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 354 285 1 202 96 17 17 289 -1 unnamed_device 26.4 MiB 0.26 1200 18711 5518 10873 2320 64.9 MiB 0.16 0.00 4.42712 -134.27 -4.42712 4.42712 0.96 0.000516915 0.000470719 0.0462973 0.0422303 30 2372 21 6.64007e+06 401856 526063. 1820.29 0.99 0.111558 0.0988629 22546 126617 -1 2092 21 1182 1918 111725 25648 4.29188 4.29188 -147.431 -4.29188 0 0 666494. 2306.21 0.29 0.06 0.13 -1 -1 0.29 0.0233841 0.0207941 154 47 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.50 vpr 64.54 MiB -1 -1 0.17 20616 1 0.03 -1 -1 33632 -1 -1 24 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66084 30 32 363 293 1 194 86 17 17 289 -1 unnamed_device 26.0 MiB 0.22 990 12560 3925 6298 2337 64.5 MiB 0.11 0.00 3.79642 -119.207 -3.79642 3.79642 0.98 0.000524779 0.000478993 0.0372542 0.0340184 32 2397 24 6.64007e+06 301392 554710. 1919.41 1.02 0.105764 0.09331 22834 132086 -1 2120 25 1836 2748 171420 41465 4.22189 4.22189 -141.163 -4.22189 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0275437 0.0243098 139 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.42 vpr 64.67 MiB -1 -1 0.15 20484 1 0.03 -1 -1 33824 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 26.2 MiB 0.24 1042 9879 2813 6425 641 64.7 MiB 0.08 0.00 3.51556 -105.741 -3.51556 3.51556 0.91 0.00045058 0.00040997 0.0253907 0.0231666 26 2666 22 6.64007e+06 288834 477104. 1650.88 1.12 0.0901207 0.0795074 21682 110474 -1 2264 24 1346 1907 140516 32791 3.80783 3.80783 -126.653 -3.80783 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0239426 0.0211476 126 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.18 vpr 64.51 MiB -1 -1 0.16 20444 1 0.03 -1 -1 34012 -1 -1 27 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 26.1 MiB 0.05 857 9643 2090 7038 515 64.5 MiB 0.09 0.00 3.62076 -98.2027 -3.62076 3.62076 0.94 0.000438734 0.000403807 0.0253199 0.023148 32 2062 22 6.64007e+06 339066 554710. 1919.41 0.95 0.0836207 0.0735122 22834 132086 -1 1724 22 1461 2681 162864 38302 3.69582 3.69582 -114.927 -3.69582 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0207892 0.0183276 126 25 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.37 vpr 64.61 MiB -1 -1 0.15 20220 1 0.03 -1 -1 33696 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 26.2 MiB 0.07 1030 11607 3106 7421 1080 64.6 MiB 0.11 0.00 3.68447 -108.975 -3.68447 3.68447 0.97 0.000512523 0.000469695 0.0335401 0.0307127 28 2638 21 6.64007e+06 288834 500653. 1732.36 1.11 0.106619 0.0947332 21970 115934 -1 2259 19 1434 2736 175611 40383 3.95803 3.95803 -131.606 -3.95803 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0225768 0.0200689 130 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.17 vpr 64.87 MiB -1 -1 0.14 20984 1 0.03 -1 -1 33936 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 366 295 1 189 98 17 17 289 -1 unnamed_device 26.4 MiB 0.11 1007 14048 3875 8350 1823 64.9 MiB 0.12 0.00 2.80439 -99.9308 -2.80439 2.80439 0.93 0.000548369 0.0005028 0.0358128 0.03272 32 2249 18 6.64007e+06 426972 554710. 1919.41 0.93 0.0991212 0.0874964 22834 132086 -1 2012 20 1224 1960 128328 29848 2.93997 2.93997 -115.141 -2.93997 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0225929 0.0199862 142 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 3.99 vpr 64.37 MiB -1 -1 0.16 20392 1 0.03 -1 -1 34136 -1 -1 19 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 27 32 259 221 1 130 78 17 17 289 -1 unnamed_device 26.0 MiB 0.09 662 9872 2684 6158 1030 64.4 MiB 0.08 0.00 3.15021 -83.3249 -3.15021 3.15021 0.93 0.000408375 0.000373388 0.0258814 0.0236654 28 1500 21 6.64007e+06 238602 500653. 1732.36 0.88 0.0773193 0.0679002 21970 115934 -1 1383 18 811 1422 91980 22537 2.93397 2.93397 -97.3193 -2.93397 0 0 612192. 2118.31 0.26 0.05 0.12 -1 -1 0.26 0.0169272 0.0149595 93 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.05 vpr 64.48 MiB -1 -1 0.14 20208 1 0.03 -1 -1 33812 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 26.1 MiB 0.07 926 10318 2286 7425 607 64.5 MiB 0.08 0.00 2.7039 -83.4236 -2.7039 2.7039 0.93 0.000440683 0.000402874 0.0228417 0.0208338 30 2039 19 6.64007e+06 389298 526063. 1820.29 0.89 0.0761274 0.0670281 22546 126617 -1 1791 17 753 1380 75149 17188 2.59857 2.59857 -96.0093 -2.59857 0 0 666494. 2306.21 0.27 0.04 0.12 -1 -1 0.27 0.0173502 0.0154153 115 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.23 vpr 64.55 MiB -1 -1 0.14 20292 1 0.03 -1 -1 33968 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 31 32 317 271 1 167 83 17 17 289 -1 unnamed_device 26.1 MiB 0.20 829 10163 2729 6557 877 64.6 MiB 0.08 0.00 2.88585 -95.7089 -2.88585 2.88585 0.93 0.000483788 0.000432049 0.0280683 0.0256935 32 1962 19 6.64007e+06 251160 554710. 1919.41 0.93 0.0835915 0.0736432 22834 132086 -1 1751 18 1066 1541 101789 24578 2.95897 2.95897 -114.24 -2.95897 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0184487 0.0164154 111 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.27 vpr 64.43 MiB -1 -1 0.15 20144 1 0.03 -1 -1 33692 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.9 MiB 0.14 843 12681 4107 6589 1985 64.4 MiB 0.11 0.00 3.13721 -105.548 -3.13721 3.13721 0.95 0.000445241 0.000405869 0.0345003 0.0315334 32 2016 23 6.64007e+06 213486 554710. 1919.41 0.96 0.0924013 0.0815203 22834 132086 -1 1721 19 1115 1803 122063 27959 3.02197 3.02197 -118.352 -3.02197 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.019865 0.0176745 112 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.17 vpr 64.29 MiB -1 -1 0.16 20224 1 0.03 -1 -1 33752 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65828 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 25.8 MiB 0.13 799 12247 3622 6888 1737 64.3 MiB 0.09 0.00 3.46461 -96.3089 -3.46461 3.46461 0.93 0.000426733 0.000388413 0.0340509 0.0310869 32 1610 16 6.64007e+06 213486 554710. 1919.41 0.92 0.0864663 0.0763465 22834 132086 -1 1468 19 830 1327 88138 20219 2.79276 2.79276 -100.827 -2.79276 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0195593 0.0173823 98 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.41 vpr 64.43 MiB -1 -1 0.14 20148 1 0.03 -1 -1 33412 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 276 237 1 166 82 17 17 289 -1 unnamed_device 25.9 MiB 0.26 822 13788 4290 7344 2154 64.4 MiB 0.10 0.00 3.02301 -96.2485 -3.02301 3.02301 0.93 0.00043962 0.000401562 0.0349613 0.0318788 28 2106 26 6.64007e+06 226044 500653. 1732.36 1.05 0.0968738 0.0855191 21970 115934 -1 1723 24 1174 1599 104071 26292 3.04137 3.04137 -112.298 -3.04137 0 0 612192. 2118.31 0.26 0.06 0.12 -1 -1 0.26 0.0222755 0.0196545 109 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.61 vpr 64.90 MiB -1 -1 0.15 20620 1 0.03 -1 -1 33968 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 26.4 MiB 0.25 976 11008 2637 7796 575 64.9 MiB 0.11 0.00 3.57727 -114.954 -3.57727 3.57727 0.96 0.000530303 0.000486647 0.0314553 0.028816 28 2776 29 6.64007e+06 301392 500653. 1732.36 1.18 0.114599 0.101974 21970 115934 -1 2340 18 1582 2340 157919 38535 3.63043 3.63043 -134.247 -3.63043 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.022428 0.0200015 139 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.44 vpr 64.76 MiB -1 -1 0.17 20708 1 0.03 -1 -1 33556 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 26.2 MiB 0.15 967 13055 3737 7982 1336 64.8 MiB 0.12 0.00 4.12607 -118.057 -4.12607 4.12607 0.99 0.000521563 0.000475601 0.035099 0.0321089 32 2187 19 6.64007e+06 389298 554710. 1919.41 1.00 0.1016 0.0897051 22834 132086 -1 1880 20 1199 1955 125234 28134 3.76243 3.76243 -129.797 -3.76243 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0240592 0.0212976 134 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.12 vpr 64.15 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33612 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65692 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 25.8 MiB 0.12 702 8804 2321 5810 673 64.2 MiB 0.07 0.00 2.68419 -77.7395 -2.68419 2.68419 0.98 0.000397497 0.000363953 0.0213033 0.0195007 28 1653 18 6.64007e+06 263718 500653. 1732.36 0.87 0.0686207 0.0603528 21970 115934 -1 1625 21 941 1555 111415 25564 2.74677 2.74677 -93.0142 -2.74677 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.017263 0.0151978 98 21 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.87 vpr 64.89 MiB -1 -1 0.16 20984 1 0.03 -1 -1 33884 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 370 297 1 183 86 17 17 289 -1 unnamed_device 26.4 MiB 0.13 1051 7835 1955 5391 489 64.9 MiB 0.08 0.00 3.1755 -102.949 -3.1755 3.1755 0.99 0.000546502 0.000497166 0.0245523 0.0224754 26 2863 42 6.64007e+06 276276 477104. 1650.88 1.54 0.115144 0.101171 21682 110474 -1 2296 22 1265 2359 158721 36789 3.38177 3.38177 -126.049 -3.38177 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0258568 0.0228823 133 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 5.30 vpr 64.79 MiB -1 -1 0.16 20644 1 0.03 -1 -1 33772 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 32 32 338 269 1 196 87 17 17 289 -1 unnamed_device 26.3 MiB 0.25 1191 14103 4303 7979 1821 64.8 MiB 0.12 0.00 3.51127 -116.95 -3.51127 3.51127 0.94 0.000512788 0.000468137 0.0396069 0.0361922 36 2354 19 6.64007e+06 288834 612192. 2118.31 1.81 0.147975 0.130279 23410 145293 -1 2083 19 1254 1816 119240 26779 3.38723 3.38723 -127.966 -3.38723 0 0 782063. 2706.10 0.30 0.07 0.14 -1 -1 0.30 0.0228135 0.020316 138 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.14 vpr 64.54 MiB -1 -1 0.15 20256 1 0.03 -1 -1 33360 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.9 MiB 0.11 862 14373 3535 9309 1529 64.5 MiB 0.11 0.00 2.30864 -87.9994 -2.30864 2.30864 0.92 0.000477906 0.000434516 0.0342952 0.0312109 28 1893 19 6.64007e+06 364182 500653. 1732.36 0.91 0.0929239 0.0818767 21970 115934 -1 1753 19 1088 1707 110628 25307 2.15051 2.15051 -98.8317 -2.15051 0 0 612192. 2118.31 0.28 0.05 0.12 -1 -1 0.28 0.020523 0.0181867 110 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.02 vpr 63.71 MiB -1 -1 0.15 19984 1 0.03 -1 -1 33608 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65244 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 25.3 MiB 0.06 696 12139 3818 6692 1629 63.7 MiB 0.07 0.00 1.89953 -66.1252 -1.89953 1.89953 0.93 0.000353872 0.000325124 0.0282465 0.0258464 32 1452 16 6.64007e+06 188370 554710. 1919.41 0.89 0.0694842 0.0613688 22834 132086 -1 1317 18 684 988 72742 17456 2.11931 2.11931 -83.7257 -2.11931 0 0 701300. 2426.64 0.30 0.04 0.14 -1 -1 0.30 0.0147734 0.0130677 81 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 6.62 vpr 64.55 MiB -1 -1 0.15 20380 1 0.03 -1 -1 34032 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 291 243 1 171 83 17 17 289 -1 unnamed_device 26.2 MiB 0.23 732 14483 4620 6823 3040 64.5 MiB 0.10 0.00 3.93687 -115.005 -3.93687 3.93687 0.97 0.000455762 0.000417043 0.0374118 0.0341352 30 1971 33 6.64007e+06 251160 526063. 1820.29 3.22 0.174653 0.152551 22546 126617 -1 1350 20 982 1455 82823 22899 3.56123 3.56123 -122.385 -3.56123 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0206009 0.0183108 128 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.07 vpr 64.80 MiB -1 -1 0.15 20292 1 0.03 -1 -1 34008 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 26.4 MiB 0.06 1026 10031 2477 6283 1271 64.8 MiB 0.08 0.00 3.49156 -112.285 -3.49156 3.49156 0.92 0.000464084 0.000424137 0.0247909 0.0225572 32 2255 22 6.64007e+06 389298 554710. 1919.41 0.94 0.0870261 0.0762767 22834 132086 -1 2096 19 1339 2063 156587 34754 3.61043 3.61043 -129.684 -3.61043 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.021825 0.019314 135 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.61 vpr 64.93 MiB -1 -1 0.16 20840 1 0.03 -1 -1 33936 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 372 300 1 204 89 17 17 289 -1 unnamed_device 26.5 MiB 0.26 1003 14939 4038 8027 2874 64.9 MiB 0.12 0.00 3.77042 -113.976 -3.77042 3.77042 0.92 0.000533657 0.000486563 0.0415416 0.0378474 32 2752 25 6.64007e+06 313950 554710. 1919.41 1.11 0.115325 0.101958 22834 132086 -1 2268 19 1460 2212 192467 41345 3.89709 3.89709 -130.59 -3.89709 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0242299 0.0215836 144 59 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.18 vpr 63.66 MiB -1 -1 0.15 19924 1 0.03 -1 -1 33920 -1 -1 18 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65188 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 25.3 MiB 0.15 382 11116 4194 4894 2028 63.7 MiB 0.06 0.00 1.89953 -51.9576 -1.89953 1.89953 0.94 0.000272056 0.000250146 0.0221775 0.0202109 28 1319 29 6.64007e+06 226044 500653. 1732.36 1.02 0.068114 0.059833 21970 115934 -1 994 16 606 848 61754 16079 2.07431 2.07431 -68.7144 -2.07431 0 0 612192. 2118.31 0.27 0.04 0.12 -1 -1 0.27 0.0123852 0.0109836 77 21 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.37 vpr 64.18 MiB -1 -1 0.15 20136 1 0.03 -1 -1 33476 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65724 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 25.8 MiB 0.05 891 5479 1091 4207 181 64.2 MiB 0.06 0.00 4.09606 -104.083 -4.09606 4.09606 0.94 0.000434222 0.000395969 0.015168 0.013896 28 2314 24 6.64007e+06 263718 500653. 1732.36 1.24 0.0754675 0.0663211 21970 115934 -1 1899 16 962 1720 116442 28039 3.69062 3.69062 -120.353 -3.69062 0 0 612192. 2118.31 0.27 0.05 0.12 -1 -1 0.27 0.0170014 0.0151414 118 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 3.99 vpr 63.96 MiB -1 -1 0.13 20192 1 0.03 -1 -1 33752 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65496 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 25.5 MiB 0.05 445 9872 3098 4487 2287 64.0 MiB 0.05 0.00 2.08773 -60.0534 -2.08773 2.08773 0.96 0.000294739 0.00026842 0.0186836 0.0170214 28 1244 21 6.64007e+06 175812 500653. 1732.36 0.92 0.0570663 0.0499801 21970 115934 -1 1021 22 538 587 83477 36175 2.05231 2.05231 -73.6953 -2.05231 0 0 612192. 2118.31 0.27 0.05 0.12 -1 -1 0.27 0.0141943 0.0125326 79 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.36 vpr 64.25 MiB -1 -1 0.15 20436 1 0.03 -1 -1 33516 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 25.9 MiB 0.05 945 17347 4996 9879 2472 64.2 MiB 0.14 0.00 3.53527 -103.097 -3.53527 3.53527 0.96 0.000472935 0.000432902 0.0411407 0.037661 28 2070 21 6.64007e+06 376740 500653. 1732.36 1.09 0.108071 0.0962624 21970 115934 -1 1963 20 1136 1860 140025 31068 3.65863 3.65863 -120.99 -3.65863 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.0233799 0.020834 123 21 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.06 vpr 64.66 MiB -1 -1 0.15 20056 1 0.03 -1 -1 33980 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.3 MiB 0.05 919 7223 1423 5155 645 64.7 MiB 0.07 0.00 3.0905 -86.6383 -3.0905 3.0905 0.95 0.000469642 0.000429472 0.0178239 0.0163369 28 1971 21 6.64007e+06 389298 500653. 1732.36 0.92 0.0768588 0.0675666 21970 115934 -1 1869 19 1018 1810 106094 27115 3.00917 3.00917 -105.974 -3.00917 0 0 612192. 2118.31 0.27 0.05 0.12 -1 -1 0.27 0.0200797 0.0178541 128 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.57 vpr 64.69 MiB -1 -1 0.15 20220 1 0.03 -1 -1 33952 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 338 277 1 179 91 17 17 289 -1 unnamed_device 26.2 MiB 0.12 971 16819 5046 9728 2045 64.7 MiB 0.15 0.00 3.69347 -109.301 -3.69347 3.69347 0.97 0.000510915 0.0004645 0.0445383 0.0406436 26 2436 20 6.64007e+06 339066 477104. 1650.88 1.28 0.118566 0.105611 21682 110474 -1 2032 17 1060 1835 117053 28919 3.64843 3.64843 -127.386 -3.64843 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.020854 0.0186296 126 47 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.23 vpr 64.39 MiB -1 -1 0.15 20084 1 0.03 -1 -1 33416 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 25.9 MiB 0.08 882 10744 2967 6502 1275 64.4 MiB 0.09 0.00 2.42079 -85.7817 -2.42079 2.42079 0.97 0.000437123 0.000398483 0.0304333 0.0278888 32 1907 19 6.64007e+06 200928 554710. 1919.41 0.94 0.0838431 0.0740339 22834 132086 -1 1705 20 1018 1676 111125 25678 2.67597 2.67597 -103.806 -2.67597 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0195514 0.0173028 101 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.09 vpr 64.38 MiB -1 -1 0.15 20208 1 0.03 -1 -1 33864 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 26.0 MiB 0.08 689 6409 1351 4572 486 64.4 MiB 0.05 0.00 2.64019 -80.0435 -2.64019 2.64019 0.96 0.00041239 0.000376109 0.0166453 0.015162 30 1465 19 6.64007e+06 288834 526063. 1820.29 0.90 0.067231 0.0588946 22546 126617 -1 1338 20 657 1053 58941 14010 2.59077 2.59077 -92.4681 -2.59077 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0183286 0.01623 97 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.23 vpr 64.48 MiB -1 -1 0.14 20340 1 0.03 -1 -1 33444 -1 -1 23 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66028 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 26.0 MiB 0.05 629 15023 5392 7050 2581 64.5 MiB 0.11 0.00 2.8541 -79.3333 -2.8541 2.8541 0.97 0.00041364 0.000370192 0.0352576 0.031968 32 1645 23 6.64007e+06 288834 554710. 1919.41 1.00 0.0892744 0.0785563 22834 132086 -1 1364 22 1026 1701 111171 27250 2.61357 2.61357 -89.6433 -2.61357 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0193496 0.0170447 98 27 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.14 vpr 64.39 MiB -1 -1 0.14 20488 1 0.03 -1 -1 33720 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 25.9 MiB 0.05 895 5483 1169 3854 460 64.4 MiB 0.05 0.00 3.19341 -98.0221 -3.19341 3.19341 0.98 0.000424956 0.000388302 0.0149391 0.0137152 30 1895 23 6.64007e+06 238602 526063. 1820.29 0.95 0.0700992 0.061544 22546 126617 -1 1687 20 1006 1666 98641 22547 2.61657 2.61657 -107.045 -2.61657 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0190251 0.0168412 110 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.05 vpr 64.27 MiB -1 -1 0.15 20656 1 0.03 -1 -1 33516 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65816 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 25.8 MiB 0.05 706 8532 1848 6324 360 64.3 MiB 0.07 0.00 2.8301 -84.4669 -2.8301 2.8301 0.92 0.000428428 0.000396114 0.0184836 0.0169205 30 1763 20 6.64007e+06 339066 526063. 1820.29 0.94 0.0717126 0.0628145 22546 126617 -1 1462 15 711 1193 60982 15160 2.79577 2.79577 -97.2562 -2.79577 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0156497 0.0139752 103 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.21 vpr 64.27 MiB -1 -1 0.16 20244 1 0.03 -1 -1 33508 -1 -1 26 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65816 29 32 291 250 1 153 87 17 17 289 -1 unnamed_device 25.7 MiB 0.13 731 15831 5815 7225 2791 64.3 MiB 0.12 0.00 2.6377 -83.2904 -2.6377 2.6377 0.94 0.000428996 0.00039135 0.0376687 0.0344399 32 1686 18 6.64007e+06 326508 554710. 1919.41 0.93 0.0899936 0.0796556 22834 132086 -1 1472 18 995 1478 90930 21822 2.49071 2.49071 -90.3859 -2.49071 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0181419 0.0160725 105 48 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.54 vpr 64.77 MiB -1 -1 0.16 20804 1 0.03 -1 -1 33880 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 367 282 1 201 102 17 17 289 -1 unnamed_device 26.4 MiB 0.13 1061 9622 2162 6470 990 64.8 MiB 0.09 0.00 3.40636 -98.4167 -3.40636 3.40636 0.95 0.000519608 0.000474895 0.0234832 0.0214481 26 2622 21 6.64007e+06 477204 477104. 1650.88 1.27 0.0961787 0.0848395 21682 110474 -1 2295 18 1312 2457 173259 38046 3.81083 3.81083 -124.628 -3.81083 0 0 585099. 2024.56 0.24 0.07 0.11 -1 -1 0.24 0.0222499 0.0198321 151 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.35 vpr 65.00 MiB -1 -1 0.15 20592 1 0.03 -1 -1 33916 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 391 311 1 192 101 17 17 289 -1 unnamed_device 26.4 MiB 0.13 1081 12791 3347 8418 1026 65.0 MiB 0.11 0.00 3.01701 -105.389 -3.01701 3.01701 0.96 0.000555695 0.000504411 0.0331927 0.0301822 26 2441 33 6.64007e+06 464646 477104. 1650.88 1.03 0.115745 0.101675 21682 110474 -1 1990 20 1467 2350 142203 33297 3.05637 3.05637 -120.302 -3.05637 0 0 585099. 2024.56 0.23 0.06 0.11 -1 -1 0.23 0.0249027 0.0221242 147 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.17 vpr 64.23 MiB -1 -1 0.16 20268 1 0.03 -1 -1 34080 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 31 32 279 237 1 161 82 17 17 289 -1 unnamed_device 25.9 MiB 0.23 916 10406 2538 6139 1729 64.2 MiB 0.08 0.00 3.48127 -103.954 -3.48127 3.48127 0.91 0.000411447 0.000375484 0.0254197 0.0231287 32 1989 20 6.64007e+06 238602 554710. 1919.41 0.91 0.0771115 0.0677501 22834 132086 -1 1853 19 1158 1711 125417 28399 3.17883 3.17883 -114.829 -3.17883 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0180264 0.0159868 112 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.68 vpr 64.71 MiB -1 -1 0.17 20608 1 0.03 -1 -1 33908 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 31 32 370 297 1 186 88 17 17 289 -1 unnamed_device 26.2 MiB 0.12 997 11788 2979 6980 1829 64.7 MiB 0.11 0.00 3.41261 -107.545 -3.41261 3.41261 0.96 0.000515112 0.000463627 0.0347138 0.0316681 26 2709 20 6.64007e+06 313950 477104. 1650.88 1.38 0.107468 0.0951853 21682 110474 -1 2189 20 1468 2633 175370 40841 2.91797 2.91797 -114.346 -2.91797 0 0 585099. 2024.56 0.25 0.07 0.11 -1 -1 0.25 0.0238451 0.0211211 138 57 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.75 vpr 64.95 MiB -1 -1 0.16 20836 1 0.03 -1 -1 33756 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 31 32 377 302 1 233 92 17 17 289 -1 unnamed_device 26.7 MiB 0.40 1394 12098 3192 7301 1605 64.9 MiB 0.13 0.00 4.79918 -144.463 -4.79918 4.79918 0.96 0.000558363 0.000509917 0.03519 0.0321677 28 3302 20 6.64007e+06 364182 500653. 1732.36 1.10 0.110909 0.0982252 21970 115934 -1 2844 19 1857 2705 183063 41118 4.70575 4.70575 -166.728 -4.70575 0 0 612192. 2118.31 0.27 0.08 0.12 -1 -1 0.27 0.0257653 0.0229392 172 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.76 vpr 64.93 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33948 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 31 32 383 305 1 209 90 17 17 289 -1 unnamed_device 26.6 MiB 0.37 975 16773 5551 8324 2898 64.9 MiB 0.15 0.00 4.11401 -119.791 -4.11401 4.11401 0.96 0.000528867 0.000482241 0.0481089 0.0439207 32 2871 26 6.64007e+06 339066 554710. 1919.41 1.08 0.122653 0.108494 22834 132086 -1 2260 23 1827 2715 198680 46294 4.68868 4.68868 -150.822 -4.68868 0 0 701300. 2426.64 0.28 0.08 0.13 -1 -1 0.28 0.0272799 0.0241578 164 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.61 vpr 64.75 MiB -1 -1 0.16 20652 1 0.03 -1 -1 33568 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 31 32 352 285 1 184 94 17 17 289 -1 unnamed_device 26.2 MiB 0.13 988 10531 2804 6903 824 64.7 MiB 0.10 0.00 3.70647 -107.491 -3.70647 3.70647 0.96 0.000526989 0.00048348 0.0276983 0.0253383 26 2685 28 6.64007e+06 389298 477104. 1650.88 1.34 0.110382 0.0976135 21682 110474 -1 2273 23 1423 2377 155923 36304 3.41957 3.41957 -125.427 -3.41957 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0255619 0.0225867 135 51 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.49 vpr 64.60 MiB -1 -1 0.14 20104 1 0.03 -1 -1 34000 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 32 32 291 242 1 179 87 17 17 289 -1 unnamed_device 26.2 MiB 0.22 993 15447 5333 7306 2808 64.6 MiB 0.13 0.00 3.46356 -96.491 -3.46356 3.46356 0.96 0.000436116 0.000404763 0.0384986 0.0352138 28 2369 23 6.64007e+06 288834 500653. 1732.36 1.11 0.103729 0.0921494 21970 115934 -1 2143 21 1200 1888 148956 34000 3.58942 3.58942 -119.34 -3.58942 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0218455 0.0193839 119 24 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.50 vpr 65.06 MiB -1 -1 0.18 20700 1 0.03 -1 -1 34088 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 457 356 1 223 104 17 17 289 -1 unnamed_device 27.0 MiB 0.19 1203 14500 4049 9162 1289 65.1 MiB 0.13 0.00 4.04253 -130.907 -4.04253 4.04253 0.95 0.000592912 0.000547516 0.0396737 0.0360869 32 2733 20 6.64007e+06 502320 554710. 1919.41 1.00 0.118651 0.104597 22834 132086 -1 2422 21 1627 2557 156835 37207 4.03949 4.03949 -148.045 -4.03949 0 0 701300. 2426.64 0.29 0.08 0.13 -1 -1 0.29 0.0300225 0.026783 174 84 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 3.94 vpr 64.28 MiB -1 -1 0.14 20480 1 0.03 -1 -1 33792 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 25.8 MiB 0.08 848 8685 2093 5796 796 64.3 MiB 0.07 0.00 3.1015 -86.7938 -3.1015 3.1015 0.91 0.000390168 0.000352396 0.0203882 0.0185906 30 1751 18 6.64007e+06 263718 526063. 1820.29 0.87 0.0669324 0.0584738 22546 126617 -1 1584 18 702 1189 67980 16166 2.84977 2.84977 -99.727 -2.84977 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.016993 0.0151144 101 24 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 6.45 vpr 64.79 MiB -1 -1 0.17 20552 1 0.03 -1 -1 33668 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 31 32 337 267 1 205 88 17 17 289 -1 unnamed_device 26.4 MiB 0.24 1110 9058 2024 6495 539 64.8 MiB 0.09 0.00 4.12053 -125.48 -4.12053 4.12053 0.94 0.00050754 0.000463632 0.0259999 0.0237903 28 2937 23 6.64007e+06 313950 500653. 1732.36 3.05 0.157227 0.136792 21970 115934 -1 2238 19 1310 1860 129600 30298 4.47728 4.47728 -149.349 -4.47728 0 0 612192. 2118.31 0.27 0.06 0.12 -1 -1 0.27 0.020518 0.0182456 144 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.33 vpr 64.86 MiB -1 -1 0.15 20580 1 0.03 -1 -1 33556 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 26.4 MiB 0.13 1044 9643 2272 6962 409 64.9 MiB 0.09 0.00 3.1757 -97.2977 -3.1757 3.1757 0.95 0.000493403 0.000448081 0.0243306 0.0221818 28 2703 21 6.64007e+06 414414 500653. 1732.36 1.07 0.0902785 0.079433 21970 115934 -1 2273 18 1239 2272 151964 36159 3.10816 3.10816 -111.32 -3.10816 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0215974 0.0192626 131 50 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.17 vpr 64.13 MiB -1 -1 0.13 20380 1 0.03 -1 -1 33592 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65672 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 25.8 MiB 0.05 868 12568 4215 5967 2386 64.1 MiB 0.11 0.00 3.36216 -101.805 -3.36216 3.36216 0.95 0.000458131 0.000417323 0.0313016 0.0286008 30 2244 19 6.64007e+06 301392 526063. 1820.29 1.00 0.0885454 0.0782275 22546 126617 -1 1728 18 1116 2156 105839 25680 3.70462 3.70462 -119.183 -3.70462 0 0 666494. 2306.21 0.27 0.05 0.12 -1 -1 0.27 0.0186736 0.0166041 123 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.05 vpr 64.55 MiB -1 -1 0.15 20564 1 0.03 -1 -1 33908 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 353 287 1 198 88 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1104 15493 4624 8426 2443 64.5 MiB 0.14 0.00 3.67818 -114.268 -3.67818 3.67818 0.94 0.000523332 0.000477329 0.0434614 0.0396785 26 2872 39 6.64007e+06 301392 477104. 1650.88 1.57 0.128782 0.113788 21682 110474 -1 2308 22 1059 1546 179405 49039 3.26903 3.26903 -120.639 -3.26903 0 0 585099. 2024.56 0.25 0.08 0.11 -1 -1 0.25 0.0252312 0.0223722 138 52 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.84 vpr 64.82 MiB -1 -1 0.15 20588 1 0.03 -1 -1 33900 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 32 32 361 291 1 185 96 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1015 18492 6680 9224 2588 64.8 MiB 0.15 0.00 2.9151 -98.0492 -2.9151 2.9151 0.94 0.000512318 0.000465485 0.0456627 0.0414979 28 2796 46 6.64007e+06 401856 500653. 1732.36 1.42 0.137889 0.121496 21970 115934 -1 2158 15 1104 1965 141505 31952 2.99317 2.99317 -115.651 -2.99317 0 0 612192. 2118.31 0.27 0.06 0.12 -1 -1 0.27 0.0198856 0.0178082 133 52 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.44 vpr 64.85 MiB -1 -1 0.14 20664 1 0.03 -1 -1 33708 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 382 305 1 192 101 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1097 16316 4466 9393 2457 64.9 MiB 0.14 0.00 3.82667 -120.249 -3.82667 3.82667 0.93 0.000544881 0.000495918 0.0410222 0.0373581 32 2311 20 6.64007e+06 464646 554710. 1919.41 0.96 0.107804 0.0949552 22834 132086 -1 2036 19 1206 1747 116430 26591 3.23063 3.23063 -125.51 -3.23063 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0222566 0.0197617 145 59 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.25 vpr 64.52 MiB -1 -1 0.15 20180 1 0.03 -1 -1 33436 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 26.2 MiB 0.06 850 14583 4378 8839 1366 64.5 MiB 0.12 0.00 3.38416 -99.6745 -3.38416 3.38416 0.97 0.000484517 0.000443286 0.034372 0.03136 32 2037 21 6.64007e+06 364182 554710. 1919.41 0.96 0.0939383 0.0829396 22834 132086 -1 1708 19 1116 1839 117643 27955 3.57043 3.57043 -116.161 -3.57043 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.020448 0.0181662 122 21 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.53 vpr 64.78 MiB -1 -1 0.15 20248 1 0.03 -1 -1 33744 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 319 257 1 198 88 17 17 289 -1 unnamed_device 26.1 MiB 0.23 1165 14128 4153 7796 2179 64.8 MiB 0.12 0.00 4.07126 -117.916 -4.07126 4.07126 0.96 0.000495052 0.000451868 0.0378071 0.0345631 32 2557 20 6.64007e+06 301392 554710. 1919.41 1.02 0.102696 0.0910244 22834 132086 -1 2371 22 1546 2248 176182 38719 3.91682 3.91682 -137.896 -3.91682 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0247307 0.0219112 133 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 10.57 vpr 64.68 MiB -1 -1 0.18 20400 1 0.03 -1 -1 34068 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 31 32 373 299 1 202 88 17 17 289 -1 unnamed_device 26.3 MiB 0.27 1048 15688 5236 7551 2901 64.7 MiB 0.14 0.00 4.03253 -118.529 -4.03253 4.03253 0.95 0.000531557 0.000484937 0.0455154 0.0415788 28 3647 32 6.64007e+06 313950 500653. 1732.36 7.04 0.202973 0.178262 21970 115934 -1 2598 22 1860 2966 253184 63164 4.49029 4.49029 -149.306 -4.49029 0 0 612192. 2118.31 0.26 0.09 0.11 -1 -1 0.26 0.025655 0.0226164 148 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.97 vpr 64.84 MiB -1 -1 0.16 20504 1 0.03 -1 -1 33564 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 387 315 1 189 86 17 17 289 -1 unnamed_device 26.3 MiB 0.16 984 13883 4650 6915 2318 64.8 MiB 0.13 0.00 3.49656 -107.233 -3.49656 3.49656 0.97 0.000538562 0.000489242 0.0429402 0.0391904 28 3584 40 6.64007e+06 276276 500653. 1732.36 2.54 0.149726 0.133061 21970 115934 -1 2431 18 1497 2670 230003 50706 3.55243 3.55243 -133.734 -3.55243 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0241657 0.0214831 136 74 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.18 vpr 64.25 MiB -1 -1 0.13 20168 1 0.03 -1 -1 33688 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65792 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 25.8 MiB 0.05 729 15298 4392 9026 1880 64.2 MiB 0.10 0.00 2.7119 -83.0677 -2.7119 2.7119 0.97 0.000392619 0.000357737 0.0326238 0.0297458 30 1611 19 6.64007e+06 301392 526063. 1820.29 0.88 0.0813648 0.0719449 22546 126617 -1 1380 15 587 912 48075 11797 2.52757 2.52757 -89.7348 -2.52757 0 0 666494. 2306.21 0.30 0.03 0.13 -1 -1 0.30 0.0145527 0.0129991 97 20 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.61 vpr 64.80 MiB -1 -1 0.14 20464 1 0.03 -1 -1 33704 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 341 285 1 187 86 17 17 289 -1 unnamed_device 26.3 MiB 0.23 985 9914 2672 6604 638 64.8 MiB 0.09 0.00 3.21396 -114.637 -3.21396 3.21396 0.96 0.000502716 0.000460025 0.0279209 0.0255195 26 2682 21 6.64007e+06 276276 477104. 1650.88 1.30 0.0953504 0.0839572 21682 110474 -1 2371 20 1630 2303 188438 41249 3.62723 3.62723 -137.729 -3.62723 0 0 585099. 2024.56 0.25 0.07 0.11 -1 -1 0.25 0.0223309 0.0197683 127 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.60 vpr 64.89 MiB -1 -1 0.16 20604 1 0.03 -1 -1 34104 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 387 293 1 234 93 17 17 289 -1 unnamed_device 26.6 MiB 0.26 1448 16263 4802 9323 2138 64.9 MiB 0.16 0.00 4.36321 -135.43 -4.36321 4.36321 0.97 0.000579713 0.000527998 0.0475588 0.0434232 32 3394 23 6.64007e+06 364182 554710. 1919.41 0.99 0.122859 0.108862 22834 132086 -1 2877 22 2030 3196 251933 54258 4.69768 4.69768 -157.563 -4.69768 0 0 701300. 2426.64 0.30 0.09 0.13 -1 -1 0.30 0.0284472 0.0252461 169 28 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.15 vpr 64.62 MiB -1 -1 0.15 20316 1 0.03 -1 -1 33512 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 340 270 1 181 96 17 17 289 -1 unnamed_device 26.2 MiB 0.11 1034 10827 2607 7018 1202 64.6 MiB 0.10 0.00 3.67472 -113.027 -3.67472 3.67472 0.93 0.000536433 0.000490504 0.027566 0.0251708 32 2083 18 6.64007e+06 401856 554710. 1919.41 0.95 0.0917833 0.0809721 22834 132086 -1 1914 19 1100 1768 111017 25465 3.13657 3.13657 -118.081 -3.13657 0 0 701300. 2426.64 0.23 0.05 0.14 -1 -1 0.23 0.0221485 0.0197283 133 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.17 vpr 64.38 MiB -1 -1 0.15 20080 1 0.03 -1 -1 33440 -1 -1 26 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 25.9 MiB 0.05 767 13153 3910 7980 1263 64.4 MiB 0.11 0.00 2.7859 -86.9546 -2.7859 2.7859 0.97 0.000437081 0.000399755 0.0312995 0.028613 26 1942 21 6.64007e+06 326508 477104. 1650.88 1.02 0.0891026 0.0788663 21682 110474 -1 1690 20 1015 1534 103002 24267 3.07317 3.07317 -108.075 -3.07317 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0182908 0.0161227 104 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.81 vpr 64.93 MiB -1 -1 0.16 20404 1 0.03 -1 -1 33784 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 431 332 1 235 91 17 17 289 -1 unnamed_device 26.9 MiB 0.33 1385 13963 4000 8588 1375 64.9 MiB 0.15 0.00 5.03129 -150.861 -5.03129 5.03129 0.96 0.000583476 0.000531556 0.0444379 0.0405716 32 2841 35 6.64007e+06 339066 554710. 1919.41 1.12 0.13578 0.119941 22834 132086 -1 2474 20 1684 2426 144053 35102 5.09854 5.09854 -170.3 -5.09854 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0298324 0.0267181 170 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.26 vpr 64.61 MiB -1 -1 0.16 20164 1 0.03 -1 -1 33532 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 336 268 1 174 97 17 17 289 -1 unnamed_device 26.1 MiB 0.14 938 8533 1741 6439 353 64.6 MiB 0.08 0.00 3.69147 -114.707 -3.69147 3.69147 0.94 0.000501583 0.000458072 0.0218637 0.020046 32 2260 21 6.64007e+06 414414 554710. 1919.41 0.98 0.0857328 0.0753893 22834 132086 -1 1961 20 1336 2091 153010 34686 3.64783 3.64783 -128.738 -3.64783 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0217099 0.0191646 130 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 5.99 vpr 64.04 MiB -1 -1 0.14 20236 1 0.03 -1 -1 33640 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65580 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 25.7 MiB 0.07 756 11991 4313 6225 1453 64.0 MiB 0.09 0.00 2.8441 -82.1212 -2.8441 2.8441 0.97 0.000392876 0.000357325 0.0262266 0.0239769 28 1872 20 6.64007e+06 288834 500653. 1732.36 2.84 0.1238 0.107592 21970 115934 -1 1654 18 835 1390 107893 24887 2.85297 2.85297 -98.001 -2.85297 0 0 612192. 2118.31 0.27 0.05 0.12 -1 -1 0.27 0.0159208 0.0141075 100 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.37 vpr 65.05 MiB -1 -1 0.16 20760 1 0.03 -1 -1 33612 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 26.3 MiB 0.10 993 13373 3403 9060 910 65.0 MiB 0.12 0.00 4.67452 -111.4 -4.67452 4.67452 0.96 0.00055822 0.000512978 0.0335363 0.0306711 32 2414 23 6.64007e+06 426972 554710. 1919.41 1.01 0.104112 0.0919493 22834 132086 -1 2073 22 1317 2433 153165 35799 4.54728 4.54728 -134.203 -4.54728 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0260646 0.0230996 139 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.16 vpr 64.02 MiB -1 -1 0.14 20512 1 0.03 -1 -1 33948 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65560 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 25.6 MiB 0.05 834 13992 4642 7291 2059 64.0 MiB 0.10 0.00 2.8171 -91.1457 -2.8171 2.8171 0.97 0.000405109 0.000369206 0.0324855 0.0295348 32 1906 19 6.64007e+06 251160 554710. 1919.41 0.94 0.081478 0.0718851 22834 132086 -1 1738 21 1162 1982 140875 32006 2.88277 2.88277 -108.739 -2.88277 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.018641 0.0164972 104 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 3.99 vpr 64.32 MiB -1 -1 0.15 20484 1 0.03 -1 -1 33584 -1 -1 33 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65868 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 25.8 MiB 0.13 858 15215 4246 9186 1783 64.3 MiB 0.10 0.00 3.22421 -90.1146 -3.22421 3.22421 0.90 0.000381092 0.000349894 0.0298016 0.027236 28 1796 16 6.64007e+06 414414 500653. 1732.36 0.86 0.0815025 0.0720889 21970 115934 -1 1679 18 783 1453 92672 21296 2.74837 2.74837 -101.27 -2.74837 0 0 612192. 2118.31 0.26 0.05 0.12 -1 -1 0.26 0.0178043 0.0158381 105 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.62 vpr 64.85 MiB -1 -1 0.16 20676 1 0.03 -1 -1 33840 -1 -1 26 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 29 32 355 287 1 198 87 17 17 289 -1 unnamed_device 26.3 MiB 0.29 1098 11799 3472 7108 1219 64.8 MiB 0.11 0.00 3.67395 -109.891 -3.67395 3.67395 0.98 0.000511523 0.000466183 0.0342812 0.0313533 26 2885 24 6.64007e+06 326508 477104. 1650.88 1.14 0.107876 0.0952273 21682 110474 -1 2346 18 1444 2179 152569 35283 3.74582 3.74582 -129.009 -3.74582 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0212736 0.0188447 139 56 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.26 vpr 64.75 MiB -1 -1 0.16 20412 1 0.03 -1 -1 33608 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 26.3 MiB 0.12 917 8083 1747 5852 484 64.8 MiB 0.07 0.00 3.64276 -112.872 -3.64276 3.64276 0.95 0.000498599 0.000457626 0.0238001 0.0217678 32 2156 21 6.64007e+06 301392 554710. 1919.41 0.97 0.0907761 0.0797956 22834 132086 -1 1864 21 1515 2344 159351 37477 3.59462 3.59462 -129.797 -3.59462 0 0 701300. 2426.64 0.29 0.07 0.14 -1 -1 0.29 0.0252339 0.022318 130 51 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.62 vpr 64.77 MiB -1 -1 0.14 20564 1 0.03 -1 -1 33764 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 353 285 1 181 92 17 17 289 -1 unnamed_device 26.2 MiB 0.12 1015 14582 3560 9437 1585 64.8 MiB 0.13 0.00 3.99107 -121.69 -3.99107 3.99107 0.92 0.000559354 0.000508691 0.0369368 0.0335922 26 2839 33 6.64007e+06 351624 477104. 1650.88 1.46 0.121288 0.106958 21682 110474 -1 2264 18 1324 2241 168347 37653 3.86083 3.86083 -140.347 -3.86083 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0213813 0.0189982 133 48 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.39 vpr 64.46 MiB -1 -1 0.14 20368 1 0.03 -1 -1 33760 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 276 237 1 159 81 17 17 289 -1 unnamed_device 25.9 MiB 0.26 1003 13381 4221 6932 2228 64.5 MiB 0.10 0.00 3.67818 -110.331 -3.67818 3.67818 0.97 0.000429318 0.000395334 0.0356608 0.0326337 32 2123 16 6.64007e+06 213486 554710. 1919.41 0.93 0.0884869 0.0784776 22834 132086 -1 1866 15 800 1085 79592 18213 3.37823 3.37823 -121.366 -3.37823 0 0 701300. 2426.64 0.31 0.04 0.13 -1 -1 0.31 0.0168308 0.0150537 105 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.36 vpr 64.62 MiB -1 -1 0.17 20248 1 0.03 -1 -1 34048 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 26.3 MiB 0.23 739 8626 1841 6026 759 64.6 MiB 0.07 0.00 3.12596 -96.872 -3.12596 3.12596 0.96 0.000475561 0.000433557 0.0241463 0.0220779 32 2047 21 6.64007e+06 238602 554710. 1919.41 0.97 0.0828275 0.0728887 22834 132086 -1 1606 19 1154 1699 107367 26418 3.13717 3.13717 -115.804 -3.13717 0 0 701300. 2426.64 0.28 0.05 0.14 -1 -1 0.28 0.0200223 0.01781 113 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.31 vpr 64.78 MiB -1 -1 0.17 20240 1 0.03 -1 -1 33868 -1 -1 33 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 26.4 MiB 0.11 911 9383 2139 6426 818 64.8 MiB 0.08 0.00 2.8221 -83.2193 -2.8221 2.8221 0.95 0.000519984 0.000476646 0.0234569 0.0214238 26 2230 23 6.64007e+06 414414 477104. 1650.88 1.11 0.0911105 0.0801841 21682 110474 -1 1935 21 1101 1961 133372 31239 3.02417 3.02417 -102.489 -3.02417 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0225462 0.019918 123 52 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.26 vpr 64.31 MiB -1 -1 0.16 20268 1 0.03 -1 -1 33996 -1 -1 35 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 25.7 MiB 0.09 929 10247 2547 6712 988 64.3 MiB 0.08 0.00 3.51555 -88.3652 -3.51555 3.51555 0.93 0.000419158 0.000382028 0.0222667 0.0203159 22 2517 40 6.64007e+06 439530 420624. 1455.45 1.13 0.0939557 0.082354 20818 92861 -1 2090 23 1296 2525 187701 40627 3.96202 3.96202 -115.002 -3.96202 0 0 500653. 1732.36 0.23 0.07 0.09 -1 -1 0.23 0.0223639 0.0196609 115 20 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.45 vpr 64.35 MiB -1 -1 0.16 20384 1 0.03 -1 -1 33816 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65896 30 32 317 269 1 152 80 17 17 289 -1 unnamed_device 25.8 MiB 0.14 630 13152 4366 5924 2862 64.4 MiB 0.11 0.00 3.29461 -91.6276 -3.29461 3.29461 0.97 0.000472965 0.000428743 0.0385313 0.0349873 32 2031 21 6.64007e+06 226044 554710. 1919.41 1.07 0.102738 0.0905894 22834 132086 -1 1531 21 1248 2201 146161 35987 3.00017 3.00017 -105.484 -3.00017 0 0 701300. 2426.64 0.29 0.07 0.13 -1 -1 0.29 0.0229314 0.0203877 108 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.37 vpr 64.60 MiB -1 -1 0.14 20772 1 0.03 -1 -1 33752 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 335 282 1 184 85 17 17 289 -1 unnamed_device 26.1 MiB 0.23 1023 9385 2482 6091 812 64.6 MiB 0.09 0.00 3.14796 -106.886 -3.14796 3.14796 0.95 0.000483052 0.000441916 0.0264341 0.0241923 30 2320 22 6.64007e+06 263718 526063. 1820.29 0.97 0.088589 0.0779339 22546 126617 -1 1829 18 1083 1574 91514 21411 2.94043 2.94043 -118.218 -2.94043 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0204551 0.0182302 121 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.15 vpr 64.81 MiB -1 -1 0.15 20180 1 0.03 -1 -1 33712 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 26.4 MiB 0.05 1045 12191 3364 7726 1101 64.8 MiB 0.10 0.00 3.68447 -107.387 -3.68447 3.68447 0.94 0.000450947 0.000412636 0.0273262 0.0249354 32 2247 22 6.64007e+06 401856 554710. 1919.41 0.95 0.0853432 0.0752167 22834 132086 -1 2034 18 1093 1939 121789 28288 3.83383 3.83383 -123.262 -3.83383 0 0 701300. 2426.64 0.28 0.05 0.13 -1 -1 0.28 0.0192029 0.0170433 127 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.41 vpr 64.93 MiB -1 -1 0.15 20624 1 0.03 -1 -1 33860 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 350 275 1 209 88 17 17 289 -1 unnamed_device 26.2 MiB 0.26 1051 8083 1886 5144 1053 64.9 MiB 0.08 0.00 4.34793 -133.107 -4.34793 4.34793 0.92 0.000543245 0.000497416 0.0242441 0.0222159 32 3043 26 6.64007e+06 301392 554710. 1919.41 1.03 0.0953416 0.0838037 22834 132086 -1 2396 20 1499 2254 158927 36987 4.23268 4.23268 -149.251 -4.23268 0 0 701300. 2426.64 0.28 0.07 0.13 -1 -1 0.28 0.0234807 0.020823 146 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.84 vpr 64.77 MiB -1 -1 0.16 20676 1 0.03 -1 -1 33884 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 385 308 1 185 98 17 17 289 -1 unnamed_device 26.1 MiB 0.19 921 11123 2939 7368 816 64.8 MiB 0.10 0.00 4.17072 -114.904 -4.17072 4.17072 0.96 0.000551375 0.000501856 0.0290586 0.0265089 28 2742 38 6.64007e+06 426972 500653. 1732.36 1.53 0.122803 0.108152 21970 115934 -1 2096 15 1007 1763 110811 28403 3.93168 3.93168 -137.029 -3.93168 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.020652 0.0185111 144 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.35 vpr 64.81 MiB -1 -1 0.15 20860 1 0.03 -1 -1 33624 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 26.2 MiB 0.13 1136 16081 4424 9548 2109 64.8 MiB 0.14 0.00 3.73647 -122.312 -3.73647 3.73647 0.95 0.000532301 0.000483864 0.0407564 0.0371672 30 2635 20 6.64007e+06 464646 526063. 1820.29 1.02 0.111616 0.098728 22546 126617 -1 2166 22 1270 2276 120943 28657 3.47423 3.47423 -133.529 -3.47423 0 0 666494. 2306.21 0.27 0.06 0.12 -1 -1 0.27 0.0254857 0.0225721 140 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.16 vpr 64.02 MiB -1 -1 0.16 20240 1 0.03 -1 -1 33600 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65560 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 25.5 MiB 0.10 797 11806 4058 5679 2069 64.0 MiB 0.09 0.00 3.00301 -90.9444 -3.00301 3.00301 0.93 0.000422853 0.000387274 0.0300171 0.0274336 32 1832 20 6.64007e+06 238602 554710. 1919.41 0.94 0.0826966 0.0729792 22834 132086 -1 1534 20 1044 1890 125905 29029 2.80877 2.80877 -97.9561 -2.80877 0 0 701300. 2426.64 0.29 0.06 0.14 -1 -1 0.29 0.019244 0.0170841 104 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.39 vpr 64.83 MiB -1 -1 0.17 20876 1 0.03 -1 -1 33904 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 30 32 375 299 1 187 85 17 17 289 -1 unnamed_device 26.3 MiB 0.15 1022 13477 3982 7289 2206 64.8 MiB 0.12 0.00 3.92987 -116.279 -3.92987 3.92987 0.97 0.000532493 0.000486711 0.0418317 0.0383058 28 2412 21 6.64007e+06 288834 500653. 1732.36 1.01 0.116933 0.103691 21970 115934 -1 2058 21 1443 2347 155841 35227 3.80883 3.80883 -136.9 -3.80883 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0263128 0.0233912 138 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.72 vpr 64.91 MiB -1 -1 0.14 20484 1 0.03 -1 -1 33660 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 340 270 1 200 90 17 17 289 -1 unnamed_device 26.4 MiB 0.23 1214 16170 4598 9399 2173 64.9 MiB 0.13 0.00 4.18044 -127.637 -4.18044 4.18044 0.93 0.00045598 0.000414217 0.0404024 0.0367594 28 2770 24 6.64007e+06 326508 500653. 1732.36 1.40 0.11381 0.10084 21970 115934 -1 2389 19 1610 2633 201012 43800 4.07649 4.07649 -144.587 -4.07649 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0216687 0.0191998 140 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.52 vpr 64.96 MiB -1 -1 0.15 20376 1 0.03 -1 -1 33656 -1 -1 30 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 31 32 340 275 1 195 93 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1101 17523 5760 9336 2427 65.0 MiB 0.15 0.00 4.22421 -124.896 -4.22421 4.22421 0.97 0.000511093 0.000467371 0.044475 0.0406911 32 2472 22 6.64007e+06 376740 554710. 1919.41 0.98 0.108029 0.0957431 22834 132086 -1 2250 20 1330 2038 146665 32150 4.31008 4.31008 -142.081 -4.31008 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0228805 0.0202855 148 43 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.44 vpr 64.92 MiB -1 -1 0.16 20700 1 0.03 -1 -1 33820 -1 -1 33 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 30 32 377 310 1 177 95 17 17 289 -1 unnamed_device 26.4 MiB 0.23 1021 16511 4409 9765 2337 64.9 MiB 0.13 0.00 3.53327 -109.699 -3.53327 3.53327 0.94 0.000525805 0.000480185 0.0421555 0.0385187 32 2216 21 6.64007e+06 414414 554710. 1919.41 0.97 0.107855 0.0952362 22834 132086 -1 2026 15 999 1656 99562 23596 3.26983 3.26983 -121.899 -3.26983 0 0 701300. 2426.64 0.28 0.05 0.14 -1 -1 0.28 0.0200913 0.0179295 135 78 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.39 vpr 64.84 MiB -1 -1 0.16 20556 1 0.03 -1 -1 33656 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 365 294 1 185 85 17 17 289 -1 unnamed_device 26.4 MiB 0.12 988 16453 5540 8537 2376 64.8 MiB 0.15 0.00 3.97286 -114.775 -3.97286 3.97286 0.93 0.000524499 0.00047811 0.0487829 0.0445019 32 2612 24 6.64007e+06 263718 554710. 1919.41 1.01 0.119531 0.105847 22834 132086 -1 2176 21 1523 2742 188435 43748 3.64643 3.64643 -131.303 -3.64643 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0258809 0.023013 134 54 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.38 vpr 64.57 MiB -1 -1 0.17 20612 1 0.03 -1 -1 33916 -1 -1 31 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 29 32 378 310 1 177 92 17 17 289 -1 unnamed_device 26.1 MiB 0.17 986 12926 3233 8004 1689 64.6 MiB 0.11 0.00 4.15226 -117.476 -4.15226 4.15226 0.97 0.000551819 0.000505241 0.0363859 0.0332598 30 2187 21 6.64007e+06 389298 526063. 1820.29 0.97 0.105701 0.0932969 22546 126617 -1 1944 18 957 1632 99049 22066 3.54723 3.54723 -125.163 -3.54723 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0230094 0.020517 132 79 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.04 vpr 64.28 MiB -1 -1 0.14 20336 1 0.03 -1 -1 33668 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65824 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 25.9 MiB 0.04 806 12923 4373 6606 1944 64.3 MiB 0.10 0.00 3.02901 -96.2276 -3.02901 3.02901 0.94 0.000383703 0.000341768 0.0319353 0.0292132 26 2023 19 6.64007e+06 188370 477104. 1650.88 0.98 0.0831305 0.0735195 21682 110474 -1 1731 19 923 1384 117225 26377 2.96697 2.96697 -113.906 -2.96697 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0176684 0.0157117 96 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.41 vpr 64.79 MiB -1 -1 0.15 20612 1 0.03 -1 -1 33760 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 373 302 1 176 96 17 17 289 -1 unnamed_device 26.2 MiB 0.22 927 16083 3992 9701 2390 64.8 MiB 0.13 0.00 3.69947 -113.313 -3.69947 3.69947 0.96 0.000534329 0.000488772 0.0407034 0.0371111 30 1964 17 6.64007e+06 401856 526063. 1820.29 0.97 0.106712 0.0944342 22546 126617 -1 1599 23 1158 1980 91863 23236 3.74883 3.74883 -125.611 -3.74883 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0262283 0.0231791 132 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 5.02 vpr 64.94 MiB -1 -1 0.16 20732 1 0.03 -1 -1 33944 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 397 314 1 196 86 17 17 289 -1 unnamed_device 26.4 MiB 0.16 1038 7646 1661 5658 327 64.9 MiB 0.08 0.00 3.95187 -123.513 -3.95187 3.95187 0.93 0.00049098 0.000450933 0.0250879 0.0229468 26 2998 26 6.64007e+06 276276 477104. 1650.88 1.76 0.109429 0.0964757 21682 110474 -1 2344 20 1762 2918 194354 45569 3.91683 3.91683 -149.694 -3.91683 0 0 585099. 2024.56 0.25 0.07 0.11 -1 -1 0.25 0.0254231 0.0225307 148 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.59 vpr 64.50 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33952 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 32 32 269 231 1 170 84 17 17 289 -1 unnamed_device 25.9 MiB 0.25 832 8319 2000 5848 471 64.5 MiB 0.07 0.00 3.43261 -99.0262 -3.43261 3.43261 0.96 0.000439222 0.000402141 0.0214322 0.0196585 26 2366 25 6.64007e+06 251160 477104. 1650.88 1.26 0.083523 0.0737659 21682 110474 -1 1823 21 1048 1417 101746 23271 3.23243 3.23243 -115.341 -3.23243 0 0 585099. 2024.56 0.24 0.05 0.10 -1 -1 0.24 0.0185974 0.0165066 109 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 3.90 vpr 64.31 MiB -1 -1 0.14 20452 1 0.03 -1 -1 33844 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 25.9 MiB 0.05 708 6672 1420 4967 285 64.3 MiB 0.06 0.00 3.02901 -87.4156 -3.02901 3.02901 0.91 0.000421132 0.000384304 0.0153825 0.0141136 30 1737 22 6.64007e+06 263718 526063. 1820.29 0.89 0.0647339 0.0566891 22546 126617 -1 1427 18 942 1555 86430 20755 2.83077 2.83077 -101.141 -2.83077 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0161822 0.0143464 106 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.91 vpr 65.20 MiB -1 -1 0.14 20520 1 0.03 -1 -1 33880 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 348 274 1 211 90 17 17 289 -1 unnamed_device 26.4 MiB 0.22 939 8733 1902 6428 403 65.2 MiB 0.09 0.00 4.06553 -126.825 -4.06553 4.06553 0.95 0.000514677 0.00046932 0.0263992 0.0241617 28 2994 38 6.64007e+06 326508 500653. 1732.36 1.49 0.112127 0.0989468 21970 115934 -1 2316 23 1815 2373 195164 46713 3.96922 3.96922 -145.617 -3.96922 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0257653 0.0227783 144 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.44 vpr 64.50 MiB -1 -1 0.16 20560 1 0.03 -1 -1 33960 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 32 32 356 289 1 202 93 17 17 289 -1 unnamed_device 26.0 MiB 0.23 1068 15423 4268 8888 2267 64.5 MiB 0.13 0.00 4.05281 -122.297 -4.05281 4.05281 0.97 0.000527419 0.000481726 0.0411123 0.0374101 32 2606 24 6.64007e+06 364182 554710. 1919.41 1.01 0.111234 0.0983484 22834 132086 -1 2212 21 1614 2480 164351 38366 4.35909 4.35909 -144.452 -4.35909 0 0 701300. 2426.64 0.29 0.07 0.13 -1 -1 0.29 0.0245029 0.0217688 155 53 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.75 vpr 65.01 MiB -1 -1 0.16 20488 1 0.03 -1 -1 33472 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.5 MiB 0.09 1187 19124 5509 11212 2403 65.0 MiB 0.17 0.00 4.49732 -123.909 -4.49732 4.49732 0.96 0.000546625 0.00050135 0.0456838 0.041701 26 3117 34 6.64007e+06 452088 477104. 1650.88 1.38 0.129384 0.114575 21682 110474 -1 2554 21 1573 2914 215656 47928 4.69268 4.69268 -147.789 -4.69268 0 0 585099. 2024.56 0.27 0.08 0.11 -1 -1 0.27 0.0254046 0.0225333 153 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.27 vpr 64.54 MiB -1 -1 0.16 20204 1 0.03 -1 -1 33544 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 30 32 316 264 1 162 94 17 17 289 -1 unnamed_device 26.2 MiB 0.13 794 9466 2086 6910 470 64.5 MiB 0.08 0.00 2.8471 -85.0982 -2.8471 2.8471 0.96 0.000474366 0.000434095 0.0232708 0.0212155 32 1957 22 6.64007e+06 401856 554710. 1919.41 0.96 0.0827611 0.0726178 22834 132086 -1 1708 21 1243 2161 151682 35819 2.80477 2.80477 -99.981 -2.80477 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0217895 0.019212 121 47 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 3.92 vpr 64.28 MiB -1 -1 0.14 20280 1 0.03 -1 -1 34200 -1 -1 21 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65824 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 25.9 MiB 0.05 674 11776 4790 5774 1212 64.3 MiB 0.08 0.00 2.8251 -80.1721 -2.8251 2.8251 0.93 0.000399595 0.000363038 0.027233 0.0248323 30 1417 17 6.64007e+06 263718 526063. 1820.29 0.87 0.0724178 0.0636139 22546 126617 -1 1305 19 710 1075 62984 14424 2.77977 2.77977 -92.1768 -2.77977 0 0 666494. 2306.21 0.28 0.04 0.11 -1 -1 0.28 0.0164423 0.014512 97 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 4.66 vpr 64.96 MiB -1 -1 0.17 20696 1 0.03 -1 -1 33784 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 26.7 MiB 0.25 1359 16974 5069 10032 1873 65.0 MiB 0.17 0.00 3.53756 -116.72 -3.53756 3.53756 0.94 0.00060712 0.00055363 0.0524323 0.0478399 32 3527 25 6.64007e+06 326508 554710. 1919.41 1.07 0.132399 0.117157 22834 132086 -1 2996 20 2037 3346 252846 55223 3.89422 3.89422 -142.786 -3.89422 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0282212 0.0250894 170 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.57 vpr 64.73 MiB -1 -1 0.17 20680 1 0.03 -1 -1 33672 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 31 32 365 296 1 193 86 17 17 289 -1 unnamed_device 26.2 MiB 0.31 951 15206 4197 8608 2401 64.7 MiB 0.12 0.00 4.33341 -126.789 -4.33341 4.33341 0.96 0.00051841 0.000472822 0.0415512 0.0378963 32 2377 24 6.64007e+06 288834 554710. 1919.41 1.03 0.111699 0.0987769 22834 132086 -1 1966 20 1268 2176 140834 34539 4.39529 4.39529 -144.938 -4.39529 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0238443 0.0212158 152 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.53 vpr 64.65 MiB -1 -1 0.15 20148 1 0.03 -1 -1 33888 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 32 32 331 280 1 174 83 17 17 289 -1 unnamed_device 26.3 MiB 0.30 926 14483 5297 7170 2016 64.7 MiB 0.12 0.00 3.74495 -109.736 -3.74495 3.74495 0.97 0.000487849 0.000445807 0.0410892 0.0375929 32 2179 17 6.64007e+06 238602 554710. 1919.41 0.95 0.100034 0.0887483 22834 132086 -1 1833 24 1332 1964 151331 34064 3.45642 3.45642 -126.471 -3.45642 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0257194 0.0226942 128 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.21 vpr 64.70 MiB -1 -1 0.15 20520 1 0.03 -1 -1 34012 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 26.3 MiB 0.06 1036 14365 4152 8683 1530 64.7 MiB 0.12 0.00 4.23518 -110.63 -4.23518 4.23518 0.93 0.000490491 0.000446428 0.0351727 0.0320261 32 2227 21 6.64007e+06 376740 554710. 1919.41 0.97 0.0976536 0.0861457 22834 132086 -1 1974 19 1105 1797 120191 28105 3.70762 3.70762 -123.878 -3.70762 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0219364 0.0195475 126 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.36 vpr 64.74 MiB -1 -1 0.16 20440 1 0.03 -1 -1 33616 -1 -1 34 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 31 32 373 294 1 196 97 17 17 289 -1 unnamed_device 26.2 MiB 0.14 1087 9643 2281 6657 705 64.7 MiB 0.09 0.00 4.20246 -118.632 -4.20246 4.20246 0.96 0.000510384 0.000470115 0.02589 0.0236488 32 2372 20 6.64007e+06 426972 554710. 1919.41 0.98 0.0926134 0.0814093 22834 132086 -1 2096 21 1562 2624 171967 39889 3.84103 3.84103 -127.979 -3.84103 0 0 701300. 2426.64 0.29 0.07 0.13 -1 -1 0.29 0.0250941 0.0222249 145 46 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.32 vpr 64.71 MiB -1 -1 0.15 20196 1 0.03 -1 -1 34024 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 26.3 MiB 0.13 1009 8493 2078 5528 887 64.7 MiB 0.08 0.00 2.8933 -91.5477 -2.8933 2.8933 0.97 0.00048998 0.000448851 0.0221458 0.0203069 32 2208 22 6.64007e+06 389298 554710. 1919.41 0.99 0.0864159 0.0760329 22834 132086 -1 1921 19 967 1734 113836 26307 2.89297 2.89297 -104.274 -2.89297 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0209166 0.0185542 124 46 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.49 vpr 65.09 MiB -1 -1 0.15 20532 1 0.03 -1 -1 34024 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 26.5 MiB 0.28 1119 10781 2979 7147 655 65.1 MiB 0.10 0.00 4.13153 -129.919 -4.13153 4.13153 0.92 0.000522588 0.000475868 0.0292523 0.0267049 32 2693 22 6.64007e+06 313950 554710. 1919.41 1.03 0.0968506 0.0853294 22834 132086 -1 2268 23 2062 3086 185978 45082 4.10049 4.10049 -145.796 -4.10049 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.026068 0.0230008 148 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.24 vpr 64.95 MiB -1 -1 0.16 20492 1 0.03 -1 -1 33668 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 386 307 1 195 100 17 17 289 -1 unnamed_device 26.3 MiB 0.15 1104 11700 2860 7980 860 65.0 MiB 0.12 0.00 3.87621 -121.227 -3.87621 3.87621 0.94 0.00056913 0.000519224 0.0308384 0.0281743 28 2601 18 6.64007e+06 452088 500653. 1732.36 0.95 0.0986316 0.0869741 21970 115934 -1 2147 15 1035 1681 105878 24820 3.40036 3.40036 -124.995 -3.40036 0 0 612192. 2118.31 0.26 0.05 0.12 -1 -1 0.26 0.0202734 0.0181619 144 59 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.07 vpr 64.27 MiB -1 -1 0.15 20456 1 0.03 -1 -1 33632 -1 -1 17 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 25.9 MiB 0.08 649 8544 2271 5215 1058 64.3 MiB 0.06 0.00 2.88681 -85.3419 -2.88681 2.88681 0.96 0.000403711 0.000367577 0.0227028 0.0207927 32 1334 20 6.64007e+06 213486 554710. 1919.41 0.91 0.0743477 0.0653791 22834 132086 -1 1210 19 795 1171 75286 19618 2.68177 2.68177 -94.1319 -2.68177 0 0 701300. 2426.64 0.30 0.04 0.13 -1 -1 0.30 0.0180801 0.0160039 91 28 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.20 vpr 64.48 MiB -1 -1 0.14 20256 1 0.03 -1 -1 34036 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 32 32 310 266 1 175 85 17 17 289 -1 unnamed_device 26.1 MiB 0.20 906 14407 4955 7380 2072 64.5 MiB 0.11 0.00 3.19816 -104.679 -3.19816 3.19816 0.91 0.000412445 0.000373122 0.0354585 0.0321818 32 2023 22 6.64007e+06 263718 554710. 1919.41 0.92 0.0910424 0.0800229 22834 132086 -1 1804 23 1483 1966 156638 35537 3.32603 3.32603 -123.436 -3.32603 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0224275 0.0196823 117 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.41 vpr 64.60 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33848 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 26.2 MiB 0.07 1074 10772 2559 6550 1663 64.6 MiB 0.09 0.00 3.82167 -106.687 -3.82167 3.82167 0.95 0.000432496 0.000387926 0.0252055 0.0230612 26 2506 23 6.64007e+06 464646 477104. 1650.88 1.22 0.0932845 0.0823933 21682 110474 -1 2180 22 1455 2512 173095 39451 4.01803 4.01803 -129.859 -4.01803 0 0 585099. 2024.56 0.25 0.07 0.11 -1 -1 0.25 0.0233233 0.0205452 129 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.18 vpr 64.52 MiB -1 -1 0.15 20288 1 0.03 -1 -1 33744 -1 -1 22 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 29 32 262 224 1 168 83 17 17 289 -1 unnamed_device 26.0 MiB 0.26 863 8903 2450 5951 502 64.5 MiB 0.07 0.00 3.36661 -94.4008 -3.36661 3.36661 0.94 0.000391646 0.000358012 0.0213486 0.0195682 26 2142 21 6.64007e+06 276276 477104. 1650.88 0.87 0.0729933 0.0640578 21682 110474 -1 1862 21 1191 1543 102155 24252 3.31303 3.31303 -112.92 -3.31303 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0188393 0.0166137 109 25 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.21 vpr 64.18 MiB -1 -1 0.15 20212 1 0.03 -1 -1 33488 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65716 32 32 278 238 1 149 81 17 17 289 -1 unnamed_device 25.7 MiB 0.14 780 12156 4109 5877 2170 64.2 MiB 0.09 0.00 3.14521 -97.6445 -3.14521 3.14521 0.94 0.000447462 0.00040947 0.0321501 0.0294042 30 1849 21 6.64007e+06 213486 526063. 1820.29 0.97 0.0877416 0.0775183 22546 126617 -1 1603 23 1102 1828 111707 25187 2.73077 2.73077 -103.442 -2.73077 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0206607 0.0181736 108 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.30 vpr 64.98 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33712 -1 -1 36 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 31 32 373 300 1 181 99 17 17 289 -1 unnamed_device 26.3 MiB 0.13 996 14691 3901 8364 2426 65.0 MiB 0.11 0.00 3.26641 -99.9164 -3.26641 3.26641 0.95 0.000534526 0.000485277 0.0350689 0.0319932 30 1999 20 6.64007e+06 452088 526063. 1820.29 0.96 0.10193 0.0897925 22546 126617 -1 1790 18 1073 1793 109146 24346 2.86317 2.86317 -108.533 -2.86317 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0230298 0.0204993 136 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.55 vpr 64.44 MiB -1 -1 0.14 20280 1 0.03 -1 -1 33780 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 31 32 265 230 1 162 83 17 17 289 -1 unnamed_device 25.9 MiB 0.21 982 12683 3621 7257 1805 64.4 MiB 0.09 0.00 3.15716 -100.932 -3.15716 3.15716 0.97 0.000414589 0.000379074 0.0315169 0.0288673 26 2409 23 6.64007e+06 251160 477104. 1650.88 1.21 0.0940945 0.0836571 21682 110474 -1 2050 19 1121 1613 144329 31046 3.20063 3.20063 -116.21 -3.20063 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.018667 0.0165941 107 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.20 vpr 64.76 MiB -1 -1 0.15 20848 1 0.03 -1 -1 33952 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 349 286 1 171 96 17 17 289 -1 unnamed_device 26.3 MiB 0.12 1075 16302 4705 9136 2461 64.8 MiB 0.12 0.00 3.01201 -100.358 -3.01201 3.01201 0.95 0.000513536 0.000466193 0.0398682 0.0363932 28 2368 21 6.64007e+06 401856 500653. 1732.36 0.92 0.102807 0.0908369 21970 115934 -1 2048 18 1128 1892 117207 26864 2.67177 2.67177 -110.566 -2.67177 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0212613 0.0188303 127 54 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.53 vpr 64.96 MiB -1 -1 0.17 20512 1 0.03 -1 -1 33936 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 26.4 MiB 0.27 913 16727 5100 9076 2551 65.0 MiB 0.14 0.00 3.50555 -110.684 -3.50555 3.50555 0.94 0.000564693 0.000517675 0.0459265 0.0418438 32 2078 30 6.64007e+06 401856 554710. 1919.41 1.01 0.122042 0.107716 22834 132086 -1 1845 20 1195 1756 114703 27226 3.21083 3.21083 -124.811 -3.21083 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0237145 0.0210296 138 87 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.31 vpr 64.46 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33908 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 26.0 MiB 0.17 888 13381 3986 7743 1652 64.5 MiB 0.11 0.00 2.6639 -87.2845 -2.6639 2.6639 0.96 0.000441481 0.000402296 0.0370116 0.0335696 28 1950 19 6.64007e+06 213486 500653. 1732.36 0.99 0.0958168 0.0846658 21970 115934 -1 1753 21 915 1465 118384 26530 2.62357 2.62357 -101.557 -2.62357 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0207577 0.0182969 104 54 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.37 vpr 64.68 MiB -1 -1 0.15 20436 1 0.03 -1 -1 33608 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 32 32 290 244 1 175 85 17 17 289 -1 unnamed_device 26.3 MiB 0.23 846 13291 3660 8053 1578 64.7 MiB 0.10 0.00 3.55527 -109.103 -3.55527 3.55527 0.93 0.000428433 0.000391112 0.0334919 0.0305736 32 2246 19 6.64007e+06 263718 554710. 1919.41 0.98 0.0878877 0.0775898 22834 132086 -1 1903 20 1357 1966 140222 32823 3.13563 3.13563 -118.746 -3.13563 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0203812 0.0180484 117 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.30 vpr 64.54 MiB -1 -1 0.15 20636 1 0.03 -1 -1 33524 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66084 32 32 318 257 1 194 87 17 17 289 -1 unnamed_device 26.2 MiB 0.21 1051 13911 3659 8243 2009 64.5 MiB 0.12 0.00 3.86215 -116.454 -3.86215 3.86215 0.95 0.000484033 0.000433514 0.0377077 0.0344264 28 2542 20 6.64007e+06 288834 500653. 1732.36 0.97 0.0982697 0.0868796 21970 115934 -1 2224 18 1362 1891 117569 28595 3.89482 3.89482 -133.638 -3.89482 0 0 612192. 2118.31 0.25 0.05 0.12 -1 -1 0.25 0.0202333 0.018027 130 27 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.35 vpr 64.71 MiB -1 -1 0.17 20216 1 0.03 -1 -1 33988 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 29 32 324 268 1 168 90 17 17 289 -1 unnamed_device 26.3 MiB 0.17 859 15768 4529 8713 2526 64.7 MiB 0.13 0.00 3.68447 -96.6025 -3.68447 3.68447 0.97 0.000489088 0.000448064 0.0400009 0.0365946 26 2172 19 6.64007e+06 364182 477104. 1650.88 1.02 0.106545 0.0947172 21682 110474 -1 1944 20 907 1462 98336 23209 3.63043 3.63043 -113.95 -3.63043 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0218287 0.0193726 122 49 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.48 vpr 65.26 MiB -1 -1 0.17 20640 1 0.03 -1 -1 33616 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 32 32 393 312 1 213 88 17 17 289 -1 unnamed_device 26.7 MiB 0.29 1157 9838 2543 6218 1077 65.3 MiB 0.10 0.00 4.33064 -141.129 -4.33064 4.33064 0.96 0.000513357 0.000466063 0.029519 0.0268098 32 2666 22 6.64007e+06 301392 554710. 1919.41 0.97 0.0982648 0.0861738 22834 132086 -1 2329 21 1788 2663 183784 43001 4.00549 4.00549 -149.315 -4.00549 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0252278 0.0223282 154 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 3.91 vpr 63.97 MiB -1 -1 0.16 20088 1 0.03 -1 -1 33512 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65504 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 25.6 MiB 0.04 706 6906 1534 4834 538 64.0 MiB 0.05 0.00 2.9133 -81.7891 -2.9133 2.9133 0.93 0.000375139 0.000342433 0.0166262 0.0151709 28 1639 22 6.64007e+06 226044 500653. 1732.36 0.86 0.0648139 0.0568065 21970 115934 -1 1498 18 674 1100 69331 16301 2.74477 2.74477 -96.5677 -2.74477 0 0 612192. 2118.31 0.26 0.04 0.12 -1 -1 0.26 0.0164454 0.0146348 96 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 4.74 vpr 64.93 MiB -1 -1 0.16 20524 1 0.03 -1 -1 33964 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 412 334 1 190 98 17 17 289 -1 unnamed_device 26.4 MiB 0.14 1105 16748 4968 9398 2382 64.9 MiB 0.15 0.00 3.56376 -119.795 -3.56376 3.56376 0.97 0.000549833 0.000500223 0.0438814 0.0399799 32 2649 38 6.64007e+06 426972 554710. 1919.41 1.11 0.131827 0.115998 22834 132086 -1 2239 46 2479 3692 627645 309226 3.95103 3.95103 -143.11 -3.95103 0 0 701300. 2426.64 0.28 0.23 0.13 -1 -1 0.28 0.0489258 0.0426418 145 87 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.38 vpr 64.79 MiB -1 -1 0.16 20728 1 0.03 -1 -1 33728 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 26.5 MiB 0.24 824 9706 2362 5671 1673 64.8 MiB 0.08 0.00 2.8021 -101.477 -2.8021 2.8021 0.97 0.000519842 0.000475983 0.0316969 0.0290021 32 1783 20 6.64007e+06 213486 554710. 1919.41 0.96 0.0957073 0.0843031 22834 132086 -1 1618 19 1263 1861 117682 26827 3.11737 3.11737 -126.918 -3.11737 0 0 701300. 2426.64 0.28 0.06 0.12 -1 -1 0.28 0.0219717 0.0194606 114 93 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.18 vpr 64.80 MiB -1 -1 0.14 20532 1 0.03 -1 -1 33948 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 360 293 1 179 96 17 17 289 -1 unnamed_device 26.3 MiB 0.13 1004 14550 3983 8870 1697 64.8 MiB 0.12 0.00 3.45707 -108.459 -3.45707 3.45707 0.92 0.000484537 0.000438914 0.0347227 0.0314401 32 2133 23 6.64007e+06 401856 554710. 1919.41 0.90 0.0966904 0.0846732 22834 132086 -1 1830 17 892 1352 82491 19442 3.21363 3.21363 -112.152 -3.21363 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0219037 0.0195355 131 57 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 4.69 vpr 64.74 MiB -1 -1 0.16 20728 1 0.03 -1 -1 34064 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 396 299 1 236 91 17 17 289 -1 unnamed_device 26.5 MiB 0.33 1295 17839 6169 8853 2817 64.7 MiB 0.17 0.00 5.15449 -155.274 -5.15449 5.15449 0.92 0.00056741 0.000518329 0.0527973 0.0482421 32 3213 22 6.64007e+06 339066 554710. 1919.41 1.07 0.128888 0.114531 22834 132086 -1 2684 21 1885 2675 201800 44959 5.20694 5.20694 -171.946 -5.20694 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.028177 0.0251049 170 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.10 vpr 64.08 MiB -1 -1 0.14 19972 1 0.03 -1 -1 33568 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65616 30 32 224 207 1 137 80 17 17 289 -1 unnamed_device 25.7 MiB 0.17 602 9368 2178 6608 582 64.1 MiB 0.06 0.00 2.5747 -78.1428 -2.5747 2.5747 0.93 0.000353746 0.000323316 0.0210669 0.019313 28 1564 25 6.64007e+06 226044 500653. 1732.36 0.94 0.068914 0.0600719 21970 115934 -1 1298 21 784 989 73867 18072 2.28797 2.28797 -88.1881 -2.28797 0 0 612192. 2118.31 0.26 0.04 0.12 -1 -1 0.26 0.0164904 0.0144996 87 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.14 vpr 64.20 MiB -1 -1 0.16 20252 1 0.03 -1 -1 33708 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 25.7 MiB 0.11 610 7382 1813 5031 538 64.2 MiB 0.07 0.00 3.52781 -96.4169 -3.52781 3.52781 0.97 0.000442298 0.00040493 0.0218649 0.0200345 28 1632 20 6.64007e+06 200928 500653. 1732.36 0.91 0.0771264 0.0678446 21970 115934 -1 1432 19 749 1125 75976 18935 3.19137 3.19137 -108.644 -3.19137 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0188445 0.0167032 92 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.24 vpr 64.37 MiB -1 -1 0.15 20188 1 0.03 -1 -1 33740 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 25.9 MiB 0.08 741 10873 2389 7568 916 64.4 MiB 0.08 0.00 2.8981 -91.503 -2.8981 2.8981 0.94 0.000425939 0.00038728 0.0270899 0.0247042 32 2118 20 6.64007e+06 263718 554710. 1919.41 0.98 0.0838329 0.0737712 22834 132086 -1 1731 21 1320 2326 147948 34948 2.84377 2.84377 -108.334 -2.84377 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.021383 0.0188984 115 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 3.97 vpr 64.34 MiB -1 -1 0.15 20540 1 0.03 -1 -1 33796 -1 -1 27 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 25.9 MiB 0.05 556 11247 2989 6164 2094 64.3 MiB 0.07 0.00 2.7119 -63.6186 -2.7119 2.7119 0.96 0.000356398 0.000325014 0.0228992 0.0209186 26 1483 18 6.64007e+06 339066 477104. 1650.88 0.88 0.066692 0.0586844 21682 110474 -1 1210 16 670 1125 74725 18119 2.89797 2.89797 -78.2262 -2.89797 0 0 585099. 2024.56 0.26 0.04 0.11 -1 -1 0.26 0.0136238 0.0120948 89 19 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.43 vpr 64.68 MiB -1 -1 0.16 20432 1 0.03 -1 -1 33908 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 376 307 1 185 85 17 17 289 -1 unnamed_device 26.2 MiB 0.16 1072 15337 4750 8718 1869 64.7 MiB 0.15 0.00 3.61676 -114.072 -3.61676 3.61676 0.96 0.000559438 0.000501872 0.0478348 0.043494 30 2381 19 6.64007e+06 263718 526063. 1820.29 0.99 0.115592 0.10226 22546 126617 -1 2028 22 1121 2046 114038 26276 3.35003 3.35003 -125.333 -3.35003 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0243609 0.0214989 136 69 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.42 vpr 64.96 MiB -1 -1 0.17 20696 1 0.03 -1 -1 33796 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 31 32 409 331 1 191 98 17 17 289 -1 unnamed_device 26.4 MiB 0.18 995 17198 4860 9696 2642 65.0 MiB 0.14 0.00 3.48461 -115.73 -3.48461 3.48461 0.94 0.000530907 0.000485024 0.0450768 0.0409705 32 2242 19 6.64007e+06 439530 554710. 1919.41 0.96 0.11395 0.100563 22834 132086 -1 2106 21 1456 2239 159432 35996 3.54323 3.54323 -131.174 -3.54323 0 0 701300. 2426.64 0.29 0.07 0.13 -1 -1 0.29 0.0251281 0.0222075 143 86 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.67 vpr 64.38 MiB -1 -1 0.16 20584 1 0.03 -1 -1 33956 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 32 32 354 285 1 202 94 17 17 289 -1 unnamed_device 26.0 MiB 0.40 1082 17347 4948 10194 2205 64.4 MiB 0.16 0.00 4.10361 -121.4 -4.10361 4.10361 0.94 0.000514341 0.000468126 0.0444285 0.04052 32 2465 23 6.65987e+06 380340 554710. 1919.41 0.99 0.111862 0.0990862 22834 132086 -1 2074 21 1623 2495 156282 39182 4.17277 4.17277 -136.291 -4.17277 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0255118 0.0226568 152 47 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.52 vpr 64.74 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33732 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 30 32 363 293 1 194 85 17 17 289 -1 unnamed_device 26.2 MiB 0.31 991 15523 5586 7790 2147 64.7 MiB 0.14 0.00 3.67516 -115.945 -3.67516 3.67516 0.91 0.0005302 0.000481884 0.0466684 0.0426063 32 2402 21 6.65987e+06 291594 554710. 1919.41 1.01 0.112933 0.0999916 22834 132086 -1 2090 21 1694 2491 200140 44873 4.03843 4.03843 -137.439 -4.03843 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0237011 0.0209784 138 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.24 vpr 64.42 MiB -1 -1 0.16 20360 1 0.03 -1 -1 33940 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 25.9 MiB 0.11 1069 9687 2852 6193 642 64.4 MiB 0.09 0.00 3.25104 -98.8467 -3.25104 3.25104 0.96 0.000461147 0.000421579 0.0254051 0.0232785 26 2617 23 6.65987e+06 291594 477104. 1650.88 1.03 0.0881278 0.0776689 21682 110474 -1 2249 21 1274 1765 132057 31317 3.49731 3.49731 -122.581 -3.49731 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0223116 0.0198209 126 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.23 vpr 64.32 MiB -1 -1 0.10 20328 1 0.03 -1 -1 33816 -1 -1 27 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 25.9 MiB 0.09 893 8278 2000 5724 554 64.3 MiB 0.07 0.00 3.3873 -93.5418 -3.3873 3.3873 0.90 0.000454245 0.000413486 0.0219569 0.0200745 28 2067 23 6.65987e+06 342306 500653. 1732.36 1.03 0.086457 0.0761625 21970 115934 -1 1879 23 1332 2603 165791 39857 3.36497 3.36497 -111.955 -3.36497 0 0 612192. 2118.31 0.28 0.07 0.12 -1 -1 0.28 0.0244172 0.02153 126 25 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.45 vpr 64.17 MiB -1 -1 0.16 20300 1 0.03 -1 -1 33508 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 25.7 MiB 0.11 1033 14679 4625 8400 1654 64.2 MiB 0.13 0.00 3.36095 -103.8 -3.36095 3.36095 0.95 0.000498659 0.000447296 0.0408594 0.037319 32 2620 25 6.65987e+06 291594 554710. 1919.41 1.04 0.108305 0.0957514 22834 132086 -1 2270 23 1717 3231 262508 58301 3.43705 3.43705 -126.724 -3.43705 0 0 701300. 2426.64 0.29 0.09 0.12 -1 -1 0.29 0.0250725 0.0221442 130 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.48 vpr 64.72 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33704 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 366 295 1 189 97 17 17 289 -1 unnamed_device 26.2 MiB 0.20 919 17413 5357 9150 2906 64.7 MiB 0.15 0.00 2.58864 -91.1676 -2.58864 2.58864 0.96 0.000541289 0.000485709 0.0444636 0.0406006 32 2476 21 6.65987e+06 418374 554710. 1919.41 1.00 0.113263 0.100297 22834 132086 -1 2008 19 1336 2092 143420 34290 2.86791 2.86791 -112.042 -2.86791 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0247524 0.0220738 141 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.17 vpr 64.16 MiB -1 -1 0.15 20312 1 0.03 -1 -1 34008 -1 -1 18 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65700 27 32 259 221 1 130 77 17 17 289 -1 unnamed_device 25.7 MiB 0.19 644 11161 3052 7265 844 64.2 MiB 0.08 0.00 3.02895 -81.0603 -3.02895 3.02895 0.91 0.000391625 0.000358438 0.0292223 0.0266998 30 1394 17 6.65987e+06 228204 526063. 1820.29 0.90 0.0778306 0.0688137 22546 126617 -1 1227 22 691 1237 66915 16123 2.78171 2.78171 -91.6199 -2.78171 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.01962 0.0173909 94 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.14 vpr 64.46 MiB -1 -1 0.16 20576 1 0.03 -1 -1 33828 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 26.0 MiB 0.05 870 11809 2706 8564 539 64.5 MiB 0.09 0.00 2.49244 -77.2921 -2.49244 2.49244 0.96 0.000432363 0.000395487 0.026474 0.0241885 30 2003 21 6.65987e+06 393018 526063. 1820.29 0.94 0.0845102 0.0745838 22546 126617 -1 1707 15 713 1237 80112 18142 2.42411 2.42411 -90.3121 -2.42411 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.016999 0.0152368 115 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.33 vpr 64.20 MiB -1 -1 0.15 20260 1 0.03 -1 -1 34064 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65744 31 32 317 271 1 168 82 17 17 289 -1 unnamed_device 25.8 MiB 0.17 947 14500 4345 8336 1819 64.2 MiB 0.11 0.00 2.68253 -95.8496 -2.68253 2.68253 0.97 0.000461065 0.000419463 0.0403298 0.0368913 32 2180 20 6.65987e+06 240882 554710. 1919.41 0.96 0.0996273 0.0881995 22834 132086 -1 1843 19 1207 1759 124970 28161 2.74411 2.74411 -109.271 -2.74411 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0206762 0.0183661 111 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.38 vpr 64.39 MiB -1 -1 0.14 20664 1 0.03 -1 -1 33936 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.7 MiB 0.28 930 14431 4375 7912 2144 64.4 MiB 0.11 0.00 2.86455 -99.7586 -2.86455 2.86455 0.95 0.000410278 0.000374211 0.0388526 0.0355037 32 1976 18 6.65987e+06 215526 554710. 1919.41 0.93 0.0935223 0.0826969 22834 132086 -1 1813 21 1092 1730 123142 28677 2.71951 2.71951 -111.084 -2.71951 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0196475 0.0174096 113 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.29 vpr 64.25 MiB -1 -1 0.14 20376 1 0.03 -1 -1 33664 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65792 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 25.6 MiB 0.27 715 4304 940 3213 151 64.2 MiB 0.04 0.00 3.13415 -87.8029 -3.13415 3.13415 0.94 0.000417984 0.000382475 0.0135149 0.0124029 28 1652 21 6.65987e+06 215526 500653. 1732.36 0.88 0.0701435 0.0612349 21970 115934 -1 1450 17 650 985 65690 16080 2.82291 2.82291 -99.0746 -2.82291 0 0 612192. 2118.31 0.27 0.04 0.12 -1 -1 0.27 0.0175774 0.0156756 98 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 6.25 vpr 64.36 MiB -1 -1 0.14 20284 1 0.03 -1 -1 33744 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 32 32 276 237 1 166 81 17 17 289 -1 unnamed_device 25.9 MiB 0.24 1005 8131 2008 5241 882 64.4 MiB 0.07 0.00 2.91589 -97.8016 -2.91589 2.91589 0.92 0.000386544 0.00035279 0.0207305 0.0189019 34 2042 19 6.65987e+06 215526 585099. 2024.56 2.99 0.123382 0.106706 23122 138558 -1 1790 19 810 1103 78574 17900 2.71265 2.71265 -107.952 -2.71265 0 0 742403. 2568.87 0.30 0.04 0.13 -1 -1 0.30 0.0181687 0.0161286 106 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.43 vpr 64.56 MiB -1 -1 0.16 20724 1 0.03 -1 -1 34024 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 26.2 MiB 0.23 1087 16468 5411 8415 2642 64.6 MiB 0.15 0.00 3.37501 -113.673 -3.37501 3.37501 0.93 0.000499879 0.000455823 0.045571 0.0416323 30 2478 21 6.65987e+06 304272 526063. 1820.29 0.98 0.110532 0.0979938 22546 126617 -1 2084 21 1340 1991 117482 26915 3.13051 3.13051 -119.192 -3.13051 0 0 666494. 2306.21 0.30 0.06 0.13 -1 -1 0.30 0.0252014 0.0225084 139 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.44 vpr 64.64 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33964 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 363 295 1 181 94 17 17 289 -1 unnamed_device 26.1 MiB 0.19 986 17134 5267 9560 2307 64.6 MiB 0.14 0.00 3.76229 -109.603 -3.76229 3.76229 0.93 0.000505226 0.000461041 0.043817 0.0399901 32 2245 21 6.65987e+06 380340 554710. 1919.41 1.01 0.110488 0.0976849 22834 132086 -1 1998 22 1528 2471 185089 42075 3.67031 3.67031 -125.534 -3.67031 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0265987 0.0236128 133 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.19 vpr 64.02 MiB -1 -1 0.15 20288 1 0.03 -1 -1 33504 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65556 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 25.5 MiB 0.15 698 9872 2621 6533 718 64.0 MiB 0.07 0.00 2.56293 -74.8303 -2.56293 2.56293 0.93 0.000389374 0.000353911 0.0235593 0.0215209 30 1662 34 6.65987e+06 266238 526063. 1820.29 0.96 0.0818341 0.0717639 22546 126617 -1 1434 19 728 1175 65133 16141 2.52351 2.52351 -84.6915 -2.52351 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0173904 0.0154645 98 21 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.57 vpr 64.86 MiB -1 -1 0.15 20848 1 0.03 -1 -1 33536 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 370 297 1 183 85 17 17 289 -1 unnamed_device 26.2 MiB 0.39 1096 12361 3666 7320 1375 64.9 MiB 0.11 0.00 3.1755 -102.099 -3.1755 3.1755 0.96 0.000533321 0.000486059 0.038007 0.0347618 32 2645 23 6.65987e+06 266238 554710. 1919.41 1.01 0.107432 0.0950154 22834 132086 -1 2297 23 1554 2766 212994 48287 3.13837 3.13837 -121.845 -3.13837 0 0 701300. 2426.64 0.25 0.07 0.13 -1 -1 0.25 0.025759 0.0227106 132 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 4.40 vpr 64.57 MiB -1 -1 0.16 20632 1 0.03 -1 -1 33932 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 32 32 338 269 1 196 85 17 17 289 -1 unnamed_device 26.0 MiB 0.22 1132 11059 2708 6964 1387 64.6 MiB 0.12 0.00 3.69641 -122.527 -3.69641 3.69641 0.94 0.000521909 0.000468583 0.0339716 0.0311328 32 2495 22 6.65987e+06 266238 554710. 1919.41 0.97 0.0988953 0.0875008 22834 132086 -1 2257 23 1518 2072 165731 37973 3.23177 3.23177 -127.711 -3.23177 0 0 701300. 2426.64 0.28 0.07 0.13 -1 -1 0.28 0.0246626 0.0218508 137 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.39 vpr 64.54 MiB -1 -1 0.14 20428 1 0.03 -1 -1 33764 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 26.2 MiB 0.22 885 11433 3022 7683 728 64.5 MiB 0.09 0.00 2.30864 -87.3984 -2.30864 2.30864 0.97 0.000493528 0.000450621 0.0287859 0.0262914 32 1913 21 6.65987e+06 367662 554710. 1919.41 0.96 0.0890564 0.0784452 22834 132086 -1 1735 22 1026 1555 110408 25969 2.27871 2.27871 -99.8729 -2.27871 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0229551 0.0202218 110 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.98 vpr 63.91 MiB -1 -1 0.14 19988 1 0.03 -1 -1 33544 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65448 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 25.3 MiB 0.13 657 12465 3732 7266 1467 63.9 MiB 0.08 0.00 1.87027 -66.1942 -1.87027 1.87027 0.93 0.000369125 0.000338386 0.0295544 0.0271161 26 1431 20 6.65987e+06 190170 477104. 1650.88 0.82 0.0751201 0.0661829 21682 110474 -1 1354 23 805 1164 95445 22312 1.71265 1.71265 -77.4841 -1.71265 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0176102 0.0154775 81 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.44 vpr 64.48 MiB -1 -1 0.16 20236 1 0.03 -1 -1 33864 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 31 32 291 243 1 171 82 17 17 289 -1 unnamed_device 26.1 MiB 0.36 832 7736 1853 5457 426 64.5 MiB 0.08 0.00 3.73355 -112.498 -3.73355 3.73355 0.93 0.000442307 0.000399195 0.0218946 0.0200282 32 2043 20 6.65987e+06 240882 554710. 1919.41 0.95 0.0800938 0.0704539 22834 132086 -1 1743 20 1103 1602 120917 29073 3.56017 3.56017 -124.848 -3.56017 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0198969 0.0176784 127 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.31 vpr 64.60 MiB -1 -1 0.15 20380 1 0.03 -1 -1 33944 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 26.0 MiB 0.06 1026 14351 3572 8513 2266 64.6 MiB 0.11 0.00 3.38856 -108.872 -3.38856 3.38856 0.96 0.000517895 0.000471737 0.0358634 0.0327093 32 2296 31 6.65987e+06 393018 554710. 1919.41 1.01 0.110924 0.0978126 22834 132086 -1 2099 21 1387 2115 156790 36530 3.57463 3.57463 -126.176 -3.57463 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0243532 0.0215837 135 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.60 vpr 64.69 MiB -1 -1 0.16 20748 1 0.03 -1 -1 33896 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 372 300 1 204 87 17 17 289 -1 unnamed_device 26.2 MiB 0.25 1213 10071 2587 6696 788 64.7 MiB 0.11 0.00 3.36484 -110.526 -3.36484 3.36484 0.97 0.000551784 0.000508504 0.0312991 0.0287319 30 2544 27 6.65987e+06 291594 526063. 1820.29 1.11 0.112272 0.0996026 22546 126617 -1 2157 19 1226 1988 119504 27115 3.32431 3.32431 -122.446 -3.32431 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.0263042 0.023397 142 59 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.36 vpr 63.79 MiB -1 -1 0.15 19900 1 0.03 -1 -1 34124 -1 -1 18 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65320 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 25.3 MiB 0.28 367 10956 3949 4559 2448 63.8 MiB 0.06 0.00 1.89953 -52.3182 -1.89953 1.89953 0.96 0.000291128 0.000265235 0.0223869 0.0204273 28 1213 22 6.65987e+06 228204 500653. 1732.36 1.03 0.0639648 0.0562186 21970 115934 -1 952 16 600 841 61898 17061 2.14251 2.14251 -68.88 -2.14251 0 0 612192. 2118.31 0.28 0.03 0.12 -1 -1 0.28 0.0118803 0.0105468 77 21 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.20 vpr 64.20 MiB -1 -1 0.14 20312 1 0.03 -1 -1 33920 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65744 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 25.8 MiB 0.10 929 5665 1132 4314 219 64.2 MiB 0.05 0.00 3.9748 -101.056 -3.9748 3.9748 0.91 0.000397913 0.000360395 0.0151861 0.0138939 28 2434 23 6.65987e+06 266238 500653. 1732.36 1.15 0.0792865 0.0697262 21970 115934 -1 1978 20 1028 1879 131231 30733 3.63651 3.63651 -119.198 -3.63651 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0201589 0.0178498 118 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.04 vpr 63.54 MiB -1 -1 0.13 20184 1 0.03 -1 -1 33684 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65068 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 25.1 MiB 0.03 445 10038 3155 4475 2408 63.5 MiB 0.05 0.00 1.96647 -57.992 -1.96647 1.96647 0.95 0.000295551 0.000271411 0.0195263 0.017829 28 1283 34 6.65987e+06 177492 500653. 1732.36 1.02 0.0651639 0.0571505 21970 115934 -1 1044 17 552 619 69373 20168 1.82411 1.82411 -71.3609 -1.82411 0 0 612192. 2118.31 0.28 0.04 0.12 -1 -1 0.28 0.0157924 0.0143832 79 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.25 vpr 64.42 MiB -1 -1 0.15 20548 1 0.03 -1 -1 33868 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 26.0 MiB 0.10 952 15217 4231 8557 2429 64.4 MiB 0.12 0.00 3.42395 -99.6489 -3.42395 3.42395 0.94 0.000456927 0.000418135 0.0345024 0.0315421 32 2175 23 6.65987e+06 380340 554710. 1919.41 0.96 0.0933429 0.0825286 22834 132086 -1 1879 22 1182 1887 146425 33459 3.27965 3.27965 -113.238 -3.27965 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0240522 0.0213172 123 21 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.18 vpr 64.56 MiB -1 -1 0.14 20368 1 0.03 -1 -1 34012 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.1 MiB 0.10 958 7007 1494 4955 558 64.6 MiB 0.07 0.00 3.02324 -88.0996 -3.02324 3.02324 0.94 0.000445831 0.000406443 0.0169278 0.0154675 32 2287 21 6.65987e+06 393018 554710. 1919.41 0.94 0.0746904 0.0655787 22834 132086 -1 1997 22 1271 2150 143934 34239 2.94617 2.94617 -109.127 -2.94617 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0228585 0.0202756 128 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.31 vpr 64.50 MiB -1 -1 0.15 20860 1 0.03 -1 -1 33808 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 32 32 338 277 1 179 90 17 17 289 -1 unnamed_device 26.0 MiB 0.12 1088 16371 5050 9180 2141 64.5 MiB 0.13 0.00 3.32969 -104.657 -3.32969 3.32969 0.93 0.000493371 0.000449358 0.0411975 0.0375817 30 2282 26 6.65987e+06 329628 526063. 1820.29 1.00 0.106965 0.0944458 22546 126617 -1 1952 19 1155 2026 116686 26944 3.30065 3.30065 -117.403 -3.30065 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0219399 0.0195399 125 47 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.05 vpr 64.01 MiB -1 -1 0.16 20132 1 0.03 -1 -1 33736 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65544 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 25.5 MiB 0.05 780 7132 1689 4801 642 64.0 MiB 0.06 0.00 2.29953 -80.5951 -2.29953 2.29953 0.93 0.000436118 0.000398703 0.0202742 0.0185701 28 1864 23 6.65987e+06 202848 500653. 1732.36 0.96 0.0786358 0.0691378 21970 115934 -1 1696 20 994 1521 119536 27471 2.63271 2.63271 -100.574 -2.63271 0 0 612192. 2118.31 0.27 0.05 0.12 -1 -1 0.27 0.0198231 0.0175453 101 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.10 vpr 64.05 MiB -1 -1 0.14 20184 1 0.03 -1 -1 33468 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65592 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 25.6 MiB 0.09 677 6781 1373 4947 461 64.1 MiB 0.06 0.00 2.39767 -75.3822 -2.39767 2.39767 0.97 0.000403285 0.000367595 0.0170137 0.0155829 26 1772 21 6.65987e+06 291594 477104. 1650.88 0.98 0.0720161 0.0633205 21682 110474 -1 1552 21 1023 1653 111264 27518 2.64145 2.64145 -95.4025 -2.64145 0 0 585099. 2024.56 0.25 0.05 0.11 -1 -1 0.25 0.0186428 0.0164856 97 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.78 vpr 64.27 MiB -1 -1 0.14 20232 1 0.03 -1 -1 33588 -1 -1 23 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 25.8 MiB 0.05 610 15023 6381 7775 867 64.3 MiB 0.11 0.00 2.58899 -72.1138 -2.58899 2.58899 0.95 0.00040241 0.000365417 0.0365195 0.0332094 32 1861 29 6.65987e+06 291594 554710. 1919.41 1.53 0.111512 0.0976371 22834 132086 -1 1368 25 1089 1768 137514 33790 2.64765 2.64765 -86.7965 -2.64765 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0219951 0.0193344 98 27 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.05 vpr 64.39 MiB -1 -1 0.15 20076 1 0.03 -1 -1 33400 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 25.9 MiB 0.10 842 4763 892 3641 230 64.4 MiB 0.05 0.00 2.97595 -94.2544 -2.97595 2.97595 0.92 0.000394199 0.000359845 0.0126504 0.0116047 30 1841 21 6.65987e+06 240882 526063. 1820.29 0.92 0.0643529 0.0563489 22546 126617 -1 1568 18 939 1548 87230 20792 2.63131 2.63131 -103.862 -2.63131 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0177954 0.0158753 110 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 6.63 vpr 64.23 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33360 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 25.7 MiB 0.10 756 7326 1472 5645 209 64.2 MiB 0.06 0.00 2.64264 -79.6038 -2.64264 2.64264 0.95 0.000425457 0.000386939 0.0165496 0.0151156 26 2137 39 6.65987e+06 342306 477104. 1650.88 3.44 0.14595 0.126828 21682 110474 -1 1678 22 1147 1992 146194 34704 2.75165 2.75165 -100.189 -2.75165 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0210595 0.0186304 103 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.43 vpr 64.29 MiB -1 -1 0.15 20604 1 0.03 -1 -1 33724 -1 -1 25 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65836 29 32 291 250 1 153 86 17 17 289 -1 unnamed_device 25.7 MiB 0.24 845 8591 2206 5604 781 64.3 MiB 0.07 0.00 2.43438 -80.6856 -2.43438 2.43438 0.96 0.000435091 0.000397531 0.0222279 0.0203536 30 1805 23 6.65987e+06 316950 526063. 1820.29 1.05 0.0835644 0.0736832 22546 126617 -1 1559 19 898 1442 82356 19872 2.12045 2.12045 -87.3983 -2.12045 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0194103 0.0172533 105 48 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.48 vpr 64.96 MiB -1 -1 0.16 20440 1 0.03 -1 -1 33576 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 367 282 1 201 101 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1195 11851 2786 7710 1355 65.0 MiB 0.11 0.00 3.40636 -102.404 -3.40636 3.40636 0.96 0.000560494 0.000510435 0.0303985 0.0277988 32 2611 21 6.65987e+06 469086 554710. 1919.41 1.00 0.10109 0.0892712 22834 132086 -1 2364 20 1348 2288 150594 34791 3.58942 3.58942 -121.92 -3.58942 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0253517 0.0224926 150 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.42 vpr 64.58 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33868 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 391 311 1 192 100 17 17 289 -1 unnamed_device 26.2 MiB 0.28 994 9380 1964 6772 644 64.6 MiB 0.10 0.00 3.23435 -108.791 -3.23435 3.23435 0.93 0.000550597 0.000501975 0.0250179 0.022871 28 2242 25 6.65987e+06 456408 500653. 1732.36 0.97 0.0992113 0.0872134 21970 115934 -1 2141 20 1699 2714 163601 40917 2.96197 2.96197 -118.117 -2.96197 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.025884 0.023017 146 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 5.69 vpr 64.37 MiB -1 -1 0.14 20116 1 0.03 -1 -1 33972 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 31 32 279 237 1 161 80 17 17 289 -1 unnamed_device 26.1 MiB 0.26 839 10400 2738 6807 855 64.4 MiB 0.08 0.00 3.11855 -95.6325 -3.11855 3.11855 0.93 0.000391028 0.000352931 0.0269782 0.0245683 26 2197 26 6.65987e+06 215526 477104. 1650.88 2.44 0.138714 0.121135 21682 110474 -1 1866 21 1258 1813 132093 31908 3.38311 3.38311 -115.913 -3.38311 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0195408 0.0172482 109 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.43 vpr 64.82 MiB -1 -1 0.17 20588 1 0.03 -1 -1 33828 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 370 297 1 186 87 17 17 289 -1 unnamed_device 26.1 MiB 0.27 944 13335 3182 8688 1465 64.8 MiB 0.12 0.00 3.29135 -102.08 -3.29135 3.29135 0.95 0.000519684 0.000474316 0.0392164 0.0358447 28 2288 24 6.65987e+06 304272 500653. 1732.36 0.96 0.109043 0.0963002 21970 115934 -1 1998 18 1199 2078 130105 32904 3.04491 3.04491 -121.553 -3.04491 0 0 612192. 2118.31 0.26 0.06 0.12 -1 -1 0.26 0.0236359 0.0211077 137 57 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.77 vpr 64.79 MiB -1 -1 0.16 20864 1 0.03 -1 -1 33988 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 31 32 377 302 1 233 90 17 17 289 -1 unnamed_device 26.7 MiB 0.38 1278 15366 4289 8800 2277 64.8 MiB 0.15 0.00 4.69513 -143.575 -4.69513 4.69513 0.95 0.000547407 0.000499859 0.0438442 0.04004 32 3147 24 6.65987e+06 342306 554710. 1919.41 1.08 0.117369 0.103765 22834 132086 -1 2545 20 2122 3130 210970 50676 4.68409 4.68409 -162.598 -4.68409 0 0 701300. 2426.64 0.28 0.08 0.13 -1 -1 0.28 0.0260382 0.023188 170 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 9.77 vpr 65.02 MiB -1 -1 0.17 20760 1 0.03 -1 -1 33612 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 31 32 383 305 1 210 88 17 17 289 -1 unnamed_device 26.4 MiB 1.26 979 10228 2485 6782 961 65.0 MiB 0.10 0.00 3.8685 -115.087 -3.8685 3.8685 0.96 0.000555535 0.000507018 0.0317208 0.0290076 28 2622 49 6.65987e+06 316950 500653. 1732.36 5.30 0.226319 0.1989 21970 115934 -1 2173 20 1621 2498 173595 42373 4.11763 4.11763 -144.875 -4.11763 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0263018 0.0234078 162 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.46 vpr 64.37 MiB -1 -1 0.17 20608 1 0.03 -1 -1 33760 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 31 32 352 285 1 184 92 17 17 289 -1 unnamed_device 25.8 MiB 0.24 840 9821 2395 6460 966 64.4 MiB 0.09 0.00 3.49215 -102.722 -3.49215 3.49215 0.92 0.000439451 0.000402396 0.0268256 0.0245551 32 2342 28 6.65987e+06 367662 554710. 1919.41 1.04 0.100842 0.0886998 22834 132086 -1 1881 20 1205 2046 134725 34135 3.22871 3.22871 -113.136 -3.22871 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0237832 0.0211493 133 51 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.81 vpr 64.45 MiB -1 -1 0.15 20280 1 0.03 -1 -1 33648 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 32 32 291 242 1 179 86 17 17 289 -1 unnamed_device 26.0 MiB 0.18 1063 12749 3886 7815 1048 64.5 MiB 0.11 0.00 3.22104 -94.7966 -3.22104 3.22104 0.95 0.000465484 0.00042551 0.0335867 0.0307893 26 2632 38 6.65987e+06 278916 477104. 1650.88 1.50 0.118702 0.10563 21682 110474 -1 2292 17 1212 1823 149132 33789 3.40405 3.40405 -118.611 -3.40405 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0195581 0.0175047 118 24 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.55 vpr 64.99 MiB -1 -1 0.17 20656 1 0.03 -1 -1 33812 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 457 356 1 223 102 17 17 289 -1 unnamed_device 26.8 MiB 0.30 1158 10098 2280 7234 584 65.0 MiB 0.10 0.00 4.06967 -130.913 -4.06967 4.06967 0.94 0.000594638 0.000541038 0.0290993 0.0265758 30 2764 22 6.65987e+06 481764 526063. 1820.29 1.03 0.110815 0.0977005 22546 126617 -1 2271 18 1250 2013 105208 25127 3.94537 3.94537 -144.254 -3.94537 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0278135 0.0249711 172 84 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 6.31 vpr 64.13 MiB -1 -1 0.13 20268 1 0.03 -1 -1 33424 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 25.7 MiB 0.12 657 7404 1565 5181 658 64.1 MiB 0.05 0.00 2.74078 -77.1956 -2.74078 2.74078 0.95 0.000405356 0.000368928 0.0182796 0.0166749 34 1699 19 6.65987e+06 266238 585099. 2024.56 3.07 0.12111 0.104826 23122 138558 -1 1457 19 1026 1698 107802 27768 2.76385 2.76385 -95.961 -2.76385 0 0 742403. 2568.87 0.30 0.05 0.14 -1 -1 0.30 0.0178048 0.0157922 101 24 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 6.73 vpr 65.03 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33844 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 31 32 337 267 1 205 86 17 17 289 -1 unnamed_device 26.5 MiB 0.22 1194 8213 1995 5407 811 65.0 MiB 0.08 0.00 4.03882 -126.169 -4.03882 4.03882 0.93 0.000443482 0.000405166 0.0234305 0.0214831 30 2699 40 6.65987e+06 291594 526063. 1820.29 3.35 0.177245 0.154362 22546 126617 -1 2254 21 1265 1848 113178 26024 3.86428 3.86428 -136.734 -3.86428 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0241836 0.0215639 142 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.91 vpr 64.36 MiB -1 -1 0.15 20628 1 0.03 -1 -1 33884 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 25.8 MiB 0.16 1105 10975 2907 7171 897 64.4 MiB 0.10 0.00 3.1757 -99.4614 -3.1757 3.1757 0.96 0.00052016 0.000474328 0.0277673 0.0253139 26 2863 31 6.65987e+06 418374 477104. 1650.88 1.58 0.11476 0.101549 21682 110474 -1 2264 23 1239 2259 175980 38720 3.31951 3.31951 -120.974 -3.31951 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0264576 0.0234679 131 50 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.43 vpr 64.46 MiB -1 -1 0.15 20056 1 0.03 -1 -1 34008 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 26.0 MiB 0.10 935 12568 4209 6533 1826 64.5 MiB 0.12 0.00 3.27104 -101.948 -3.27104 3.27104 0.97 0.000461436 0.000419464 0.0321227 0.0293955 28 2348 24 6.65987e+06 304272 500653. 1732.36 1.18 0.0986923 0.0874566 21970 115934 -1 2008 20 1385 2603 180894 41859 3.63365 3.63365 -119.622 -3.63365 0 0 612192. 2118.31 0.26 0.07 0.12 -1 -1 0.26 0.0215803 0.0192796 123 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.09 vpr 64.87 MiB -1 -1 0.16 20380 1 0.03 -1 -1 33968 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 353 287 1 198 86 17 17 289 -1 unnamed_device 26.5 MiB 0.38 1116 7268 1692 5167 409 64.9 MiB 0.07 0.00 3.4346 -106.79 -3.4346 3.4346 0.94 0.000462661 0.000423401 0.021101 0.0193374 26 2916 48 6.65987e+06 278916 477104. 1650.88 1.61 0.120183 0.105845 21682 110474 -1 2302 23 1326 1847 158289 36786 3.28691 3.28691 -122.316 -3.28691 0 0 585099. 2024.56 0.25 0.07 0.11 -1 -1 0.25 0.0253844 0.0224333 136 52 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.59 vpr 65.13 MiB -1 -1 0.16 20560 1 0.03 -1 -1 33964 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 32 32 361 291 1 185 95 17 17 289 -1 unnamed_device 26.4 MiB 0.40 979 18023 5753 9211 3059 65.1 MiB 0.15 0.00 2.9071 -96.889 -2.9071 2.9071 0.93 0.000555596 0.000508988 0.0496433 0.0455839 30 2256 18 6.65987e+06 393018 526063. 1820.29 1.00 0.115726 0.102896 22546 126617 -1 1897 19 1004 1832 105822 24233 2.75151 2.75151 -109.153 -2.75151 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0228098 0.0202622 132 52 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.61 vpr 64.77 MiB -1 -1 0.17 20576 1 0.03 -1 -1 33904 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 382 305 1 192 100 17 17 289 -1 unnamed_device 26.4 MiB 0.37 1074 17500 4856 10083 2561 64.8 MiB 0.15 0.00 3.47495 -108.457 -3.47495 3.47495 0.94 0.000517532 0.000470385 0.0432121 0.0394089 28 2726 24 6.65987e+06 456408 500653. 1732.36 1.02 0.116037 0.102364 21970 115934 -1 2287 19 1324 2078 159598 35107 3.42091 3.42091 -124.04 -3.42091 0 0 612192. 2118.31 0.28 0.07 0.12 -1 -1 0.28 0.0246409 0.0219125 144 59 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.38 vpr 64.15 MiB -1 -1 0.14 20316 1 0.03 -1 -1 33656 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 25.7 MiB 0.09 837 13113 3767 8202 1144 64.1 MiB 0.10 0.00 3.17284 -95.5583 -3.17284 3.17284 0.88 0.000400465 0.000367198 0.0286279 0.0261206 26 2410 46 6.65987e+06 367662 477104. 1650.88 1.38 0.10496 0.0920116 21682 110474 -1 1940 23 1317 2182 143493 35824 3.36605 3.36605 -114.872 -3.36605 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0216778 0.0191489 122 21 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.20 vpr 64.68 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33824 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 319 257 1 198 87 17 17 289 -1 unnamed_device 25.9 MiB 0.12 1082 7575 1544 5531 500 64.7 MiB 0.07 0.00 3.83975 -114.873 -3.83975 3.83975 0.93 0.000494931 0.000445842 0.0205003 0.0187502 30 2441 22 6.65987e+06 291594 526063. 1820.29 0.96 0.0827685 0.0726847 22546 126617 -1 2040 23 1282 1895 109126 25815 3.55105 3.55105 -120.837 -3.55105 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0240974 0.021322 133 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 6.78 vpr 64.96 MiB -1 -1 0.16 20600 1 0.03 -1 -1 33844 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 373 299 1 202 86 17 17 289 -1 unnamed_device 26.4 MiB 0.28 1011 10859 3024 7192 643 65.0 MiB 0.11 0.00 3.91127 -116.488 -3.91127 3.91127 0.92 0.000513708 0.000447968 0.0321254 0.0290247 32 2966 29 6.65987e+06 291594 554710. 1919.41 3.37 0.190215 0.165073 22834 132086 -1 2289 21 1617 2578 191629 45650 3.86023 3.86023 -131.547 -3.86023 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0255009 0.0225675 146 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.51 vpr 64.72 MiB -1 -1 0.16 20424 1 0.03 -1 -1 33540 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 32 32 387 315 1 189 85 17 17 289 -1 unnamed_device 26.4 MiB 0.17 997 14407 4900 6840 2667 64.7 MiB 0.14 0.00 3.25298 -104.391 -3.25298 3.25298 0.96 0.000546323 0.000500009 0.0455109 0.0416825 32 2769 27 6.65987e+06 266238 554710. 1919.41 1.06 0.122733 0.108742 22834 132086 -1 2261 19 1553 2701 197772 45937 3.43305 3.43305 -122.753 -3.43305 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0266526 0.0238367 135 74 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.14 vpr 64.09 MiB -1 -1 0.14 20228 1 0.03 -1 -1 33548 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65632 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 25.6 MiB 0.10 768 15688 4431 9441 1816 64.1 MiB 0.11 0.00 2.59064 -80.8644 -2.59064 2.59064 0.94 0.000396806 0.000362335 0.0338458 0.0309358 26 1859 23 6.65987e+06 304272 477104. 1650.88 0.94 0.0863741 0.0763549 21682 110474 -1 1613 28 839 1282 167508 70126 2.52731 2.52731 -93.2784 -2.52731 0 0 585099. 2024.56 0.25 0.08 0.11 -1 -1 0.25 0.0248011 0.0218274 97 20 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.33 vpr 64.50 MiB -1 -1 0.16 20628 1 0.03 -1 -1 33504 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 32 32 341 285 1 187 84 17 17 289 -1 unnamed_device 26.0 MiB 0.14 893 10881 3119 6879 883 64.5 MiB 0.10 0.00 3.1319 -108.409 -3.1319 3.1319 0.94 0.000497924 0.00045662 0.0316052 0.0289038 32 2489 22 6.65987e+06 253560 554710. 1919.41 0.99 0.095258 0.083944 22834 132086 -1 2047 22 1607 2261 167638 40236 3.17711 3.17711 -127 -3.17711 0 0 701300. 2426.64 0.29 0.07 0.14 -1 -1 0.29 0.0243998 0.021618 125 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.48 vpr 64.67 MiB -1 -1 0.16 20732 1 0.03 -1 -1 33864 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 32 32 387 293 1 234 92 17 17 289 -1 unnamed_device 26.6 MiB 0.19 1365 17894 5620 9896 2378 64.7 MiB 0.18 0.00 4.23387 -130.947 -4.23387 4.23387 0.94 0.000554898 0.000505938 0.0520645 0.0475351 30 2905 23 6.65987e+06 354984 526063. 1820.29 0.99 0.125732 0.111405 22546 126617 -1 2286 21 1434 2297 119467 29566 4.28483 4.28483 -148.022 -4.28483 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.0278664 0.0248314 168 28 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.37 vpr 64.57 MiB -1 -1 0.16 20412 1 0.03 -1 -1 33580 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 32 32 340 270 1 181 95 17 17 289 -1 unnamed_device 26.1 MiB 0.26 950 9815 2017 7316 482 64.6 MiB 0.09 0.00 3.56446 -108.073 -3.56446 3.56446 0.95 0.000508123 0.000462822 0.025643 0.0234543 32 2184 21 6.65987e+06 393018 554710. 1919.41 0.98 0.0898981 0.0791871 22834 132086 -1 1895 16 1131 1853 127576 31246 2.96511 2.96511 -114.1 -2.96511 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0196828 0.017631 133 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.27 vpr 64.25 MiB -1 -1 0.15 20364 1 0.03 -1 -1 33960 -1 -1 26 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 25.6 MiB 0.05 797 16468 5182 9134 2152 64.3 MiB 0.12 0.00 2.54444 -82.4839 -2.54444 2.54444 0.94 0.000424538 0.000386375 0.0376245 0.0343272 28 1879 21 6.65987e+06 329628 500653. 1732.36 1.12 0.0961151 0.0853127 21970 115934 -1 1695 19 1101 1874 135448 31063 2.72251 2.72251 -101.302 -2.72251 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0192666 0.0171263 104 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.80 vpr 64.76 MiB -1 -1 0.17 20444 1 0.03 -1 -1 33996 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 431 332 1 235 89 17 17 289 -1 unnamed_device 26.6 MiB 0.37 1389 13355 3617 7994 1744 64.8 MiB 0.15 0.00 5.14349 -155.118 -5.14349 5.14349 0.95 0.000599126 0.000545898 0.043898 0.0401051 28 3430 23 6.65987e+06 316950 500653. 1732.36 1.18 0.129181 0.114611 21970 115934 -1 2796 20 1848 2651 194798 43949 5.22074 5.22074 -175.785 -5.22074 0 0 612192. 2118.31 0.27 0.08 0.12 -1 -1 0.27 0.028059 0.0251023 168 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.39 vpr 64.39 MiB -1 -1 0.15 20296 1 0.03 -1 -1 33680 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 336 268 1 174 96 17 17 289 -1 unnamed_device 25.9 MiB 0.29 868 12579 3577 7733 1269 64.4 MiB 0.11 0.00 3.54535 -106.254 -3.54535 3.54535 0.93 0.000500297 0.000457876 0.0313412 0.0286116 32 2195 20 6.65987e+06 405696 554710. 1919.41 0.94 0.0923412 0.0814989 22834 132086 -1 1871 22 1346 1969 132313 31714 3.59831 3.59831 -122.015 -3.59831 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0240163 0.0212258 130 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.17 vpr 64.11 MiB -1 -1 0.14 20556 1 0.03 -1 -1 33676 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65648 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 25.7 MiB 0.04 758 11991 4460 6230 1301 64.1 MiB 0.08 0.00 2.48032 -74.2415 -2.48032 2.48032 0.95 0.000374288 0.000341069 0.0249894 0.0228507 28 2025 26 6.65987e+06 291594 500653. 1732.36 1.08 0.0794104 0.0698175 21970 115934 -1 1674 21 953 1665 129371 29896 2.68239 2.68239 -95.8382 -2.68239 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0182599 0.0161683 100 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.35 vpr 64.86 MiB -1 -1 0.16 20580 1 0.03 -1 -1 33812 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 26.3 MiB 0.13 991 12698 3408 8389 901 64.9 MiB 0.11 0.00 4.55326 -107.965 -4.55326 4.55326 0.94 0.000463864 0.000426091 0.0310373 0.028277 32 2627 21 6.65987e+06 431052 554710. 1919.41 1.01 0.0973156 0.085914 22834 132086 -1 2018 23 1493 2732 171823 41406 4.41522 4.41522 -129.855 -4.41522 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0270999 0.0239889 139 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.07 vpr 64.14 MiB -1 -1 0.13 20100 1 0.03 -1 -1 33792 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65680 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 25.7 MiB 0.09 849 13992 4392 7270 2330 64.1 MiB 0.10 0.00 2.57564 -85.1096 -2.57564 2.57564 0.92 0.000401836 0.000366809 0.0325502 0.0297372 30 1817 22 6.65987e+06 253560 526063. 1820.29 0.92 0.0837513 0.0740545 22546 126617 -1 1625 17 892 1499 90713 21158 2.51031 2.51031 -99.5427 -2.51031 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0163174 0.0144953 104 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.06 vpr 64.33 MiB -1 -1 0.13 20268 1 0.03 -1 -1 33612 -1 -1 33 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 25.8 MiB 0.15 870 16727 4543 10066 2118 64.3 MiB 0.11 0.00 2.98169 -84.6118 -2.98169 2.98169 0.92 0.000441303 0.00040526 0.0329836 0.0300003 28 1812 22 6.65987e+06 418374 500653. 1732.36 0.88 0.0852239 0.0749132 21970 115934 -1 1654 17 790 1408 96198 22160 2.86645 2.86645 -100.094 -2.86645 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0166436 0.0147948 105 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 6.60 vpr 64.90 MiB -1 -1 0.17 20788 1 0.03 -1 -1 33592 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 29 32 355 287 1 198 85 17 17 289 -1 unnamed_device 26.4 MiB 0.27 905 15151 4340 8002 2809 64.9 MiB 0.13 0.00 3.37101 -98.2294 -3.37101 3.37101 0.92 0.000509866 0.00046378 0.0420306 0.0383406 30 2361 21 6.65987e+06 304272 526063. 1820.29 3.14 0.18612 0.162379 22546 126617 -1 1860 22 1241 1885 118005 28502 3.28211 3.28211 -109.551 -3.28211 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0250695 0.02227 138 56 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.31 vpr 64.80 MiB -1 -1 0.16 20520 1 0.03 -1 -1 33684 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 26.3 MiB 0.17 1007 6133 1179 4542 412 64.8 MiB 0.06 0.00 3.5135 -109.739 -3.5135 3.5135 0.93 0.000525742 0.000478144 0.01835 0.0167915 32 2107 21 6.65987e+06 304272 554710. 1919.41 0.97 0.083173 0.0729717 22834 132086 -1 1919 21 1324 2022 135791 32193 3.45917 3.45917 -126.153 -3.45917 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0250883 0.0223268 130 51 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.54 vpr 64.61 MiB -1 -1 0.17 20752 1 0.03 -1 -1 33932 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 32 32 353 285 1 181 91 17 17 289 -1 unnamed_device 26.0 MiB 0.21 1113 13351 3564 7777 2010 64.6 MiB 0.12 0.00 3.63564 -112.148 -3.63564 3.63564 0.94 0.000518571 0.000473489 0.0372944 0.034193 28 2622 22 6.65987e+06 342306 500653. 1732.36 1.19 0.108683 0.0963939 21970 115934 -1 2235 22 1367 2418 158170 36257 3.64631 3.64631 -129.76 -3.64631 0 0 612192. 2118.31 0.26 0.07 0.12 -1 -1 0.26 0.0252061 0.0224125 132 48 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.38 vpr 64.32 MiB -1 -1 0.15 20268 1 0.03 -1 -1 33704 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65860 32 32 276 237 1 159 80 17 17 289 -1 unnamed_device 26.0 MiB 0.26 822 12464 4139 6011 2314 64.3 MiB 0.10 0.00 3.5308 -102.471 -3.5308 3.5308 0.93 0.000429151 0.000392188 0.0334355 0.0305891 32 2069 25 6.65987e+06 202848 554710. 1919.41 0.99 0.090223 0.0795988 22834 132086 -1 1684 21 982 1314 104920 24337 3.51631 3.51631 -112.035 -3.51631 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0205076 0.0181943 103 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.41 vpr 64.44 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33852 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 26.0 MiB 0.20 910 12008 3937 6027 2044 64.4 MiB 0.10 0.00 2.85458 -96.8905 -2.85458 2.85458 0.96 0.000465349 0.000424705 0.0344323 0.0315157 32 2206 20 6.65987e+06 240882 554710. 1919.41 0.98 0.0950655 0.0840825 22834 132086 -1 1931 21 1360 2059 164610 37393 2.90125 2.90125 -112.214 -2.90125 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0224883 0.0199255 111 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.32 vpr 64.31 MiB -1 -1 0.16 20760 1 0.03 -1 -1 33780 -1 -1 33 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 25.8 MiB 0.22 917 13919 3702 7731 2486 64.3 MiB 0.11 0.00 2.72184 -81.6865 -2.72184 2.72184 0.94 0.000471551 0.00042925 0.0337217 0.0306548 32 2166 20 6.65987e+06 418374 554710. 1919.41 0.94 0.0926855 0.0814566 22834 132086 -1 1839 19 1023 1846 124888 29260 2.64939 2.64939 -93.4003 -2.64939 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0207826 0.0184752 123 52 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.25 vpr 64.59 MiB -1 -1 0.15 20216 1 0.03 -1 -1 33728 -1 -1 35 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 26.2 MiB 0.12 923 14999 3716 9776 1507 64.6 MiB 0.10 0.00 3.3533 -84.4628 -3.3533 3.3533 0.94 0.000412849 0.000374607 0.0317299 0.0288945 26 2272 22 6.65987e+06 443730 477104. 1650.88 1.06 0.0881701 0.0776185 21682 110474 -1 1874 20 1095 2212 163301 37253 3.61851 3.61851 -107.922 -3.61851 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0191861 0.0169216 115 20 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.36 vpr 64.32 MiB -1 -1 0.16 20116 1 0.03 -1 -1 33396 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 30 32 317 269 1 152 79 17 17 289 -1 unnamed_device 25.7 MiB 0.24 876 8360 2693 4247 1420 64.3 MiB 0.07 0.00 3.29355 -97.3708 -3.29355 3.29355 0.94 0.000464401 0.000422083 0.0246911 0.0225564 32 2010 18 6.65987e+06 215526 554710. 1919.41 0.94 0.0802029 0.0705245 22834 132086 -1 1822 22 1347 2310 206897 45610 2.83751 2.83751 -110.219 -2.83751 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0229649 0.0202799 108 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.42 vpr 64.50 MiB -1 -1 0.15 20668 1 0.03 -1 -1 33464 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 32 32 335 282 1 184 84 17 17 289 -1 unnamed_device 26.1 MiB 0.21 1076 12528 3691 6971 1866 64.5 MiB 0.11 0.00 2.94464 -104.132 -2.94464 2.94464 0.95 0.000502928 0.000461202 0.0350986 0.0320525 28 2539 15 6.65987e+06 253560 500653. 1732.36 1.05 0.0934685 0.0826907 21970 115934 -1 2225 18 1203 1748 143095 30963 3.18371 3.18371 -128.129 -3.18371 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0211415 0.0188409 120 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.81 vpr 64.24 MiB -1 -1 0.16 20180 1 0.03 -1 -1 34096 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65784 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 25.9 MiB 0.09 1043 11543 3169 7474 900 64.2 MiB 0.10 0.00 3.57527 -104.665 -3.57527 3.57527 0.97 0.00046653 0.000426632 0.0267617 0.024473 26 2620 41 6.65987e+06 405696 477104. 1650.88 1.52 0.103993 0.0915175 21682 110474 -1 2330 19 1418 2480 191089 43947 3.82183 3.82183 -127.627 -3.82183 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.02085 0.0186131 127 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.66 vpr 64.77 MiB -1 -1 0.15 20548 1 0.03 -1 -1 33888 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 350 275 1 209 86 17 17 289 -1 unnamed_device 26.2 MiB 0.27 1218 10103 2562 6213 1328 64.8 MiB 0.10 0.00 4.10541 -132.01 -4.10541 4.10541 0.93 0.00053999 0.000493186 0.0292075 0.0265779 28 3226 24 6.65987e+06 278916 500653. 1732.36 1.32 0.102955 0.0908865 21970 115934 -1 2709 20 1535 2251 187687 40794 4.21351 4.21351 -153.874 -4.21351 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0235537 0.0209528 144 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.82 vpr 64.65 MiB -1 -1 0.16 20556 1 0.03 -1 -1 33728 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 32 32 385 308 1 185 96 17 17 289 -1 unnamed_device 26.1 MiB 0.32 881 11922 3030 7936 956 64.7 MiB 0.11 0.00 3.92821 -107.518 -3.92821 3.92821 0.95 0.000538105 0.000486947 0.0315548 0.0287778 28 2733 48 6.65987e+06 405696 500653. 1732.36 1.35 0.130296 0.114581 21970 115934 -1 2112 21 1272 2276 143405 35662 3.90623 3.90623 -130.202 -3.90623 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0252091 0.022283 142 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.46 vpr 64.60 MiB -1 -1 0.16 20404 1 0.03 -1 -1 33756 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 26.2 MiB 0.26 1143 14201 3555 9036 1610 64.6 MiB 0.12 0.00 3.25355 -109.31 -3.25355 3.25355 0.96 0.000573448 0.000521446 0.0355022 0.0322603 30 2471 18 6.65987e+06 469086 526063. 1820.29 0.96 0.103436 0.0912215 22546 126617 -1 2124 19 1125 2037 106349 25685 3.06631 3.06631 -122.222 -3.06631 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0247086 0.0220791 140 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.41 vpr 64.13 MiB -1 -1 0.16 20284 1 0.03 -1 -1 33620 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 25.6 MiB 0.23 835 13556 4620 6640 2296 64.1 MiB 0.10 0.00 2.90104 -91.6576 -2.90104 2.90104 0.97 0.000422413 0.000385805 0.0354644 0.0323854 32 1896 22 6.65987e+06 240882 554710. 1919.41 0.97 0.0909335 0.0803251 22834 132086 -1 1684 20 1047 1790 131352 29921 2.51705 2.51705 -97.501 -2.51705 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0201738 0.0179631 105 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.47 vpr 64.32 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33896 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65868 30 32 375 299 1 187 83 17 17 289 -1 unnamed_device 25.9 MiB 0.24 882 12503 2705 8987 811 64.3 MiB 0.11 0.00 3.77847 -108.414 -3.77847 3.77847 0.98 0.000549031 0.000501396 0.0394748 0.035998 30 2278 24 6.65987e+06 266238 526063. 1820.29 1.03 0.112237 0.0991843 22546 126617 -1 1704 22 1391 2274 119313 30261 3.36982 3.36982 -120.777 -3.36982 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0262972 0.0233651 137 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.08 vpr 64.59 MiB -1 -1 0.16 20524 1 0.03 -1 -1 33872 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 340 270 1 200 88 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1286 10033 2525 6558 950 64.6 MiB 0.09 0.00 3.8156 -118.226 -3.8156 3.8156 0.94 0.000514511 0.000467888 0.0279238 0.0254902 26 3090 49 6.65987e+06 304272 477104. 1650.88 1.61 0.12183 0.107135 21682 110474 -1 2476 20 1759 2803 236179 50354 3.83877 3.83877 -131.107 -3.83877 0 0 585099. 2024.56 0.26 0.08 0.11 -1 -1 0.26 0.0241123 0.0215047 138 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.77 vpr 64.78 MiB -1 -1 0.16 20632 1 0.03 -1 -1 33884 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 31 32 340 275 1 195 91 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1100 9067 2054 6281 732 64.8 MiB 0.08 0.00 4.10296 -123.19 -4.10296 4.10296 0.96 0.000507393 0.000462641 0.0250816 0.0229827 28 2546 23 6.65987e+06 354984 500653. 1732.36 1.23 0.0949909 0.0840465 21970 115934 -1 2331 20 1388 2120 153106 35282 4.24677 4.24677 -145.586 -4.24677 0 0 612192. 2118.31 0.25 0.06 0.11 -1 -1 0.25 0.0236138 0.0209264 146 43 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 5.57 vpr 64.72 MiB -1 -1 0.16 20472 1 0.03 -1 -1 33772 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 30 32 377 310 1 177 93 17 17 289 -1 unnamed_device 26.1 MiB 1.10 924 15843 4862 7882 3099 64.7 MiB 0.13 0.00 3.34201 -101.96 -3.34201 3.34201 0.95 0.000529351 0.000484551 0.0431441 0.0393515 28 2364 25 6.65987e+06 393018 500653. 1732.36 1.29 0.121338 0.107498 21970 115934 -1 1935 19 1443 2410 162890 37685 3.05431 3.05431 -113.68 -3.05431 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0235511 0.0209652 133 78 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.69 vpr 64.61 MiB -1 -1 0.15 20448 1 0.03 -1 -1 33892 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 365 294 1 185 84 17 17 289 -1 unnamed_device 26.1 MiB 0.20 983 16371 5129 8896 2346 64.6 MiB 0.15 0.00 3.76955 -109.292 -3.76955 3.76955 0.94 0.000522608 0.000475393 0.0498189 0.0455458 32 2665 50 6.65987e+06 253560 554710. 1919.41 1.23 0.146604 0.129377 22834 132086 -1 2295 19 1584 2766 205973 48238 3.69631 3.69631 -129.605 -3.69631 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0241059 0.0215114 133 54 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.49 vpr 64.61 MiB -1 -1 0.16 20552 1 0.03 -1 -1 33768 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 29 32 378 310 1 177 90 17 17 289 -1 unnamed_device 26.1 MiB 0.39 871 10341 2605 7142 594 64.6 MiB 0.09 0.00 3.57869 -99.9377 -3.57869 3.57869 0.92 0.000529594 0.000479792 0.0279483 0.0255198 26 2476 27 6.65987e+06 367662 477104. 1650.88 0.99 0.102406 0.0897292 21682 110474 -1 2036 20 1292 2039 147696 35973 3.48511 3.48511 -115.709 -3.48511 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0248183 0.0220054 131 79 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.03 vpr 64.19 MiB -1 -1 0.15 20180 1 0.03 -1 -1 33876 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65732 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 25.8 MiB 0.09 756 12923 4307 6520 2096 64.2 MiB 0.09 0.00 2.87075 -89.3775 -2.87075 2.87075 0.93 0.000340107 0.000311126 0.0301063 0.0275525 28 1676 21 6.65987e+06 190170 500653. 1732.36 0.91 0.0811718 0.0717403 21970 115934 -1 1529 20 820 1226 86148 20540 2.71571 2.71571 -102.935 -2.71571 0 0 612192. 2118.31 0.26 0.05 0.12 -1 -1 0.26 0.0174635 0.0155178 96 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.41 vpr 64.53 MiB -1 -1 0.15 20644 1 0.03 -1 -1 33688 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 32 32 373 302 1 176 94 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1050 9253 2058 6158 1037 64.5 MiB 0.08 0.00 3.45695 -110.861 -3.45695 3.45695 0.95 0.000544796 0.000502568 0.0251249 0.0229725 28 2389 21 6.65987e+06 380340 500653. 1732.36 0.99 0.0945639 0.0832878 21970 115934 -1 2107 20 1240 2033 140942 32316 3.61851 3.61851 -129.102 -3.61851 0 0 612192. 2118.31 0.26 0.06 0.12 -1 -1 0.26 0.0231012 0.0205494 130 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.50 vpr 64.93 MiB -1 -1 0.15 20872 1 0.03 -1 -1 33708 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 397 314 1 196 84 17 17 289 -1 unnamed_device 26.6 MiB 0.27 1074 11979 3319 7350 1310 64.9 MiB 0.11 0.00 3.74961 -118.449 -3.74961 3.74961 0.93 0.000543565 0.000495364 0.0383326 0.034936 32 2503 27 6.65987e+06 253560 554710. 1919.41 1.06 0.114336 0.100722 22834 132086 -1 2224 18 1659 2662 184626 43286 3.77977 3.77977 -139.893 -3.77977 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.023347 0.0207519 147 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.96 vpr 64.14 MiB -1 -1 0.15 20252 1 0.03 -1 -1 33988 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65684 32 32 269 231 1 170 83 17 17 289 -1 unnamed_device 25.8 MiB 0.22 1013 12323 3571 6806 1946 64.1 MiB 0.09 0.00 3.19629 -98.5902 -3.19629 3.19629 0.93 0.000417132 0.000378787 0.0300755 0.0274757 26 2364 31 6.65987e+06 240882 477104. 1650.88 1.67 0.0969315 0.0856488 21682 110474 -1 2062 19 1123 1479 161700 45613 3.20125 3.20125 -116.188 -3.20125 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0186973 0.016607 111 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 5.05 vpr 64.22 MiB -1 -1 0.15 19996 1 0.03 -1 -1 33764 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 25.7 MiB 0.09 828 9051 2110 6405 536 64.2 MiB 0.07 0.00 3.02101 -91.2154 -3.02101 3.02101 0.93 0.000412819 0.000377091 0.0207631 0.0189702 26 1940 23 6.65987e+06 266238 477104. 1650.88 1.92 0.118809 0.103024 21682 110474 -1 1694 24 1171 1947 163759 36747 3.03025 3.03025 -104.829 -3.03025 0 0 585099. 2024.56 0.25 0.07 0.11 -1 -1 0.25 0.0209928 0.0185578 106 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.43 vpr 64.92 MiB -1 -1 0.16 20832 1 0.03 -1 -1 33832 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 348 274 1 211 89 17 17 289 -1 unnamed_device 26.3 MiB 0.15 1226 13157 3645 7075 2437 64.9 MiB 0.12 0.00 3.94427 -126.759 -3.94427 3.94427 0.97 0.000521362 0.000475448 0.0372208 0.0340604 28 2910 31 6.65987e+06 316950 500653. 1732.36 1.12 0.115347 0.102024 21970 115934 -1 2354 21 1504 1998 145883 32926 3.87817 3.87817 -144.621 -3.87817 0 0 612192. 2118.31 0.26 0.07 0.12 -1 -1 0.26 0.0243888 0.0217255 144 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.58 vpr 64.54 MiB -1 -1 0.15 20728 1 0.03 -1 -1 33924 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66092 32 32 356 289 1 202 92 17 17 289 -1 unnamed_device 26.1 MiB 0.47 1179 6509 1367 4878 264 64.5 MiB 0.07 0.00 3.93949 -123.064 -3.93949 3.93949 0.96 0.00057425 0.000527711 0.01969 0.0180655 26 3134 24 6.65987e+06 354984 477104. 1650.88 1.94 0.105019 0.0931715 21682 110474 -1 2597 20 1658 2579 218646 49073 4.54757 4.54757 -151.42 -4.54757 0 0 585099. 2024.56 0.26 0.08 0.11 -1 -1 0.26 0.025563 0.0227924 151 53 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.40 vpr 64.96 MiB -1 -1 0.16 20508 1 0.03 -1 -1 33760 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.4 MiB 0.06 1199 18660 5307 10782 2571 65.0 MiB 0.16 0.00 4.29287 -118.291 -4.29287 4.29287 0.93 0.000515437 0.000463169 0.0450139 0.0408727 32 2947 24 6.65987e+06 456408 554710. 1919.41 1.04 0.114063 0.100791 22834 132086 -1 2556 22 1668 3007 250038 55123 4.59142 4.59142 -143.569 -4.59142 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0274842 0.024523 153 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.43 vpr 64.23 MiB -1 -1 0.14 20228 1 0.03 -1 -1 33748 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 30 32 316 264 1 162 93 17 17 289 -1 unnamed_device 25.8 MiB 0.23 737 8283 1703 5690 890 64.2 MiB 0.07 0.00 2.60458 -77.596 -2.60458 2.60458 0.93 0.000475742 0.000434931 0.0210636 0.0193171 26 2134 20 6.65987e+06 393018 477104. 1650.88 1.14 0.0862966 0.0761848 21682 110474 -1 1820 21 1360 2279 163498 40958 2.89491 2.89491 -101.427 -2.89491 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.022217 0.0196099 120 47 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.16 vpr 64.00 MiB -1 -1 0.15 20444 1 0.03 -1 -1 34172 -1 -1 21 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65536 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 25.6 MiB 0.05 641 11948 4988 6313 647 64.0 MiB 0.08 0.00 2.7331 -77.2835 -2.7331 2.7331 0.95 0.000378232 0.000348394 0.0288397 0.0263908 28 1540 20 6.65987e+06 266238 500653. 1732.36 1.02 0.0812888 0.071919 21970 115934 -1 1371 20 984 1433 109301 26182 2.85597 2.85597 -94.0287 -2.85597 0 0 612192. 2118.31 0.28 0.05 0.11 -1 -1 0.28 0.0185362 0.0164376 97 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 7.03 vpr 64.86 MiB -1 -1 0.16 20492 1 0.03 -1 -1 33968 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 26.8 MiB 0.17 1442 16773 4789 10056 1928 64.9 MiB 0.15 0.00 3.4163 -115.859 -3.4163 3.4163 0.97 0.00059496 0.000540505 0.0505523 0.0461244 34 3398 21 6.65987e+06 329628 585099. 2024.56 3.52 0.20677 0.181225 23122 138558 -1 2921 21 1950 3185 227508 50706 3.66371 3.66371 -134.983 -3.66371 0 0 742403. 2568.87 0.32 0.09 0.14 -1 -1 0.32 0.0294133 0.0262137 170 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 5.31 vpr 64.68 MiB -1 -1 0.18 20692 1 0.03 -1 -1 33960 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 31 32 365 296 1 194 84 17 17 289 -1 unnamed_device 26.1 MiB 1.02 995 12345 3297 7914 1134 64.7 MiB 0.11 0.00 4.27986 -124.137 -4.27986 4.27986 0.95 0.000532196 0.000486446 0.037843 0.0345698 32 2461 24 6.65987e+06 266238 554710. 1919.41 1.01 0.106795 0.0943401 22834 132086 -1 2131 22 1726 2661 209706 47669 4.52629 4.52629 -147.037 -4.52629 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0257876 0.0228924 150 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 5.14 vpr 64.51 MiB -1 -1 0.16 20180 1 0.03 -1 -1 34028 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 32 32 331 280 1 175 82 17 17 289 -1 unnamed_device 26.0 MiB 0.94 888 12542 4328 5992 2222 64.5 MiB 0.11 0.00 3.4165 -105.247 -3.4165 3.4165 0.94 0.000486555 0.000437639 0.0358575 0.0325701 32 2179 21 6.65987e+06 228204 554710. 1919.41 1.03 0.0980861 0.0864025 22834 132086 -1 1817 20 1381 1983 143119 33179 3.35117 3.35117 -125.909 -3.35117 0 0 701300. 2426.64 0.29 0.06 0.14 -1 -1 0.29 0.0228776 0.020383 126 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.32 vpr 64.41 MiB -1 -1 0.15 20292 1 0.03 -1 -1 34044 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 25.9 MiB 0.11 1020 17773 5302 9942 2529 64.4 MiB 0.14 0.00 3.7622 -101.594 -3.7622 3.7622 0.95 0.000463486 0.00041786 0.0427373 0.0388992 32 2416 25 6.65987e+06 380340 554710. 1919.41 0.96 0.10764 0.0950345 22834 132086 -1 1984 20 1184 1866 138780 32031 3.36685 3.36685 -114.311 -3.36685 0 0 701300. 2426.64 0.29 0.06 0.14 -1 -1 0.29 0.0229465 0.0203757 126 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.35 vpr 64.59 MiB -1 -1 0.17 20432 1 0.03 -1 -1 33456 -1 -1 33 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 31 32 373 294 1 196 96 17 17 289 -1 unnamed_device 26.3 MiB 0.23 1052 10170 2582 6954 634 64.6 MiB 0.09 0.00 3.77855 -110.561 -3.77855 3.77855 0.93 0.00047396 0.000433372 0.0256662 0.0233952 26 2514 24 6.65987e+06 418374 477104. 1650.88 0.99 0.098322 0.086334 21682 110474 -1 2197 23 1589 2517 174778 41593 3.62437 3.62437 -127.927 -3.62437 0 0 585099. 2024.56 0.27 0.08 0.11 -1 -1 0.27 0.0279037 0.0246827 144 46 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.24 vpr 64.37 MiB -1 -1 0.16 20296 1 0.03 -1 -1 33900 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 26.0 MiB 0.14 1006 12273 2952 7730 1591 64.4 MiB 0.10 0.00 2.8321 -88.9367 -2.8321 2.8321 0.93 0.000473224 0.00042901 0.0299252 0.0273817 30 2232 20 6.65987e+06 393018 526063. 1820.29 0.97 0.0909874 0.0801276 22546 126617 -1 1925 19 1011 1751 118050 25350 2.55737 2.55737 -100.04 -2.55737 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0219328 0.0195361 124 46 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.56 vpr 64.89 MiB -1 -1 0.16 20436 1 0.03 -1 -1 33912 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 350 275 1 214 88 17 17 289 -1 unnamed_device 26.3 MiB 0.16 1406 14128 3971 8464 1693 64.9 MiB 0.13 0.00 3.8505 -125.328 -3.8505 3.8505 0.96 0.000520053 0.000474015 0.0406737 0.0372303 30 2961 22 6.65987e+06 304272 526063. 1820.29 1.13 0.112608 0.0999771 22546 126617 -1 2482 21 1653 2522 175932 37196 3.78391 3.78391 -138.578 -3.78391 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0252793 0.0224633 147 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 5.14 vpr 64.62 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33688 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 386 307 1 195 98 17 17 289 -1 unnamed_device 26.1 MiB 0.39 1103 14723 4237 8862 1624 64.6 MiB 0.14 0.00 3.71641 -117.038 -3.71641 3.71641 0.98 0.000565518 0.000517363 0.0397964 0.0363582 26 2662 20 6.65987e+06 431052 477104. 1650.88 1.49 0.115889 0.102798 21682 110474 -1 2384 24 1502 2342 188224 42362 3.39797 3.39797 -129.401 -3.39797 0 0 585099. 2024.56 0.25 0.08 0.11 -1 -1 0.25 0.028565 0.0252603 143 59 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.18 vpr 64.09 MiB -1 -1 0.14 20532 1 0.03 -1 -1 34056 -1 -1 17 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65628 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 25.6 MiB 0.13 631 12528 3950 6757 1821 64.1 MiB 0.08 0.00 2.88681 -85.1602 -2.88681 2.88681 0.92 0.000400611 0.000364967 0.0310277 0.0283522 32 1355 24 6.65987e+06 215526 554710. 1919.41 0.94 0.0851423 0.0751102 22834 132086 -1 1252 21 895 1264 96311 23225 2.62497 2.62497 -94.2479 -2.62497 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0197612 0.0174857 92 28 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.43 vpr 64.46 MiB -1 -1 0.15 20472 1 0.03 -1 -1 34108 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 32 32 310 266 1 175 84 17 17 289 -1 unnamed_device 26.0 MiB 0.27 876 9417 2598 6408 411 64.5 MiB 0.08 0.00 3.1971 -103.02 -3.1971 3.1971 0.96 0.000469503 0.000429238 0.0263623 0.0241293 28 2308 27 6.65987e+06 253560 500653. 1732.36 1.01 0.0919733 0.0810189 21970 115934 -1 1863 21 1407 1896 134948 31802 3.12377 3.12377 -118.075 -3.12377 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0220591 0.0195383 116 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.21 vpr 64.50 MiB -1 -1 0.17 20224 1 0.03 -1 -1 33868 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 26.0 MiB 0.10 900 7060 1371 5010 679 64.5 MiB 0.07 0.00 3.68941 -99.0075 -3.68941 3.68941 0.93 0.00051958 0.000474096 0.017387 0.0158659 30 2265 22 6.65987e+06 469086 526063. 1820.29 0.99 0.0828082 0.0726611 22546 126617 -1 1967 22 1282 2276 142267 31765 3.53131 3.53131 -116.633 -3.53131 0 0 666494. 2306.21 0.28 0.06 0.13 -1 -1 0.28 0.0243338 0.0216221 129 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.25 vpr 64.34 MiB -1 -1 0.16 20376 1 0.03 -1 -1 33940 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 29 32 262 224 1 168 82 17 17 289 -1 unnamed_device 25.9 MiB 0.22 859 7024 1599 4884 541 64.3 MiB 0.06 0.00 3.18595 -87.8969 -3.18595 3.18595 0.95 0.000360303 0.000329404 0.0174337 0.0159682 32 1949 21 6.65987e+06 266238 554710. 1919.41 0.92 0.0684797 0.0600822 22834 132086 -1 1722 21 1197 1530 111962 27376 2.99017 2.99017 -102.035 -2.99017 0 0 701300. 2426.64 0.29 0.05 0.14 -1 -1 0.29 0.019018 0.0167953 110 25 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.24 vpr 64.27 MiB -1 -1 0.14 20244 1 0.03 -1 -1 33980 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65816 32 32 278 238 1 149 80 17 17 289 -1 unnamed_device 25.8 MiB 0.21 904 8164 2338 5284 542 64.3 MiB 0.07 0.00 2.78249 -92.7899 -2.78249 2.78249 0.96 0.000450735 0.000407935 0.0226141 0.0207209 30 1851 19 6.65987e+06 202848 526063. 1820.29 0.94 0.0758865 0.0668382 22546 126617 -1 1588 19 930 1571 84649 20404 2.53511 2.53511 -100.702 -2.53511 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0191875 0.017043 109 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.28 vpr 64.59 MiB -1 -1 0.15 20508 1 0.03 -1 -1 33900 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 31 32 373 300 1 181 98 17 17 289 -1 unnamed_device 25.8 MiB 0.24 913 10448 2494 7272 682 64.6 MiB 0.09 0.00 3.33161 -98.3149 -3.33161 3.33161 0.93 0.000533848 0.000484961 0.0263783 0.0239649 32 2016 21 6.65987e+06 443730 554710. 1919.41 0.94 0.090817 0.0795522 22834 132086 -1 1774 19 1329 1967 123892 30649 3.00897 3.00897 -111.425 -3.00897 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0227343 0.0201733 135 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.28 vpr 64.12 MiB -1 -1 0.15 20348 1 0.03 -1 -1 34000 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65656 31 32 265 230 1 162 82 17 17 289 -1 unnamed_device 25.5 MiB 0.17 909 9694 2517 6382 795 64.1 MiB 0.08 0.00 3.0359 -98.1422 -3.0359 3.0359 0.95 0.000377124 0.000344206 0.0243432 0.0223079 32 2142 28 6.65987e+06 240882 554710. 1919.41 0.96 0.0799044 0.0701916 22834 132086 -1 1843 21 1165 1666 138721 31484 3.10177 3.10177 -115.329 -3.10177 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0194101 0.0171812 108 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.41 vpr 64.54 MiB -1 -1 0.16 20152 1 0.03 -1 -1 33588 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66092 32 32 349 286 1 171 95 17 17 289 -1 unnamed_device 26.1 MiB 0.27 864 16727 5149 8695 2883 64.5 MiB 0.13 0.00 2.92995 -93.2427 -2.92995 2.92995 0.94 0.000485189 0.000440803 0.0407628 0.0371042 30 2151 23 6.65987e+06 393018 526063. 1820.29 0.97 0.106032 0.0936902 22546 126617 -1 1653 19 1000 1673 100855 23489 2.50831 2.50831 -99.1796 -2.50831 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0228922 0.0203968 126 54 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 5.19 vpr 64.89 MiB -1 -1 0.17 20560 1 0.03 -1 -1 33964 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 26.6 MiB 0.95 890 13271 3516 8185 1570 64.9 MiB 0.11 0.00 3.3843 -107.194 -3.3843 3.3843 0.97 0.00054903 0.000498907 0.0350977 0.0320093 32 2150 22 6.65987e+06 405696 554710. 1919.41 0.95 0.105679 0.0932179 22834 132086 -1 1866 18 1419 1972 130613 31684 3.34703 3.34703 -128.984 -3.34703 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0235782 0.0210085 138 87 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.35 vpr 64.34 MiB -1 -1 0.15 20084 1 0.03 -1 -1 33492 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 25.8 MiB 0.24 861 10581 2934 6437 1210 64.3 MiB 0.09 0.00 2.54264 -84.4966 -2.54264 2.54264 0.95 0.000463612 0.000421913 0.0292896 0.0267677 32 1979 22 6.65987e+06 215526 554710. 1919.41 0.95 0.0860034 0.0757162 22834 132086 -1 1771 21 997 1569 125092 28487 2.54431 2.54431 -100.228 -2.54431 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0235375 0.0211203 104 54 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.24 vpr 64.45 MiB -1 -1 0.15 20504 1 0.03 -1 -1 33568 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 32 32 290 244 1 175 83 17 17 289 -1 unnamed_device 26.0 MiB 0.14 890 9083 2349 5816 918 64.5 MiB 0.08 0.00 3.26875 -101.502 -3.26875 3.26875 0.95 0.000437044 0.000398745 0.0246679 0.022598 32 2161 22 6.65987e+06 240882 554710. 1919.41 0.98 0.0816358 0.0719839 22834 132086 -1 1809 18 1103 1703 111743 27917 2.94911 2.94911 -111.655 -2.94911 0 0 701300. 2426.64 0.28 0.05 0.13 -1 -1 0.28 0.0187655 0.0167385 115 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.23 vpr 64.75 MiB -1 -1 0.15 20324 1 0.03 -1 -1 33860 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 32 32 318 257 1 194 86 17 17 289 -1 unnamed_device 26.1 MiB 0.13 1061 9347 2357 6088 902 64.8 MiB 0.08 0.00 3.8213 -118.261 -3.8213 3.8213 0.92 0.000494497 0.00045015 0.0246736 0.0225507 32 2531 21 6.65987e+06 278916 554710. 1919.41 0.97 0.0854401 0.0753399 22834 132086 -1 2133 20 1353 1889 136478 32519 3.57451 3.57451 -127.065 -3.57451 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0226061 0.0201142 130 27 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.92 vpr 64.67 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33816 -1 -1 28 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 29 32 324 268 1 168 89 17 17 289 -1 unnamed_device 26.2 MiB 0.44 981 13157 3778 7962 1417 64.7 MiB 0.11 0.00 3.80467 -100.309 -3.80467 3.80467 0.92 0.000476341 0.000434275 0.0340485 0.0311095 26 2253 24 6.65987e+06 354984 477104. 1650.88 1.14 0.100688 0.0891264 21682 110474 -1 1977 55 1457 2431 770367 521843 3.20863 3.20863 -108.873 -3.20863 0 0 585099. 2024.56 0.27 0.32 0.11 -1 -1 0.27 0.052579 0.0461031 121 49 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.79 vpr 64.73 MiB -1 -1 0.17 20488 1 0.03 -1 -1 33444 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 393 312 1 213 87 17 17 289 -1 unnamed_device 26.5 MiB 0.26 1044 10071 2617 6793 661 64.7 MiB 0.10 0.00 3.94486 -124.626 -3.94486 3.94486 0.93 0.000573052 0.000509139 0.032314 0.0295327 28 2657 27 6.65987e+06 291594 500653. 1732.36 1.38 0.119213 0.10549 21970 115934 -1 2217 24 1737 2527 175696 43263 4.01131 4.01131 -146.213 -4.01131 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0304273 0.0269814 153 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.12 vpr 64.27 MiB -1 -1 0.15 20304 1 0.03 -1 -1 33828 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65816 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 25.9 MiB 0.09 786 10581 2950 5553 2078 64.3 MiB 0.08 0.00 2.91224 -81.8808 -2.91224 2.91224 0.96 0.000356027 0.000328008 0.0250697 0.0229976 32 1679 22 6.65987e+06 228204 554710. 1919.41 0.90 0.0724747 0.0640599 22834 132086 -1 1548 22 759 1250 96017 22843 2.71371 2.71371 -95.3408 -2.71371 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0185394 0.0164312 96 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 5.46 vpr 64.80 MiB -1 -1 0.17 20900 1 0.03 -1 -1 33936 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 412 334 1 190 97 17 17 289 -1 unnamed_device 26.4 MiB 0.45 1037 16969 5496 8942 2531 64.8 MiB 0.14 0.00 3.3113 -113.537 -3.3113 3.3113 0.95 0.000546674 0.000496915 0.0451619 0.0411604 26 3010 26 6.65987e+06 418374 477104. 1650.88 1.80 0.129711 0.114823 21682 110474 -1 2241 21 1667 2405 199262 44949 3.84997 3.84997 -140.856 -3.84997 0 0 585099. 2024.56 0.24 0.08 0.11 -1 -1 0.24 0.0271866 0.0240643 144 87 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.34 vpr 64.42 MiB -1 -1 0.16 20856 1 0.03 -1 -1 33780 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 26.0 MiB 0.23 785 10916 2751 7007 1158 64.4 MiB 0.10 0.00 2.8021 -99.434 -2.8021 2.8021 0.93 0.000521123 0.000474815 0.0357967 0.0327375 30 1800 21 6.65987e+06 202848 526063. 1820.29 0.95 0.102985 0.090827 22546 126617 -1 1516 19 1060 1510 91215 21358 2.73157 2.73157 -113.412 -2.73157 0 0 666494. 2306.21 0.28 0.05 0.13 -1 -1 0.28 0.0230495 0.0204792 115 93 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.51 vpr 64.50 MiB -1 -1 0.17 20836 1 0.03 -1 -1 33632 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 32 32 360 293 1 179 95 17 17 289 -1 unnamed_device 26.0 MiB 0.36 1063 16295 4623 9233 2439 64.5 MiB 0.14 0.00 3.33475 -107.709 -3.33475 3.33475 0.95 0.000504758 0.000459006 0.0423548 0.0385998 32 2230 22 6.65987e+06 393018 554710. 1919.41 0.92 0.104728 0.0925388 22834 132086 -1 1974 20 971 1381 93661 22080 3.09131 3.09131 -116.833 -3.09131 0 0 701300. 2426.64 0.28 0.05 0.13 -1 -1 0.28 0.0232514 0.0205965 130 57 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 5.16 vpr 64.68 MiB -1 -1 0.17 20724 1 0.03 -1 -1 33840 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 32 32 396 299 1 236 89 17 17 289 -1 unnamed_device 26.6 MiB 0.35 1370 10781 2624 6881 1276 64.7 MiB 0.11 0.00 5.00309 -153.912 -5.00309 5.00309 0.95 0.000600191 0.000539926 0.0346473 0.0316711 28 3874 35 6.65987e+06 316950 500653. 1732.36 1.58 0.128037 0.113202 21970 115934 -1 2956 21 2020 2842 293492 86152 5.13374 5.13374 -174.614 -5.13374 0 0 612192. 2118.31 0.26 0.11 0.12 -1 -1 0.26 0.0289603 0.0258695 168 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.26 vpr 63.98 MiB -1 -1 0.14 20432 1 0.03 -1 -1 33796 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65520 30 32 224 207 1 137 79 17 17 289 -1 unnamed_device 25.6 MiB 0.18 641 8022 1825 5800 397 64.0 MiB 0.06 0.00 2.57364 -80.2125 -2.57364 2.57364 0.92 0.000368018 0.000337623 0.0191125 0.017552 26 1745 19 6.65987e+06 215526 477104. 1650.88 1.00 0.0667846 0.0587349 21682 110474 -1 1512 30 1095 1427 311848 161084 2.27591 2.27591 -90.5104 -2.27591 0 0 585099. 2024.56 0.25 0.12 0.11 -1 -1 0.25 0.0221014 0.019399 86 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.08 vpr 64.39 MiB -1 -1 0.15 20668 1 0.03 -1 -1 33728 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 25.9 MiB 0.12 633 12694 3970 6865 1859 64.4 MiB 0.09 0.00 3.13515 -90.5807 -3.13515 3.13515 0.91 0.000434484 0.000394483 0.0335396 0.0306454 26 1852 21 6.65987e+06 202848 477104. 1650.88 0.97 0.0910598 0.0805664 21682 110474 -1 1471 21 1021 1603 121671 28936 3.01217 3.01217 -107.3 -3.01217 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0202914 0.0178627 92 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.74 vpr 64.39 MiB -1 -1 0.15 20624 1 0.03 -1 -1 33920 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 26.0 MiB 0.05 702 8269 1694 5881 694 64.4 MiB 0.07 0.00 2.77684 -87.1649 -2.77684 2.77684 0.95 0.000446099 0.000407222 0.0219099 0.0200363 32 2172 23 6.65987e+06 266238 554710. 1919.41 1.44 0.0965145 0.0842277 22834 132086 -1 1776 32 1876 3406 377890 126451 2.79677 2.79677 -107.801 -2.79677 0 0 701300. 2426.64 0.30 0.13 0.13 -1 -1 0.30 0.0292459 0.0256796 115 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 5.39 vpr 63.98 MiB -1 -1 0.13 20420 1 0.03 -1 -1 33788 -1 -1 27 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65512 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 25.6 MiB 0.08 498 12894 4814 5185 2895 64.0 MiB 0.07 0.00 2.55958 -61.0518 -2.55958 2.55958 0.97 0.00034959 0.000313139 0.0260613 0.0236287 30 1378 25 6.65987e+06 342306 526063. 1820.29 2.26 0.115262 0.100028 22546 126617 -1 986 19 588 927 46911 12660 2.55545 2.55545 -69.2049 -2.55545 0 0 666494. 2306.21 0.28 0.04 0.13 -1 -1 0.28 0.0155741 0.0137428 89 19 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.44 vpr 64.87 MiB -1 -1 0.16 20624 1 0.03 -1 -1 33748 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 376 307 1 185 84 17 17 289 -1 unnamed_device 26.2 MiB 0.19 1064 10515 3150 6516 849 64.9 MiB 0.10 0.00 3.13278 -106.341 -3.13278 3.13278 0.94 0.000528579 0.000482227 0.0332842 0.030434 32 2861 21 6.65987e+06 253560 554710. 1919.41 1.03 0.102168 0.0902083 22834 132086 -1 2363 18 1379 2461 181142 42012 3.44705 3.44705 -123.753 -3.44705 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0233111 0.020812 135 69 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.57 vpr 64.86 MiB -1 -1 0.17 20456 1 0.03 -1 -1 33688 -1 -1 33 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 31 32 409 331 1 191 96 17 17 289 -1 unnamed_device 26.4 MiB 0.40 855 9075 1977 6598 500 64.9 MiB 0.09 0.00 3.36335 -108.866 -3.36335 3.36335 0.93 0.000559662 0.000510045 0.0259437 0.0237288 30 2240 27 6.65987e+06 418374 526063. 1820.29 1.01 0.102781 0.0903051 22546 126617 -1 1798 18 1261 1995 101109 25685 3.25131 3.25131 -125.407 -3.25131 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0237785 0.0212159 142 86 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 11.13 vpr 65.60 MiB -1 -1 0.13 20640 1 0.03 -1 -1 33884 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 32 32 354 285 1 193 77 17 17 289 -1 unnamed_device 27.1 MiB 2.50 794 13769 5964 7282 523 65.6 MiB 0.10 0.00 4.4212 -127.216 -4.4212 4.4212 0.94 0.0004706 0.000411351 0.0437768 0.0398244 50 2380 49 6.95648e+06 188184 902133. 3121.57 5.26 0.237279 0.2059 28642 213929 -1 1982 24 1599 2388 216542 48913 4.28446 4.28446 -148.39 -4.28446 0 0 1.08113e+06 3740.92 0.42 0.08 0.20 -1 -1 0.42 0.026762 0.0236942 81 47 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 9.88 vpr 65.46 MiB -1 -1 0.16 20868 1 0.03 -1 -1 33884 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67028 30 32 363 293 1 187 77 17 17 289 -1 unnamed_device 27.0 MiB 2.20 838 13769 5931 7339 499 65.5 MiB 0.11 0.00 3.66177 -114.959 -3.66177 3.66177 0.96 0.000517048 0.000472659 0.0458995 0.0419912 44 2522 21 6.95648e+06 217135 787024. 2723.27 4.26 0.221302 0.193077 27778 195446 -1 1875 25 1694 2450 197759 41407 4.20072 4.20072 -138.821 -4.20072 0 0 997811. 3452.63 0.37 0.08 0.19 -1 -1 0.37 0.0277493 0.0244826 80 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 7.70 vpr 65.20 MiB -1 -1 0.13 20252 1 0.03 -1 -1 33668 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 299 247 1 182 79 17 17 289 -1 unnamed_device 26.8 MiB 1.25 993 14613 6027 7246 1340 65.2 MiB 0.11 0.00 3.10314 -103.161 -3.10314 3.10314 0.98 0.000454182 0.000413445 0.0422283 0.0385209 38 2563 38 6.95648e+06 217135 678818. 2348.85 3.14 0.162071 0.142507 26626 170182 -1 2127 18 1303 1743 139141 29273 3.55442 3.55442 -126.33 -3.55442 0 0 902133. 3121.57 0.36 0.06 0.16 -1 -1 0.36 0.0203915 0.0182234 76 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 6.71 vpr 65.14 MiB -1 -1 0.16 20148 1 0.03 -1 -1 33732 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 29 32 308 248 1 162 80 17 17 289 -1 unnamed_device 26.6 MiB 0.40 698 10056 4228 5390 438 65.1 MiB 0.07 0.00 3.50318 -98.6968 -3.50318 3.50318 0.95 0.000450067 0.000405034 0.0288828 0.0264128 40 2426 24 6.95648e+06 275038 706193. 2443.58 2.91 0.138114 0.121045 26914 176310 -1 1979 29 1917 3152 595108 249059 3.98632 3.98632 -127.213 -3.98632 0 0 926341. 3205.33 0.34 0.20 0.17 -1 -1 0.34 0.0307285 0.0272352 71 25 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 8.06 vpr 65.37 MiB -1 -1 0.14 20156 1 0.03 -1 -1 33756 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 336 268 1 172 80 17 17 289 -1 unnamed_device 27.0 MiB 0.89 764 12120 5015 6641 464 65.4 MiB 0.09 0.00 3.67069 -107.059 -3.67069 3.67069 0.99 0.000506776 0.000461584 0.0379855 0.0347167 46 2503 37 6.95648e+06 231611 828058. 2865.25 3.72 0.181986 0.160682 28066 200906 -1 1731 22 1277 2158 161170 35472 4.21336 4.21336 -129.134 -4.21336 0 0 1.01997e+06 3529.29 0.41 0.07 0.19 -1 -1 0.41 0.0252746 0.0224573 73 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 16.00 vpr 65.22 MiB -1 -1 0.16 20660 1 0.03 -1 -1 33900 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 32 32 366 295 1 182 85 17 17 289 -1 unnamed_device 26.8 MiB 1.07 826 14965 6409 8202 354 65.2 MiB 0.11 0.00 2.5924 -96.4327 -2.5924 2.5924 0.95 0.000503318 0.000457636 0.0441887 0.0403178 40 2591 43 6.95648e+06 303989 706193. 2443.58 11.49 0.293907 0.255016 26914 176310 -1 2053 36 1939 3091 426598 172026 3.14017 3.14017 -121.703 -3.14017 0 0 926341. 3205.33 0.36 0.17 0.18 -1 -1 0.36 0.0393275 0.0346844 79 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 12.47 vpr 64.96 MiB -1 -1 0.16 20308 1 0.03 -1 -1 34276 -1 -1 13 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 27 32 259 221 1 125 72 17 17 289 -1 unnamed_device 26.6 MiB 5.08 521 8863 3662 4622 579 65.0 MiB 0.06 0.00 2.92458 -77.4796 -2.92458 2.92458 0.97 0.000404213 0.000358255 0.0255304 0.0233321 40 1431 19 6.95648e+06 188184 706193. 2443.58 4.15 0.141794 0.122668 26914 176310 -1 1223 18 777 1182 98083 22868 3.23832 3.23832 -95.7817 -3.23832 0 0 926341. 3205.33 0.34 0.05 0.17 -1 -1 0.34 0.0177302 0.0157262 52 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 14.91 vpr 65.14 MiB -1 -1 0.15 20336 1 0.03 -1 -1 33548 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 26.5 MiB 0.44 679 12373 3541 6497 2335 65.1 MiB 0.09 0.00 2.5154 -76.9069 -2.5154 2.5154 0.97 0.000426909 0.000380829 0.0293434 0.0266836 38 2129 27 6.95648e+06 361892 678818. 2348.85 11.20 0.217711 0.189218 26626 170182 -1 1602 20 1079 1741 124483 29578 2.78157 2.78157 -97.4815 -2.78157 0 0 902133. 3121.57 0.32 0.05 0.16 -1 -1 0.32 0.0193917 0.0172093 69 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 8.44 vpr 65.22 MiB -1 -1 0.16 20236 1 0.03 -1 -1 34096 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 31 32 317 271 1 163 74 17 17 289 -1 unnamed_device 26.7 MiB 2.14 653 8909 3566 4813 530 65.2 MiB 0.07 0.00 2.76819 -94.7229 -2.76819 2.76819 0.97 0.000481802 0.000442531 0.0284399 0.0260421 38 2425 33 6.95648e+06 159232 678818. 2348.85 3.02 0.139085 0.121231 26626 170182 -1 1651 19 1226 1702 135152 31025 3.72776 3.72776 -129.031 -3.72776 0 0 902133. 3121.57 0.33 0.06 0.17 -1 -1 0.33 0.0205549 0.0182558 66 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 7.53 vpr 65.01 MiB -1 -1 0.15 20212 1 0.03 -1 -1 33988 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 298 248 1 150 74 17 17 289 -1 unnamed_device 26.5 MiB 1.10 628 8444 3417 4796 231 65.0 MiB 0.06 0.00 2.66488 -91.5447 -2.66488 2.66488 0.97 0.000458397 0.000417955 0.0269319 0.02463 36 2263 49 6.95648e+06 144757 648988. 2245.63 3.18 0.131365 0.115014 26050 158493 -1 1573 19 1231 1729 155471 35010 3.57582 3.57582 -125.068 -3.57582 0 0 828058. 2865.25 0.32 0.06 0.16 -1 -1 0.32 0.0185691 0.0165129 59 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 7.27 vpr 65.15 MiB -1 -1 0.16 20352 1 0.03 -1 -1 33688 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 30 32 303 262 1 137 74 17 17 289 -1 unnamed_device 26.7 MiB 1.91 505 10614 4449 5703 462 65.1 MiB 0.07 0.00 2.79013 -84.2419 -2.79013 2.79013 0.97 0.000438872 0.000399525 0.0325983 0.029792 38 1858 45 6.95648e+06 173708 678818. 2348.85 2.06 0.131292 0.114781 26626 170182 -1 1376 20 1073 1445 141367 38659 3.20917 3.20917 -108.45 -3.20917 0 0 902133. 3121.57 0.34 0.06 0.17 -1 -1 0.34 0.0201459 0.0178425 55 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 8.65 vpr 65.12 MiB -1 -1 0.15 20228 1 0.03 -1 -1 33564 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 276 237 1 160 74 17 17 289 -1 unnamed_device 26.6 MiB 1.56 619 11079 4601 6102 376 65.1 MiB 0.08 0.00 2.85923 -96.1121 -2.85923 2.85923 0.94 0.000429292 0.000392028 0.0343274 0.031326 46 2260 36 6.95648e+06 144757 828058. 2865.25 3.77 0.146396 0.128657 28066 200906 -1 1465 19 1136 1469 126818 31031 3.30627 3.30627 -124.308 -3.30627 0 0 1.01997e+06 3529.29 0.39 0.06 0.20 -1 -1 0.39 0.0188916 0.0168114 62 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 7.90 vpr 65.32 MiB -1 -1 0.15 20776 1 0.03 -1 -1 33484 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 344 272 1 194 79 17 17 289 -1 unnamed_device 26.9 MiB 1.92 1075 12585 4301 6481 1803 65.3 MiB 0.10 0.00 3.17248 -111.603 -3.17248 3.17248 0.96 0.00049314 0.000448637 0.0396833 0.0362493 38 3010 40 6.95648e+06 217135 678818. 2348.85 2.62 0.14582 0.128134 26626 170182 -1 2441 22 1879 2804 262383 52090 3.61152 3.61152 -135.873 -3.61152 0 0 902133. 3121.57 0.33 0.09 0.17 -1 -1 0.33 0.0256541 0.022832 83 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 9.32 vpr 65.52 MiB -1 -1 0.15 20444 1 0.03 -1 -1 34004 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67092 32 32 363 295 1 174 86 17 17 289 -1 unnamed_device 27.1 MiB 0.90 788 9347 3776 5294 277 65.5 MiB 0.07 0.00 3.72883 -113.103 -3.72883 3.72883 0.99 0.000521208 0.00047205 0.0285984 0.0261171 38 2650 35 6.95648e+06 318465 678818. 2348.85 5.06 0.16039 0.139822 26626 170182 -1 1941 26 2096 3067 296665 60656 4.28526 4.28526 -145.804 -4.28526 0 0 902133. 3121.57 0.34 0.10 0.17 -1 -1 0.34 0.0292375 0.025807 75 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 7.13 vpr 64.79 MiB -1 -1 0.14 20508 1 0.03 -1 -1 33752 -1 -1 13 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 29 32 248 215 1 136 74 17 17 289 -1 unnamed_device 26.2 MiB 1.44 641 8444 3506 4526 412 64.8 MiB 0.06 0.00 2.60155 -73.105 -2.60155 2.60155 0.98 0.000387373 0.000354551 0.0231246 0.0212285 38 1879 30 6.95648e+06 188184 678818. 2348.85 2.45 0.113659 0.0991011 26626 170182 -1 1501 19 1034 1610 128964 28168 3.29667 3.29667 -100.408 -3.29667 0 0 902133. 3121.57 0.33 0.05 0.17 -1 -1 0.33 0.0169133 0.0150025 55 21 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 10.16 vpr 65.56 MiB -1 -1 0.17 20416 1 0.03 -1 -1 33804 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 370 297 1 180 81 17 17 289 -1 unnamed_device 27.1 MiB 1.16 766 10931 4537 5938 456 65.6 MiB 0.08 0.00 2.6254 -93.1189 -2.6254 2.6254 0.92 0.000464133 0.000422469 0.0346938 0.0315493 54 2135 26 6.95648e+06 246087 949917. 3286.91 5.59 0.22774 0.197204 29506 232905 -1 1659 24 1512 2392 191636 42784 3.34557 3.34557 -119.202 -3.34557 0 0 1.17392e+06 4061.99 0.44 0.08 0.22 -1 -1 0.44 0.0265742 0.0234478 76 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 9.48 vpr 65.39 MiB -1 -1 0.15 20728 1 0.03 -1 -1 33948 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 338 269 1 190 78 17 17 289 -1 unnamed_device 27.0 MiB 2.04 824 12528 4284 6507 1737 65.4 MiB 0.10 0.00 3.53151 -112.935 -3.53151 3.53151 0.98 0.000507174 0.000461411 0.0390838 0.0357214 46 2314 23 6.95648e+06 202660 828058. 2865.25 3.98 0.196619 0.170741 28066 200906 -1 1871 20 1263 1710 122870 27094 3.51292 3.51292 -128.509 -3.51292 0 0 1.01997e+06 3529.29 0.41 0.06 0.20 -1 -1 0.41 0.0237348 0.0211709 79 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 8.62 vpr 65.24 MiB -1 -1 0.16 20140 1 0.03 -1 -1 33616 -1 -1 9 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 323 276 1 148 73 17 17 289 -1 unnamed_device 26.7 MiB 0.80 926 9193 2920 5081 1192 65.2 MiB 0.07 0.00 1.91376 -83.6912 -1.91376 1.91376 0.97 0.000472976 0.000432358 0.0305187 0.0278948 36 2347 37 6.95648e+06 130281 648988. 2245.63 4.52 0.149235 0.130485 26050 158493 -1 2008 22 1471 2160 227557 43353 2.40078 2.40078 -109.444 -2.40078 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0229832 0.0203325 57 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 6.62 vpr 64.64 MiB -1 -1 0.14 20252 1 0.03 -1 -1 33796 -1 -1 9 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 30 32 222 206 1 116 71 17 17 289 -1 unnamed_device 26.3 MiB 0.40 463 7955 3253 4430 272 64.6 MiB 0.05 0.00 1.85256 -62.2361 -1.85256 1.85256 0.97 0.000359771 0.000328963 0.0211947 0.0194456 38 1373 29 6.95648e+06 130281 678818. 2348.85 3.02 0.109143 0.0952563 26626 170182 -1 1046 18 746 948 90136 20518 2.01528 2.01528 -82.1564 -2.01528 0 0 902133. 3121.57 0.34 0.04 0.16 -1 -1 0.34 0.0151566 0.0134543 43 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 10.44 vpr 65.07 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33932 -1 -1 12 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 291 243 1 169 75 17 17 289 -1 unnamed_device 26.5 MiB 2.44 903 9397 3000 5648 749 65.1 MiB 0.07 0.00 3.36378 -112.731 -3.36378 3.36378 0.98 0.000473752 0.00039272 0.0282789 0.0257835 40 2211 21 6.95648e+06 173708 706193. 2443.58 4.66 0.187059 0.161981 26914 176310 -1 2012 22 1671 2228 251175 49620 4.04142 4.04142 -147.408 -4.04142 0 0 926341. 3205.33 0.36 0.08 0.18 -1 -1 0.36 0.0221865 0.0197036 69 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 6.58 vpr 65.05 MiB -1 -1 0.15 20320 1 0.03 -1 -1 33812 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 342 271 1 173 84 17 17 289 -1 unnamed_device 26.7 MiB 0.76 702 13992 5276 6845 1871 65.0 MiB 0.10 0.00 3.07689 -100.888 -3.07689 3.07689 0.95 0.000499715 0.000454666 0.0403596 0.0368346 38 2292 45 6.95648e+06 289514 678818. 2348.85 2.52 0.15422 0.13555 26626 170182 -1 1828 20 1472 2081 189564 41811 3.80786 3.80786 -132.546 -3.80786 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0245464 0.0217133 75 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 11.18 vpr 65.69 MiB -1 -1 0.17 20512 1 0.03 -1 -1 33988 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67268 32 32 372 300 1 197 78 17 17 289 -1 unnamed_device 27.2 MiB 1.49 847 14022 4952 6952 2118 65.7 MiB 0.12 0.00 3.8447 -111.917 -3.8447 3.8447 0.95 0.000517773 0.000471744 0.0471829 0.04308 52 2731 43 6.95648e+06 202660 926341. 3205.33 6.14 0.247696 0.215696 29218 227130 -1 1723 22 1394 2268 215347 46723 4.00871 4.00871 -128.356 -4.00871 0 0 1.14541e+06 3963.36 0.46 0.08 0.22 -1 -1 0.46 0.0271165 0.0241094 82 59 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 5.76 vpr 64.55 MiB -1 -1 0.14 19980 1 0.03 -1 -1 34272 -1 -1 13 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 26 32 190 182 1 104 71 17 17 289 -1 unnamed_device 26.2 MiB 0.98 313 9999 4244 5041 714 64.6 MiB 0.06 0.00 1.86056 -53.5017 -1.86056 1.86056 0.97 0.000308078 0.000280698 0.0226371 0.0206898 36 1167 33 6.95648e+06 188184 648988. 2245.63 1.62 0.085358 0.0743488 26050 158493 -1 717 15 484 565 36435 9946 2.09953 2.09953 -66.4574 -2.09953 0 0 828058. 2865.25 0.34 0.03 0.15 -1 -1 0.34 0.0120435 0.0107537 44 21 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 9.14 vpr 64.97 MiB -1 -1 0.15 20504 1 0.03 -1 -1 33480 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 32 32 285 227 1 161 79 17 17 289 -1 unnamed_device 26.5 MiB 0.87 660 8698 2943 3730 2025 65.0 MiB 0.06 0.00 3.81446 -97.2097 -3.81446 3.81446 0.98 0.000434623 0.000395789 0.0257661 0.023608 46 1880 23 6.95648e+06 217135 828058. 2865.25 4.91 0.172079 0.14926 28066 200906 -1 1295 20 1056 1662 102430 27110 3.64356 3.64356 -117.581 -3.64356 0 0 1.01997e+06 3529.29 0.39 0.05 0.20 -1 -1 0.39 0.0206895 0.0184295 66 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 5.33 vpr 64.46 MiB -1 -1 0.13 19984 1 0.02 -1 -1 33272 -1 -1 8 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 26.1 MiB 0.32 371 9012 3687 5059 266 64.5 MiB 0.05 0.00 1.77736 -57.0815 -1.77736 1.77736 0.97 0.000306935 0.000279288 0.0193861 0.0176394 36 1196 24 6.95648e+06 115805 648988. 2245.63 1.83 0.0865909 0.0752303 26050 158493 -1 965 19 635 746 66621 16667 2.12768 2.12768 -75.6593 -2.12768 0 0 828058. 2865.25 0.34 0.04 0.16 -1 -1 0.34 0.0135672 0.0120084 42 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 9.32 vpr 65.32 MiB -1 -1 0.13 20188 1 0.03 -1 -1 33712 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 32 32 300 245 1 165 79 17 17 289 -1 unnamed_device 26.8 MiB 1.04 957 14444 5407 7273 1764 65.3 MiB 0.10 0.00 3.51735 -103.645 -3.51735 3.51735 0.94 0.000421083 0.000381973 0.0385412 0.035078 36 2578 35 6.95648e+06 217135 648988. 2245.63 5.10 0.156863 0.137597 26050 158493 -1 2172 20 1255 2034 205066 40919 4.04606 4.04606 -133.369 -4.04606 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0209366 0.0185979 68 21 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 13.07 vpr 65.23 MiB -1 -1 0.15 20188 1 0.03 -1 -1 33896 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 26.6 MiB 0.60 712 11803 4315 5662 1826 65.2 MiB 0.09 0.00 2.4561 -81.7184 -2.4561 2.4561 0.98 0.000463287 0.000421799 0.0333699 0.0304764 40 2401 26 6.95648e+06 303989 706193. 2443.58 9.10 0.241946 0.210409 26914 176310 -1 1886 22 1422 2203 180564 42793 3.42672 3.42672 -118.778 -3.42672 0 0 926341. 3205.33 0.36 0.07 0.17 -1 -1 0.36 0.0233153 0.0207884 74 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 6.28 vpr 65.02 MiB -1 -1 0.16 20524 1 0.03 -1 -1 33892 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 338 277 1 172 83 17 17 289 -1 unnamed_device 26.7 MiB 0.80 829 15203 6556 8070 577 65.0 MiB 0.10 0.00 3.60953 -107.489 -3.60953 3.60953 0.97 0.000486984 0.00044876 0.0411199 0.0375504 44 2649 25 6.95648e+06 275038 787024. 2723.27 2.10 0.13202 0.116213 27778 195446 -1 1882 24 1338 2163 162562 36439 3.83996 3.83996 -130.343 -3.83996 0 0 997811. 3452.63 0.37 0.07 0.19 -1 -1 0.37 0.0259367 0.0229019 72 47 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 14.56 vpr 65.04 MiB -1 -1 0.14 20380 1 0.03 -1 -1 33276 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 32 32 284 241 1 141 74 17 17 289 -1 unnamed_device 26.7 MiB 1.04 564 11234 4780 6078 376 65.0 MiB 0.08 0.00 2.58755 -78.0095 -2.58755 2.58755 0.95 0.000424735 0.000387286 0.0331294 0.0302328 40 1947 36 6.95648e+06 144757 706193. 2443.58 10.25 0.251038 0.217368 26914 176310 -1 1546 22 1055 1615 151532 36771 3.20922 3.20922 -111.109 -3.20922 0 0 926341. 3205.33 0.37 0.06 0.17 -1 -1 0.37 0.0212324 0.0187363 55 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 10.44 vpr 64.81 MiB -1 -1 0.15 20256 1 0.03 -1 -1 33764 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 30 32 262 227 1 134 80 17 17 289 -1 unnamed_device 26.4 MiB 0.23 509 10400 3286 5020 2094 64.8 MiB 0.06 0.00 2.86043 -79.3085 -2.86043 2.86043 1.00 0.000397255 0.000360757 0.026241 0.0238674 40 1441 50 6.95648e+06 260562 706193. 2443.58 6.97 0.1989 0.171875 26914 176310 -1 1069 18 731 1061 82988 21515 3.02112 3.02112 -94.6201 -3.02112 0 0 926341. 3205.33 0.34 0.04 0.17 -1 -1 0.34 0.016971 0.015108 57 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 6.16 vpr 64.86 MiB -1 -1 0.15 20324 1 0.03 -1 -1 33768 -1 -1 16 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 28 32 260 223 1 135 76 17 17 289 -1 unnamed_device 26.5 MiB 0.54 454 11276 4681 5830 765 64.9 MiB 0.07 0.00 2.5594 -74.4713 -2.5594 2.5594 0.97 0.000401936 0.000365926 0.030059 0.0274874 44 1821 47 6.95648e+06 231611 787024. 2723.27 2.28 0.132774 0.115664 27778 195446 -1 1217 20 978 1525 118651 30159 3.21822 3.21822 -95.2024 -3.21822 0 0 997811. 3452.63 0.38 0.05 0.19 -1 -1 0.38 0.0188364 0.0166608 57 27 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 6.52 vpr 64.62 MiB -1 -1 0.14 20368 1 0.03 -1 -1 33588 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 26.3 MiB 0.50 547 8444 3421 4696 327 64.6 MiB 0.06 0.00 2.79923 -88.9447 -2.79923 2.79923 0.98 0.000412087 0.000375841 0.0243982 0.022351 44 1914 44 6.95648e+06 144757 787024. 2723.27 2.73 0.134829 0.118168 27778 195446 -1 1323 21 1151 1618 111498 27796 3.28452 3.28452 -112.684 -3.28452 0 0 997811. 3452.63 0.38 0.05 0.19 -1 -1 0.38 0.0188539 0.0167166 58 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 8.25 vpr 64.97 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33792 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 31 32 271 231 1 143 82 17 17 289 -1 unnamed_device 26.5 MiB 0.43 621 10050 4109 5619 322 65.0 MiB 0.07 0.00 2.57678 -82.6789 -2.57678 2.57678 0.97 0.000422352 0.000386302 0.0259182 0.0236376 38 2102 24 6.95648e+06 275038 678818. 2348.85 4.57 0.160271 0.139112 26626 170182 -1 1577 21 1134 1779 144546 31468 2.88962 2.88962 -105.428 -2.88962 0 0 902133. 3121.57 0.34 0.06 0.17 -1 -1 0.34 0.01916 0.016964 61 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 11.94 vpr 65.10 MiB -1 -1 0.16 20316 1 0.03 -1 -1 33696 -1 -1 12 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 29 32 291 250 1 148 73 17 17 289 -1 unnamed_device 26.6 MiB 1.26 651 12993 5578 6861 554 65.1 MiB 0.09 0.00 2.4721 -85.1338 -2.4721 2.4721 0.96 0.000424824 0.000389082 0.0385435 0.0352162 36 2254 46 6.95648e+06 173708 648988. 2245.63 7.40 0.218984 0.190705 26050 158493 -1 1822 30 1366 1863 238530 70616 2.71907 2.71907 -107.62 -2.71907 0 0 828058. 2865.25 0.32 0.09 0.15 -1 -1 0.32 0.0259085 0.022651 61 48 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 9.27 vpr 65.44 MiB -1 -1 0.16 20720 1 0.03 -1 -1 33860 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 367 282 1 193 85 17 17 289 -1 unnamed_device 26.9 MiB 0.91 809 13849 5162 6254 2433 65.4 MiB 0.10 0.00 3.52658 -102.24 -3.52658 3.52658 0.99 0.000532656 0.000484143 0.0426994 0.0390001 50 2268 26 6.95648e+06 303989 902133. 3121.57 4.83 0.230955 0.201681 28642 213929 -1 1813 19 1427 2316 162453 37057 3.56171 3.56171 -117.372 -3.56171 0 0 1.08113e+06 3740.92 0.42 0.07 0.21 -1 -1 0.42 0.0249768 0.0223584 84 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 9.41 vpr 65.53 MiB -1 -1 0.16 20684 1 0.03 -1 -1 33876 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 32 32 391 311 1 184 88 17 17 289 -1 unnamed_device 27.0 MiB 1.05 750 13933 5829 7615 489 65.5 MiB 0.10 0.00 2.75098 -96.7793 -2.75098 2.75098 0.98 0.000491764 0.000439809 0.0411004 0.0372776 42 2600 41 6.95648e+06 347416 744469. 2576.02 4.91 0.24199 0.210206 27202 183097 -1 1924 20 1725 2414 213802 47868 3.20427 3.20427 -123.736 -3.20427 0 0 949917. 3286.91 0.38 0.08 0.18 -1 -1 0.38 0.0255907 0.0227635 82 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 8.86 vpr 65.26 MiB -1 -1 0.15 20504 1 0.03 -1 -1 34060 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 31 32 279 237 1 153 74 17 17 289 -1 unnamed_device 26.8 MiB 2.07 804 9374 2347 6688 339 65.3 MiB 0.07 0.00 3.28867 -109.886 -3.28867 3.28867 0.97 0.000445603 0.00040286 0.0282137 0.0258234 36 2301 38 6.95648e+06 159232 648988. 2245.63 3.49 0.138221 0.12089 26050 158493 -1 1992 24 1473 2067 217947 42864 3.56322 3.56322 -133.653 -3.56322 0 0 828058. 2865.25 0.33 0.08 0.16 -1 -1 0.33 0.0229129 0.0203006 63 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 10.11 vpr 65.41 MiB -1 -1 0.14 20484 1 0.03 -1 -1 33804 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 31 32 370 297 1 179 79 17 17 289 -1 unnamed_device 27.0 MiB 0.82 782 13430 5739 6985 706 65.4 MiB 0.09 0.00 3.10309 -100.182 -3.10309 3.10309 0.94 0.000545552 0.000487132 0.0418968 0.0381711 60 1940 25 6.95648e+06 231611 1.01997e+06 3529.29 5.80 0.234952 0.204053 30658 258169 -1 1506 19 1344 1996 140440 32544 3.12302 3.12302 -113.044 -3.12302 0 0 1.27783e+06 4421.56 0.49 0.06 0.25 -1 -1 0.49 0.0226714 0.0201468 76 57 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 11.31 vpr 65.53 MiB -1 -1 0.17 20780 1 0.03 -1 -1 33884 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 31 32 377 302 1 225 79 17 17 289 -1 unnamed_device 27.2 MiB 2.32 1038 12585 5323 6818 444 65.5 MiB 0.10 0.00 4.49406 -141.673 -4.49406 4.49406 0.94 0.000520332 0.000474431 0.0421923 0.0385528 48 3015 33 6.95648e+06 231611 865456. 2994.66 5.53 0.24075 0.209642 28354 207349 -1 2280 22 2065 2979 302785 61010 5.1637 5.1637 -174.669 -5.1637 0 0 1.05005e+06 3633.38 0.39 0.10 0.20 -1 -1 0.39 0.0271418 0.0240876 97 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 11.85 vpr 65.33 MiB -1 -1 0.15 20452 1 0.03 -1 -1 33872 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 31 32 383 305 1 204 79 17 17 289 -1 unnamed_device 26.9 MiB 2.78 864 15120 6584 7985 551 65.3 MiB 0.12 0.00 3.71649 -118.457 -3.71649 3.71649 0.96 0.000525609 0.000478074 0.0508796 0.0464207 48 2609 24 6.95648e+06 231611 865456. 2994.66 5.54 0.255012 0.222548 28354 207349 -1 2114 26 1979 2911 354200 74380 4.63821 4.63821 -154.9 -4.63821 0 0 1.05005e+06 3633.38 0.42 0.11 0.21 -1 -1 0.42 0.0301689 0.0267059 88 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 6.81 vpr 65.30 MiB -1 -1 0.16 20576 1 0.03 -1 -1 33704 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 31 32 352 285 1 177 85 17 17 289 -1 unnamed_device 26.9 MiB 1.18 785 14965 5244 7173 2548 65.3 MiB 0.11 0.00 3.35282 -107.681 -3.35282 3.35282 0.99 0.000528523 0.000476645 0.0445478 0.0407051 44 2365 27 6.95648e+06 318465 787024. 2723.27 2.20 0.142389 0.125092 27778 195446 -1 1638 19 1304 1961 134401 30273 3.45086 3.45086 -122.807 -3.45086 0 0 997811. 3452.63 0.39 0.06 0.19 -1 -1 0.39 0.0235304 0.0210313 78 51 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 20.04 vpr 65.16 MiB -1 -1 0.15 20476 1 0.03 -1 -1 33664 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 32 32 291 242 1 173 78 17 17 289 -1 unnamed_device 26.6 MiB 1.38 790 12362 4384 6114 1864 65.2 MiB 0.12 0.00 3.40898 -95.5313 -3.40898 3.40898 1.06 0.000736927 0.00057654 0.0445072 0.041169 38 2791 44 6.95648e+06 202660 678818. 2348.85 15.24 0.259971 0.227422 26626 170182 -1 1878 18 1314 1854 154166 32818 3.96442 3.96442 -124.843 -3.96442 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0189356 0.0168724 71 24 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 10.47 vpr 65.84 MiB -1 -1 0.18 20524 1 0.03 -1 -1 34036 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67416 32 32 457 356 1 214 86 17 17 289 -1 unnamed_device 27.5 MiB 1.61 906 16718 5469 8712 2537 65.8 MiB 0.14 0.00 3.98456 -128.516 -3.98456 3.98456 0.98 0.000628934 0.000571519 0.0571505 0.0520219 48 2589 24 6.95648e+06 318465 865456. 2994.66 5.28 0.268919 0.234261 28354 207349 -1 2139 23 1907 2736 233221 51564 4.59581 4.59581 -157.611 -4.59581 0 0 1.05005e+06 3633.38 0.42 0.09 0.20 -1 -1 0.42 0.0317073 0.0281286 93 84 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 8.38 vpr 64.94 MiB -1 -1 0.14 20332 1 0.03 -1 -1 33780 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 261 225 1 137 78 17 17 289 -1 unnamed_device 26.6 MiB 0.85 472 10868 3817 4451 2600 64.9 MiB 0.07 0.00 2.86325 -81.3593 -2.86325 2.86325 0.97 0.000406142 0.000370767 0.0284063 0.0258928 42 1565 29 6.95648e+06 217135 744469. 2576.02 4.24 0.165464 0.142801 27202 183097 -1 1250 21 1086 1502 101697 26693 3.27457 3.27457 -104.551 -3.27457 0 0 949917. 3286.91 0.35 0.05 0.18 -1 -1 0.35 0.0193581 0.0171352 56 24 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 8.03 vpr 65.55 MiB -1 -1 0.16 20652 1 0.03 -1 -1 33824 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67124 31 32 337 267 1 199 78 17 17 289 -1 unnamed_device 27.1 MiB 1.44 931 13856 5963 7458 435 65.6 MiB 0.10 0.00 3.94062 -123.085 -3.94062 3.94062 1.00 0.000492696 0.000447288 0.042564 0.038899 44 2865 30 6.95648e+06 217135 787024. 2723.27 3.10 0.160586 0.141404 27778 195446 -1 2151 21 1717 2432 235220 54615 4.27056 4.27056 -146.596 -4.27056 0 0 997811. 3452.63 0.41 0.09 0.19 -1 -1 0.41 0.0253413 0.0226166 84 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 9.61 vpr 65.39 MiB -1 -1 0.15 20464 1 0.03 -1 -1 33628 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 349 284 1 175 81 17 17 289 -1 unnamed_device 27.0 MiB 1.15 873 15656 6805 8467 384 65.4 MiB 0.12 0.00 2.70675 -94.7044 -2.70675 2.70675 0.95 0.000487281 0.000439552 0.0465217 0.0424162 38 2704 24 6.95648e+06 246087 678818. 2348.85 5.11 0.16895 0.148666 26626 170182 -1 2099 22 1584 2615 237720 50064 3.50802 3.50802 -124.242 -3.50802 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0254368 0.0225343 73 50 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 9.64 vpr 65.01 MiB -1 -1 0.14 20184 1 0.03 -1 -1 33696 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 26.5 MiB 1.11 702 9368 3293 4591 1484 65.0 MiB 0.07 0.00 3.85208 -99.8778 -3.85208 3.85208 0.96 0.00045477 0.000414062 0.0260552 0.0237823 46 2124 25 6.95648e+06 231611 828058. 2865.25 5.18 0.185079 0.160924 28066 200906 -1 1657 24 1225 2226 209586 45242 4.15276 4.15276 -129.248 -4.15276 0 0 1.01997e+06 3529.29 0.41 0.08 0.20 -1 -1 0.41 0.0244302 0.0216721 68 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 8.24 vpr 65.27 MiB -1 -1 0.16 20592 1 0.03 -1 -1 33816 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 353 287 1 185 78 17 17 289 -1 unnamed_device 26.8 MiB 2.86 810 11698 4533 5470 1695 65.3 MiB 0.10 0.00 3.53145 -110.258 -3.53145 3.53145 0.97 0.000516761 0.000474422 0.0393386 0.0359738 42 2739 33 6.95648e+06 202660 744469. 2576.02 2.00 0.144233 0.1267 27202 183097 -1 1966 21 1407 1918 141350 33085 3.88796 3.88796 -135.9 -3.88796 0 0 949917. 3286.91 0.37 0.07 0.18 -1 -1 0.37 0.0251103 0.0223911 78 52 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 9.86 vpr 65.25 MiB -1 -1 0.15 20568 1 0.03 -1 -1 33932 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 361 291 1 179 81 17 17 289 -1 unnamed_device 26.9 MiB 1.95 761 10756 3778 5366 1612 65.2 MiB 0.09 0.00 2.6818 -92.9296 -2.6818 2.6818 0.99 0.000497492 0.00045735 0.0348214 0.0318643 44 2467 49 6.95648e+06 246087 787024. 2723.27 4.49 0.227184 0.197746 27778 195446 -1 1805 19 1432 2172 163053 36151 3.05087 3.05087 -116.896 -3.05087 0 0 997811. 3452.63 0.38 0.07 0.19 -1 -1 0.38 0.0236081 0.0210115 75 52 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 9.39 vpr 65.47 MiB -1 -1 0.16 20368 1 0.03 -1 -1 33952 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67040 32 32 382 305 1 184 90 17 17 289 -1 unnamed_device 26.9 MiB 0.91 912 16572 6299 9066 1207 65.5 MiB 0.12 0.00 3.54708 -116.83 -3.54708 3.54708 0.93 0.000489678 0.00044798 0.04583 0.0419327 48 2233 24 6.95648e+06 376368 865456. 2994.66 5.11 0.249736 0.218215 28354 207349 -1 1949 22 1429 2075 161056 33968 3.88796 3.88796 -133.727 -3.88796 0 0 1.05005e+06 3633.38 0.39 0.07 0.21 -1 -1 0.39 0.0248881 0.0220376 83 59 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 7.22 vpr 64.89 MiB -1 -1 0.16 20276 1 0.03 -1 -1 33740 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 306 248 1 164 86 17 17 289 -1 unnamed_device 26.3 MiB 1.20 688 12371 4121 5342 2908 64.9 MiB 0.08 0.00 3.63883 -96.8071 -3.63883 3.63883 0.98 0.000487628 0.000445117 0.033499 0.0307137 44 2538 39 6.95648e+06 318465 787024. 2723.27 2.64 0.154739 0.13574 27778 195446 -1 1713 20 1241 1970 139942 33917 4.17566 4.17566 -127.514 -4.17566 0 0 997811. 3452.63 0.37 0.06 0.19 -1 -1 0.37 0.020887 0.0185394 69 21 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 9.35 vpr 65.24 MiB -1 -1 0.14 20324 1 0.03 -1 -1 33796 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 319 257 1 191 77 17 17 289 -1 unnamed_device 26.8 MiB 2.85 788 9694 3739 5087 868 65.2 MiB 0.08 0.00 3.53127 -106.029 -3.53127 3.53127 0.99 0.000474586 0.000431663 0.031266 0.028616 40 2611 36 6.95648e+06 188184 706193. 2443.58 3.13 0.165277 0.145656 26914 176310 -1 1969 22 1793 2390 204169 47857 4.04136 4.04136 -138.051 -4.04136 0 0 926341. 3205.33 0.36 0.08 0.17 -1 -1 0.36 0.0247929 0.0220735 79 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 9.43 vpr 65.67 MiB -1 -1 0.17 20844 1 0.03 -1 -1 33932 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 31 32 373 299 1 194 78 17 17 289 -1 unnamed_device 27.1 MiB 1.63 861 10702 4450 5852 400 65.7 MiB 0.09 0.00 3.78527 -117.676 -3.78527 3.78527 0.97 0.000535914 0.000490195 0.0369049 0.0337743 38 3663 42 6.95648e+06 217135 678818. 2348.85 4.40 0.16212 0.142166 26626 170182 -1 2452 23 2039 3259 315255 74618 4.29001 4.29001 -143.634 -4.29001 0 0 902133. 3121.57 0.34 0.11 0.17 -1 -1 0.34 0.0287438 0.0255502 85 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 9.76 vpr 65.38 MiB -1 -1 0.15 20708 1 0.03 -1 -1 33744 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 32 32 387 315 1 182 77 17 17 289 -1 unnamed_device 27.0 MiB 2.64 833 11813 4936 6458 419 65.4 MiB 0.09 0.00 3.495 -109.002 -3.495 3.495 0.95 0.000515743 0.000467233 0.0408635 0.0372829 46 3173 37 6.95648e+06 188184 828058. 2865.25 3.69 0.181158 0.15887 28066 200906 -1 2270 22 1492 2505 208634 47492 4.53476 4.53476 -146.718 -4.53476 0 0 1.01997e+06 3529.29 0.38 0.08 0.19 -1 -1 0.38 0.0279757 0.0248796 76 74 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 8.14 vpr 64.89 MiB -1 -1 0.14 20088 1 0.03 -1 -1 33444 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 251 219 1 136 82 17 17 289 -1 unnamed_device 26.5 MiB 0.28 615 12898 5353 7173 372 64.9 MiB 0.08 0.00 2.50468 -77.473 -2.50468 2.50468 0.99 0.000412211 0.000376122 0.0315924 0.0288437 40 1747 23 6.95648e+06 260562 706193. 2443.58 4.52 0.168092 0.14609 26914 176310 -1 1487 23 1091 1636 150701 33134 3.09017 3.09017 -104.334 -3.09017 0 0 926341. 3205.33 0.36 0.06 0.17 -1 -1 0.36 0.0203771 0.018051 57 20 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 9.17 vpr 65.22 MiB -1 -1 0.16 20724 1 0.03 -1 -1 33648 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 32 32 341 285 1 181 76 17 17 289 -1 unnamed_device 26.8 MiB 1.58 697 9516 3957 5288 271 65.2 MiB 0.07 0.00 3.24955 -114.323 -3.24955 3.24955 0.95 0.000488909 0.000444318 0.0310245 0.0283408 40 2578 33 6.95648e+06 173708 706193. 2443.58 4.16 0.157174 0.137474 26914 176310 -1 2134 43 2546 3699 567021 191460 3.81722 3.81722 -145.057 -3.81722 0 0 926341. 3205.33 0.36 0.20 0.17 -1 -1 0.36 0.0425848 0.0373525 76 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 11.42 vpr 65.62 MiB -1 -1 0.15 20556 1 0.03 -1 -1 34068 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67192 32 32 387 293 1 225 80 17 17 289 -1 unnamed_device 26.9 MiB 2.09 1301 12120 4478 6062 1580 65.6 MiB 0.11 0.00 4.02972 -131.543 -4.02972 4.02972 0.98 0.000557235 0.000507101 0.0417251 0.0381326 48 3079 31 6.95648e+06 231611 865456. 2994.66 5.84 0.276141 0.240447 28354 207349 -1 2732 19 1977 3035 316120 59935 4.81846 4.81846 -165.216 -4.81846 0 0 1.05005e+06 3633.38 0.39 0.09 0.20 -1 -1 0.39 0.0259052 0.0231918 97 28 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 9.85 vpr 65.32 MiB -1 -1 0.15 20104 1 0.03 -1 -1 33680 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 340 270 1 175 81 17 17 289 -1 unnamed_device 26.9 MiB 0.93 697 15306 6645 8045 616 65.3 MiB 0.11 0.00 3.78211 -117.569 -3.78211 3.78211 0.97 0.00051072 0.000466001 0.046843 0.0427794 48 2046 49 6.95648e+06 246087 865456. 2994.66 5.39 0.256708 0.223847 28354 207349 -1 1695 26 1443 1946 341876 155081 3.34756 3.34756 -130.63 -3.34756 0 0 1.05005e+06 3633.38 0.40 0.14 0.20 -1 -1 0.40 0.030078 0.0267283 74 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 6.42 vpr 65.03 MiB -1 -1 0.15 20324 1 0.03 -1 -1 33568 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 30 32 278 235 1 143 82 17 17 289 -1 unnamed_device 26.5 MiB 0.59 641 12364 5137 6738 489 65.0 MiB 0.08 0.00 2.44995 -80.8493 -2.44995 2.44995 0.96 0.000425564 0.000386265 0.0303716 0.0276664 44 1922 25 6.95648e+06 289514 787024. 2723.27 2.47 0.127902 0.111755 27778 195446 -1 1504 23 1117 1671 144688 33267 3.15892 3.15892 -109.627 -3.15892 0 0 997811. 3452.63 0.39 0.06 0.19 -1 -1 0.39 0.0219974 0.0194789 62 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 8.12 vpr 65.80 MiB -1 -1 0.16 21248 1 0.03 -1 -1 33824 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67384 32 32 431 332 1 224 79 17 17 289 -1 unnamed_device 27.2 MiB 1.81 1093 14444 4851 7545 2048 65.8 MiB 0.14 0.00 4.99359 -148.63 -4.99359 4.99359 0.99 0.000613677 0.000558362 0.0555141 0.0506996 44 3317 37 6.95648e+06 217135 787024. 2723.27 2.82 0.186721 0.164928 27778 195446 -1 2450 19 1938 2889 220706 46160 5.1806 5.1806 -168.194 -5.1806 0 0 997811. 3452.63 0.40 0.09 0.18 -1 -1 0.40 0.0291306 0.0260709 95 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 6.47 vpr 65.33 MiB -1 -1 0.15 20468 1 0.03 -1 -1 33460 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 32 32 336 268 1 169 87 17 17 289 -1 unnamed_device 27.0 MiB 1.24 728 9879 2703 5263 1913 65.3 MiB 0.07 0.00 3.8241 -107.1 -3.8241 3.8241 0.96 0.000504199 0.000459234 0.0281094 0.0256563 38 2151 31 6.95648e+06 332941 678818. 2348.85 1.96 0.131015 0.114335 26626 170182 -1 1688 24 1398 2119 177434 37614 3.93282 3.93282 -135.393 -3.93282 0 0 902133. 3121.57 0.34 0.07 0.15 -1 -1 0.34 0.026168 0.0231866 74 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 6.43 vpr 64.61 MiB -1 -1 0.14 20068 1 0.03 -1 -1 33640 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 26.1 MiB 0.27 491 9857 3051 5184 1622 64.6 MiB 0.07 0.00 2.5344 -75.6616 -2.5344 2.5344 0.96 0.00038712 0.000352957 0.025223 0.0229462 48 1349 43 6.95648e+06 188184 865456. 2994.66 2.93 0.127193 0.110957 28354 207349 -1 1042 20 867 1293 111373 30972 2.82057 2.82057 -91.6107 -2.82057 0 0 1.05005e+06 3633.38 0.40 0.05 0.19 -1 -1 0.40 0.0173957 0.015462 51 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 22.21 vpr 65.47 MiB -1 -1 0.14 20756 1 0.03 -1 -1 33568 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 32 32 349 273 1 184 88 17 17 289 -1 unnamed_device 27.0 MiB 0.56 1009 11398 4579 5536 1283 65.5 MiB 0.09 0.00 4.09207 -110.099 -4.09207 4.09207 0.96 0.000457829 0.000412522 0.030905 0.0280812 40 2943 33 6.95648e+06 347416 706193. 2443.58 18.34 0.279348 0.243367 26914 176310 -1 2491 23 1667 3064 388116 73619 4.89176 4.89176 -146.727 -4.89176 0 0 926341. 3205.33 0.35 0.11 0.16 -1 -1 0.35 0.0264746 0.0233726 80 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 9.21 vpr 64.86 MiB -1 -1 0.12 20236 1 0.03 -1 -1 33564 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 26.5 MiB 1.11 494 10702 3609 4745 2348 64.9 MiB 0.07 0.00 2.6034 -82.9158 -2.6034 2.6034 0.98 0.000396876 0.000363168 0.0280112 0.0256345 46 1479 45 6.95648e+06 202660 828058. 2865.25 4.79 0.177084 0.153392 28066 200906 -1 1196 22 1149 1597 103898 25996 2.92062 2.92062 -100.584 -2.92062 0 0 1.01997e+06 3529.29 0.40 0.05 0.20 -1 -1 0.40 0.0192513 0.0170234 57 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 6.29 vpr 64.88 MiB -1 -1 0.15 20184 1 0.03 -1 -1 33660 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 30 32 278 235 1 144 79 17 17 289 -1 unnamed_device 26.4 MiB 0.95 563 9205 3754 5062 389 64.9 MiB 0.07 0.00 2.93563 -88.1206 -2.93563 2.93563 0.98 0.000426526 0.000388657 0.0256549 0.0234866 38 1921 28 6.95648e+06 246087 678818. 2348.85 2.07 0.115768 0.100905 26626 170182 -1 1508 21 1112 1646 138919 29767 2.90837 2.90837 -104.363 -2.90837 0 0 902133. 3121.57 0.34 0.06 0.17 -1 -1 0.34 0.0198163 0.0175102 60 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 8.60 vpr 65.42 MiB -1 -1 0.16 20620 1 0.03 -1 -1 33972 -1 -1 16 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66988 29 32 355 287 1 186 77 17 17 289 -1 unnamed_device 26.9 MiB 1.61 837 13769 6011 7203 555 65.4 MiB 0.11 0.00 3.16908 -99.2771 -3.16908 3.16908 0.97 0.000550538 0.000461784 0.0454481 0.0414759 38 3327 49 6.95648e+06 231611 678818. 2348.85 3.62 0.167186 0.146953 26626 170182 -1 2287 22 1929 2843 235955 49853 3.60236 3.60236 -132.145 -3.60236 0 0 902133. 3121.57 0.33 0.08 0.17 -1 -1 0.33 0.0250456 0.0221876 80 56 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 7.25 vpr 65.27 MiB -1 -1 0.17 20584 1 0.03 -1 -1 33948 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 358 289 1 173 80 17 17 289 -1 unnamed_device 26.9 MiB 1.38 606 13324 4333 6357 2634 65.3 MiB 0.08 0.00 3.91028 -109.378 -3.91028 3.91028 0.95 0.000516182 0.000468432 0.0383966 0.0350413 46 2146 48 6.95648e+06 231611 828058. 2865.25 2.49 0.163465 0.142396 28066 200906 -1 1566 33 1808 2642 179278 46687 4.26617 4.26617 -138.188 -4.26617 0 0 1.01997e+06 3529.29 0.37 0.09 0.20 -1 -1 0.37 0.0343177 0.0300826 72 51 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 8.04 vpr 65.36 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33484 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 32 32 353 285 1 178 78 17 17 289 -1 unnamed_device 27.0 MiB 2.14 726 13192 5553 7168 471 65.4 MiB 0.10 0.00 3.66779 -114.583 -3.66779 3.66779 0.96 0.000503637 0.000459218 0.0438255 0.0399765 44 2692 29 6.95648e+06 202660 787024. 2723.27 2.47 0.152984 0.134848 27778 195446 -1 1907 26 1438 2213 184438 40737 4.52126 4.52126 -139.794 -4.52126 0 0 997811. 3452.63 0.41 0.08 0.19 -1 -1 0.41 0.0289967 0.0256678 73 48 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 11.61 vpr 65.04 MiB -1 -1 0.15 20296 1 0.03 -1 -1 33740 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 276 237 1 155 74 17 17 289 -1 unnamed_device 26.6 MiB 3.28 691 10459 4366 5768 325 65.0 MiB 0.07 0.00 3.30448 -104.445 -3.30448 3.30448 0.95 0.000421894 0.000385552 0.0306961 0.0280882 46 1861 33 6.95648e+06 144757 828058. 2865.25 4.94 0.175764 0.152636 28066 200906 -1 1513 31 1359 1807 269388 130589 3.75151 3.75151 -126.676 -3.75151 0 0 1.01997e+06 3529.29 0.40 0.12 0.20 -1 -1 0.40 0.0275099 0.0242761 61 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 16.66 vpr 65.25 MiB -1 -1 0.15 20488 1 0.03 -1 -1 34036 -1 -1 12 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 31 32 319 272 1 165 75 17 17 289 -1 unnamed_device 26.8 MiB 2.15 628 11925 5013 6568 344 65.3 MiB 0.09 0.00 3.15532 -100.374 -3.15532 3.15532 0.98 0.000456399 0.000415487 0.0370484 0.0338327 38 2559 48 6.95648e+06 173708 678818. 2348.85 11.18 0.285711 0.24838 26626 170182 -1 1746 22 1444 2061 175155 38475 3.61666 3.61666 -130.09 -3.61666 0 0 902133. 3121.57 0.35 0.07 0.16 -1 -1 0.35 0.0230606 0.0204097 68 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 6.45 vpr 64.98 MiB -1 -1 0.14 20192 1 0.03 -1 -1 33844 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 30 32 329 273 1 160 84 17 17 289 -1 unnamed_device 26.4 MiB 0.85 618 11430 3607 5327 2496 65.0 MiB 0.07 0.00 2.4971 -76.2077 -2.4971 2.4971 0.93 0.0004582 0.000417736 0.0315542 0.0288246 46 1763 25 6.95648e+06 318465 828058. 2865.25 2.35 0.148179 0.130141 28066 200906 -1 1363 19 1087 1628 108663 26846 2.89257 2.89257 -98.5422 -2.89257 0 0 1.01997e+06 3529.29 0.38 0.05 0.18 -1 -1 0.38 0.020952 0.0186195 71 52 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 12.99 vpr 65.05 MiB -1 -1 0.16 20116 1 0.03 -1 -1 33868 -1 -1 28 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 28 32 277 229 1 155 88 17 17 289 -1 unnamed_device 26.5 MiB 0.60 783 10813 4174 5490 1149 65.0 MiB 0.07 0.00 3.09514 -86.8271 -3.09514 3.09514 0.95 0.000411876 0.000374749 0.0253098 0.0230904 38 2144 29 6.95648e+06 405319 678818. 2348.85 9.14 0.202756 0.175958 26626 170182 -1 1653 21 1173 1893 145526 29623 3.49926 3.49926 -108.846 -3.49926 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.020126 0.0178174 72 20 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 8.37 vpr 65.32 MiB -1 -1 0.16 20244 1 0.03 -1 -1 33480 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 30 32 317 269 1 149 74 17 17 289 -1 unnamed_device 26.8 MiB 0.84 579 11234 4744 6037 453 65.3 MiB 0.08 0.00 2.79633 -89.7531 -2.79633 2.79633 0.98 0.000447035 0.000410337 0.0363716 0.0332679 40 1641 25 6.95648e+06 173708 706193. 2443.58 4.21 0.181346 0.156819 26914 176310 -1 1416 20 1293 1782 140068 33154 3.24822 3.24822 -112.1 -3.24822 0 0 926341. 3205.33 0.34 0.06 0.17 -1 -1 0.34 0.0219089 0.0194415 60 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 10.49 vpr 65.21 MiB -1 -1 0.16 20732 1 0.03 -1 -1 33680 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 335 282 1 178 75 17 17 289 -1 unnamed_device 26.9 MiB 1.70 654 12873 4787 5987 2099 65.2 MiB 0.09 0.00 2.80395 -97.9853 -2.80395 2.80395 0.96 0.00043358 0.000392973 0.0391379 0.0355773 52 1961 26 6.95648e+06 159232 926341. 3205.33 5.34 0.194839 0.168713 29218 227130 -1 1414 21 1350 1958 125216 31007 3.12503 3.12503 -115.629 -3.12503 0 0 1.14541e+06 3963.36 0.44 0.06 0.21 -1 -1 0.44 0.0220171 0.0195001 72 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 9.22 vpr 64.84 MiB -1 -1 0.15 20172 1 0.03 -1 -1 33748 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 26.2 MiB 0.48 714 12951 4459 6132 2360 64.8 MiB 0.09 0.00 3.89128 -102.001 -3.89128 3.89128 0.98 0.000432832 0.000390205 0.0325289 0.0297283 48 2048 46 6.95648e+06 347416 865456. 2994.66 5.30 0.199393 0.173478 28354 207349 -1 1628 19 1016 1685 131459 31854 4.03642 4.03642 -121.367 -4.03642 0 0 1.05005e+06 3633.38 0.42 0.06 0.21 -1 -1 0.42 0.0208628 0.0186213 74 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 7.78 vpr 65.31 MiB -1 -1 0.16 20796 1 0.03 -1 -1 33776 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 350 275 1 196 77 17 17 289 -1 unnamed_device 26.8 MiB 1.82 858 12628 5370 6844 414 65.3 MiB 0.10 0.00 3.94537 -126.254 -3.94537 3.94537 0.95 0.00049736 0.000451543 0.0415182 0.0378029 48 3139 31 6.95648e+06 188184 865456. 2994.66 2.57 0.144099 0.12661 28354 207349 -1 2352 20 1751 2545 272450 60091 4.48296 4.48296 -157.439 -4.48296 0 0 1.05005e+06 3633.38 0.40 0.09 0.19 -1 -1 0.40 0.0248433 0.0221728 82 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 9.46 vpr 65.36 MiB -1 -1 0.16 20412 1 0.04 -1 -1 33640 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 385 308 1 179 88 17 17 289 -1 unnamed_device 26.9 MiB 1.44 969 16273 5452 8511 2310 65.4 MiB 0.13 0.00 3.62123 -113.1 -3.62123 3.62123 0.98 0.000537932 0.000490511 0.0480353 0.0438022 40 2780 40 6.95648e+06 347416 706193. 2443.58 4.57 0.188745 0.165347 26914 176310 -1 2352 24 1622 2719 398243 86659 3.93686 3.93686 -144.392 -3.93686 0 0 926341. 3205.33 0.34 0.11 0.17 -1 -1 0.34 0.028813 0.0254439 80 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 8.43 vpr 65.55 MiB -1 -1 0.16 20588 1 0.03 -1 -1 33972 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67124 32 32 387 309 1 182 87 17 17 289 -1 unnamed_device 27.1 MiB 1.08 926 12183 4866 6701 616 65.6 MiB 0.10 0.00 3.42782 -114.006 -3.42782 3.42782 0.98 0.000532511 0.000483981 0.0372818 0.0340103 46 2842 38 6.95648e+06 332941 828058. 2865.25 3.88 0.182296 0.160316 28066 200906 -1 2307 25 1632 2797 239913 49473 4.08626 4.08626 -141.606 -4.08626 0 0 1.01997e+06 3529.29 0.39 0.09 0.20 -1 -1 0.39 0.0293853 0.0260029 80 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 6.89 vpr 64.75 MiB -1 -1 0.15 20244 1 0.03 -1 -1 34080 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 30 32 272 232 1 142 74 17 17 289 -1 unnamed_device 26.3 MiB 0.90 546 10304 3573 4673 2058 64.8 MiB 0.07 0.00 3.22376 -89.0525 -3.22376 3.22376 0.96 0.000409948 0.000373524 0.0300638 0.0274783 38 1899 20 6.95648e+06 173708 678818. 2348.85 2.73 0.126385 0.110876 26626 170182 -1 1449 22 1188 1838 153081 32829 3.02872 3.02872 -106.414 -3.02872 0 0 902133. 3121.57 0.34 0.06 0.17 -1 -1 0.34 0.0197893 0.0174797 57 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 16.94 vpr 65.35 MiB -1 -1 0.17 20680 1 0.03 -1 -1 33912 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 30 32 375 299 1 179 76 17 17 289 -1 unnamed_device 26.9 MiB 0.98 630 10956 4606 5679 671 65.4 MiB 0.09 0.00 3.71763 -111.147 -3.71763 3.71763 0.99 0.000513222 0.000473534 0.0395489 0.0361954 46 2083 34 6.95648e+06 202660 828058. 2865.25 12.55 0.289126 0.251974 28066 200906 -1 1568 21 1683 2267 152171 37390 3.99212 3.99212 -135.152 -3.99212 0 0 1.01997e+06 3529.29 0.37 0.06 0.20 -1 -1 0.37 0.0239831 0.0213039 76 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 9.59 vpr 65.43 MiB -1 -1 0.16 20692 1 0.03 -1 -1 33636 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 340 270 1 193 78 17 17 289 -1 unnamed_device 26.9 MiB 1.63 825 11698 4924 6293 481 65.4 MiB 0.09 0.00 4.0079 -119.402 -4.0079 4.0079 0.97 0.000501753 0.00045478 0.0371097 0.0338818 44 3163 46 6.95648e+06 202660 787024. 2723.27 4.52 0.167473 0.146672 27778 195446 -1 2028 23 1719 2650 240079 52969 4.16871 4.16871 -141.493 -4.16871 0 0 997811. 3452.63 0.40 0.09 0.19 -1 -1 0.40 0.0260719 0.0232001 80 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 8.21 vpr 65.54 MiB -1 -1 0.16 20648 1 0.03 -1 -1 33944 -1 -1 14 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67116 31 32 340 275 1 187 77 17 17 289 -1 unnamed_device 27.1 MiB 2.20 876 10183 4265 5558 360 65.5 MiB 0.08 0.00 4.77836 -130.82 -4.77836 4.77836 0.94 0.000481969 0.000439066 0.0332677 0.0305262 38 2893 45 6.95648e+06 202660 678818. 2348.85 2.79 0.159141 0.140552 26626 170182 -1 2126 21 1355 2025 180858 40122 4.96211 4.96211 -151.992 -4.96211 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0245037 0.0218671 79 43 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 9.80 vpr 65.43 MiB -1 -1 0.17 20752 1 0.03 -1 -1 34028 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 30 32 377 310 1 170 83 17 17 289 -1 unnamed_device 27.0 MiB 1.73 833 15023 6429 8046 548 65.4 MiB 0.11 0.00 3.74802 -117.262 -3.74802 3.74802 0.99 0.000533385 0.000486689 0.0466875 0.0426428 44 2425 27 6.95648e+06 303989 787024. 2723.27 4.62 0.219972 0.191675 27778 195446 -1 1850 21 1091 1683 131999 28261 3.96361 3.96361 -138.615 -3.96361 0 0 997811. 3452.63 0.37 0.06 0.19 -1 -1 0.37 0.0249405 0.0221545 74 78 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 8.07 vpr 65.40 MiB -1 -1 0.16 20712 1 0.03 -1 -1 33896 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 32 32 365 294 1 177 77 17 17 289 -1 unnamed_device 27.0 MiB 1.17 725 9368 3271 4251 1846 65.4 MiB 0.06 0.00 3.77393 -114.341 -3.77393 3.77393 0.89 0.000524985 0.000476562 0.0280332 0.0256115 46 2873 48 6.95648e+06 188184 828058. 2865.25 3.62 0.145484 0.12697 28066 200906 -1 1957 24 1668 2714 275032 61443 4.21376 4.21376 -146.651 -4.21376 0 0 1.01997e+06 3529.29 0.37 0.10 0.19 -1 -1 0.37 0.0285791 0.025225 72 54 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 7.96 vpr 65.55 MiB -1 -1 0.15 20576 1 0.03 -1 -1 33876 -1 -1 16 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67124 29 32 378 310 1 170 77 17 17 289 -1 unnamed_device 27.1 MiB 1.25 685 9531 3728 4876 927 65.6 MiB 0.08 0.00 3.26967 -101.645 -3.26967 3.26967 0.96 0.0005179 0.00047181 0.0336451 0.0308336 38 2553 44 6.95648e+06 231611 678818. 2348.85 3.39 0.174117 0.151904 26626 170182 -1 1863 23 1446 2165 184976 40755 3.78282 3.78282 -126.026 -3.78282 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.0266932 0.0236221 73 79 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 8.59 vpr 64.77 MiB -1 -1 0.13 20104 1 0.03 -1 -1 33876 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 243 205 1 139 74 17 17 289 -1 unnamed_device 26.2 MiB 1.00 655 9529 3529 5033 967 64.8 MiB 0.07 0.00 2.91658 -88.9369 -2.91658 2.91658 0.96 0.000415593 0.000369109 0.0262486 0.0240524 42 1880 22 6.95648e+06 144757 744469. 2576.02 4.28 0.141209 0.122656 27202 183097 -1 1622 24 1179 1749 174712 35498 3.00062 3.00062 -108.979 -3.00062 0 0 949917. 3286.91 0.37 0.07 0.18 -1 -1 0.37 0.0213789 0.0189356 53 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 11.35 vpr 65.35 MiB -1 -1 0.14 20800 1 0.03 -1 -1 33952 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 32 32 373 302 1 174 87 17 17 289 -1 unnamed_device 26.9 MiB 3.07 821 15063 5515 6831 2717 65.3 MiB 0.11 0.00 3.91556 -109.001 -3.91556 3.91556 0.93 0.000483831 0.000438588 0.04187 0.0380414 44 2420 26 6.95648e+06 332941 787024. 2723.27 4.97 0.248924 0.215853 27778 195446 -1 1860 20 1131 1780 145005 32332 3.92996 3.92996 -134.583 -3.92996 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.0243338 0.0216197 76 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 6.51 vpr 65.50 MiB -1 -1 0.16 20476 1 0.03 -1 -1 33976 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 397 314 1 188 77 17 17 289 -1 unnamed_device 27.1 MiB 0.69 756 8390 2985 3891 1514 65.5 MiB 0.07 0.00 3.49708 -113.705 -3.49708 3.49708 0.99 0.00055264 0.000503275 0.0319809 0.0292958 52 2435 24 6.95648e+06 188184 926341. 3205.33 2.32 0.14201 0.12464 29218 227130 -1 1737 19 1540 2223 171856 43594 4.28566 4.28566 -150.8 -4.28566 0 0 1.14541e+06 3963.36 0.44 0.07 0.22 -1 -1 0.44 0.0256029 0.0228098 78 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 10.02 vpr 64.94 MiB -1 -1 0.15 20436 1 0.03 -1 -1 33956 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 269 231 1 166 75 17 17 289 -1 unnamed_device 26.5 MiB 1.68 764 12399 5479 6607 313 64.9 MiB 0.08 0.00 3.28067 -100.55 -3.28067 3.28067 0.95 0.000417433 0.000379746 0.0352166 0.0321741 44 2199 25 6.95648e+06 159232 787024. 2723.27 4.98 0.202082 0.175389 27778 195446 -1 1592 20 1137 1428 112026 25258 3.46512 3.46512 -119.515 -3.46512 0 0 997811. 3452.63 0.40 0.05 0.19 -1 -1 0.40 0.0195393 0.0174136 68 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 8.05 vpr 64.82 MiB -1 -1 0.13 20248 1 0.03 -1 -1 34080 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 26.4 MiB 1.31 516 12236 4558 5320 2358 64.8 MiB 0.08 0.00 2.78823 -84.0827 -2.78823 2.78823 0.97 0.000389406 0.000348795 0.0319368 0.0292178 38 2122 49 6.95648e+06 188184 678818. 2348.85 3.46 0.142301 0.125133 26626 170182 -1 1429 24 1259 1752 156590 38713 3.28422 3.28422 -117.515 -3.28422 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0210073 0.0185895 57 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 7.58 vpr 65.53 MiB -1 -1 0.14 20456 1 0.03 -1 -1 33900 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 32 32 348 274 1 202 79 17 17 289 -1 unnamed_device 27.0 MiB 1.70 789 12416 5201 6749 466 65.5 MiB 0.10 0.00 3.75407 -122.757 -3.75407 3.75407 0.94 0.00051032 0.000465115 0.0426856 0.0389706 44 3136 41 6.95648e+06 217135 787024. 2723.27 2.45 0.172145 0.150752 27778 195446 -1 2020 23 1800 2431 205026 45483 4.39251 4.39251 -150.145 -4.39251 0 0 997811. 3452.63 0.40 0.08 0.18 -1 -1 0.40 0.0268093 0.0238606 85 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 9.60 vpr 65.48 MiB -1 -1 0.15 20688 1 0.03 -1 -1 33608 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 356 289 1 196 78 17 17 289 -1 unnamed_device 27.1 MiB 1.13 781 11698 4906 6346 446 65.5 MiB 0.09 0.00 4.05782 -121.625 -4.05782 4.05782 0.95 0.000519182 0.000472932 0.0366367 0.0334014 48 2310 23 6.95648e+06 202660 865456. 2994.66 5.11 0.216886 0.18912 28354 207349 -1 1823 30 1862 2585 300306 86827 4.61831 4.61831 -150.517 -4.61831 0 0 1.05005e+06 3633.38 0.40 0.11 0.19 -1 -1 0.40 0.0325939 0.028825 82 53 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 6.37 vpr 65.37 MiB -1 -1 0.15 20360 1 0.03 -1 -1 33832 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.9 MiB 0.49 862 15656 6438 7724 1494 65.4 MiB 0.11 0.00 4.06272 -117.727 -4.06272 4.06272 0.94 0.000467162 0.00042459 0.0458784 0.0415898 48 2733 26 6.95648e+06 246087 865456. 2994.66 2.45 0.167438 0.146955 28354 207349 -1 2007 30 2096 3409 431981 176262 4.86196 4.86196 -149.939 -4.86196 0 0 1.05005e+06 3633.38 0.40 0.16 0.19 -1 -1 0.40 0.0334277 0.0295875 83 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 6.46 vpr 65.18 MiB -1 -1 0.16 20368 1 0.03 -1 -1 33356 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 30 32 316 264 1 159 83 17 17 289 -1 unnamed_device 26.6 MiB 0.94 632 11963 3545 6042 2376 65.2 MiB 0.09 0.00 2.83043 -79.56 -2.83043 2.83043 0.97 0.000466199 0.000425604 0.0337286 0.0308326 38 1966 28 6.95648e+06 303989 678818. 2348.85 2.17 0.124602 0.109057 26626 170182 -1 1432 21 1276 1999 136965 31290 3.07697 3.07697 -100.155 -3.07697 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.0214505 0.0190038 69 47 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 7.82 vpr 65.01 MiB -1 -1 0.15 20252 1 0.03 -1 -1 33804 -1 -1 14 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 27 32 255 219 1 130 73 17 17 289 -1 unnamed_device 26.4 MiB 0.53 469 8889 3709 4674 506 65.0 MiB 0.06 0.00 2.4231 -73.3364 -2.4231 2.4231 1.00 0.00037962 0.000345131 0.0243214 0.0221872 38 1425 20 6.95648e+06 202660 678818. 2348.85 4.03 0.151108 0.130423 26626 170182 -1 1112 21 921 1139 88304 20106 2.83132 2.83132 -94.1805 -2.83132 0 0 902133. 3121.57 0.34 0.05 0.17 -1 -1 0.34 0.0183649 0.0162062 54 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 8.54 vpr 65.46 MiB -1 -1 0.16 20452 1 0.03 -1 -1 33748 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67036 32 32 421 327 1 224 80 17 17 289 -1 unnamed_device 27.1 MiB 1.33 958 15732 6790 8189 753 65.5 MiB 0.13 0.00 3.20225 -108.346 -3.20225 3.20225 0.93 0.000621016 0.000570241 0.0545309 0.0498498 54 2814 46 6.95648e+06 231611 949917. 3286.91 3.68 0.215229 0.189863 29506 232905 -1 2146 33 2165 3388 396049 144181 4.03842 4.03842 -137.541 -4.03842 0 0 1.17392e+06 4061.99 0.43 0.15 0.22 -1 -1 0.43 0.0393766 0.0347192 95 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 13.54 vpr 65.36 MiB -1 -1 0.17 20604 1 0.03 -1 -1 34032 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 31 32 365 296 1 190 78 17 17 289 -1 unnamed_device 27.0 MiB 5.22 1028 12030 4049 6241 1740 65.4 MiB 0.10 0.00 4.5044 -130.841 -4.5044 4.5044 0.96 0.000515647 0.000471139 0.0401124 0.0367416 46 2549 24 6.95648e+06 217135 828058. 2865.25 4.88 0.215054 0.187606 28066 200906 -1 2178 21 1533 2373 248432 46711 4.43456 4.43456 -152.058 -4.43456 0 0 1.01997e+06 3529.29 0.39 0.08 0.20 -1 -1 0.39 0.024876 0.0220713 82 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 9.41 vpr 65.30 MiB -1 -1 0.16 20376 1 0.03 -1 -1 34096 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 331 280 1 171 75 17 17 289 -1 unnamed_device 26.8 MiB 3.33 823 12083 5247 6681 155 65.3 MiB 0.09 0.00 3.30244 -111.934 -3.30244 3.30244 0.95 0.000458572 0.00041769 0.0376094 0.034351 38 2371 28 6.95648e+06 159232 678818. 2348.85 2.77 0.149233 0.130551 26626 170182 -1 2058 22 1439 2086 198755 39553 3.75116 3.75116 -142.492 -3.75116 0 0 902133. 3121.57 0.34 0.07 0.17 -1 -1 0.34 0.0237885 0.0210798 70 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 18.08 vpr 65.15 MiB -1 -1 0.15 20496 1 0.03 -1 -1 33844 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 326 263 1 169 86 17 17 289 -1 unnamed_device 26.8 MiB 0.41 813 15584 6687 8396 501 65.1 MiB 0.11 0.00 3.46513 -100.524 -3.46513 3.46513 0.97 0.000489006 0.000443345 0.0426845 0.0388731 40 2617 34 6.95648e+06 318465 706193. 2443.58 14.27 0.270162 0.23531 26914 176310 -1 2205 23 1486 2300 295883 67783 4.29616 4.29616 -128.28 -4.29616 0 0 926341. 3205.33 0.35 0.10 0.17 -1 -1 0.35 0.0249791 0.0220825 74 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 7.01 vpr 65.47 MiB -1 -1 0.17 20484 1 0.03 -1 -1 33604 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 31 32 373 294 1 188 88 17 17 289 -1 unnamed_device 27.0 MiB 0.91 763 15103 4963 7050 3090 65.5 MiB 0.10 0.00 3.58273 -103.837 -3.58273 3.58273 0.96 0.000517694 0.000470809 0.0437101 0.0398142 44 2565 49 6.95648e+06 361892 787024. 2723.27 2.67 0.186214 0.162196 27778 195446 -1 1681 21 1406 2057 145827 35621 3.71997 3.71997 -126.038 -3.71997 0 0 997811. 3452.63 0.41 0.06 0.19 -1 -1 0.41 0.0255027 0.0225989 83 46 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 6.84 vpr 65.26 MiB -1 -1 0.17 20248 1 0.03 -1 -1 33716 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 30 32 325 268 1 166 78 17 17 289 -1 unnamed_device 26.7 MiB 1.11 754 11698 4895 6184 619 65.3 MiB 0.09 0.00 2.87605 -84.5862 -2.87605 2.87605 0.97 0.000471454 0.000429899 0.0360241 0.0329505 46 2322 42 6.95648e+06 231611 828058. 2865.25 2.34 0.142371 0.125008 28066 200906 -1 1791 21 1350 2161 157576 36548 3.14037 3.14037 -110.502 -3.14037 0 0 1.01997e+06 3529.29 0.38 0.06 0.20 -1 -1 0.38 0.0216684 0.0192675 68 46 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 11.38 vpr 65.58 MiB -1 -1 0.15 20672 1 0.03 -1 -1 33476 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 32 32 350 275 1 208 78 17 17 289 -1 unnamed_device 27.1 MiB 1.65 897 11864 4930 6458 476 65.6 MiB 0.10 0.00 3.74967 -121.549 -3.74967 3.74967 0.99 0.000528421 0.000481805 0.0403102 0.0368762 54 3029 38 6.95648e+06 202660 949917. 3286.91 6.19 0.233679 0.204296 29506 232905 -1 2096 23 1900 2756 226738 49610 4.25436 4.25436 -146.349 -4.25436 0 0 1.17392e+06 4061.99 0.45 0.08 0.23 -1 -1 0.45 0.0272597 0.0242546 88 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 7.63 vpr 65.25 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33892 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 386 307 1 187 82 17 17 289 -1 unnamed_device 26.7 MiB 0.98 781 12186 5044 6563 579 65.2 MiB 0.09 0.00 3.70063 -117.46 -3.70063 3.70063 0.96 0.000536478 0.00048906 0.0404882 0.0369536 48 2284 45 6.95648e+06 260562 865456. 2994.66 3.20 0.186347 0.163249 28354 207349 -1 1904 23 1521 2079 204551 54828 3.91627 3.91627 -144.722 -3.91627 0 0 1.05005e+06 3633.38 0.39 0.08 0.20 -1 -1 0.39 0.0275639 0.0243437 80 59 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 9.72 vpr 64.79 MiB -1 -1 0.14 20204 1 0.03 -1 -1 33560 -1 -1 12 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 29 32 269 229 1 132 73 17 17 289 -1 unnamed_device 26.4 MiB 4.84 489 8737 3610 4703 424 64.8 MiB 0.06 0.00 3.26592 -84.8562 -3.26592 3.26592 0.95 0.000386417 0.00034845 0.0242423 0.0221171 36 1442 40 6.95648e+06 173708 648988. 2245.63 1.78 0.122119 0.105923 26050 158493 -1 1220 20 889 1194 99790 22191 2.98472 2.98472 -100.186 -2.98472 0 0 828058. 2865.25 0.32 0.05 0.14 -1 -1 0.32 0.0181268 0.0159967 53 28 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 6.84 vpr 65.00 MiB -1 -1 0.16 20196 1 0.03 -1 -1 34096 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 310 266 1 163 75 17 17 289 -1 unnamed_device 26.4 MiB 1.26 700 8607 3070 3768 1769 65.0 MiB 0.07 0.00 3.06285 -104.202 -3.06285 3.06285 0.97 0.000454019 0.000413849 0.0272844 0.0249659 38 2140 44 6.95648e+06 159232 678818. 2348.85 2.27 0.125039 0.109311 26626 170182 -1 1659 25 1394 1782 157478 35945 3.68572 3.68572 -127.066 -3.68572 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0243965 0.0215177 64 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 17.10 vpr 65.45 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33732 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 31 32 326 261 1 172 86 17 17 289 -1 unnamed_device 27.1 MiB 1.05 749 12938 4452 6522 1964 65.4 MiB 0.10 0.00 3.43718 -100.911 -3.43718 3.43718 0.96 0.00049908 0.000448928 0.0358054 0.0326287 40 2525 50 6.95648e+06 332941 706193. 2443.58 12.67 0.293025 0.254714 26914 176310 -1 1911 33 1977 3100 355891 122084 4.13186 4.13186 -132.995 -4.13186 0 0 926341. 3205.33 0.35 0.13 0.17 -1 -1 0.35 0.0335634 0.0295265 77 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 8.57 vpr 64.84 MiB -1 -1 0.15 20496 1 0.03 -1 -1 33632 -1 -1 13 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 29 32 262 224 1 161 74 17 17 289 -1 unnamed_device 26.4 MiB 1.66 616 10149 4479 5234 436 64.8 MiB 0.07 0.00 3.40298 -96.0998 -3.40298 3.40298 0.94 0.000394844 0.00036099 0.0284843 0.0260797 38 2383 42 6.95648e+06 188184 678818. 2348.85 3.74 0.142049 0.12475 26626 170182 -1 1536 21 1215 1518 130373 31304 3.53222 3.53222 -116.318 -3.53222 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0196627 0.01738 67 25 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 12.05 vpr 64.97 MiB -1 -1 0.13 20248 1 0.03 -1 -1 33392 -1 -1 9 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 32 32 278 238 1 144 73 17 17 289 -1 unnamed_device 26.6 MiB 1.29 694 11625 5131 6255 239 65.0 MiB 0.08 0.00 3.31656 -97.2168 -3.31656 3.31656 0.93 0.000424973 0.000388003 0.0342691 0.0313868 36 2177 40 6.95648e+06 130281 648988. 2245.63 7.63 0.240611 0.210002 26050 158493 -1 1694 22 1297 1867 181717 39427 3.44702 3.44702 -121.307 -3.44702 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0204156 0.0180856 56 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 7.05 vpr 65.18 MiB -1 -1 0.17 20500 1 0.03 -1 -1 33688 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 31 32 373 300 1 174 87 17 17 289 -1 unnamed_device 26.8 MiB 1.08 659 13335 4385 6152 2798 65.2 MiB 0.09 0.00 2.96863 -94.5196 -2.96863 2.96863 0.94 0.000527661 0.00048346 0.0396809 0.0362183 46 1840 27 6.95648e+06 347416 828058. 2865.25 2.58 0.163384 0.142879 28066 200906 -1 1393 21 1555 2071 125832 31192 2.90542 2.90542 -111.64 -2.90542 0 0 1.01997e+06 3529.29 0.39 0.06 0.20 -1 -1 0.39 0.0254497 0.0226238 79 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 9.27 vpr 64.96 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33740 -1 -1 12 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 265 230 1 159 75 17 17 289 -1 unnamed_device 26.5 MiB 2.65 786 7975 2578 3727 1670 65.0 MiB 0.06 0.00 3.35097 -102.439 -3.35097 3.35097 0.98 0.000405878 0.000370581 0.0228699 0.0209296 36 2135 41 6.95648e+06 173708 648988. 2245.63 3.36 0.130684 0.113867 26050 158493 -1 1908 22 1207 1732 168108 34237 3.50741 3.50741 -126.32 -3.50741 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0208159 0.0185005 64 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 9.05 vpr 65.12 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33924 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 349 286 1 165 86 17 17 289 -1 unnamed_device 26.7 MiB 1.36 853 13316 4623 6305 2388 65.1 MiB 0.10 0.00 2.5815 -91.5934 -2.5815 2.5815 0.97 0.000495477 0.000450499 0.037963 0.0346623 36 2520 40 6.95648e+06 318465 648988. 2245.63 4.40 0.168481 0.147661 26050 158493 -1 1843 22 1380 2037 159313 34243 3.14517 3.14517 -119.028 -3.14517 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0241762 0.0214894 71 54 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 7.51 vpr 65.38 MiB -1 -1 0.17 20512 1 0.03 -1 -1 33832 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 31 32 396 325 1 176 78 17 17 289 -1 unnamed_device 27.0 MiB 1.83 675 13026 5521 6955 550 65.4 MiB 0.11 0.00 3.3685 -110.466 -3.3685 3.3685 0.98 0.000547214 0.00049947 0.0466649 0.0424757 44 2242 25 6.95648e+06 217135 787024. 2723.27 2.22 0.171854 0.151009 27778 195446 -1 1561 20 1345 1751 140104 31906 3.70772 3.70772 -133.227 -3.70772 0 0 997811. 3452.63 0.39 0.07 0.19 -1 -1 0.39 0.0255021 0.0226941 73 87 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 7.47 vpr 64.96 MiB -1 -1 0.16 20276 1 0.03 -1 -1 33864 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 303 262 1 145 74 17 17 289 -1 unnamed_device 26.5 MiB 1.42 545 10149 3367 5084 1698 65.0 MiB 0.07 0.00 2.4011 -79.9159 -2.4011 2.4011 0.97 0.00043879 0.000398728 0.0312335 0.0285369 46 1428 21 6.95648e+06 144757 828058. 2865.25 2.70 0.134934 0.118545 28066 200906 -1 1064 18 928 1441 84328 21050 2.89067 2.89067 -94.353 -2.89067 0 0 1.01997e+06 3529.29 0.39 0.05 0.19 -1 -1 0.39 0.0193399 0.0172163 57 54 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 7.54 vpr 65.09 MiB -1 -1 0.16 20488 1 0.03 -1 -1 33360 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 290 244 1 170 75 17 17 289 -1 unnamed_device 26.6 MiB 1.56 702 11293 3981 5488 1824 65.1 MiB 0.08 0.00 3.29168 -104.399 -3.29168 3.29168 0.99 0.000451159 0.00041055 0.0320541 0.0293009 46 2275 48 6.95648e+06 159232 828058. 2865.25 2.60 0.135831 0.11853 28066 200906 -1 1526 21 1296 1830 118123 30046 3.58221 3.58221 -125.093 -3.58221 0 0 1.01997e+06 3529.29 0.38 0.06 0.20 -1 -1 0.38 0.020328 0.018052 70 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 9.29 vpr 65.20 MiB -1 -1 0.15 20512 1 0.03 -1 -1 33812 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 318 257 1 188 78 17 17 289 -1 unnamed_device 26.9 MiB 2.56 714 11366 3981 5046 2339 65.2 MiB 0.08 0.00 3.45418 -103.596 -3.45418 3.45418 0.96 0.000478284 0.000434916 0.0356074 0.0324896 46 2488 39 6.95648e+06 202660 828058. 2865.25 3.34 0.160026 0.140288 28066 200906 -1 1789 21 1538 2145 142475 35115 4.11781 4.11781 -131.342 -4.11781 0 0 1.01997e+06 3529.29 0.39 0.06 0.20 -1 -1 0.39 0.0230806 0.0205833 79 27 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 9.11 vpr 65.06 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33456 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 29 32 324 268 1 162 82 17 17 289 -1 unnamed_device 26.7 MiB 1.13 683 11118 4647 5857 614 65.1 MiB 0.08 0.00 3.53128 -97.3988 -3.53128 3.53128 0.93 0.00044318 0.000397516 0.0303654 0.0276655 46 1906 19 6.95648e+06 303989 828058. 2865.25 4.73 0.17844 0.15433 28066 200906 -1 1437 23 1083 1619 109506 25407 3.54316 3.54316 -109.559 -3.54316 0 0 1.01997e+06 3529.29 0.38 0.06 0.19 -1 -1 0.38 0.0232382 0.0205362 71 49 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 9.32 vpr 65.54 MiB -1 -1 0.15 20572 1 0.03 -1 -1 33624 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67116 32 32 393 312 1 206 78 17 17 289 -1 unnamed_device 27.0 MiB 1.61 818 12860 5105 6367 1388 65.5 MiB 0.10 0.00 4.0452 -128.08 -4.0452 4.0452 0.98 0.000538993 0.000489159 0.0449011 0.0408662 46 2884 50 6.95648e+06 202660 828058. 2865.25 4.23 0.201064 0.176591 28066 200906 -1 2111 28 2280 3267 308300 67862 4.85251 4.85251 -161.968 -4.85251 0 0 1.01997e+06 3529.29 0.38 0.11 0.19 -1 -1 0.38 0.0325622 0.0287319 89 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 6.87 vpr 64.71 MiB -1 -1 0.14 20568 1 0.03 -1 -1 33692 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 26.2 MiB 1.60 843 12556 3958 7345 1253 64.7 MiB 0.08 0.00 3.10444 -86.1242 -3.10444 3.10444 0.96 0.000374465 0.000342944 0.0314531 0.0288167 36 1993 24 6.95648e+06 188184 648988. 2245.63 2.04 0.117918 0.103273 26050 158493 -1 1777 17 823 1312 112255 22937 3.09612 3.09612 -112.211 -3.09612 0 0 828058. 2865.25 0.33 0.05 0.16 -1 -1 0.33 0.0155824 0.013887 54 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 8.26 vpr 65.52 MiB -1 -1 0.17 20432 1 0.03 -1 -1 33724 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67092 32 32 412 334 1 182 89 17 17 289 -1 unnamed_device 27.0 MiB 1.12 1057 12563 3798 7083 1682 65.5 MiB 0.09 0.00 3.08489 -115.661 -3.08489 3.08489 0.94 0.000562935 0.000511505 0.0390494 0.0354324 38 2553 26 6.95648e+06 361892 678818. 2348.85 3.81 0.174438 0.152809 26626 170182 -1 2113 23 1713 2260 190352 37534 3.73286 3.73286 -145.113 -3.73286 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0288798 0.0255695 81 87 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 12.18 vpr 65.06 MiB -1 -1 0.16 20756 1 0.03 -1 -1 33740 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 26.5 MiB 3.24 613 12009 5237 6469 303 65.1 MiB 0.09 0.00 2.45985 -91.5837 -2.45985 2.45985 0.95 0.000513551 0.000460423 0.0425898 0.0388343 50 1631 23 6.95648e+06 144757 902133. 3121.57 5.52 0.237256 0.206136 28642 213929 -1 1382 21 1436 2048 163816 37206 3.05882 3.05882 -120.861 -3.05882 0 0 1.08113e+06 3740.92 0.40 0.07 0.21 -1 -1 0.40 0.0246411 0.0217958 61 93 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 6.94 vpr 65.09 MiB -1 -1 0.15 20520 1 0.03 -1 -1 33636 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 360 293 1 172 86 17 17 289 -1 unnamed_device 26.7 MiB 1.15 713 11615 3844 5849 1922 65.1 MiB 0.09 0.00 3.41878 -102.821 -3.41878 3.41878 0.97 0.000524037 0.000477655 0.0345736 0.0316244 44 2476 39 6.95648e+06 318465 787024. 2723.27 2.41 0.165881 0.145328 27778 195446 -1 1751 20 1160 1775 141085 33067 3.87696 3.87696 -131.429 -3.87696 0 0 997811. 3452.63 0.38 0.06 0.19 -1 -1 0.38 0.0236354 0.0210168 75 57 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 7.94 vpr 65.53 MiB -1 -1 0.16 20724 1 0.03 -1 -1 33840 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 396 299 1 224 79 17 17 289 -1 unnamed_device 27.2 MiB 1.77 932 13768 4560 6694 2514 65.5 MiB 0.12 0.00 4.78047 -141.161 -4.78047 4.78047 0.98 0.000562589 0.000511797 0.0498542 0.0454861 48 2692 27 6.95648e+06 217135 865456. 2994.66 2.62 0.173866 0.153413 28354 207349 -1 2123 25 2037 2962 321014 96022 5.23865 5.23865 -169.746 -5.23865 0 0 1.05005e+06 3633.38 0.40 0.11 0.21 -1 -1 0.40 0.0318548 0.0282671 95 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 12.97 vpr 64.74 MiB -1 -1 0.13 20088 1 0.03 -1 -1 33720 -1 -1 11 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 30 32 224 207 1 132 73 17 17 289 -1 unnamed_device 26.2 MiB 2.65 546 11169 4818 5896 455 64.7 MiB 0.07 0.00 2.26495 -77.3411 -2.26495 2.26495 0.93 0.000350242 0.000320635 0.0279439 0.0251935 38 1622 44 6.95648e+06 159232 678818. 2348.85 7.19 0.211206 0.183628 26626 170182 -1 1311 23 961 1254 135937 32081 2.46013 2.46013 -96.6193 -2.46013 0 0 902133. 3121.57 0.34 0.05 0.15 -1 -1 0.34 0.0176635 0.0156026 52 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 7.64 vpr 64.91 MiB -1 -1 0.16 20456 1 0.03 -1 -1 33560 -1 -1 11 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 30 32 286 239 1 135 73 17 17 289 -1 unnamed_device 26.5 MiB 1.60 452 9041 2987 4074 1980 64.9 MiB 0.06 0.00 3.12499 -90.986 -3.12499 3.12499 0.95 0.000426462 0.000387691 0.0273945 0.0250414 50 1192 49 6.95648e+06 159232 902133. 3121.57 2.71 0.140784 0.122344 28642 213929 -1 954 21 997 1466 111373 29309 3.30137 3.30137 -108.859 -3.30137 0 0 1.08113e+06 3740.92 0.41 0.05 0.21 -1 -1 0.41 0.0191986 0.0169885 54 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 9.74 vpr 64.93 MiB -1 -1 0.16 20132 1 0.03 -1 -1 33632 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 296 247 1 152 74 17 17 289 -1 unnamed_device 26.5 MiB 0.50 548 8754 2604 4261 1889 64.9 MiB 0.06 0.00 2.6818 -88.2907 -2.6818 2.6818 0.97 0.000432215 0.000393623 0.0271782 0.0248901 58 1278 27 6.95648e+06 144757 997811. 3452.63 5.69 0.166527 0.144347 30370 251734 -1 1166 20 1118 1754 150781 37021 2.89152 2.89152 -104.407 -2.89152 0 0 1.25153e+06 4330.55 0.49 0.06 0.26 -1 -1 0.49 0.020833 0.0185606 59 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 6.70 vpr 64.81 MiB -1 -1 0.14 20476 1 0.03 -1 -1 33872 -1 -1 18 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 25 32 216 194 1 121 75 17 17 289 -1 unnamed_device 26.3 MiB 0.50 493 9239 3830 4708 701 64.8 MiB 0.05 0.00 2.59693 -61.9274 -2.59693 2.59693 0.98 0.000339409 0.00031093 0.0217492 0.0199411 36 1584 40 6.95648e+06 260562 648988. 2245.63 3.01 0.114023 0.0994262 26050 158493 -1 1067 22 946 1439 96321 23633 2.88152 2.88152 -82.8424 -2.88152 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0167837 0.0147803 53 19 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 10.30 vpr 65.27 MiB -1 -1 0.15 20604 1 0.03 -1 -1 34020 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 376 307 1 178 76 17 17 289 -1 unnamed_device 26.9 MiB 1.84 724 9516 3927 5101 488 65.3 MiB 0.07 0.00 3.43255 -106.707 -3.43255 3.43255 0.95 0.000547733 0.000495865 0.0330845 0.0300936 54 2365 30 6.95648e+06 173708 949917. 3286.91 5.04 0.225875 0.196109 29506 232905 -1 1784 24 1482 2443 188818 43933 4.01942 4.01942 -131.708 -4.01942 0 0 1.17392e+06 4061.99 0.44 0.07 0.22 -1 -1 0.44 0.0262944 0.023169 73 69 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 14.22 vpr 65.60 MiB -1 -1 0.17 20644 1 0.03 -1 -1 33644 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 31 32 409 331 1 183 80 17 17 289 -1 unnamed_device 27.1 MiB 1.07 871 11604 4828 6355 421 65.6 MiB 0.09 0.00 3.32468 -114.951 -3.32468 3.32468 0.94 0.000543866 0.000494433 0.0399965 0.0364582 38 2596 24 6.95648e+06 246087 678818. 2348.85 9.85 0.275251 0.23879 26626 170182 -1 2078 21 1590 2119 178632 38434 3.91622 3.91622 -146.431 -3.91622 0 0 902133. 3121.57 0.33 0.07 0.17 -1 -1 0.33 0.0263369 0.0233386 80 86 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 10.18 vpr 65.23 MiB -1 -1 0.16 20568 1 0.03 -1 -1 33952 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 32 32 354 285 1 206 79 17 17 289 -1 unnamed_device 26.8 MiB 1.80 927 13768 5859 7582 327 65.2 MiB 0.11 0.00 4.0552 -122.848 -4.0552 4.0552 0.98 0.000495556 0.000453146 0.0445687 0.040685 46 2535 21 6.99608e+06 220735 828058. 2865.25 4.97 0.215704 0.188426 28066 200906 -1 1971 20 1378 1957 136927 29665 4.06571 4.06571 -142.286 -4.06571 0 0 1.01997e+06 3529.29 0.38 0.06 0.20 -1 -1 0.38 0.0236178 0.0210175 88 47 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 8.11 vpr 65.37 MiB -1 -1 0.17 20816 1 0.03 -1 -1 33728 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 30 32 363 293 1 224 79 17 17 289 -1 unnamed_device 26.9 MiB 1.49 1020 13261 4330 6888 2043 65.4 MiB 0.11 0.00 3.9687 -120.128 -3.9687 3.9687 0.98 0.000517563 0.000472233 0.0434384 0.0397317 46 2659 40 6.99608e+06 250167 828058. 2865.25 3.12 0.17671 0.15484 28066 200906 -1 1938 23 1923 2918 195269 46806 4.49304 4.49304 -150.931 -4.49304 0 0 1.01997e+06 3529.29 0.40 0.08 0.20 -1 -1 0.40 0.0285283 0.0251776 99 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 7.79 vpr 64.68 MiB -1 -1 0.15 20384 1 0.03 -1 -1 33944 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 299 247 1 183 78 17 17 289 -1 unnamed_device 26.2 MiB 0.86 801 12528 4387 6432 1709 64.7 MiB 0.10 0.00 2.87639 -92.2213 -2.87639 2.87639 0.97 0.000444707 0.000408308 0.0382001 0.0349345 38 2625 40 6.99608e+06 206020 678818. 2348.85 3.63 0.156417 0.137189 26626 170182 -1 1914 20 1419 1900 147111 32273 3.46521 3.46521 -116.055 -3.46521 0 0 902133. 3121.57 0.33 0.06 0.17 -1 -1 0.33 0.02111 0.0187797 76 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 6.60 vpr 64.95 MiB -1 -1 0.15 20508 1 0.03 -1 -1 33876 -1 -1 16 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 29 32 308 248 1 179 77 17 17 289 -1 unnamed_device 26.4 MiB 1.43 778 12139 5133 6279 727 64.9 MiB 0.09 0.00 3.42478 -96.0081 -3.42478 3.42478 0.94 0.000456227 0.000418286 0.0361823 0.0331729 46 1964 23 6.99608e+06 235451 828058. 2865.25 1.90 0.120998 0.106675 28066 200906 -1 1480 26 1245 2027 110658 30012 4.28202 4.28202 -122.283 -4.28202 0 0 1.01997e+06 3529.29 0.38 0.06 0.18 -1 -1 0.38 0.0255187 0.0221267 78 25 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 9.29 vpr 65.14 MiB -1 -1 0.16 20384 1 0.03 -1 -1 33684 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 32 32 336 268 1 194 78 17 17 289 -1 unnamed_device 26.7 MiB 2.85 836 13192 5559 7251 382 65.1 MiB 0.11 0.00 3.89209 -118.75 -3.89209 3.89209 0.98 0.000491831 0.000447953 0.0421328 0.0384967 44 2883 48 6.99608e+06 206020 787024. 2723.27 3.00 0.180976 0.158936 27778 195446 -1 1973 18 1401 2324 176250 39202 4.29556 4.29556 -140.618 -4.29556 0 0 997811. 3452.63 0.39 0.07 0.19 -1 -1 0.39 0.0225075 0.0201173 81 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 12.86 vpr 65.38 MiB -1 -1 0.14 20508 1 0.03 -1 -1 34044 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 32 32 366 295 1 221 81 17 17 289 -1 unnamed_device 26.9 MiB 3.10 908 13206 3715 7987 1504 65.4 MiB 0.11 0.00 2.79566 -97.2249 -2.79566 2.79566 0.99 0.000522397 0.000475553 0.0417317 0.0379859 54 2371 29 6.99608e+06 250167 949917. 3286.91 6.19 0.256854 0.224196 29506 232905 -1 1879 22 1868 2912 218329 48936 3.23951 3.23951 -119.459 -3.23951 0 0 1.17392e+06 4061.99 0.46 0.08 0.23 -1 -1 0.46 0.0265772 0.0236364 97 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 9.09 vpr 64.95 MiB -1 -1 0.14 20368 1 0.03 -1 -1 34208 -1 -1 15 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 27 32 259 221 1 154 74 17 17 289 -1 unnamed_device 26.5 MiB 1.72 588 9529 4152 4821 556 64.9 MiB 0.07 0.00 3.25142 -90.777 -3.25142 3.25142 0.96 0.000388069 0.000355607 0.02678 0.0245357 44 1736 34 6.99608e+06 220735 787024. 2723.27 4.06 0.167143 0.144661 27778 195446 -1 1377 20 1114 1650 116014 26108 3.30256 3.30256 -106.792 -3.30256 0 0 997811. 3452.63 0.40 0.05 0.19 -1 -1 0.40 0.0186303 0.0164809 66 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 6.32 vpr 64.71 MiB -1 -1 0.15 20196 1 0.03 -1 -1 34000 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 26.2 MiB 0.37 644 11788 4331 5937 1520 64.7 MiB 0.08 0.00 2.36085 -72.6768 -2.36085 2.36085 0.98 0.000420212 0.000380833 0.0278742 0.0253874 46 1889 48 6.99608e+06 367892 828058. 2865.25 2.59 0.142393 0.124314 28066 200906 -1 1414 20 1113 1790 124303 30192 2.72897 2.72897 -93.4669 -2.72897 0 0 1.01997e+06 3529.29 0.38 0.06 0.20 -1 -1 0.38 0.019257 0.0170626 69 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 6.34 vpr 65.15 MiB -1 -1 0.16 20392 1 0.03 -1 -1 33964 -1 -1 14 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 31 32 317 271 1 204 77 17 17 289 -1 unnamed_device 26.8 MiB 1.00 859 10672 3444 5262 1966 65.1 MiB 0.08 0.00 2.73924 -97.2893 -2.73924 2.73924 0.95 0.000449927 0.000409703 0.0322184 0.0294357 42 2966 37 6.99608e+06 206020 744469. 2576.02 2.01 0.123967 0.108546 27202 183097 -1 1933 20 1536 2064 169393 37815 3.70971 3.70971 -132.998 -3.70971 0 0 949917. 3286.91 0.36 0.07 0.18 -1 -1 0.36 0.0221283 0.0196654 87 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 6.30 vpr 65.01 MiB -1 -1 0.15 20284 1 0.03 -1 -1 33716 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 298 248 1 181 77 17 17 289 -1 unnamed_device 26.5 MiB 0.98 873 12791 5436 7046 309 65.0 MiB 0.09 0.00 3.18112 -113.032 -3.18112 3.18112 0.97 0.000446796 0.000407045 0.0372529 0.034085 42 2312 27 6.99608e+06 191304 744469. 2576.02 1.97 0.119144 0.104942 27202 183097 -1 1864 22 1451 1843 173119 36863 3.35756 3.35756 -132.211 -3.35756 0 0 949917. 3286.91 0.36 0.07 0.18 -1 -1 0.36 0.0221561 0.019619 75 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 7.03 vpr 65.05 MiB -1 -1 0.16 20364 1 0.03 -1 -1 33740 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 30 32 303 262 1 188 76 17 17 289 -1 unnamed_device 26.5 MiB 0.86 821 11436 4748 6325 363 65.1 MiB 0.09 0.00 3.18013 -104.101 -3.18013 3.18013 0.95 0.000442261 0.000403512 0.0333739 0.0304358 38 2509 25 6.99608e+06 206020 678818. 2348.85 2.91 0.139011 0.121534 26626 170182 -1 1984 21 1650 2235 161385 35204 3.795 3.795 -127.345 -3.795 0 0 902133. 3121.57 0.35 0.07 0.17 -1 -1 0.35 0.0216597 0.0192154 83 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 7.39 vpr 64.86 MiB -1 -1 0.14 20236 1 0.03 -1 -1 33808 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 276 237 1 165 75 17 17 289 -1 unnamed_device 26.4 MiB 0.74 831 9397 2155 6994 248 64.9 MiB 0.06 0.00 2.61058 -94.9371 -2.61058 2.61058 0.93 0.000341808 0.000312564 0.0252738 0.0231323 38 2207 24 6.99608e+06 161872 678818. 2348.85 3.50 0.127874 0.112112 26626 170182 -1 1841 19 1156 1497 140611 29464 3.05897 3.05897 -117.233 -3.05897 0 0 902133. 3121.57 0.32 0.05 0.16 -1 -1 0.32 0.0180326 0.0160639 66 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 7.41 vpr 65.05 MiB -1 -1 0.16 20120 1 0.03 -1 -1 34028 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 344 272 1 201 79 17 17 289 -1 unnamed_device 26.7 MiB 0.89 979 14613 6276 7820 517 65.0 MiB 0.11 0.00 3.18112 -109.79 -3.18112 3.18112 0.97 0.000502034 0.000456929 0.0456767 0.0416658 46 2968 42 6.99608e+06 220735 828058. 2865.25 3.10 0.188979 0.166704 28066 200906 -1 2184 20 1724 2490 226831 48010 3.48286 3.48286 -129.861 -3.48286 0 0 1.01997e+06 3529.29 0.38 0.08 0.19 -1 -1 0.38 0.0230234 0.0204932 87 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 10.16 vpr 65.41 MiB -1 -1 0.14 20596 1 0.03 -1 -1 33932 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66984 32 32 363 295 1 228 81 17 17 289 -1 unnamed_device 26.9 MiB 1.51 987 12506 4294 6105 2107 65.4 MiB 0.10 0.00 3.86116 -115.099 -3.86116 3.86116 0.95 0.000474266 0.000428093 0.0375188 0.0341204 48 2532 24 6.99608e+06 250167 865456. 2994.66 5.26 0.229094 0.197334 28354 207349 -1 2005 21 1979 2724 194510 48191 4.61691 4.61691 -156.494 -4.61691 0 0 1.05005e+06 3633.38 0.41 0.08 0.19 -1 -1 0.41 0.0244511 0.0216751 97 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 9.50 vpr 64.72 MiB -1 -1 0.15 20152 1 0.03 -1 -1 33392 -1 -1 13 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 29 32 248 215 1 155 74 17 17 289 -1 unnamed_device 26.4 MiB 3.06 617 8909 3623 4879 407 64.7 MiB 0.06 0.00 2.5552 -73.4298 -2.5552 2.5552 0.92 0.000406391 0.000369245 0.0233031 0.0212112 38 1867 30 6.99608e+06 191304 678818. 2348.85 3.37 0.113489 0.0985873 26626 170182 -1 1501 23 1177 1629 132839 29645 3.18417 3.18417 -99.9092 -3.18417 0 0 902133. 3121.57 0.32 0.05 0.15 -1 -1 0.32 0.0182381 0.0160504 64 21 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 10.04 vpr 65.20 MiB -1 -1 0.15 20380 1 0.03 -1 -1 33636 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 370 297 1 222 80 17 17 289 -1 unnamed_device 26.7 MiB 1.47 1124 13840 4038 8421 1381 65.2 MiB 0.12 0.00 3.11689 -107.741 -3.11689 3.11689 0.98 0.000534104 0.000488107 0.0453755 0.0415087 46 2850 24 6.99608e+06 235451 828058. 2865.25 5.10 0.216817 0.188842 28066 200906 -1 2215 23 1917 2943 209195 44174 3.62161 3.62161 -132.282 -3.62161 0 0 1.01997e+06 3529.29 0.40 0.08 0.20 -1 -1 0.40 0.0278334 0.0247593 96 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 8.78 vpr 65.02 MiB -1 -1 0.16 20868 1 0.03 -1 -1 34016 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 338 269 1 198 79 17 17 289 -1 unnamed_device 26.7 MiB 0.84 870 10050 2659 6005 1386 65.0 MiB 0.08 0.00 3.53345 -112.815 -3.53345 3.53345 0.99 0.000522975 0.00046634 0.0313675 0.028648 40 2465 22 6.99608e+06 220735 706193. 2443.58 4.57 0.190689 0.16555 26914 176310 -1 1998 21 1570 2130 198586 41549 3.62016 3.62016 -131.534 -3.62016 0 0 926341. 3205.33 0.36 0.07 0.17 -1 -1 0.36 0.0226817 0.0200979 84 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 9.50 vpr 64.84 MiB -1 -1 0.15 20236 1 0.03 -1 -1 33492 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 323 276 1 210 79 17 17 289 -1 unnamed_device 26.3 MiB 0.86 819 12923 3779 6948 2196 64.8 MiB 0.10 0.00 2.59239 -95.5898 -2.59239 2.59239 0.98 0.000468164 0.00042675 0.0384503 0.0351271 46 2478 40 6.99608e+06 220735 828058. 2865.25 5.18 0.203262 0.176503 28066 200906 -1 1803 23 1608 2096 142715 32787 2.95506 2.95506 -111.944 -2.95506 0 0 1.01997e+06 3529.29 0.41 0.07 0.20 -1 -1 0.41 0.024317 0.0215571 89 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 7.67 vpr 64.61 MiB -1 -1 0.14 20016 1 0.03 -1 -1 33432 -1 -1 10 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 30 32 222 206 1 131 72 17 17 289 -1 unnamed_device 26.1 MiB 1.93 483 9012 3027 4543 1442 64.6 MiB 0.06 0.00 1.95956 -69.6963 -1.95956 1.95956 0.97 0.000348476 0.000317871 0.0229477 0.0210174 36 1592 44 6.99608e+06 147157 648988. 2245.63 2.57 0.127601 0.111719 26050 158493 -1 1041 18 694 783 66235 17131 1.98713 1.98713 -86.0178 -1.98713 0 0 828058. 2865.25 0.34 0.04 0.14 -1 -1 0.34 0.0151705 0.0134588 52 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 10.66 vpr 64.96 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33848 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 31 32 291 243 1 171 76 17 17 289 -1 unnamed_device 26.5 MiB 2.39 909 8236 2437 5131 668 65.0 MiB 0.06 0.00 3.02472 -102.518 -3.02472 3.02472 0.97 0.00043444 0.000396731 0.0246042 0.0225374 42 2335 29 6.99608e+06 191304 744469. 2576.02 4.96 0.202422 0.175872 27202 183097 -1 1923 20 1478 2085 197591 40301 3.33846 3.33846 -129.301 -3.33846 0 0 949917. 3286.91 0.37 0.07 0.18 -1 -1 0.37 0.0207485 0.0184372 72 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 10.67 vpr 65.21 MiB -1 -1 0.15 20084 1 0.03 -1 -1 33680 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 342 271 1 201 84 17 17 289 -1 unnamed_device 26.8 MiB 1.50 756 16005 6181 7383 2441 65.2 MiB 0.11 0.00 3.25624 -105.856 -3.25624 3.25624 0.97 0.000497733 0.00045212 0.046066 0.0420447 48 2354 44 6.99608e+06 294314 865456. 2994.66 5.69 0.246477 0.215786 28354 207349 -1 1966 28 2277 3281 259371 61383 4.30655 4.30655 -143.383 -4.30655 0 0 1.05005e+06 3633.38 0.42 0.10 0.19 -1 -1 0.42 0.0305533 0.0269603 88 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 11.47 vpr 65.39 MiB -1 -1 0.16 20608 1 0.03 -1 -1 33712 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 372 300 1 225 80 17 17 289 -1 unnamed_device 26.8 MiB 2.49 1242 15044 5400 7537 2107 65.4 MiB 0.12 0.00 3.72134 -119.716 -3.72134 3.72134 0.98 0.000492894 0.000455155 0.0475971 0.0433355 40 3312 38 6.99608e+06 235451 706193. 2443.58 5.53 0.188892 0.166 26914 176310 -1 2904 22 2221 3277 340802 65083 4.1744 4.1744 -148.241 -4.1744 0 0 926341. 3205.33 0.35 0.11 0.17 -1 -1 0.35 0.0284539 0.0253624 100 59 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 9.13 vpr 64.65 MiB -1 -1 0.13 20344 1 0.02 -1 -1 33744 -1 -1 13 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 26 32 190 182 1 123 71 17 17 289 -1 unnamed_device 26.2 MiB 2.21 404 9269 3844 4746 679 64.7 MiB 0.05 0.00 2.2286 -62.3611 -2.2286 2.2286 0.94 0.000281584 0.000255218 0.0198116 0.0180106 38 879 22 6.99608e+06 191304 678818. 2348.85 3.85 0.108881 0.093765 26626 170182 -1 678 19 600 665 31025 9930 2.34227 2.34227 -71.3366 -2.34227 0 0 902133. 3121.57 0.34 0.04 0.15 -1 -1 0.34 0.0151154 0.0133171 53 21 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 7.19 vpr 64.84 MiB -1 -1 0.16 20064 1 0.03 -1 -1 33628 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 285 227 1 162 79 17 17 289 -1 unnamed_device 26.4 MiB 1.08 719 9205 4002 4865 338 64.8 MiB 0.07 0.00 3.7303 -92.7553 -3.7303 3.7303 0.95 0.000453015 0.000414591 0.0266632 0.0244143 42 2625 43 6.99608e+06 220735 744469. 2576.02 2.80 0.143846 0.125623 27202 183097 -1 1774 22 1427 2380 226256 48168 3.78966 3.78966 -126.719 -3.78966 0 0 949917. 3286.91 0.38 0.08 0.18 -1 -1 0.38 0.0226116 0.0200574 66 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 4.87 vpr 64.02 MiB -1 -1 0.14 19816 1 0.03 -1 -1 33624 -1 -1 8 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65556 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 25.7 MiB 0.21 367 8863 3405 4307 1151 64.0 MiB 0.05 0.00 1.68521 -55.2113 -1.68521 1.68521 0.95 0.000258318 0.000234312 0.0182263 0.0165701 36 1188 50 6.99608e+06 117725 648988. 2245.63 1.57 0.0835033 0.0724289 26050 158493 -1 921 23 681 765 62557 15873 1.92938 1.92938 -72.4199 -1.92938 0 0 828058. 2865.25 0.32 0.04 0.16 -1 -1 0.32 0.0152775 0.0134604 42 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 9.30 vpr 65.11 MiB -1 -1 0.15 20160 1 0.03 -1 -1 33904 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 300 245 1 178 78 17 17 289 -1 unnamed_device 26.5 MiB 1.23 894 14188 6142 7635 411 65.1 MiB 0.10 0.00 3.68643 -101.798 -3.68643 3.68643 0.94 0.000451334 0.000412196 0.040595 0.0371091 38 2733 36 6.99608e+06 206020 678818. 2348.85 4.86 0.240108 0.20946 26626 170182 -1 2183 22 1283 1847 168531 35015 4.05647 4.05647 -126.619 -4.05647 0 0 902133. 3121.57 0.34 0.06 0.15 -1 -1 0.34 0.0212536 0.018875 73 21 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 17.03 vpr 64.73 MiB -1 -1 0.15 20148 1 0.03 -1 -1 33704 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 26.2 MiB 0.55 699 13291 4925 6211 2155 64.7 MiB 0.09 0.00 2.46605 -78.7522 -2.46605 2.46605 0.96 0.000456097 0.000413579 0.034326 0.0312654 44 2438 34 6.99608e+06 309029 787024. 2723.27 13.08 0.253846 0.220349 27778 195446 -1 1698 29 1496 2603 229315 68037 3.19822 3.19822 -108.906 -3.19822 0 0 997811. 3452.63 0.37 0.09 0.19 -1 -1 0.37 0.0279083 0.0245964 74 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 11.14 vpr 65.08 MiB -1 -1 0.15 20180 1 0.03 -1 -1 33408 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 338 277 1 205 79 17 17 289 -1 unnamed_device 26.7 MiB 1.68 837 13599 5022 6053 2524 65.1 MiB 0.10 0.00 3.44978 -102.261 -3.44978 3.44978 0.98 0.000487112 0.000442252 0.0443746 0.0404871 54 2647 38 6.99608e+06 220735 949917. 3286.91 5.92 0.237183 0.206298 29506 232905 -1 1983 21 1657 2581 185299 43324 3.93141 3.93141 -125.123 -3.93141 0 0 1.17392e+06 4061.99 0.44 0.07 0.24 -1 -1 0.44 0.0244956 0.0218184 87 47 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 8.14 vpr 64.86 MiB -1 -1 0.14 20376 1 0.03 -1 -1 33764 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 284 241 1 168 76 17 17 289 -1 unnamed_device 26.4 MiB 2.51 700 10956 4650 6053 253 64.9 MiB 0.08 0.00 2.6695 -91.049 -2.6695 2.6695 0.97 0.000425341 0.000387659 0.0311885 0.028491 40 2185 34 6.99608e+06 176588 706193. 2443.58 2.33 0.147709 0.130044 26914 176310 -1 1860 21 1291 1793 186272 43890 3.16327 3.16327 -119.238 -3.16327 0 0 926341. 3205.33 0.36 0.07 0.17 -1 -1 0.36 0.020587 0.0182563 69 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 6.94 vpr 64.62 MiB -1 -1 0.15 20268 1 0.03 -1 -1 33548 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 30 32 262 227 1 160 76 17 17 289 -1 unnamed_device 26.2 MiB 1.63 699 10156 4235 5569 352 64.6 MiB 0.07 0.00 2.92097 -88.9275 -2.92097 2.92097 0.95 0.000401186 0.000365974 0.0273653 0.0250298 44 1839 24 6.99608e+06 206020 787024. 2723.27 1.98 0.100668 0.0884341 27778 195446 -1 1558 22 1253 1919 156505 34515 3.36001 3.36001 -109.089 -3.36001 0 0 997811. 3452.63 0.41 0.06 0.19 -1 -1 0.41 0.020071 0.0177371 66 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 7.01 vpr 64.91 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33788 -1 -1 18 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 28 32 260 223 1 152 78 17 17 289 -1 unnamed_device 26.5 MiB 0.84 727 9872 3925 4215 1732 64.9 MiB 0.07 0.00 2.6537 -83.2658 -2.6537 2.6537 0.94 0.000406006 0.000369635 0.0255065 0.0233361 34 2622 39 6.99608e+06 264882 618332. 2139.56 2.98 0.113039 0.098862 25762 151098 -1 1868 21 1344 2090 188078 38799 3.45611 3.45611 -115.82 -3.45611 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0198379 0.0175921 69 27 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 5.56 vpr 64.90 MiB -1 -1 0.15 20280 1 0.03 -1 -1 33764 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 26.3 MiB 0.41 581 11699 4938 6474 287 64.9 MiB 0.08 0.00 2.81485 -90.4049 -2.81485 2.81485 0.98 0.000409787 0.000374302 0.0329293 0.0301277 42 1964 45 6.99608e+06 147157 744469. 2576.02 1.86 0.133297 0.116291 27202 183097 -1 1409 23 1132 1602 117438 27105 3.07897 3.07897 -108.192 -3.07897 0 0 949917. 3286.91 0.35 0.06 0.18 -1 -1 0.35 0.020784 0.0183925 58 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 9.32 vpr 64.61 MiB -1 -1 0.15 20312 1 0.03 -1 -1 33720 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 31 32 271 231 1 165 76 17 17 289 -1 unnamed_device 26.1 MiB 0.97 801 9196 3028 4477 1691 64.6 MiB 0.07 0.00 2.75428 -90.3488 -2.75428 2.75428 0.99 0.000407984 0.000373388 0.0260861 0.0238235 36 2820 40 6.99608e+06 191304 648988. 2245.63 5.08 0.150326 0.132107 26050 158493 -1 2022 22 1265 1679 145562 31359 3.04497 3.04497 -111.996 -3.04497 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0215015 0.0190667 69 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 10.37 vpr 65.05 MiB -1 -1 0.12 20148 1 0.03 -1 -1 33832 -1 -1 15 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 29 32 291 250 1 180 76 17 17 289 -1 unnamed_device 26.5 MiB 2.71 970 7756 2053 5065 638 65.1 MiB 0.06 0.00 2.45385 -89.9013 -2.45385 2.45385 0.94 0.000384839 0.000348905 0.022145 0.0202031 36 2551 46 6.99608e+06 220735 648988. 2245.63 4.51 0.171788 0.14885 26050 158493 -1 2063 18 1371 1769 147248 31274 2.73202 2.73202 -109.249 -2.73202 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0185073 0.016443 77 48 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 9.29 vpr 65.30 MiB -1 -1 0.16 20664 1 0.03 -1 -1 33812 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66868 32 32 367 282 1 217 80 17 17 289 -1 unnamed_device 26.8 MiB 1.31 945 14356 5639 6727 1990 65.3 MiB 0.12 0.00 3.66263 -104.012 -3.66263 3.66263 0.98 0.000508374 0.000462843 0.0473202 0.0430377 46 2907 38 6.99608e+06 235451 828058. 2865.25 4.56 0.241875 0.211752 28066 200906 -1 2115 21 1566 2576 171574 38297 3.81107 3.81107 -122.494 -3.81107 0 0 1.01997e+06 3529.29 0.38 0.07 0.18 -1 -1 0.38 0.0254629 0.0226357 92 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 9.95 vpr 65.59 MiB -1 -1 0.17 20576 1 0.03 -1 -1 33888 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 32 32 391 311 1 244 83 17 17 289 -1 unnamed_device 27.0 MiB 1.71 1018 14483 5447 6922 2114 65.6 MiB 0.12 0.00 3.37416 -117.445 -3.37416 3.37416 0.96 0.000529247 0.000480996 0.0467349 0.042641 46 2931 27 6.99608e+06 279598 828058. 2865.25 4.73 0.239051 0.208562 28066 200906 -1 2368 22 2469 3611 272647 58995 3.9528 3.9528 -145.597 -3.9528 0 0 1.01997e+06 3529.29 0.39 0.10 0.20 -1 -1 0.39 0.0301205 0.026774 106 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 9.57 vpr 64.92 MiB -1 -1 0.14 20148 1 0.03 -1 -1 34068 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 31 32 279 237 1 157 74 17 17 289 -1 unnamed_device 26.5 MiB 1.45 814 12009 5035 6571 403 64.9 MiB 0.09 0.00 2.87547 -96.8837 -2.87547 2.87547 0.97 0.00042941 0.000392504 0.0346606 0.0317348 36 2279 23 6.99608e+06 161872 648988. 2245.63 4.85 0.132613 0.116402 26050 158493 -1 1887 20 1305 1889 177210 34836 3.30622 3.30622 -120.406 -3.30622 0 0 828058. 2865.25 0.32 0.06 0.16 -1 -1 0.32 0.019085 0.0169043 66 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 8.36 vpr 65.21 MiB -1 -1 0.17 20560 1 0.03 -1 -1 33676 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 31 32 370 297 1 226 80 17 17 289 -1 unnamed_device 26.7 MiB 1.72 947 15044 5879 6654 2511 65.2 MiB 0.12 0.00 2.98339 -99.7781 -2.98339 2.98339 0.98 0.000518081 0.000471071 0.0492888 0.0450641 46 2774 26 6.99608e+06 250167 828058. 2865.25 3.14 0.177392 0.156476 28066 200906 -1 2068 24 1822 2502 188883 42359 3.34557 3.34557 -122.887 -3.34557 0 0 1.01997e+06 3529.29 0.38 0.08 0.20 -1 -1 0.38 0.0284277 0.0251973 99 57 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 11.02 vpr 65.35 MiB -1 -1 0.17 20676 1 0.03 -1 -1 34024 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 31 32 377 302 1 235 80 17 17 289 -1 unnamed_device 26.8 MiB 1.69 1040 13152 5086 6352 1714 65.3 MiB 0.11 0.00 4.27366 -133.02 -4.27366 4.27366 0.99 0.000537284 0.000488087 0.0438599 0.0400449 46 3532 36 6.99608e+06 250167 828058. 2865.25 5.86 0.257406 0.224616 28066 200906 -1 2360 23 2234 3227 273457 56183 5.0031 5.0031 -167.17 -5.0031 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0284516 0.0252934 104 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 9.04 vpr 65.31 MiB -1 -1 0.17 20480 1 0.03 -1 -1 34004 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 31 32 383 305 1 233 81 17 17 289 -1 unnamed_device 26.7 MiB 3.37 978 14431 5170 6849 2412 65.3 MiB 0.12 0.00 4.14878 -130.965 -4.14878 4.14878 0.95 0.0005456 0.000496433 0.0478501 0.043579 40 3427 33 6.99608e+06 264882 706193. 2443.58 2.29 0.171913 0.151356 26914 176310 -1 2734 22 2322 3276 327102 69127 5.33514 5.33514 -179.726 -5.33514 0 0 926341. 3205.33 0.35 0.10 0.17 -1 -1 0.35 0.0266061 0.0235747 103 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 10.26 vpr 65.18 MiB -1 -1 0.16 20612 1 0.03 -1 -1 33908 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 31 32 352 285 1 215 79 17 17 289 -1 unnamed_device 26.8 MiB 2.29 1037 13599 5550 6544 1505 65.2 MiB 0.11 0.00 3.12612 -104.757 -3.12612 3.12612 0.98 0.000499802 0.000455967 0.0432004 0.0394263 44 2853 27 6.99608e+06 235451 787024. 2723.27 4.53 0.233295 0.203676 27778 195446 -1 2140 21 1643 2249 169309 35221 3.16926 3.16926 -118.903 -3.16926 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.0252825 0.0225557 93 51 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 7.75 vpr 64.71 MiB -1 -1 0.14 20192 1 0.03 -1 -1 33928 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 291 242 1 178 78 17 17 289 -1 unnamed_device 26.1 MiB 1.02 843 12196 5125 6603 468 64.7 MiB 0.08 0.00 3.22248 -91.914 -3.22248 3.22248 0.93 0.000416449 0.00037624 0.0336017 0.0305407 40 2533 44 6.99608e+06 206020 706193. 2443.58 3.53 0.155349 0.13611 26914 176310 -1 2029 22 1553 2139 205133 46292 3.85811 3.85811 -120.706 -3.85811 0 0 926341. 3205.33 0.35 0.07 0.16 -1 -1 0.35 0.0217144 0.0191914 72 24 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 9.79 vpr 65.58 MiB -1 -1 0.18 20488 1 0.03 -1 -1 33804 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 32 32 457 356 1 282 85 17 17 289 -1 unnamed_device 27.3 MiB 1.43 1486 13849 4526 7238 2085 65.6 MiB 0.13 0.00 4.125 -141.675 -4.125 4.125 0.98 0.000643474 0.000586882 0.0503165 0.0459563 48 3603 22 6.99608e+06 309029 865456. 2994.66 4.77 0.283496 0.247881 28354 207349 -1 3263 21 2587 3666 317148 65191 4.74144 4.74144 -172.733 -4.74144 0 0 1.05005e+06 3633.38 0.42 0.11 0.20 -1 -1 0.42 0.0324164 0.0289187 129 84 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 9.59 vpr 64.74 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33712 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 31 32 261 225 1 158 74 17 17 289 -1 unnamed_device 26.3 MiB 3.64 620 9064 3735 4947 382 64.7 MiB 0.06 0.00 2.4909 -81.579 -2.4909 2.4909 0.97 0.000402047 0.000366762 0.0254705 0.0233126 38 1978 25 6.99608e+06 161872 678818. 2348.85 2.71 0.119676 0.104503 26626 170182 -1 1431 23 1204 1597 98356 24793 3.40652 3.40652 -104.496 -3.40652 0 0 902133. 3121.57 0.34 0.05 0.17 -1 -1 0.34 0.0202004 0.0178187 65 24 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 9.91 vpr 65.21 MiB -1 -1 0.15 20844 1 0.03 -1 -1 33800 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 31 32 337 267 1 200 78 17 17 289 -1 unnamed_device 26.8 MiB 0.73 970 13856 5895 7462 499 65.2 MiB 0.10 0.00 3.70767 -116.074 -3.70767 3.70767 0.98 0.000462194 0.000423503 0.0422383 0.0385547 50 2771 21 6.99608e+06 220735 902133. 3121.57 5.69 0.227715 0.198403 28642 213929 -1 2216 23 1717 2464 305094 94102 4.37731 4.37731 -147.061 -4.37731 0 0 1.08113e+06 3740.92 0.41 0.11 0.21 -1 -1 0.41 0.0258292 0.0229177 85 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 9.68 vpr 65.10 MiB -1 -1 0.17 20716 1 0.03 -1 -1 33920 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 349 284 1 213 79 17 17 289 -1 unnamed_device 26.7 MiB 1.45 1125 11233 4225 5159 1849 65.1 MiB 0.10 0.00 3.12594 -108.005 -3.12594 3.12594 0.96 0.000505838 0.000462279 0.0357613 0.0327055 38 3115 25 6.99608e+06 220735 678818. 2348.85 4.89 0.158893 0.140035 26626 170182 -1 2595 20 1566 2442 202686 40903 3.42006 3.42006 -133.49 -3.42006 0 0 902133. 3121.57 0.33 0.07 0.17 -1 -1 0.33 0.023141 0.0205251 91 50 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 7.48 vpr 64.80 MiB -1 -1 0.15 20420 1 0.03 -1 -1 33704 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 26.3 MiB 0.96 669 11088 2858 6458 1772 64.8 MiB 0.08 0.00 3.61243 -98.5885 -3.61243 3.61243 0.97 0.000424895 0.000386051 0.0301631 0.027412 48 2030 33 6.99608e+06 235451 865456. 2994.66 3.20 0.143667 0.12581 28354 207349 -1 1487 21 1268 2260 147772 35883 3.77587 3.77587 -115.848 -3.77587 0 0 1.05005e+06 3633.38 0.40 0.06 0.19 -1 -1 0.40 0.0211553 0.0187217 68 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 9.39 vpr 64.98 MiB -1 -1 0.15 20932 1 0.03 -1 -1 33556 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 353 287 1 204 79 17 17 289 -1 unnamed_device 26.5 MiB 1.43 953 9712 4034 5432 246 65.0 MiB 0.08 0.00 3.52245 -109.707 -3.52245 3.52245 0.95 0.000515476 0.000470422 0.0314493 0.0287672 44 2666 26 6.99608e+06 220735 787024. 2723.27 4.57 0.204619 0.178216 27778 195446 -1 2152 23 1484 1979 160395 33455 3.60916 3.60916 -131.703 -3.60916 0 0 997811. 3452.63 0.38 0.07 0.19 -1 -1 0.38 0.0267427 0.0237085 90 52 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 18.86 vpr 65.38 MiB -1 -1 0.16 20592 1 0.03 -1 -1 33676 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66952 32 32 361 291 1 216 79 17 17 289 -1 unnamed_device 26.9 MiB 1.77 1076 13768 5464 6508 1796 65.4 MiB 0.11 0.00 2.90529 -102.96 -2.90529 2.90529 0.94 0.000497085 0.000452287 0.0435932 0.0398178 40 2986 23 6.99608e+06 220735 706193. 2443.58 13.70 0.281375 0.244912 26914 176310 -1 2551 22 1831 2761 243276 50318 3.65666 3.65666 -135.232 -3.65666 0 0 926341. 3205.33 0.36 0.09 0.17 -1 -1 0.36 0.0271955 0.024195 92 52 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 10.69 vpr 65.48 MiB -1 -1 0.14 20848 1 0.03 -1 -1 33916 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 382 305 1 237 80 17 17 289 -1 unnamed_device 26.9 MiB 2.37 1123 14872 5822 7422 1628 65.5 MiB 0.11 0.00 3.04477 -106.857 -3.04477 3.04477 0.92 0.000564463 0.000515891 0.0443357 0.040474 46 2977 24 6.99608e+06 235451 828058. 2865.25 5.02 0.221885 0.192961 28066 200906 -1 2362 22 1864 2486 191613 39917 3.33551 3.33551 -128.486 -3.33551 0 0 1.01997e+06 3529.29 0.40 0.08 0.19 -1 -1 0.40 0.0275401 0.0245001 101 59 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 9.16 vpr 65.08 MiB -1 -1 0.15 20300 1 0.03 -1 -1 33616 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 32 32 306 248 1 178 78 17 17 289 -1 unnamed_device 26.5 MiB 1.06 764 10536 3249 4839 2448 65.1 MiB 0.08 0.00 3.71143 -99.7777 -3.71143 3.71143 0.95 0.000448936 0.000407292 0.0313538 0.0286059 46 2296 41 6.99608e+06 206020 828058. 2865.25 4.80 0.184724 0.159672 28066 200906 -1 1563 19 1055 1559 108961 29143 3.64927 3.64927 -116.321 -3.64927 0 0 1.01997e+06 3529.29 0.38 0.05 0.18 -1 -1 0.38 0.0204915 0.0182611 74 21 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 19.44 vpr 65.14 MiB -1 -1 0.15 20304 1 0.03 -1 -1 33856 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 32 32 319 257 1 192 77 17 17 289 -1 unnamed_device 26.5 MiB 2.18 706 10835 4447 5904 484 65.1 MiB 0.08 0.00 3.44198 -102.523 -3.44198 3.44198 0.93 0.000499277 0.000456064 0.0336218 0.0307081 44 2763 34 6.99608e+06 191304 787024. 2723.27 13.87 0.266961 0.232661 27778 195446 -1 1859 29 1863 2545 205052 48413 4.22466 4.22466 -131.601 -4.22466 0 0 997811. 3452.63 0.40 0.09 0.19 -1 -1 0.40 0.0301391 0.0266639 81 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 9.67 vpr 65.27 MiB -1 -1 0.17 20496 1 0.03 -1 -1 33896 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 31 32 373 299 1 224 79 17 17 289 -1 unnamed_device 26.7 MiB 1.00 1122 12078 5051 6678 349 65.3 MiB 0.10 0.00 3.56031 -113.625 -3.56031 3.56031 0.98 0.000526641 0.000480945 0.0406902 0.0371691 46 3260 23 6.99608e+06 235451 828058. 2865.25 5.19 0.216559 0.188502 28066 200906 -1 2784 21 1842 2855 260214 51815 3.84076 3.84076 -141.466 -3.84076 0 0 1.01997e+06 3529.29 0.41 0.09 0.20 -1 -1 0.41 0.0258528 0.0228937 99 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 10.30 vpr 65.58 MiB -1 -1 0.17 20452 1 0.03 -1 -1 33956 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 387 315 1 241 80 17 17 289 -1 unnamed_device 27.0 MiB 1.11 1112 14528 5975 6903 1650 65.6 MiB 0.13 0.00 3.36392 -112.351 -3.36392 3.36392 0.96 0.000552596 0.000504461 0.0490383 0.0448005 54 3007 27 6.99608e+06 235451 949917. 3286.91 5.60 0.252325 0.219703 29506 232905 -1 2465 21 2029 2988 225372 47060 3.63446 3.63446 -135.028 -3.63446 0 0 1.17392e+06 4061.99 0.47 0.08 0.23 -1 -1 0.47 0.0272983 0.0242826 104 74 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 6.44 vpr 64.76 MiB -1 -1 0.14 20328 1 0.03 -1 -1 33736 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 251 219 1 152 74 17 17 289 -1 unnamed_device 26.4 MiB 0.65 652 9684 3987 5324 373 64.8 MiB 0.07 0.00 2.58978 -78.8686 -2.58978 2.58978 0.96 0.000391211 0.000356982 0.0262154 0.0239464 40 2059 45 6.99608e+06 147157 706193. 2443.58 2.58 0.128882 0.112265 26914 176310 -1 1623 24 1133 1537 139897 35042 2.88672 2.88672 -105.497 -2.88672 0 0 926341. 3205.33 0.34 0.06 0.18 -1 -1 0.34 0.0209281 0.0184492 60 20 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 9.08 vpr 65.11 MiB -1 -1 0.15 20672 1 0.03 -1 -1 33592 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 341 285 1 214 79 17 17 289 -1 unnamed_device 26.7 MiB 0.90 970 11571 4543 4967 2061 65.1 MiB 0.09 0.00 3.31348 -119.997 -3.31348 3.31348 0.95 0.000462495 0.000418558 0.0344522 0.0313517 44 3190 25 6.99608e+06 220735 787024. 2723.27 4.86 0.2058 0.179135 27778 195446 -1 2558 19 2007 2727 276722 54506 3.95955 3.95955 -152.748 -3.95955 0 0 997811. 3452.63 0.38 0.08 0.17 -1 -1 0.38 0.020856 0.0184461 93 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 8.41 vpr 65.18 MiB -1 -1 0.15 20572 1 0.03 -1 -1 34060 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 32 32 387 293 1 226 80 17 17 289 -1 unnamed_device 26.7 MiB 1.16 1157 14700 6315 8054 331 65.2 MiB 0.13 0.00 3.99514 -125.321 -3.99514 3.99514 0.95 0.00057081 0.00052128 0.0514708 0.0470415 48 3001 43 6.99608e+06 235451 865456. 2994.66 3.74 0.201238 0.177025 28354 207349 -1 2530 20 2114 3186 375525 77707 5.03786 5.03786 -157.692 -5.03786 0 0 1.05005e+06 3633.38 0.42 0.11 0.21 -1 -1 0.42 0.0268721 0.0238847 98 28 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 8.68 vpr 65.09 MiB -1 -1 0.15 20300 1 0.03 -1 -1 33620 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 340 270 1 203 79 17 17 289 -1 unnamed_device 26.8 MiB 0.72 1018 10388 3249 6500 639 65.1 MiB 0.09 0.00 3.52245 -120.884 -3.52245 3.52245 0.95 0.000518267 0.00047227 0.033617 0.0306964 38 2877 25 6.99608e+06 220735 678818. 2348.85 4.63 0.200441 0.174462 26626 170182 -1 2343 22 1734 2335 193535 39109 3.60916 3.60916 -141.658 -3.60916 0 0 902133. 3121.57 0.36 0.07 0.17 -1 -1 0.36 0.0247794 0.0219987 85 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.59 vpr 64.77 MiB -1 -1 0.15 20516 1 0.03 -1 -1 33784 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 30 32 278 235 1 166 82 17 17 289 -1 unnamed_device 26.3 MiB 1.42 659 11474 4753 6219 502 64.8 MiB 0.08 0.00 3.02694 -91.1422 -3.02694 3.02694 0.93 0.000415689 0.000380705 0.0296996 0.0271675 40 2053 32 6.99608e+06 294314 706193. 2443.58 2.98 0.136053 0.119381 26914 176310 -1 1650 22 1230 1847 162168 37212 3.67272 3.67272 -124.955 -3.67272 0 0 926341. 3205.33 0.34 0.06 0.17 -1 -1 0.34 0.0192068 0.0169854 72 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 10.95 vpr 65.82 MiB -1 -1 0.16 20604 1 0.03 -1 -1 33856 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 431 332 1 261 82 17 17 289 -1 unnamed_device 27.2 MiB 1.84 1458 16102 5599 8635 1868 65.8 MiB 0.15 0.00 4.69828 -148.633 -4.69828 4.69828 0.96 0.000581484 0.000533176 0.0576286 0.052546 48 3655 23 6.99608e+06 264882 865456. 2994.66 5.58 0.263336 0.230215 28354 207349 -1 3121 22 2559 3868 340753 66508 5.29419 5.29419 -182.681 -5.29419 0 0 1.05005e+06 3633.38 0.41 0.11 0.20 -1 -1 0.41 0.0312311 0.0279203 116 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 6.59 vpr 65.00 MiB -1 -1 0.16 20180 1 0.03 -1 -1 33516 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 336 268 1 199 78 17 17 289 -1 unnamed_device 26.7 MiB 0.71 867 13856 5938 7553 365 65.0 MiB 0.11 0.00 3.85334 -116.528 -3.85334 3.85334 0.98 0.000506005 0.000462027 0.0448853 0.0410361 42 2603 29 6.99608e+06 206020 744469. 2576.02 2.49 0.161383 0.14169 27202 183097 -1 1968 21 1648 2271 192314 41452 4.00826 4.00826 -136.06 -4.00826 0 0 949917. 3286.91 0.35 0.07 0.18 -1 -1 0.35 0.0234125 0.0207701 83 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 5.59 vpr 64.46 MiB -1 -1 0.14 20376 1 0.03 -1 -1 33716 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 26.0 MiB 0.25 652 9694 2938 5089 1667 64.5 MiB 0.06 0.00 2.4029 -78.4802 -2.4029 2.4029 0.97 0.000352605 0.00032467 0.0239477 0.0218964 38 1877 25 6.99608e+06 191304 678818. 2348.85 2.11 0.112298 0.0981277 26626 170182 -1 1564 20 987 1551 136340 28326 2.93967 2.93967 -102.409 -2.93967 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0176244 0.0156092 51 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 24.12 vpr 64.98 MiB -1 -1 0.16 20492 1 0.03 -1 -1 33532 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 349 273 1 207 80 17 17 289 -1 unnamed_device 26.6 MiB 1.46 948 15732 6849 7886 997 65.0 MiB 0.12 0.00 4.00152 -109.55 -4.00152 4.00152 0.96 0.000509743 0.000462498 0.0497105 0.0452473 40 3772 48 6.99608e+06 235451 706193. 2443.58 19.24 0.310692 0.270342 26914 176310 -1 2499 22 1857 3042 289877 66101 5.21346 5.21346 -152.622 -5.21346 0 0 926341. 3205.33 0.36 0.10 0.17 -1 -1 0.36 0.0266004 0.0236668 85 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 6.09 vpr 64.44 MiB -1 -1 0.15 20332 1 0.03 -1 -1 33924 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 25.9 MiB 1.00 483 9706 3182 4454 2070 64.4 MiB 0.06 0.00 2.5722 -81.3565 -2.5722 2.5722 0.95 0.000393445 0.000359603 0.0248173 0.0227189 40 1669 29 6.99608e+06 206020 706193. 2443.58 1.88 0.108683 0.0951551 26914 176310 -1 1353 21 1309 1903 173951 43005 2.96467 2.96467 -107.916 -2.96467 0 0 926341. 3205.33 0.36 0.06 0.17 -1 -1 0.36 0.0182715 0.0162684 57 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 7.60 vpr 64.71 MiB -1 -1 0.15 20172 1 0.03 -1 -1 33688 -1 -1 13 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 30 32 278 235 1 170 75 17 17 289 -1 unnamed_device 26.2 MiB 0.67 687 11925 5028 6439 458 64.7 MiB 0.09 0.00 3.03377 -95.0567 -3.03377 3.03377 1.01 0.000426442 0.000389496 0.0342524 0.0313443 38 2426 31 6.99608e+06 191304 678818. 2348.85 3.56 0.139568 0.122394 26626 170182 -1 1672 22 1423 1885 156052 34424 3.45281 3.45281 -118.219 -3.45281 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.020492 0.01811 69 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 8.67 vpr 65.21 MiB -1 -1 0.17 20484 1 0.03 -1 -1 33804 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 29 32 355 287 1 213 79 17 17 289 -1 unnamed_device 26.7 MiB 1.68 1017 7515 2624 3331 1560 65.2 MiB 0.06 0.00 3.40046 -105.096 -3.40046 3.40046 0.96 0.000501533 0.000456379 0.0252529 0.0230515 40 3076 27 6.99608e+06 264882 706193. 2443.58 3.72 0.144232 0.126146 26914 176310 -1 2649 23 2035 2979 325978 65360 4.0426 4.0426 -140.606 -4.0426 0 0 926341. 3205.33 0.33 0.09 0.17 -1 -1 0.33 0.0244444 0.0216486 97 56 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 10.07 vpr 65.25 MiB -1 -1 0.16 20644 1 0.03 -1 -1 33620 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 358 289 1 217 79 17 17 289 -1 unnamed_device 26.8 MiB 1.57 1094 10219 4205 5773 241 65.2 MiB 0.08 0.00 3.50518 -117.692 -3.50518 3.50518 0.96 0.000473637 0.000426914 0.0320337 0.0291421 44 2882 25 6.99608e+06 220735 787024. 2723.27 5.12 0.2011 0.17451 27778 195446 -1 2268 23 1697 2302 164739 35336 4.25641 4.25641 -148.042 -4.25641 0 0 997811. 3452.63 0.39 0.07 0.19 -1 -1 0.39 0.0262015 0.0231884 93 51 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 8.86 vpr 65.06 MiB -1 -1 0.15 20712 1 0.03 -1 -1 33484 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 353 285 1 213 79 17 17 289 -1 unnamed_device 26.6 MiB 2.44 1136 12585 3548 7783 1254 65.1 MiB 0.10 0.00 3.79817 -125.159 -3.79817 3.79817 1.01 0.000511602 0.000465609 0.040785 0.0372486 40 2871 37 6.99608e+06 220735 706193. 2443.58 2.95 0.172146 0.151446 26914 176310 -1 2606 20 1740 2563 258970 51021 4.67921 4.67921 -158.933 -4.67921 0 0 926341. 3205.33 0.34 0.08 0.17 -1 -1 0.34 0.0243355 0.021663 90 48 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 7.89 vpr 64.93 MiB -1 -1 0.16 19808 1 0.03 -1 -1 33584 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 276 237 1 163 75 17 17 289 -1 unnamed_device 26.5 MiB 2.22 846 11925 5171 6514 240 64.9 MiB 0.08 0.00 3.18112 -104.147 -3.18112 3.18112 0.95 0.000420681 0.000383533 0.0337061 0.0308221 44 2104 19 6.99608e+06 161872 787024. 2723.27 2.35 0.109477 0.0962191 27778 195446 -1 1767 20 1270 1699 159421 32024 3.34956 3.34956 -118.653 -3.34956 0 0 997811. 3452.63 0.38 0.06 0.19 -1 -1 0.38 0.0193813 0.0171864 67 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 6.94 vpr 64.97 MiB -1 -1 0.17 20408 1 0.03 -1 -1 33820 -1 -1 14 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 31 32 319 272 1 200 77 17 17 289 -1 unnamed_device 26.6 MiB 1.02 790 9042 2534 5268 1240 65.0 MiB 0.08 0.00 3.00077 -96.7127 -3.00077 3.00077 0.96 0.000481087 0.000440921 0.0281528 0.0257817 46 2297 25 6.99608e+06 206020 828058. 2865.25 2.50 0.138281 0.121015 28066 200906 -1 1483 21 1435 1986 115291 27852 3.10821 3.10821 -113.951 -3.10821 0 0 1.01997e+06 3529.29 0.41 0.06 0.20 -1 -1 0.41 0.022169 0.019632 86 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 8.73 vpr 65.14 MiB -1 -1 0.15 20412 1 0.03 -1 -1 33972 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 30 32 329 273 1 202 81 17 17 289 -1 unnamed_device 26.7 MiB 1.36 841 10231 2948 5073 2210 65.1 MiB 0.08 0.00 2.70194 -87.7568 -2.70194 2.70194 0.98 0.000486755 0.000443062 0.0307289 0.0280417 40 2788 46 6.99608e+06 279598 706193. 2443.58 3.98 0.164126 0.143389 26914 176310 -1 2078 22 1614 2340 219116 47045 3.32481 3.32481 -118.979 -3.32481 0 0 926341. 3205.33 0.37 0.08 0.17 -1 -1 0.37 0.0241342 0.0214166 91 52 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 6.66 vpr 65.09 MiB -1 -1 0.16 20328 1 0.03 -1 -1 33560 -1 -1 17 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 28 32 277 229 1 170 77 17 17 289 -1 unnamed_device 26.6 MiB 0.53 857 13443 4623 7041 1779 65.1 MiB 0.09 0.00 3.06285 -91.2465 -3.06285 3.06285 0.95 0.000410431 0.000371168 0.0344881 0.0315082 36 2613 27 6.99608e+06 250167 648988. 2245.63 2.89 0.117154 0.103059 26050 158493 -1 2153 24 1502 2376 259985 50839 4.06766 4.06766 -123.584 -4.06766 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0228774 0.0202349 71 20 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 8.69 vpr 64.99 MiB -1 -1 0.15 20588 1 0.03 -1 -1 33832 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 30 32 317 269 1 200 77 17 17 289 -1 unnamed_device 26.6 MiB 2.11 824 10835 4518 5855 462 65.0 MiB 0.08 0.00 3.54051 -110.83 -3.54051 3.54051 0.95 0.000464916 0.000424048 0.033248 0.0304101 44 2766 36 6.99608e+06 220735 787024. 2723.27 3.26 0.136458 0.120219 27778 195446 -1 1793 20 1566 2160 185219 40465 4.05365 4.05365 -134.939 -4.05365 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0221569 0.0196586 87 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 7.53 vpr 65.07 MiB -1 -1 0.14 20516 1 0.03 -1 -1 33840 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 335 282 1 216 78 17 17 289 -1 unnamed_device 26.6 MiB 0.90 958 11532 4419 4440 2673 65.1 MiB 0.09 0.00 2.7677 -100.015 -2.7677 2.7677 0.96 0.000500105 0.00044707 0.0345836 0.031467 40 2952 30 6.99608e+06 206020 706193. 2443.58 3.32 0.153681 0.134622 26914 176310 -1 2446 22 2187 2987 319247 64008 3.25127 3.25127 -131.297 -3.25127 0 0 926341. 3205.33 0.35 0.10 0.16 -1 -1 0.35 0.0241842 0.0213863 93 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 6.66 vpr 65.16 MiB -1 -1 0.16 20236 1 0.03 -1 -1 34068 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 26.6 MiB 0.43 748 8919 2572 5135 1212 65.2 MiB 0.07 0.00 3.86008 -100.236 -3.86008 3.86008 0.98 0.000454569 0.00041525 0.0232586 0.0212844 44 2579 42 6.99608e+06 353176 787024. 2723.27 2.84 0.122631 0.107505 27778 195446 -1 1686 19 1050 1885 143065 31757 3.76582 3.76582 -117.868 -3.76582 0 0 997811. 3452.63 0.40 0.06 0.19 -1 -1 0.40 0.0204087 0.0182268 74 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 10.92 vpr 64.96 MiB -1 -1 0.15 20576 1 0.03 -1 -1 33724 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 350 275 1 202 78 17 17 289 -1 unnamed_device 26.5 MiB 2.25 988 11200 4704 6275 221 65.0 MiB 0.10 0.00 3.51478 -119.446 -3.51478 3.51478 0.99 0.000518538 0.000463863 0.0374435 0.0343045 44 3031 24 6.99608e+06 206020 787024. 2723.27 5.20 0.226961 0.198828 27778 195446 -1 2421 21 1825 2738 231908 46399 3.94005 3.94005 -146.897 -3.94005 0 0 997811. 3452.63 0.40 0.09 0.19 -1 -1 0.40 0.02647 0.023513 86 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 10.35 vpr 65.43 MiB -1 -1 0.16 20848 1 0.03 -1 -1 33948 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 385 308 1 237 81 17 17 289 -1 unnamed_device 26.9 MiB 0.85 1069 10581 3820 4375 2386 65.4 MiB 0.09 0.00 4.0077 -127.842 -4.0077 4.0077 0.97 0.000572047 0.000522603 0.0365656 0.0334166 46 3323 42 6.99608e+06 250167 828058. 2865.25 6.09 0.240267 0.209204 28066 200906 -1 2394 21 2228 3159 257217 54500 4.66076 4.66076 -160.212 -4.66076 0 0 1.01997e+06 3529.29 0.38 0.09 0.20 -1 -1 0.38 0.0261459 0.0231073 102 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 9.54 vpr 65.39 MiB -1 -1 0.15 20920 1 0.03 -1 -1 33972 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66960 32 32 387 309 1 244 81 17 17 289 -1 unnamed_device 26.8 MiB 0.91 1098 13206 4825 6600 1781 65.4 MiB 0.10 0.00 3.47616 -116.907 -3.47616 3.47616 0.93 0.000546877 0.000495837 0.0411871 0.0376361 48 3397 22 6.99608e+06 250167 865456. 2994.66 5.28 0.22232 0.193821 28354 207349 -1 2827 19 2021 2908 271849 56171 3.87 3.87 -146.145 -3.87 0 0 1.05005e+06 3633.38 0.38 0.08 0.19 -1 -1 0.38 0.0236727 0.0210481 104 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 7.75 vpr 64.84 MiB -1 -1 0.15 20164 1 0.03 -1 -1 33976 -1 -1 13 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 30 32 272 232 1 171 75 17 17 289 -1 unnamed_device 26.4 MiB 1.02 592 9081 2599 4640 1842 64.8 MiB 0.06 0.00 3.63675 -103.141 -3.63675 3.63675 0.98 0.000416113 0.000380958 0.0261511 0.0238924 48 2055 38 6.99608e+06 191304 865456. 2994.66 3.34 0.136731 0.119536 28354 207349 -1 1425 23 1298 1865 157045 42720 3.57816 3.57816 -117.383 -3.57816 0 0 1.05005e+06 3633.38 0.41 0.07 0.20 -1 -1 0.41 0.0219901 0.0195346 71 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 6.64 vpr 65.43 MiB -1 -1 0.17 20472 1 0.03 -1 -1 33980 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 30 32 375 299 1 233 80 17 17 289 -1 unnamed_device 26.7 MiB 1.06 898 11088 3619 4989 2480 65.4 MiB 0.08 0.00 4.22166 -128.126 -4.22166 4.22166 0.90 0.000435123 0.000396941 0.0336724 0.0307868 52 2617 27 6.99608e+06 264882 926341. 3205.33 2.17 0.127994 0.112004 29218 227130 -1 2027 21 2088 2884 199346 50187 4.85874 4.85874 -160.399 -4.85874 0 0 1.14541e+06 3963.36 0.46 0.08 0.23 -1 -1 0.46 0.0265975 0.023633 104 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 8.21 vpr 65.10 MiB -1 -1 0.14 20620 1 0.03 -1 -1 33668 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 340 270 1 197 78 17 17 289 -1 unnamed_device 26.8 MiB 1.21 846 12694 5333 6865 496 65.1 MiB 0.09 0.00 3.85334 -114.876 -3.85334 3.85334 0.92 0.000449855 0.000408607 0.0382725 0.0348142 46 2596 27 6.99608e+06 206020 828058. 2865.25 3.71 0.159216 0.139824 28066 200906 -1 2070 24 1675 2664 221064 48520 3.94005 3.94005 -135.142 -3.94005 0 0 1.01997e+06 3529.29 0.39 0.08 0.18 -1 -1 0.39 0.0261146 0.0230323 82 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 9.74 vpr 65.18 MiB -1 -1 0.16 20600 1 0.03 -1 -1 33980 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 31 32 340 275 1 199 80 17 17 289 -1 unnamed_device 26.8 MiB 1.29 824 10916 3457 5321 2138 65.2 MiB 0.08 0.00 4.27285 -115.768 -4.27285 4.27285 0.97 0.000426792 0.000390103 0.0329614 0.0301158 48 2191 26 6.99608e+06 250167 865456. 2994.66 5.09 0.187416 0.162973 28354 207349 -1 1799 22 1353 1933 149735 35639 4.66721 4.66721 -142.704 -4.66721 0 0 1.05005e+06 3633.38 0.39 0.07 0.20 -1 -1 0.39 0.0242867 0.0214554 87 43 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 8.88 vpr 65.51 MiB -1 -1 0.15 20464 1 0.03 -1 -1 33900 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67080 30 32 377 310 1 234 82 17 17 289 -1 unnamed_device 26.9 MiB 2.18 1045 15212 5515 7525 2172 65.5 MiB 0.12 0.00 3.44926 -107.329 -3.44926 3.44926 0.94 0.000538149 0.000489069 0.0471623 0.0431537 44 3349 47 6.99608e+06 294314 787024. 2723.27 3.32 0.199452 0.175954 27778 195446 -1 2356 25 2417 3356 273787 58803 3.803 3.803 -133.879 -3.803 0 0 997811. 3452.63 0.39 0.10 0.17 -1 -1 0.39 0.0324579 0.0288466 108 78 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 8.61 vpr 65.40 MiB -1 -1 0.15 20692 1 0.03 -1 -1 34024 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66968 32 32 365 294 1 223 81 17 17 289 -1 unnamed_device 26.9 MiB 1.86 1271 16531 5984 8406 2141 65.4 MiB 0.13 0.00 3.75306 -124.358 -3.75306 3.75306 0.91 0.000483499 0.00044178 0.0503132 0.0459318 46 2950 46 6.99608e+06 250167 828058. 2865.25 3.42 0.192433 0.169442 28066 200906 -1 2406 21 1729 2515 194264 38947 4.14491 4.14491 -147.961 -4.14491 0 0 1.01997e+06 3529.29 0.38 0.07 0.19 -1 -1 0.38 0.0246679 0.0219716 95 54 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 10.05 vpr 65.41 MiB -1 -1 0.17 20648 1 0.03 -1 -1 33632 -1 -1 20 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66984 29 32 378 310 1 237 81 17 17 289 -1 unnamed_device 26.8 MiB 2.88 1165 9531 3101 4544 1886 65.4 MiB 0.08 0.00 3.11675 -104.42 -3.11675 3.11675 0.96 0.000516117 0.00047055 0.0313868 0.0287276 36 3903 41 6.99608e+06 294314 648988. 2245.63 3.88 0.170473 0.149693 26050 158493 -1 2878 20 2096 2700 271099 55502 3.84101 3.84101 -137.366 -3.84101 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0259606 0.0231815 109 79 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 6.71 vpr 64.66 MiB -1 -1 0.14 20180 1 0.03 -1 -1 34020 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 32 32 243 205 1 140 74 17 17 289 -1 unnamed_device 26.1 MiB 1.38 624 10149 3858 5233 1058 64.7 MiB 0.06 0.00 2.91658 -85.962 -2.91658 2.91658 0.91 0.000387266 0.0003529 0.0271378 0.0247533 38 1943 26 6.99608e+06 147157 678818. 2348.85 2.26 0.113677 0.0992702 26626 170182 -1 1613 21 1133 1741 141535 29648 3.16992 3.16992 -112.367 -3.16992 0 0 902133. 3121.57 0.32 0.06 0.15 -1 -1 0.32 0.0188202 0.0166654 54 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 20.13 vpr 65.56 MiB -1 -1 0.15 20548 1 0.03 -1 -1 33852 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 373 302 1 234 81 17 17 289 -1 unnamed_device 26.8 MiB 0.74 1079 14956 5560 6924 2472 65.6 MiB 0.12 0.00 4.21916 -133.906 -4.21916 4.21916 0.94 0.000520279 0.000473997 0.0476689 0.0435117 50 2739 46 6.99608e+06 250167 902133. 3121.57 15.93 0.314896 0.275111 28642 213929 -1 2301 19 1494 2110 202053 41984 4.40774 4.40774 -154.553 -4.40774 0 0 1.08113e+06 3740.92 0.43 0.08 0.21 -1 -1 0.43 0.0245708 0.0219956 100 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 7.05 vpr 65.68 MiB -1 -1 0.15 20584 1 0.03 -1 -1 33612 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 32 32 397 314 1 249 81 17 17 289 -1 unnamed_device 26.9 MiB 0.97 1038 11806 4600 6230 976 65.7 MiB 0.10 0.00 4.125 -136.873 -4.125 4.125 0.93 0.000506337 0.000455691 0.0383515 0.0348784 46 3691 45 6.99608e+06 250167 828058. 2865.25 2.77 0.16678 0.14538 28066 200906 -1 2704 19 2444 3456 295375 62385 4.63814 4.63814 -166.616 -4.63814 0 0 1.01997e+06 3529.29 0.38 0.09 0.18 -1 -1 0.38 0.0238846 0.021187 109 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 8.62 vpr 64.88 MiB -1 -1 0.14 20268 1 0.03 -1 -1 33644 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 269 231 1 168 75 17 17 289 -1 unnamed_device 26.4 MiB 1.09 782 12715 5398 7027 290 64.9 MiB 0.09 0.00 3.03397 -94.935 -3.03397 3.03397 0.94 0.000412109 0.000376942 0.0356885 0.0326689 38 2124 34 6.99608e+06 161872 678818. 2348.85 4.32 0.166717 0.144831 26626 170182 -1 1611 18 1103 1398 105332 22672 3.21041 3.21041 -110.822 -3.21041 0 0 902133. 3121.57 0.33 0.05 0.17 -1 -1 0.33 0.0176107 0.0156024 69 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 7.92 vpr 64.72 MiB -1 -1 0.15 20360 1 0.03 -1 -1 33992 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 26.2 MiB 0.56 520 10156 4208 5460 488 64.7 MiB 0.07 0.00 2.78823 -83.3973 -2.78823 2.78823 0.97 0.000397095 0.000362269 0.0269817 0.0246795 46 1639 25 6.99608e+06 191304 828058. 2865.25 4.08 0.150133 0.130321 28066 200906 -1 1330 20 1136 1711 133937 33380 3.44387 3.44387 -107.978 -3.44387 0 0 1.01997e+06 3529.29 0.37 0.05 0.18 -1 -1 0.37 0.0174339 0.0153725 56 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 6.85 vpr 65.28 MiB -1 -1 0.16 20804 1 0.03 -1 -1 33608 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 32 32 348 274 1 208 79 17 17 289 -1 unnamed_device 26.9 MiB 0.93 904 12585 3591 6489 2505 65.3 MiB 0.10 0.00 3.57951 -115.674 -3.57951 3.57951 0.95 0.000502138 0.000457435 0.0404523 0.0370171 44 2767 39 6.99608e+06 220735 787024. 2723.27 2.52 0.168713 0.148318 27778 195446 -1 1862 21 1545 2077 157580 35837 4.31525 4.31525 -144.458 -4.31525 0 0 997811. 3452.63 0.39 0.07 0.19 -1 -1 0.39 0.0242541 0.0215919 88 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 9.66 vpr 65.00 MiB -1 -1 0.16 20704 1 0.03 -1 -1 33656 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 356 289 1 218 79 17 17 289 -1 unnamed_device 26.5 MiB 1.93 1011 12923 5460 7214 249 65.0 MiB 0.11 0.00 3.63687 -114.181 -3.63687 3.63687 0.95 0.000513577 0.000467663 0.0425204 0.0388751 44 2849 29 6.99608e+06 220735 787024. 2723.27 4.35 0.211273 0.183922 27778 195446 -1 2133 23 1630 2265 155540 33381 4.11521 4.11521 -138.956 -4.11521 0 0 997811. 3452.63 0.39 0.07 0.19 -1 -1 0.39 0.0258822 0.022969 95 53 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 16.68 vpr 65.19 MiB -1 -1 0.15 20132 1 0.03 -1 -1 33676 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.8 MiB 0.48 834 15481 6763 7987 731 65.2 MiB 0.12 0.00 3.77681 -111.474 -3.77681 3.77681 0.97 0.000506774 0.000462838 0.0478572 0.0436444 48 2590 33 6.99608e+06 250167 865456. 2994.66 12.74 0.269923 0.236015 28354 207349 -1 2020 24 1895 3131 253393 57515 4.39351 4.39351 -145.348 -4.39351 0 0 1.05005e+06 3633.38 0.40 0.09 0.20 -1 -1 0.40 0.0276084 0.0243362 83 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 7.95 vpr 65.13 MiB -1 -1 0.16 20232 1 0.03 -1 -1 33540 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 30 32 316 264 1 197 78 17 17 289 -1 unnamed_device 26.8 MiB 1.25 788 9706 3488 4525 1693 65.1 MiB 0.07 0.00 3.02754 -88.8726 -3.02754 3.02754 0.98 0.000488733 0.000445498 0.0301304 0.0275558 44 3068 44 6.99608e+06 235451 787024. 2723.27 3.29 0.164392 0.144152 27778 195446 -1 1962 21 1574 2269 193717 46048 3.72227 3.72227 -119.403 -3.72227 0 0 997811. 3452.63 0.40 0.07 0.19 -1 -1 0.40 0.0226148 0.0200737 86 47 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 6.67 vpr 64.84 MiB -1 -1 0.16 20284 1 0.03 -1 -1 33968 -1 -1 15 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 27 32 255 219 1 145 74 17 17 289 -1 unnamed_device 26.4 MiB 1.07 487 10459 4407 5408 644 64.8 MiB 0.07 0.00 2.96122 -84.2305 -2.96122 2.96122 0.96 0.00037681 0.00034303 0.0282412 0.0258386 38 1747 37 6.99608e+06 220735 678818. 2348.85 2.36 0.126956 0.110616 26626 170182 -1 1226 24 1052 1594 102576 25613 3.57972 3.57972 -111.796 -3.57972 0 0 902133. 3121.57 0.34 0.05 0.16 -1 -1 0.34 0.0203227 0.01788 66 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 27.64 vpr 65.56 MiB -1 -1 0.17 20520 1 0.03 -1 -1 33592 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 421 327 1 257 82 17 17 289 -1 unnamed_device 27.2 MiB 0.95 1215 16280 7180 8676 424 65.6 MiB 0.15 0.00 3.41284 -117.869 -3.41284 3.41284 0.97 0.000599475 0.000550232 0.0580252 0.0529782 50 3737 41 6.99608e+06 264882 902133. 3121.57 23.07 0.37055 0.323989 28642 213929 -1 2993 24 2562 4012 431545 98997 4.13001 4.13001 -149.777 -4.13001 0 0 1.08113e+06 3740.92 0.43 0.13 0.20 -1 -1 0.43 0.0331755 0.0295501 111 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 9.25 vpr 65.50 MiB -1 -1 0.16 20516 1 0.03 -1 -1 33900 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 31 32 365 296 1 229 80 17 17 289 -1 unnamed_device 26.8 MiB 1.98 1215 14528 4935 7929 1664 65.5 MiB 0.12 0.00 4.34903 -133.1 -4.34903 4.34903 0.97 0.000495577 0.000451639 0.0464407 0.042487 38 3431 39 6.99608e+06 250167 678818. 2348.85 3.85 0.162296 0.143085 26626 170182 -1 2748 22 2332 3270 316813 61539 5.04304 5.04304 -173.617 -5.04304 0 0 902133. 3121.57 0.35 0.10 0.16 -1 -1 0.35 0.0263797 0.0234579 100 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 7.06 vpr 64.98 MiB -1 -1 0.15 20132 1 0.03 -1 -1 34084 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 331 280 1 215 78 17 17 289 -1 unnamed_device 26.6 MiB 1.03 1014 9706 2762 6061 883 65.0 MiB 0.08 0.00 3.46994 -124.611 -3.46994 3.46994 0.96 0.000491769 0.000449672 0.0307623 0.0281372 40 2711 36 6.99608e+06 206020 706193. 2443.58 2.69 0.153744 0.134801 26914 176310 -1 2299 22 1695 2110 181395 39388 3.99065 3.99065 -150.397 -3.99065 0 0 926341. 3205.33 0.36 0.07 0.17 -1 -1 0.36 0.0239985 0.0213455 91 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 6.52 vpr 65.17 MiB -1 -1 0.16 20228 1 0.03 -1 -1 33996 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 326 263 1 197 79 17 17 289 -1 unnamed_device 26.9 MiB 0.77 907 12585 5304 6821 460 65.2 MiB 0.10 0.00 3.34348 -104.597 -3.34348 3.34348 0.99 0.000475773 0.000433233 0.0386862 0.0353597 42 3049 39 6.99608e+06 220735 744469. 2576.02 2.34 0.166717 0.146895 27202 183097 -1 2193 23 1460 2031 168192 36929 4.35901 4.35901 -138.93 -4.35901 0 0 949917. 3286.91 0.38 0.07 0.17 -1 -1 0.38 0.025519 0.0225491 81 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 8.34 vpr 65.02 MiB -1 -1 0.18 20384 1 0.03 -1 -1 33704 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 31 32 373 294 1 221 80 17 17 289 -1 unnamed_device 26.5 MiB 1.59 977 14012 5601 6789 1622 65.0 MiB 0.11 0.00 3.45118 -103.39 -3.45118 3.45118 0.98 0.000541654 0.000494217 0.0460816 0.0420724 40 3091 42 6.99608e+06 250167 706193. 2443.58 3.33 0.189318 0.166195 26914 176310 -1 2496 23 2159 3037 261629 60339 4.09966 4.09966 -135.835 -4.09966 0 0 926341. 3205.33 0.34 0.09 0.18 -1 -1 0.34 0.0274715 0.0242739 97 46 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 10.32 vpr 65.17 MiB -1 -1 0.15 20240 1 0.03 -1 -1 33960 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 30 32 325 268 1 198 79 17 17 289 -1 unnamed_device 26.7 MiB 1.64 904 12416 5191 6608 617 65.2 MiB 0.09 0.00 2.85029 -90.0497 -2.85029 2.85029 0.97 0.000476565 0.000433578 0.0356859 0.0325352 46 2532 50 6.99608e+06 250167 828058. 2865.25 5.25 0.221109 0.191918 28066 200906 -1 1897 28 1617 2348 213179 57083 3.24576 3.24576 -114.336 -3.24576 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0280059 0.0246723 88 46 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 7.34 vpr 65.26 MiB -1 -1 0.15 20856 1 0.03 -1 -1 33520 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 350 275 1 209 78 17 17 289 -1 unnamed_device 26.8 MiB 0.88 919 12196 5093 6690 413 65.3 MiB 0.10 0.00 3.51478 -114.727 -3.51478 3.51478 0.95 0.000505721 0.000460292 0.0397055 0.0362784 48 3132 28 6.99608e+06 206020 865456. 2994.66 2.99 0.153551 0.134916 28354 207349 -1 2146 29 2099 3140 338220 89864 4.60421 4.60421 -149.583 -4.60421 0 0 1.05005e+06 3633.38 0.42 0.12 0.20 -1 -1 0.42 0.0329471 0.0292624 88 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 11.50 vpr 65.37 MiB -1 -1 0.16 20796 1 0.03 -1 -1 33964 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 386 307 1 240 80 17 17 289 -1 unnamed_device 26.8 MiB 2.92 1027 12636 4739 6959 938 65.4 MiB 0.11 0.00 2.94423 -103.749 -2.94423 2.94423 0.96 0.000521688 0.000475757 0.0427467 0.0390001 46 2805 48 6.99608e+06 235451 828058. 2865.25 5.14 0.237452 0.206244 28066 200906 -1 2086 24 1905 2578 160062 36530 3.60011 3.60011 -134.835 -3.60011 0 0 1.01997e+06 3529.29 0.40 0.08 0.20 -1 -1 0.40 0.030201 0.026815 103 59 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 6.88 vpr 64.87 MiB -1 -1 0.14 20292 1 0.03 -1 -1 33560 -1 -1 14 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 29 32 269 229 1 168 75 17 17 289 -1 unnamed_device 26.4 MiB 1.50 667 10977 4403 5653 921 64.9 MiB 0.07 0.00 3.37515 -99.403 -3.37515 3.37515 0.97 0.000410322 0.000374509 0.0306995 0.028079 38 1774 22 6.99608e+06 206020 678818. 2348.85 2.13 0.123863 0.108697 26626 170182 -1 1500 23 1457 1959 145531 31488 3.35656 3.35656 -116.979 -3.35656 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0213258 0.0188453 70 28 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 11.03 vpr 64.94 MiB -1 -1 0.15 20232 1 0.03 -1 -1 33860 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 310 266 1 182 78 17 17 289 -1 unnamed_device 26.4 MiB 2.49 818 12362 5466 6567 329 64.9 MiB 0.09 0.00 3.25048 -108.694 -3.25048 3.25048 0.95 0.000444389 0.000406719 0.0362105 0.0330501 44 2508 31 6.99608e+06 206020 787024. 2723.27 5.19 0.214165 0.186014 27778 195446 -1 1694 23 1679 2292 205287 47073 3.88455 3.88455 -133.083 -3.88455 0 0 997811. 3452.63 0.41 0.08 0.19 -1 -1 0.41 0.0234239 0.0207237 79 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 9.65 vpr 65.09 MiB -1 -1 0.15 20496 1 0.03 -1 -1 33908 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 31 32 326 261 1 193 78 17 17 289 -1 unnamed_device 26.7 MiB 0.90 757 11200 3996 5321 1883 65.1 MiB 0.08 0.00 3.35878 -99.9459 -3.35878 3.35878 0.94 0.00048426 0.000439864 0.0347522 0.0317365 52 2171 22 6.99608e+06 220735 926341. 3205.33 5.32 0.188226 0.163877 29218 227130 -1 1478 22 1418 2043 136847 33320 3.72476 3.72476 -119.225 -3.72476 0 0 1.14541e+06 3963.36 0.43 0.06 0.23 -1 -1 0.43 0.0236511 0.0209448 80 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 6.68 vpr 64.62 MiB -1 -1 0.15 20160 1 0.03 -1 -1 33508 -1 -1 13 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 29 32 262 224 1 162 74 17 17 289 -1 unnamed_device 26.1 MiB 1.04 606 9839 4101 5178 560 64.6 MiB 0.07 0.00 3.02297 -87.5909 -3.02297 3.02297 0.97 0.000409287 0.00037248 0.0277655 0.0253324 44 1863 31 6.99608e+06 191304 787024. 2723.27 2.29 0.125274 0.109257 27778 195446 -1 1234 18 1022 1312 91522 26183 3.45001 3.45001 -106.699 -3.45001 0 0 997811. 3452.63 0.40 0.05 0.19 -1 -1 0.40 0.0168522 0.014947 68 25 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 6.81 vpr 64.57 MiB -1 -1 0.15 20188 1 0.03 -1 -1 33884 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 32 32 278 238 1 178 76 17 17 289 -1 unnamed_device 26.1 MiB 0.90 938 11596 4367 5545 1684 64.6 MiB 0.09 0.00 3.40815 -110.366 -3.40815 3.40815 0.94 0.000410497 0.000373815 0.0327625 0.0299412 40 2343 44 6.99608e+06 176588 706193. 2443.58 2.63 0.142829 0.124595 26914 176310 -1 2089 20 1379 1820 200562 38284 3.47486 3.47486 -131.177 -3.47486 0 0 926341. 3205.33 0.34 0.07 0.18 -1 -1 0.34 0.0204881 0.0182526 73 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 7.58 vpr 65.27 MiB -1 -1 0.16 20668 1 0.03 -1 -1 33956 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 31 32 373 300 1 231 80 17 17 289 -1 unnamed_device 26.7 MiB 0.99 998 10572 3647 5212 1713 65.3 MiB 0.09 0.00 3.61381 -116.286 -3.61381 3.61381 0.99 0.000533757 0.00048611 0.0358635 0.0327599 46 2968 24 6.99608e+06 250167 828058. 2865.25 3.13 0.161503 0.141668 28066 200906 -1 2327 24 2084 2848 258846 56960 4.00965 4.00965 -146.959 -4.00965 0 0 1.01997e+06 3529.29 0.40 0.10 0.20 -1 -1 0.40 0.0290815 0.0257716 101 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 8.44 vpr 64.86 MiB -1 -1 0.16 20376 1 0.03 -1 -1 34004 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 31 32 265 230 1 171 76 17 17 289 -1 unnamed_device 26.4 MiB 0.82 668 11596 4832 6379 385 64.9 MiB 0.08 0.00 3.10427 -93.1977 -3.10427 3.10427 0.90 0.000358148 0.000328358 0.0314067 0.0287304 42 2372 27 6.99608e+06 191304 744469. 2576.02 4.44 0.173678 0.150531 27202 183097 -1 1697 20 1140 1585 142424 30524 3.03811 3.03811 -109.154 -3.03811 0 0 949917. 3286.91 0.38 0.06 0.17 -1 -1 0.38 0.0192255 0.0171119 71 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 9.33 vpr 65.10 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33768 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 349 286 1 207 79 17 17 289 -1 unnamed_device 26.6 MiB 1.17 1039 11064 4217 5765 1082 65.1 MiB 0.09 0.00 2.87229 -99.4775 -2.87229 2.87229 0.99 0.000497635 0.000451964 0.0344552 0.0314069 38 2822 28 6.99608e+06 220735 678818. 2348.85 4.78 0.220091 0.191393 26626 170182 -1 2357 21 1486 1997 175099 35895 3.52636 3.52636 -130.281 -3.52636 0 0 902133. 3121.57 0.33 0.07 0.17 -1 -1 0.33 0.0236986 0.0209848 91 54 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 10.56 vpr 65.32 MiB -1 -1 0.17 20412 1 0.03 -1 -1 33624 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 31 32 396 325 1 255 83 17 17 289 -1 unnamed_device 26.8 MiB 2.91 1134 11063 4202 5771 1090 65.3 MiB 0.09 0.00 3.80112 -129.442 -3.80112 3.80112 0.99 0.000549257 0.000499638 0.0366232 0.0333543 48 3065 39 6.99608e+06 294314 865456. 2994.66 4.13 0.184759 0.162388 28354 207349 -1 2608 21 2357 3305 332479 67067 4.43499 4.43499 -162.219 -4.43499 0 0 1.05005e+06 3633.38 0.41 0.10 0.20 -1 -1 0.41 0.0271888 0.0242172 113 87 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 8.44 vpr 65.04 MiB -1 -1 0.15 20216 1 0.03 -1 -1 33496 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 32 32 303 262 1 192 76 17 17 289 -1 unnamed_device 26.4 MiB 2.11 722 9676 3479 4475 1722 65.0 MiB 0.07 0.00 2.79904 -93.1152 -2.79904 2.79904 0.96 0.00043758 0.000386997 0.0293251 0.0268206 48 2542 37 6.99608e+06 176588 865456. 2994.66 2.91 0.140239 0.122078 28354 207349 -1 1825 21 1732 2261 182126 43863 3.30596 3.30596 -120.817 -3.30596 0 0 1.05005e+06 3633.38 0.43 0.07 0.21 -1 -1 0.43 0.0212839 0.0188036 80 54 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 6.98 vpr 64.77 MiB -1 -1 0.14 20276 1 0.03 -1 -1 33828 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 290 244 1 172 75 17 17 289 -1 unnamed_device 26.3 MiB 0.79 722 11609 3927 5546 2136 64.8 MiB 0.09 0.00 3.13712 -100.64 -3.13712 3.13712 0.97 0.000430953 0.000393057 0.0345784 0.0316306 40 2062 29 6.99608e+06 161872 706193. 2443.58 2.89 0.139011 0.122044 26914 176310 -1 1646 24 1461 2106 146490 38266 3.82676 3.82676 -129.469 -3.82676 0 0 926341. 3205.33 0.34 0.07 0.18 -1 -1 0.34 0.0225417 0.0198958 72 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 6.99 vpr 65.17 MiB -1 -1 0.16 20388 1 0.03 -1 -1 33700 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 318 257 1 191 78 17 17 289 -1 unnamed_device 26.6 MiB 1.58 834 11200 4634 6158 408 65.2 MiB 0.08 0.00 3.36978 -103.576 -3.36978 3.36978 0.94 0.000427682 0.000384817 0.0333235 0.0304803 46 2318 40 6.99608e+06 206020 828058. 2865.25 2.12 0.130012 0.113593 28066 200906 -1 1634 22 1408 2070 130318 30365 3.55936 3.55936 -125.007 -3.55936 0 0 1.01997e+06 3529.29 0.37 0.06 0.20 -1 -1 0.37 0.0232428 0.0205415 79 27 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 8.73 vpr 65.29 MiB -1 -1 0.17 20240 1 0.03 -1 -1 33792 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 29 32 324 268 1 195 79 17 17 289 -1 unnamed_device 26.9 MiB 1.67 862 10219 4251 5344 624 65.3 MiB 0.08 0.00 2.89747 -87.1647 -2.89747 2.89747 0.99 0.00047177 0.000430108 0.031517 0.0288219 40 2401 34 6.99608e+06 264882 706193. 2443.58 3.56 0.154459 0.135622 26914 176310 -1 2097 37 1983 2748 493085 220184 3.81771 3.81771 -120.912 -3.81771 0 0 926341. 3205.33 0.36 0.18 0.17 -1 -1 0.36 0.0361049 0.0317443 88 49 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 8.26 vpr 65.40 MiB -1 -1 0.17 20568 1 0.03 -1 -1 33740 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 32 32 393 312 1 235 81 17 17 289 -1 unnamed_device 26.8 MiB 1.65 1292 11981 4470 5947 1564 65.4 MiB 0.10 0.00 4.35209 -146.514 -4.35209 4.35209 0.96 0.00055473 0.000502201 0.0413708 0.0377894 40 3542 26 6.99608e+06 250167 706193. 2443.58 3.19 0.172579 0.151793 26914 176310 -1 3130 21 2410 3674 360896 70770 5.2959 5.2959 -182.618 -5.2959 0 0 926341. 3205.33 0.36 0.11 0.17 -1 -1 0.36 0.027259 0.0242499 105 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 8.14 vpr 64.62 MiB -1 -1 0.15 20552 1 0.03 -1 -1 33692 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 26.1 MiB 0.95 841 12716 4556 6340 1820 64.6 MiB 0.08 0.00 2.70223 -81.2143 -2.70223 2.70223 0.97 0.000384361 0.00035173 0.0316031 0.0289271 36 1963 21 6.99608e+06 191304 648988. 2245.63 3.97 0.145552 0.126478 26050 158493 -1 1733 20 988 1595 134172 27423 2.77532 2.77532 -103.2 -2.77532 0 0 828058. 2865.25 0.31 0.05 0.15 -1 -1 0.31 0.0170189 0.015066 54 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 12.45 vpr 65.69 MiB -1 -1 0.16 20504 1 0.03 -1 -1 33868 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67264 32 32 412 334 1 258 84 17 17 289 -1 unnamed_device 27.3 MiB 3.08 1180 15822 6054 7062 2706 65.7 MiB 0.12 0.00 3.87123 -131.912 -3.87123 3.87123 0.98 0.000562237 0.000512191 0.050172 0.0457984 38 3961 46 6.99608e+06 294314 678818. 2348.85 5.91 0.205809 0.180753 26626 170182 -1 2937 22 2523 3144 276490 56626 5.3332 5.3332 -189.027 -5.3332 0 0 902133. 3121.57 0.36 0.09 0.17 -1 -1 0.36 0.0290415 0.025814 116 87 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 10.91 vpr 65.63 MiB -1 -1 0.16 20804 1 0.03 -1 -1 33396 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67204 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 27.1 MiB 0.96 945 11604 4837 6431 336 65.6 MiB 0.10 0.00 3.63182 -126.713 -3.63182 3.63182 0.96 0.00052493 0.000481374 0.0387405 0.0354568 46 3093 41 6.99608e+06 235451 828058. 2865.25 6.50 0.177406 0.155486 28066 200906 -1 2277 22 2613 3271 272887 59989 4.42475 4.42475 -157.683 -4.42475 0 0 1.01997e+06 3529.29 0.40 0.09 0.20 -1 -1 0.40 0.0258884 0.0229899 110 93 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 7.64 vpr 64.99 MiB -1 -1 0.16 20592 1 0.03 -1 -1 33968 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 360 293 1 219 79 17 17 289 -1 unnamed_device 26.5 MiB 1.52 998 9036 3650 5045 341 65.0 MiB 0.07 0.00 3.03377 -100.173 -3.03377 3.03377 0.94 0.000537376 0.000489809 0.0296727 0.0270429 44 2888 30 6.99608e+06 220735 787024. 2723.27 2.76 0.157946 0.138324 27778 195446 -1 1995 22 1533 2070 135397 31330 3.60811 3.60811 -122.88 -3.60811 0 0 997811. 3452.63 0.40 0.07 0.19 -1 -1 0.40 0.025924 0.023011 94 57 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 8.05 vpr 65.38 MiB -1 -1 0.17 20596 1 0.03 -1 -1 33720 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 32 32 396 299 1 228 79 17 17 289 -1 unnamed_device 26.8 MiB 1.04 1132 14444 5309 6767 2368 65.4 MiB 0.13 0.00 4.65368 -140.023 -4.65368 4.65368 0.97 0.000582335 0.000530806 0.0513897 0.0468842 44 3116 30 6.99608e+06 220735 787024. 2723.27 3.54 0.187409 0.164939 27778 195446 -1 2494 22 2102 3194 259876 56212 4.66266 4.66266 -158.354 -4.66266 0 0 997811. 3452.63 0.39 0.09 0.19 -1 -1 0.39 0.0293822 0.026167 98 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 6.28 vpr 64.57 MiB -1 -1 0.14 20548 1 0.03 -1 -1 33608 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 30 32 224 207 1 132 74 17 17 289 -1 unnamed_device 26.1 MiB 0.72 479 9529 3121 5078 1330 64.6 MiB 0.06 0.00 2.28455 -79.4386 -2.28455 2.28455 0.97 0.000346643 0.000315569 0.0234321 0.021422 40 1255 23 6.99608e+06 176588 706193. 2443.58 2.34 0.103512 0.0901635 26914 176310 -1 1180 18 823 1036 112692 32440 2.43742 2.43742 -93.309 -2.43742 0 0 926341. 3205.33 0.34 0.05 0.18 -1 -1 0.34 0.0150457 0.0133361 53 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 9.33 vpr 64.90 MiB -1 -1 0.16 20612 1 0.03 -1 -1 33752 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 30 32 286 239 1 157 76 17 17 289 -1 unnamed_device 26.5 MiB 3.86 622 11276 3909 5778 1589 64.9 MiB 0.08 0.00 3.15062 -95.7915 -3.15062 3.15062 0.95 0.000420757 0.00038312 0.0322392 0.0294603 44 1694 43 6.99608e+06 206020 787024. 2723.27 2.14 0.117562 0.102825 27778 195446 -1 1344 21 1018 1592 154358 33254 3.22136 3.22136 -118.069 -3.22136 0 0 997811. 3452.63 0.38 0.06 0.19 -1 -1 0.38 0.0200843 0.0177617 68 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 22.28 vpr 65.02 MiB -1 -1 0.15 20348 1 0.03 -1 -1 34032 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 296 247 1 182 81 17 17 289 -1 unnamed_device 26.5 MiB 0.62 727 11106 4168 5512 1426 65.0 MiB 0.08 0.00 3.05994 -96.9807 -3.05994 3.05994 0.94 0.000413061 0.000375155 0.0290912 0.0265399 46 2645 42 6.99608e+06 250167 828058. 2865.25 18.32 0.248755 0.21534 28066 200906 -1 1882 22 1421 2188 260869 61991 3.59666 3.59666 -125.298 -3.59666 0 0 1.01997e+06 3529.29 0.38 0.08 0.20 -1 -1 0.38 0.0223014 0.0197898 78 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 8.52 vpr 64.53 MiB -1 -1 0.14 20156 1 0.03 -1 -1 33888 -1 -1 16 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 25 32 216 194 1 136 73 17 17 289 -1 unnamed_device 26.0 MiB 1.16 490 7825 3171 3964 690 64.5 MiB 0.05 0.00 2.77723 -63.3331 -2.77723 2.77723 0.97 0.000350745 0.000321129 0.0194654 0.0178556 40 1438 22 6.99608e+06 235451 706193. 2443.58 4.16 0.119547 0.103114 26914 176310 -1 1184 18 840 1103 88169 22058 2.96662 2.96662 -82.422 -2.96662 0 0 926341. 3205.33 0.34 0.04 0.17 -1 -1 0.34 0.0141683 0.0125489 59 19 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 9.58 vpr 65.41 MiB -1 -1 0.16 20656 1 0.03 -1 -1 33968 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66980 32 32 376 307 1 234 81 17 17 289 -1 unnamed_device 26.6 MiB 3.28 1234 10581 2568 6699 1314 65.4 MiB 0.09 0.00 3.12752 -107.9 -3.12752 3.12752 0.96 0.000536126 0.000489277 0.0347765 0.0317952 46 3077 29 6.99608e+06 250167 828058. 2865.25 2.86 0.163175 0.143071 28066 200906 -1 2462 22 1943 2826 190587 40609 3.66072 3.66072 -130.6 -3.66072 0 0 1.01997e+06 3529.29 0.39 0.08 0.20 -1 -1 0.39 0.0266833 0.0236613 103 69 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 9.15 vpr 65.64 MiB -1 -1 0.18 20736 1 0.03 -1 -1 33808 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 31 32 409 331 1 258 82 17 17 289 -1 unnamed_device 27.1 MiB 2.65 1162 15568 6070 6724 2774 65.6 MiB 0.13 0.00 3.58081 -118.825 -3.58081 3.58081 0.94 0.000574019 0.000521267 0.0524689 0.047837 44 3759 38 6.99608e+06 279598 787024. 2723.27 3.02 0.176216 0.155412 27778 195446 -1 2560 20 2070 2798 212125 47009 4.33355 4.33355 -154.109 -4.33355 0 0 997811. 3452.63 0.38 0.08 0.19 -1 -1 0.38 0.0271693 0.0242726 117 86 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_001.v common 10.67 vpr 64.94 MiB -1 -1 0.23 20780 14 0.31 -1 -1 36760 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 277 309 1 203 83 17 17 289 -1 unnamed_device 26.4 MiB 1.99 1299 10523 2778 6382 1363 64.9 MiB 0.10 0.00 6.81046 -146.858 -6.81046 6.81046 0.97 0.000656773 0.000597499 0.0412185 0.0374625 44 3168 19 6.79088e+06 255968 787024. 2723.27 4.91 0.262224 0.231034 27118 194962 -1 2608 18 1243 3503 187568 41883 7.31166 7.31166 -163.781 -7.31166 0 0 997811. 3452.63 0.38 0.07 0.18 -1 -1 0.38 0.0277019 0.0249602 130 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_002.v common 10.84 vpr 64.81 MiB -1 -1 0.24 20756 14 0.37 -1 -1 36792 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 30 32 272 304 1 194 81 17 17 289 -1 unnamed_device 26.4 MiB 2.78 1040 10581 2735 5587 2259 64.8 MiB 0.09 0.00 6.24419 -126.365 -6.24419 6.24419 0.95 0.000604253 0.0005478 0.0412459 0.0375101 36 3230 22 6.79088e+06 255968 648988. 2245.63 4.35 0.233329 0.203537 25390 158009 -1 2558 19 1335 3540 205034 47425 6.65923 6.65923 -147.744 -6.65923 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0299289 0.0269036 125 181 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_003.v common 13.33 vpr 64.82 MiB -1 -1 0.20 20560 11 0.28 -1 -1 36560 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 280 312 1 193 83 17 17 289 -1 unnamed_device 26.4 MiB 3.90 1253 8543 2297 5708 538 64.8 MiB 0.08 0.00 5.55944 -125.582 -5.55944 5.55944 0.94 0.000654247 0.000593721 0.0344267 0.0312086 36 3471 50 6.79088e+06 255968 648988. 2245.63 5.79 0.221324 0.194052 25390 158009 -1 2704 21 1262 3950 306742 89882 6.15787 6.15787 -145.554 -6.15787 0 0 828058. 2865.25 0.34 0.11 0.15 -1 -1 0.34 0.0330183 0.0295236 130 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_004.v common 7.56 vpr 65.15 MiB -1 -1 0.22 20328 12 0.41 -1 -1 36544 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 29 32 275 307 1 202 85 17 17 289 -1 unnamed_device 26.7 MiB 1.33 1191 5665 1381 3728 556 65.2 MiB 0.06 0.00 6.16917 -123.049 -6.16917 6.16917 0.96 0.000651136 0.000592248 0.0238252 0.0217623 38 2903 21 6.79088e+06 323328 678818. 2348.85 2.47 0.177699 0.156103 25966 169698 -1 2507 18 1328 3739 187270 42865 6.36938 6.36938 -139.257 -6.36938 0 0 902133. 3121.57 0.34 0.08 0.17 -1 -1 0.34 0.0298627 0.026834 136 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_005.v common 9.80 vpr 65.06 MiB -1 -1 0.22 20700 13 0.35 -1 -1 36476 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 302 334 1 234 86 17 17 289 -1 unnamed_device 26.5 MiB 2.06 1366 11615 3128 7379 1108 65.1 MiB 0.11 0.00 6.88531 -147.057 -6.88531 6.88531 0.97 0.000724708 0.000659174 0.0476131 0.0432616 38 3717 25 6.79088e+06 296384 678818. 2348.85 3.95 0.228232 0.202348 25966 169698 -1 3004 16 1415 3707 195586 44399 7.13591 7.13591 -163.655 -7.13591 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0315856 0.0287081 152 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_006.v common 8.84 vpr 65.00 MiB -1 -1 0.22 20796 13 0.31 -1 -1 36740 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 292 324 1 210 83 17 17 289 -1 unnamed_device 26.5 MiB 1.86 1303 11243 2808 6626 1809 65.0 MiB 0.10 0.00 6.45897 -132.965 -6.45897 6.45897 0.94 0.000642507 0.000581894 0.0443118 0.0399834 38 3435 24 6.79088e+06 255968 678818. 2348.85 3.28 0.203481 0.178605 25966 169698 -1 2830 17 1333 4048 212488 47429 6.83487 6.83487 -154.493 -6.83487 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0310197 0.0280848 137 197 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_007.v common 6.38 vpr 64.49 MiB -1 -1 0.20 20660 12 0.24 -1 -1 36404 -1 -1 21 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 27 32 229 261 1 168 80 17 17 289 -1 unnamed_device 26.0 MiB 1.65 855 8336 2203 5398 735 64.5 MiB 0.07 0.00 5.62102 -103.783 -5.62102 5.62102 0.96 0.000534562 0.00048677 0.0291341 0.0265984 30 2367 27 6.79088e+06 282912 556674. 1926.21 1.28 0.112915 0.0998853 24526 138013 -1 1949 18 1031 2411 121477 28838 5.99343 5.99343 -120.938 -5.99343 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0246887 0.0221679 106 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_008.v common 14.24 vpr 64.54 MiB -1 -1 0.21 20748 12 0.24 -1 -1 36712 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66092 31 32 229 261 1 188 80 17 17 289 -1 unnamed_device 26.1 MiB 3.43 1145 12636 4174 6508 1954 64.5 MiB 0.10 0.00 5.2739 -114.048 -5.2739 5.2739 0.96 0.000523005 0.000474867 0.0429056 0.03914 36 3511 43 6.79088e+06 229024 648988. 2245.63 7.20 0.19386 0.17035 25390 158009 -1 2760 31 1481 4075 456409 169535 5.61055 5.61055 -136.685 -5.61055 0 0 828058. 2865.25 0.32 0.15 0.15 -1 -1 0.32 0.0355604 0.0314134 106 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_009.v common 10.03 vpr 64.48 MiB -1 -1 0.22 20428 12 0.21 -1 -1 36648 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 31 32 235 267 1 194 83 17 17 289 -1 unnamed_device 26.0 MiB 3.65 1133 5483 1060 4224 199 64.5 MiB 0.05 0.00 5.70019 -124.604 -5.70019 5.70019 0.95 0.00053147 0.00048283 0.0193397 0.0176653 38 2948 50 6.79088e+06 269440 678818. 2348.85 2.92 0.170422 0.148368 25966 169698 -1 2484 16 1257 3290 187288 41212 6.07609 6.07609 -142.068 -6.07609 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0229679 0.0206521 113 142 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_010.v common 10.35 vpr 64.63 MiB -1 -1 0.21 20340 13 0.23 -1 -1 36400 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 32 32 250 282 1 182 79 17 17 289 -1 unnamed_device 26.1 MiB 2.50 1138 11402 3072 6495 1835 64.6 MiB 0.10 0.00 6.15798 -138.641 -6.15798 6.15798 0.98 0.000598049 0.000545052 0.0426904 0.0388632 36 3030 32 6.79088e+06 202080 648988. 2245.63 4.24 0.20823 0.184207 25390 158009 -1 2506 25 1141 2789 283058 113754 6.49817 6.49817 -161.843 -6.49817 0 0 828058. 2865.25 0.33 0.12 0.15 -1 -1 0.33 0.035042 0.0313837 106 155 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_011.v common 7.03 vpr 64.19 MiB -1 -1 0.20 20656 12 0.23 -1 -1 36268 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65728 30 32 216 248 1 161 79 17 17 289 -1 unnamed_device 25.8 MiB 2.02 989 12078 3726 6350 2002 64.2 MiB 0.09 0.00 5.74288 -123.577 -5.74288 5.74288 0.97 0.000502298 0.000456636 0.0383333 0.0348175 30 2569 28 6.79088e+06 229024 556674. 1926.21 1.55 0.118371 0.104652 24526 138013 -1 1991 15 826 1984 100829 23708 6.02149 6.02149 -140.296 -6.02149 0 0 706193. 2443.58 0.29 0.05 0.13 -1 -1 0.29 0.0208863 0.018834 96 125 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_012.v common 18.60 vpr 64.68 MiB -1 -1 0.20 20820 12 0.19 -1 -1 36452 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 32 32 236 268 1 171 81 17 17 289 -1 unnamed_device 26.2 MiB 2.77 1051 8831 2517 5014 1300 64.7 MiB 0.07 0.00 5.05901 -126.733 -5.05901 5.05901 0.96 0.000527055 0.000475635 0.0300918 0.0273044 38 2818 20 6.79088e+06 229024 678818. 2348.85 12.36 0.233562 0.202251 25966 169698 -1 2331 18 1034 2798 157309 34832 5.30961 5.30961 -142.239 -5.30961 0 0 902133. 3121.57 0.36 0.07 0.17 -1 -1 0.36 0.0255247 0.0229857 101 141 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_013.v common 9.23 vpr 65.06 MiB -1 -1 0.22 20756 13 0.32 -1 -1 36356 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 283 315 1 215 84 17 17 289 -1 unnamed_device 26.6 MiB 2.30 1365 12528 3458 6809 2261 65.1 MiB 0.11 0.00 6.68505 -140.507 -6.68505 6.68505 0.97 0.000653495 0.000592489 0.0476888 0.0433087 38 3266 25 6.79088e+06 269440 678818. 2348.85 3.20 0.203116 0.178941 25966 169698 -1 2800 16 1240 3187 179642 39955 7.06095 7.06095 -162.949 -7.06095 0 0 902133. 3121.57 0.33 0.07 0.17 -1 -1 0.33 0.0277971 0.0251313 134 188 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_014.v common 8.45 vpr 65.13 MiB -1 -1 0.23 21000 14 0.39 -1 -1 36340 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 303 335 1 230 86 17 17 289 -1 unnamed_device 26.6 MiB 2.19 1404 6890 1519 4936 435 65.1 MiB 0.07 0.00 7.01072 -151.737 -7.01072 7.01072 0.93 0.000654154 0.000586008 0.0282281 0.0255222 40 3138 28 6.79088e+06 296384 706193. 2443.58 2.47 0.196206 0.171859 26254 175826 -1 3078 25 1733 4511 346783 119194 7.26476 7.26476 -169.629 -7.26476 0 0 926341. 3205.33 0.34 0.13 0.17 -1 -1 0.34 0.0400469 0.0357841 151 208 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_015.v common 15.93 vpr 64.36 MiB -1 -1 0.19 20284 11 0.21 -1 -1 36192 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 29 32 225 257 1 176 82 17 17 289 -1 unnamed_device 25.9 MiB 2.78 923 11474 4154 5232 2088 64.4 MiB 0.09 0.00 5.52794 -112.023 -5.52794 5.52794 0.97 0.000523401 0.000474728 0.0374001 0.0340738 38 2613 28 6.79088e+06 282912 678818. 2348.85 9.66 0.282621 0.24617 25966 169698 -1 2147 17 1083 2542 144291 33062 5.65324 5.65324 -128.257 -5.65324 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0228494 0.0205256 106 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_016.v common 11.01 vpr 65.06 MiB -1 -1 0.23 20868 12 0.35 -1 -1 36620 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 301 333 1 221 88 17 17 289 -1 unnamed_device 26.5 MiB 1.71 1140 13348 3535 7104 2709 65.1 MiB 0.12 0.00 6.12997 -130.612 -6.12997 6.12997 0.94 0.000686448 0.000622717 0.0520193 0.0472214 58 2594 19 6.79088e+06 323328 997811. 3452.63 5.26 0.306085 0.268351 29710 251250 -1 2340 16 1307 4140 212786 50816 6.54158 6.54158 -146.26 -6.54158 0 0 1.25153e+06 4330.55 0.49 0.09 0.27 -1 -1 0.49 0.033684 0.0306188 145 206 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_017.v common 11.26 vpr 64.79 MiB -1 -1 0.23 20700 14 0.31 -1 -1 36288 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 277 309 1 210 83 17 17 289 -1 unnamed_device 26.4 MiB 2.81 1341 7103 1796 4851 456 64.8 MiB 0.07 0.00 6.59895 -142.265 -6.59895 6.59895 0.94 0.000614604 0.000556844 0.0280961 0.025541 38 3731 28 6.79088e+06 255968 678818. 2348.85 4.81 0.181785 0.158984 25966 169698 -1 3010 17 1361 3798 249826 53414 7.22545 7.22545 -166.002 -7.22545 0 0 902133. 3121.57 0.33 0.09 0.16 -1 -1 0.33 0.0290695 0.0263026 126 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_018.v common 8.76 vpr 64.42 MiB -1 -1 0.21 20896 12 0.20 -1 -1 36124 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 32 32 227 259 1 172 79 17 17 289 -1 unnamed_device 25.9 MiB 1.96 918 12078 5073 6627 378 64.4 MiB 0.09 0.00 5.71487 -130.672 -5.71487 5.71487 0.89 0.000460147 0.000415967 0.038008 0.0343858 36 2868 44 6.79088e+06 202080 648988. 2245.63 3.45 0.189644 0.166214 25390 158009 -1 2237 15 1030 2568 158882 36205 5.84017 5.84017 -145.636 -5.84017 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0209897 0.0189056 105 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_019.v common 8.17 vpr 63.76 MiB -1 -1 0.17 20188 10 0.12 -1 -1 36684 -1 -1 13 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65288 30 32 175 207 1 133 75 17 17 289 -1 unnamed_device 25.3 MiB 2.39 792 8765 2915 4474 1376 63.8 MiB 0.06 0.00 4.04526 -100.707 -4.04526 4.04526 0.97 0.000367531 0.000333768 0.0238342 0.0216728 36 2071 38 6.79088e+06 175136 648988. 2245.63 2.51 0.130103 0.11363 25390 158009 -1 1708 19 754 1764 122920 26518 4.25666 4.25666 -116.207 -4.25666 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0177324 0.0157538 66 84 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_020.v common 10.34 vpr 64.87 MiB -1 -1 0.21 20452 13 0.22 -1 -1 36124 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 31 32 231 263 1 186 81 17 17 289 -1 unnamed_device 26.4 MiB 2.54 1123 12156 3644 6546 1966 64.9 MiB 0.10 0.00 6.16922 -132.125 -6.16922 6.16922 0.96 0.000538582 0.000489112 0.0410414 0.037395 44 2565 22 6.79088e+06 242496 787024. 2723.27 4.18 0.221249 0.193621 27118 194962 -1 2123 19 975 2280 119189 27570 6.29452 6.29452 -145.761 -6.29452 0 0 997811. 3452.63 0.39 0.06 0.19 -1 -1 0.39 0.0259017 0.0231936 107 138 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_021.v common 11.35 vpr 65.15 MiB -1 -1 0.22 20812 13 0.35 -1 -1 36356 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 304 336 1 224 85 17 17 289 -1 unnamed_device 26.6 MiB 2.23 1341 14035 4079 8203 1753 65.2 MiB 0.13 0.00 6.38406 -139.509 -6.38406 6.38406 0.97 0.000711455 0.000635574 0.056434 0.051041 46 3429 22 6.79088e+06 282912 828058. 2865.25 5.23 0.280439 0.246032 27406 200422 -1 2852 19 1517 4440 229437 50907 6.53388 6.53388 -154.974 -6.53388 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0345831 0.0311987 143 209 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_022.v common 12.56 vpr 65.04 MiB -1 -1 0.23 21080 13 0.37 -1 -1 36560 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 288 320 1 216 85 17 17 289 -1 unnamed_device 26.5 MiB 2.68 1414 10129 2962 5945 1222 65.0 MiB 0.10 0.00 6.21612 -140.759 -6.21612 6.21612 0.94 0.000605624 0.000545597 0.0400139 0.0360984 38 4087 38 6.79088e+06 282912 678818. 2348.85 6.14 0.215639 0.188978 25966 169698 -1 3083 18 1403 4211 240800 51545 6.71732 6.71732 -160.853 -6.71732 0 0 902133. 3121.57 0.33 0.09 0.16 -1 -1 0.33 0.0313345 0.0282573 141 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_023.v common 5.68 vpr 64.10 MiB -1 -1 0.17 20176 9 0.11 -1 -1 35808 -1 -1 18 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65636 26 32 152 184 1 122 76 17 17 289 -1 unnamed_device 25.7 MiB 1.34 674 11276 4270 5797 1209 64.1 MiB 0.07 0.00 3.8527 -78.6612 -3.8527 3.8527 0.96 0.000355789 0.000324415 0.0271777 0.0248184 30 1642 32 6.79088e+06 242496 556674. 1926.21 1.12 0.0828423 0.0728146 24526 138013 -1 1369 15 657 1510 70474 17187 3.8527 3.8527 -88.7869 -3.8527 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0146247 0.0131251 67 69 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_024.v common 21.86 vpr 65.20 MiB -1 -1 0.20 20752 13 0.35 -1 -1 36400 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 287 319 1 214 87 17 17 289 -1 unnamed_device 26.7 MiB 2.29 1311 8919 2297 6321 301 65.2 MiB 0.08 0.00 6.87423 -142.05 -6.87423 6.87423 0.94 0.000668869 0.000598419 0.0329457 0.0298137 38 3542 21 6.79088e+06 309856 678818. 2348.85 15.88 0.308412 0.269543 25966 169698 -1 2811 24 1546 4452 236389 52527 7.17168 7.17168 -161.661 -7.17168 0 0 902133. 3121.57 0.34 0.10 0.16 -1 -1 0.34 0.0372384 0.0333354 136 192 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_025.v common 7.24 vpr 63.89 MiB -1 -1 0.14 19872 8 0.10 -1 -1 36096 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65428 32 32 154 186 1 126 75 17 17 289 -1 unnamed_device 25.5 MiB 2.36 594 4973 1132 3695 146 63.9 MiB 0.04 0.00 3.54052 -79.1271 -3.54052 3.54052 0.97 0.000369057 0.000336947 0.0130564 0.0119423 30 1949 32 6.79088e+06 148192 556674. 1926.21 1.71 0.0703861 0.0614159 24526 138013 -1 1436 16 670 1446 71288 18500 3.62662 3.62662 -94.8076 -3.62662 0 0 706193. 2443.58 0.28 0.04 0.14 -1 -1 0.28 0.0142722 0.0127325 60 59 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_026.v common 14.20 vpr 64.78 MiB -1 -1 0.21 20608 15 0.29 -1 -1 36736 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 254 286 1 202 82 17 17 289 -1 unnamed_device 26.4 MiB 2.73 1261 13254 4224 6686 2344 64.8 MiB 0.11 0.00 7.39781 -151.302 -7.39781 7.39781 0.94 0.000621288 0.000563236 0.0472163 0.042968 36 3829 33 6.79088e+06 242496 648988. 2245.63 7.87 0.199276 0.174942 25390 158009 -1 3023 20 1445 4040 262222 57771 7.52311 7.52311 -173.043 -7.52311 0 0 828058. 2865.25 0.32 0.09 0.15 -1 -1 0.32 0.0293806 0.026283 121 159 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_027.v common 10.91 vpr 64.61 MiB -1 -1 0.21 20296 13 0.29 -1 -1 36280 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 32 32 260 292 1 195 82 17 17 289 -1 unnamed_device 26.3 MiB 2.17 1140 10762 2946 5653 2163 64.6 MiB 0.08 0.00 5.68905 -123.926 -5.68905 5.68905 0.89 0.000523075 0.000474959 0.0367518 0.0334593 44 3125 28 6.79088e+06 242496 787024. 2723.27 5.17 0.273798 0.238897 27118 194962 -1 2417 16 1241 3644 194134 44140 6.15454 6.15454 -141.437 -6.15454 0 0 997811. 3452.63 0.40 0.07 0.19 -1 -1 0.40 0.0272225 0.0245471 117 165 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_028.v common 27.11 vpr 65.02 MiB -1 -1 0.22 20692 13 0.33 -1 -1 36468 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 32 32 279 311 1 202 82 17 17 289 -1 unnamed_device 26.6 MiB 1.89 1164 12186 3851 6304 2031 65.0 MiB 0.11 0.00 6.49822 -139.112 -6.49822 6.49822 0.98 0.000602951 0.000545371 0.0474932 0.0430987 38 3436 24 6.79088e+06 242496 678818. 2348.85 21.41 0.343872 0.301448 25966 169698 -1 2598 22 1648 4746 296597 80324 7.23883 7.23883 -164.982 -7.23883 0 0 902133. 3121.57 0.35 0.12 0.16 -1 -1 0.35 0.03818 0.0342653 136 184 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_029.v common 10.00 vpr 64.50 MiB -1 -1 0.20 20304 12 0.20 -1 -1 36276 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 32 32 238 270 1 186 80 17 17 289 -1 unnamed_device 26.0 MiB 2.38 1104 11260 3726 5788 1746 64.5 MiB 0.09 0.00 5.61414 -128.399 -5.61414 5.61414 0.97 0.000540625 0.000491762 0.0385732 0.0351632 44 2439 15 6.79088e+06 215552 787024. 2723.27 4.00 0.197992 0.173009 27118 194962 -1 2080 14 898 2239 125497 28183 5.86474 5.86474 -142.229 -5.86474 0 0 997811. 3452.63 0.38 0.05 0.19 -1 -1 0.38 0.0212227 0.0191414 103 143 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_030.v common 9.93 vpr 64.38 MiB -1 -1 0.20 20556 11 0.19 -1 -1 36384 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 30 32 213 245 1 164 80 17 17 289 -1 unnamed_device 25.9 MiB 2.22 1022 9024 2221 5386 1417 64.4 MiB 0.08 0.00 5.07364 -114.347 -5.07364 5.07364 0.94 0.0004855 0.000441115 0.0286678 0.0260679 36 2734 45 6.79088e+06 242496 648988. 2245.63 4.28 0.192028 0.166584 25390 158009 -1 2143 15 948 2341 152103 33185 5.32424 5.32424 -133.639 -5.32424 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.020548 0.0185276 95 122 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_031.v common 9.45 vpr 64.57 MiB -1 -1 0.19 20504 11 0.22 -1 -1 36424 -1 -1 21 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 28 32 227 259 1 171 81 17 17 289 -1 unnamed_device 26.2 MiB 1.86 997 7781 1903 4744 1134 64.6 MiB 0.06 0.00 5.49223 -108.467 -5.49223 5.49223 0.95 0.00050152 0.000455267 0.0260301 0.0236763 36 2421 15 6.79088e+06 282912 648988. 2245.63 4.15 0.171536 0.149363 25390 158009 -1 2179 14 961 2581 146071 32941 5.99343 5.99343 -125.682 -5.99343 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0206084 0.0185514 109 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_032.v common 10.74 vpr 64.65 MiB -1 -1 0.18 20356 12 0.25 -1 -1 36712 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 274 306 1 209 81 17 17 289 -1 unnamed_device 26.3 MiB 3.07 1143 12506 4276 5841 2389 64.6 MiB 0.11 0.00 5.90738 -136.439 -5.90738 5.90738 0.94 0.000619846 0.000561918 0.0464747 0.0421422 36 3227 31 6.79088e+06 229024 648988. 2245.63 4.09 0.197339 0.173045 25390 158009 -1 2618 25 1414 3505 300305 102215 6.15798 6.15798 -155.514 -6.15798 0 0 828058. 2865.25 0.32 0.11 0.15 -1 -1 0.32 0.0348263 0.0310467 119 179 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_033.v common 8.60 vpr 64.55 MiB -1 -1 0.17 20476 12 0.18 -1 -1 36428 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 237 269 1 176 80 17 17 289 -1 unnamed_device 26.1 MiB 2.54 971 7648 1699 5716 233 64.5 MiB 0.06 0.00 5.55148 -116.926 -5.55148 5.55148 0.90 0.00051234 0.000467776 0.0260833 0.023853 34 3100 36 6.79088e+06 229024 618332. 2139.56 2.83 0.180041 0.15909 25102 150614 -1 2362 22 1404 3682 223425 50399 5.98224 5.98224 -142.881 -5.98224 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0276298 0.0244543 101 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_034.v common 9.02 vpr 64.35 MiB -1 -1 0.20 20628 10 0.18 -1 -1 36372 -1 -1 17 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65896 29 32 220 252 1 166 78 17 17 289 -1 unnamed_device 25.8 MiB 1.79 976 9872 2681 6406 785 64.4 MiB 0.08 0.00 4.98748 -113.133 -4.98748 4.98748 0.96 0.000505894 0.000459022 0.0336885 0.0306809 36 2748 22 6.79088e+06 229024 648988. 2245.63 3.78 0.160137 0.140944 25390 158009 -1 2072 14 890 2426 136050 30778 5.23808 5.23808 -126.161 -5.23808 0 0 828058. 2865.25 0.33 0.05 0.15 -1 -1 0.33 0.020827 0.0188939 103 131 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_035.v common 9.60 vpr 65.13 MiB -1 -1 0.24 21228 13 0.37 -1 -1 36432 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 315 347 1 232 85 17 17 289 -1 unnamed_device 26.3 MiB 2.23 1344 14035 4538 7322 2175 65.1 MiB 0.13 0.00 6.6382 -140.913 -6.6382 6.6382 0.94 0.00069457 0.000626751 0.0568743 0.0513925 46 3232 19 6.79088e+06 282912 828058. 2865.25 3.47 0.225883 0.199163 27406 200422 -1 2738 19 1475 4351 223765 49704 6.6851 6.6851 -152.886 -6.6851 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0359769 0.0324987 149 220 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_036.v common 25.62 vpr 64.90 MiB -1 -1 0.24 20848 14 0.39 -1 -1 36372 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 282 314 1 225 82 17 17 289 -1 unnamed_device 26.4 MiB 2.43 1246 6668 1385 5059 224 64.9 MiB 0.07 0.00 6.74118 -146.348 -6.74118 6.74118 0.91 0.000653333 0.000591003 0.0278083 0.0252195 40 3408 27 6.79088e+06 242496 706193. 2443.58 19.45 0.367526 0.321443 26254 175826 -1 3266 21 1835 5127 355063 79286 7.33612 7.33612 -174.46 -7.33612 0 0 926341. 3205.33 0.36 0.12 0.17 -1 -1 0.36 0.0361567 0.0324902 136 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_037.v common 11.05 vpr 64.55 MiB -1 -1 0.20 20764 12 0.19 -1 -1 36356 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 241 273 1 173 79 17 17 289 -1 unnamed_device 26.1 MiB 2.55 1100 8867 2378 5663 826 64.5 MiB 0.07 0.00 5.82898 -130.14 -5.82898 5.82898 0.97 0.000501839 0.00045439 0.0315989 0.0286611 38 2553 16 6.79088e+06 215552 678818. 2348.85 5.01 0.237618 0.207647 25966 169698 -1 2114 16 837 2310 116587 26745 6.33018 6.33018 -147.063 -6.33018 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.0236435 0.0213263 101 148 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_038.v common 29.73 vpr 65.10 MiB -1 -1 0.23 20800 12 0.35 -1 -1 36560 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 31 32 307 339 1 226 87 17 17 289 -1 unnamed_device 26.6 MiB 2.82 1378 8919 2182 5703 1034 65.1 MiB 0.09 0.00 6.09421 -131.718 -6.09421 6.09421 0.94 0.000676897 0.000608023 0.036078 0.0327794 40 3520 30 6.79088e+06 323328 706193. 2443.58 23.08 0.40204 0.353867 26254 175826 -1 3272 26 1876 5890 519097 159034 6.47011 6.47011 -150.053 -6.47011 0 0 926341. 3205.33 0.34 0.17 0.18 -1 -1 0.34 0.042167 0.0375596 146 214 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_039.v common 7.27 vpr 64.93 MiB -1 -1 0.22 20600 14 0.40 -1 -1 37184 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 31 32 293 325 1 209 85 17 17 289 -1 unnamed_device 26.4 MiB 1.50 1297 9757 2667 6293 797 64.9 MiB 0.09 0.00 6.88537 -142.73 -6.88537 6.88537 0.90 0.000647728 0.000590917 0.0380394 0.034654 36 3369 39 6.79088e+06 296384 648988. 2245.63 2.20 0.230931 0.204936 25390 158009 -1 2907 19 1280 3652 205706 47665 7.67637 7.67637 -163.38 -7.67637 0 0 828058. 2865.25 0.30 0.08 0.14 -1 -1 0.30 0.0324613 0.0293424 142 200 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_040.v common 10.65 vpr 64.89 MiB -1 -1 0.25 20976 13 0.32 -1 -1 36372 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 31 32 276 308 1 215 86 17 17 289 -1 unnamed_device 26.4 MiB 2.47 1206 4433 888 3276 269 64.9 MiB 0.05 0.00 7.31166 -143.361 -7.31166 7.31166 0.99 0.000632091 0.000574403 0.0189629 0.0173301 42 3374 30 6.79088e+06 309856 744469. 2576.02 4.40 0.229053 0.199721 26542 182613 -1 2801 16 1361 3479 206679 46740 7.43696 7.43696 -159.114 -7.43696 0 0 949917. 3286.91 0.38 0.08 0.18 -1 -1 0.38 0.0284168 0.0256313 136 183 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_041.v common 10.73 vpr 64.97 MiB -1 -1 0.22 20780 13 0.32 -1 -1 36648 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 31 32 269 301 1 204 84 17 17 289 -1 unnamed_device 26.5 MiB 2.29 1198 12162 3885 6108 2169 65.0 MiB 0.11 0.00 6.67042 -135.628 -6.67042 6.67042 0.97 0.000622391 0.000564342 0.0452182 0.0411 38 3615 49 6.79088e+06 282912 678818. 2348.85 4.71 0.219778 0.193137 25966 169698 -1 2811 20 1319 3889 245889 52416 7.21858 7.21858 -156.893 -7.21858 0 0 902133. 3121.57 0.33 0.09 0.17 -1 -1 0.33 0.0299396 0.0268674 125 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_042.v common 10.32 vpr 64.78 MiB -1 -1 0.19 20508 12 0.24 -1 -1 36340 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 264 296 1 184 80 17 17 289 -1 unnamed_device 26.2 MiB 2.40 1008 12120 4187 5866 2067 64.8 MiB 0.10 0.00 5.64559 -119.642 -5.64559 5.64559 0.94 0.000570117 0.000515921 0.0438498 0.0397628 38 2702 22 6.79088e+06 215552 678818. 2348.85 4.40 0.220436 0.1922 25966 169698 -1 2078 16 998 2726 135314 32190 5.99343 5.99343 -134.992 -5.99343 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0240301 0.0216976 111 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_043.v common 28.09 vpr 65.26 MiB -1 -1 0.24 21160 14 0.47 -1 -1 36760 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 324 356 1 241 85 17 17 289 -1 unnamed_device 26.9 MiB 1.30 1568 9199 2388 6033 778 65.3 MiB 0.10 0.00 6.7243 -147.587 -6.7243 6.7243 0.90 0.000717522 0.00065277 0.0415101 0.0377331 38 4503 41 6.79088e+06 282912 678818. 2348.85 23.02 0.423014 0.374202 25966 169698 -1 3554 18 1712 5201 309485 67210 7.4761 7.4761 -173.793 -7.4761 0 0 902133. 3121.57 0.33 0.11 0.15 -1 -1 0.33 0.0372824 0.0337969 159 229 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_044.v common 8.44 vpr 64.64 MiB -1 -1 0.18 20284 11 0.24 -1 -1 36028 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 31 32 249 281 1 186 79 17 17 289 -1 unnamed_device 26.1 MiB 2.65 1170 6501 1525 4634 342 64.6 MiB 0.06 0.00 5.48104 -120.034 -5.48104 5.48104 0.94 0.000583988 0.00053267 0.0260111 0.0237292 36 3326 26 6.79088e+06 215552 648988. 2245.63 2.32 0.143831 0.126705 25390 158009 -1 2815 18 1344 3877 250679 54235 5.60634 5.60634 -138.78 -5.60634 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0272532 0.0244995 112 156 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_045.v common 12.26 vpr 64.80 MiB -1 -1 0.24 20656 13 0.34 -1 -1 36608 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 31 32 284 316 1 193 83 17 17 289 -1 unnamed_device 26.3 MiB 2.02 1191 11423 2968 6267 2188 64.8 MiB 0.10 0.00 6.38411 -136.826 -6.38411 6.38411 0.92 0.000648595 0.000585044 0.044719 0.0404639 36 3653 49 6.79088e+06 269440 648988. 2245.63 6.61 0.229372 0.200959 25390 158009 -1 2810 17 1260 3861 238682 52450 6.88188 6.88188 -156.263 -6.88188 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.029736 0.0267283 137 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_046.v common 11.12 vpr 65.12 MiB -1 -1 0.21 20788 12 0.32 -1 -1 36432 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 303 335 1 212 85 17 17 289 -1 unnamed_device 26.6 MiB 2.36 1242 14965 5585 7301 2079 65.1 MiB 0.13 0.00 5.95428 -129.63 -5.95428 5.95428 0.90 0.000655364 0.000582321 0.0569284 0.0514192 38 3773 27 6.79088e+06 282912 678818. 2348.85 5.13 0.247277 0.219662 25966 169698 -1 2946 18 1475 4540 240768 53957 6.33362 6.33362 -152.462 -6.33362 0 0 902133. 3121.57 0.32 0.09 0.15 -1 -1 0.32 0.0319874 0.0289185 146 208 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_047.v common 16.75 vpr 64.96 MiB -1 -1 0.19 20480 13 0.30 -1 -1 36612 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 272 304 1 200 86 17 17 289 -1 unnamed_device 26.4 MiB 1.54 1153 11804 3670 6382 1752 65.0 MiB 0.10 0.00 6.47021 -137.224 -6.47021 6.47021 0.95 0.00061857 0.000561072 0.0431508 0.0391968 30 3498 49 6.79088e+06 296384 556674. 1926.21 11.64 0.285499 0.250533 24526 138013 -1 2547 33 1315 3397 320543 134296 6.72081 6.72081 -154.333 -6.72081 0 0 706193. 2443.58 0.29 0.15 0.13 -1 -1 0.29 0.0477051 0.0425197 131 177 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_048.v common 11.59 vpr 64.90 MiB -1 -1 0.23 20872 13 0.27 -1 -1 36864 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 271 303 1 212 82 17 17 289 -1 unnamed_device 26.5 MiB 3.16 1131 12008 4148 5800 2060 64.9 MiB 0.11 0.00 6.13346 -131.55 -6.13346 6.13346 0.96 0.000634175 0.000572612 0.0455101 0.0410787 38 3626 48 6.79088e+06 242496 678818. 2348.85 4.74 0.221193 0.193625 25966 169698 -1 2605 19 1422 3983 219603 49113 6.41628 6.41628 -148.11 -6.41628 0 0 902133. 3121.57 0.34 0.09 0.16 -1 -1 0.34 0.0307402 0.0275907 124 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_049.v common 21.24 vpr 64.76 MiB -1 -1 0.23 21048 12 0.31 -1 -1 36344 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 32 32 288 320 1 218 84 17 17 289 -1 unnamed_device 26.2 MiB 2.45 1384 7221 1754 4791 676 64.8 MiB 0.07 0.00 6.20837 -138.171 -6.20837 6.20837 0.95 0.000645614 0.000585186 0.0293365 0.0265949 38 3465 31 6.79088e+06 269440 678818. 2348.85 15.13 0.319166 0.276916 25966 169698 -1 2846 18 1274 4037 219059 47243 6.41207 6.41207 -154.118 -6.41207 0 0 902133. 3121.57 0.33 0.08 0.16 -1 -1 0.33 0.0312259 0.0281202 140 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_050.v common 8.94 vpr 64.92 MiB -1 -1 0.25 20972 13 0.38 -1 -1 37248 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 306 338 1 225 84 17 17 289 -1 unnamed_device 26.4 MiB 1.91 1323 4110 692 3301 117 64.9 MiB 0.05 0.00 6.76001 -142.904 -6.76001 6.76001 0.94 0.000690797 0.000615543 0.0196817 0.0179705 38 3416 31 6.79088e+06 269440 678818. 2348.85 3.29 0.20192 0.177529 25966 169698 -1 2856 22 1614 4747 238339 55978 7.34731 7.34731 -168.59 -7.34731 0 0 902133. 3121.57 0.34 0.10 0.16 -1 -1 0.34 0.0389605 0.0350374 145 211 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_051.v common 10.01 vpr 64.80 MiB -1 -1 0.21 20668 14 0.35 -1 -1 36304 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 262 294 1 194 84 17 17 289 -1 unnamed_device 26.3 MiB 1.79 1242 13992 4125 7675 2192 64.8 MiB 0.11 0.00 6.67048 -139.801 -6.67048 6.67048 0.90 0.000574182 0.000522778 0.0489639 0.0445148 36 3463 28 6.79088e+06 269440 648988. 2245.63 4.63 0.215678 0.191806 25390 158009 -1 2867 20 1485 4417 264365 57072 7.67282 7.67282 -166.032 -7.67282 0 0 828058. 2865.25 0.31 0.09 0.14 -1 -1 0.31 0.0300433 0.0270113 125 167 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_052.v common 12.99 vpr 64.88 MiB -1 -1 0.21 20320 13 0.33 -1 -1 36372 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 291 323 1 214 85 17 17 289 -1 unnamed_device 26.4 MiB 2.74 1289 14221 4071 8219 1931 64.9 MiB 0.13 0.00 6.51285 -133.328 -6.51285 6.51285 0.97 0.000657525 0.000596449 0.0542554 0.0492579 36 3808 49 6.79088e+06 282912 648988. 2245.63 6.51 0.25859 0.229134 25390 158009 -1 3074 20 1480 4084 245694 57068 6.84955 6.84955 -156.394 -6.84955 0 0 828058. 2865.25 0.32 0.09 0.14 -1 -1 0.32 0.0317646 0.0284994 136 196 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_053.v common 9.86 vpr 65.20 MiB -1 -1 0.26 20788 13 0.35 -1 -1 36420 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 31 32 302 334 1 224 84 17 17 289 -1 unnamed_device 26.7 MiB 2.27 1358 6123 1356 3867 900 65.2 MiB 0.07 0.00 6.45902 -141.579 -6.45902 6.45902 0.97 0.00069296 0.000621519 0.0274695 0.0249292 36 4190 26 6.79088e+06 282912 648988. 2245.63 3.82 0.167343 0.146974 25390 158009 -1 3213 18 1639 4506 300262 64296 7.04632 7.04632 -165.809 -7.04632 0 0 828058. 2865.25 0.32 0.10 0.15 -1 -1 0.32 0.0342889 0.0309472 144 209 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_054.v common 8.83 vpr 65.07 MiB -1 -1 0.24 20860 12 0.39 -1 -1 36568 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 308 340 1 225 85 17 17 289 -1 unnamed_device 26.5 MiB 1.89 1293 13663 4448 6578 2637 65.1 MiB 0.12 0.00 6.36178 -135.889 -6.36178 6.36178 0.95 0.000687996 0.000624726 0.0542492 0.0491589 46 3200 19 6.79088e+06 282912 828058. 2865.25 3.06 0.210611 0.185609 27406 200422 -1 2555 17 1411 3887 187896 44284 6.57319 6.57319 -151.104 -6.57319 0 0 1.01997e+06 3529.29 0.38 0.08 0.19 -1 -1 0.38 0.031338 0.0283054 147 213 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_055.v common 8.48 vpr 64.33 MiB -1 -1 0.17 20204 11 0.15 -1 -1 36632 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 32 32 216 248 1 160 78 17 17 289 -1 unnamed_device 25.9 MiB 1.40 794 5888 1237 4556 95 64.3 MiB 0.05 0.00 5.10508 -108.421 -5.10508 5.10508 0.91 0.000477519 0.000434446 0.0195676 0.0178716 36 2473 21 6.79088e+06 188608 648988. 2245.63 3.92 0.162717 0.142353 25390 158009 -1 1816 16 890 2153 117177 28421 5.44178 5.44178 -128.12 -5.44178 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0205348 0.0184788 91 121 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_056.v common 10.35 vpr 64.80 MiB -1 -1 0.22 20696 13 0.27 -1 -1 36492 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 254 286 1 197 84 17 17 289 -1 unnamed_device 26.4 MiB 2.24 1142 5391 1044 4126 221 64.8 MiB 0.05 0.00 6.36948 -134.926 -6.36948 6.36948 0.94 0.000570603 0.000518292 0.0206811 0.018897 40 2571 16 6.79088e+06 269440 706193. 2443.58 4.54 0.214157 0.186382 26254 175826 -1 2525 17 1161 3019 174293 41594 6.74882 6.74882 -158.154 -6.74882 0 0 926341. 3205.33 0.36 0.07 0.17 -1 -1 0.36 0.0270004 0.0243596 118 159 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_057.v common 12.16 vpr 65.16 MiB -1 -1 0.25 21260 14 0.56 -1 -1 36556 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 32 32 338 370 1 251 88 17 17 289 -1 unnamed_device 26.7 MiB 1.58 1624 8668 2292 5827 549 65.2 MiB 0.10 0.00 7.64076 -154.409 -7.64076 7.64076 0.98 0.000833537 0.000748857 0.0422606 0.0382054 48 3969 29 6.79088e+06 323328 865456. 2994.66 6.37 0.393589 0.345904 27694 206865 -1 3661 19 1877 5426 342386 72537 8.1923 8.1923 -181.627 -8.1923 0 0 1.05005e+06 3633.38 0.42 0.12 0.20 -1 -1 0.42 0.0421374 0.0381064 171 243 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_058.v common 8.97 vpr 64.86 MiB -1 -1 0.23 20696 13 0.37 -1 -1 36680 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 271 303 1 215 85 17 17 289 -1 unnamed_device 26.4 MiB 1.82 1376 8827 2531 5567 729 64.9 MiB 0.08 0.00 6.76001 -145.356 -6.76001 6.76001 0.95 0.000655962 0.000600166 0.0339027 0.030731 40 3175 18 6.79088e+06 282912 706193. 2443.58 3.29 0.195675 0.172772 26254 175826 -1 3082 30 1318 3571 519287 238168 7.13591 7.13591 -170.592 -7.13591 0 0 926341. 3205.33 0.36 0.21 0.17 -1 -1 0.36 0.0487381 0.0438212 134 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_059.v common 7.84 vpr 64.55 MiB -1 -1 0.20 20616 11 0.21 -1 -1 36336 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 30 32 224 256 1 163 79 17 17 289 -1 unnamed_device 26.1 MiB 0.87 1031 4980 1094 3561 325 64.6 MiB 0.05 0.00 5.69249 -122.087 -5.69249 5.69249 0.97 0.000538371 0.0004897 0.0185978 0.0170323 36 2650 24 6.79088e+06 229024 648988. 2245.63 3.51 0.143697 0.125275 25390 158009 -1 2322 19 1110 3219 196084 42663 6.02919 6.02919 -139.377 -6.02919 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0251022 0.0224087 101 133 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_060.v common 22.44 vpr 65.62 MiB -1 -1 0.26 21636 15 0.66 -1 -1 36776 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 351 383 1 259 89 17 17 289 -1 unnamed_device 27.0 MiB 1.29 1508 9197 2375 5998 824 65.6 MiB 0.10 0.00 7.85565 -160.53 -7.85565 7.85565 0.92 0.000801462 0.000719243 0.0418715 0.0378998 40 3729 21 6.79088e+06 336800 706193. 2443.58 17.02 0.46717 0.413854 26254 175826 -1 3704 25 1818 5367 567795 179166 8.60745 8.60745 -187.225 -8.60745 0 0 926341. 3205.33 0.34 0.19 0.16 -1 -1 0.34 0.0506152 0.045635 179 256 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_061.v common 10.06 vpr 65.05 MiB -1 -1 0.23 20692 13 0.39 -1 -1 36588 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 297 329 1 217 84 17 17 289 -1 unnamed_device 26.5 MiB 1.39 1287 12345 3324 7419 1602 65.1 MiB 0.11 0.00 6.76001 -145.768 -6.76001 6.76001 0.95 0.000654768 0.00059367 0.0490177 0.0443839 36 3438 31 6.79088e+06 269440 648988. 2245.63 4.91 0.25678 0.224572 25390 158009 -1 2898 18 1343 3715 220809 48783 7.01061 7.01061 -164.571 -7.01061 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0319363 0.0288087 139 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_062.v common 6.79 vpr 64.59 MiB -1 -1 0.17 20156 11 0.16 -1 -1 36384 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 231 263 1 165 77 17 17 289 -1 unnamed_device 26.2 MiB 1.49 1049 10998 3160 6693 1145 64.6 MiB 0.08 0.00 5.40613 -119.346 -5.40613 5.40613 0.91 0.000537044 0.000488059 0.036518 0.0332567 36 2642 46 6.79088e+06 175136 648988. 2245.63 2.04 0.156651 0.138887 25390 158009 -1 2195 17 891 2245 136084 30406 5.76735 5.76735 -136.945 -5.76735 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.022827 0.0205549 94 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_063.v common 26.89 vpr 65.11 MiB -1 -1 0.23 20908 12 0.38 -1 -1 36492 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 305 337 1 217 84 17 17 289 -1 unnamed_device 26.6 MiB 1.36 1356 9600 2570 6006 1024 65.1 MiB 0.09 0.00 6.42326 -135.664 -6.42326 6.42326 0.94 0.000667296 0.000603302 0.039535 0.035752 34 3883 48 6.79088e+06 269440 618332. 2139.56 21.80 0.406097 0.353126 25102 150614 -1 3270 21 1518 4818 329426 69511 6.67381 6.67381 -158.269 -6.67381 0 0 787024. 2723.27 0.30 0.11 0.15 -1 -1 0.30 0.0356617 0.0319504 146 210 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_064.v common 9.16 vpr 64.60 MiB -1 -1 0.17 20132 12 0.23 -1 -1 36516 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 243 275 1 187 82 17 17 289 -1 unnamed_device 26.1 MiB 1.47 1012 13788 4962 6947 1879 64.6 MiB 0.11 0.00 6.07963 -128.905 -6.07963 6.07963 0.92 0.000590251 0.000533574 0.0460928 0.0419351 48 2318 18 6.79088e+06 242496 865456. 2994.66 4.11 0.233018 0.203616 27694 206865 -1 2008 17 982 2561 153192 36218 6.33023 6.33023 -140.593 -6.33023 0 0 1.05005e+06 3633.38 0.40 0.07 0.19 -1 -1 0.40 0.0257063 0.0231298 113 148 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_065.v common 7.78 vpr 64.64 MiB -1 -1 0.21 20404 12 0.23 -1 -1 36480 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 30 32 228 260 1 166 79 17 17 289 -1 unnamed_device 26.2 MiB 1.18 926 5656 1193 4227 236 64.6 MiB 0.05 0.00 6.16917 -122.538 -6.16917 6.16917 0.97 0.000561413 0.000503968 0.0212249 0.0193608 36 2481 38 6.79088e+06 229024 648988. 2245.63 3.15 0.166657 0.146037 25390 158009 -1 2065 14 846 2338 134761 31285 6.41977 6.41977 -139.334 -6.41977 0 0 828058. 2865.25 0.30 0.05 0.14 -1 -1 0.30 0.0216931 0.0197246 106 137 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_066.v common 9.30 vpr 64.99 MiB -1 -1 0.22 20824 12 0.32 -1 -1 36264 -1 -1 26 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 29 32 275 307 1 201 87 17 17 289 -1 unnamed_device 26.5 MiB 2.37 1225 4887 997 3512 378 65.0 MiB 0.05 0.00 6.25527 -120.607 -6.25527 6.25527 0.91 0.000626388 0.000570035 0.019937 0.0182301 36 3520 36 6.79088e+06 350272 648988. 2245.63 3.36 0.194304 0.172191 25390 158009 -1 2947 30 1405 4201 452796 162450 6.38057 6.38057 -137.694 -6.38057 0 0 828058. 2865.25 0.31 0.17 0.14 -1 -1 0.31 0.0459568 0.0411899 140 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_067.v common 10.51 vpr 65.26 MiB -1 -1 0.23 20732 13 0.42 -1 -1 36348 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 32 32 330 362 1 257 87 17 17 289 -1 unnamed_device 26.9 MiB 1.25 1481 9303 2299 6055 949 65.3 MiB 0.10 0.00 6.67386 -141.288 -6.67386 6.67386 0.96 0.00072761 0.000662292 0.0415538 0.0377661 44 3676 21 6.79088e+06 309856 787024. 2723.27 5.29 0.316255 0.278572 27118 194962 -1 3040 24 1611 3890 204014 46893 7.04976 7.04976 -159.917 -7.04976 0 0 997811. 3452.63 0.39 0.10 0.19 -1 -1 0.39 0.0430733 0.0387529 160 235 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_068.v common 7.70 vpr 64.79 MiB -1 -1 0.23 20884 12 0.29 -1 -1 36548 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 290 322 1 218 84 17 17 289 -1 unnamed_device 26.3 MiB 1.53 1320 6489 1374 4569 546 64.8 MiB 0.07 0.00 6.70187 -141.173 -6.70187 6.70187 0.94 0.000674398 0.000604527 0.0272082 0.0246635 44 3380 30 6.79088e+06 269440 787024. 2723.27 2.45 0.160141 0.140829 27118 194962 -1 2788 19 1658 4989 282610 60753 6.82717 6.82717 -158.292 -6.82717 0 0 997811. 3452.63 0.39 0.10 0.19 -1 -1 0.39 0.0336582 0.0303412 140 195 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_069.v common 9.58 vpr 64.32 MiB -1 -1 0.20 20548 12 0.55 -1 -1 36840 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 32 32 214 246 1 160 79 17 17 289 -1 unnamed_device 25.9 MiB 2.37 952 4304 892 3266 146 64.3 MiB 0.04 0.00 6.12227 -127.798 -6.12227 6.12227 0.94 0.000485849 0.000441986 0.0154241 0.0140547 36 2353 22 6.79088e+06 202080 648988. 2245.63 3.41 0.131197 0.11411 25390 158009 -1 2113 30 890 2420 288728 119902 6.12227 6.12227 -141.491 -6.12227 0 0 828058. 2865.25 0.32 0.12 0.15 -1 -1 0.32 0.0324367 0.0286716 93 119 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_070.v common 17.63 vpr 64.58 MiB -1 -1 0.20 20508 12 0.57 -1 -1 36344 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 31 32 244 276 1 178 82 17 17 289 -1 unnamed_device 26.1 MiB 1.71 1153 9160 2665 5629 866 64.6 MiB 0.08 0.00 5.97433 -125.395 -5.97433 5.97433 0.95 0.000550632 0.000499746 0.0317419 0.0288688 30 3382 37 6.79088e+06 255968 556674. 1926.21 12.11 0.23433 0.204504 24526 138013 -1 2472 17 1066 2878 152870 34836 6.47553 6.47553 -147.214 -6.47553 0 0 706193. 2443.58 0.29 0.07 0.13 -1 -1 0.29 0.0255734 0.0230715 111 151 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_071.v common 10.37 vpr 64.64 MiB -1 -1 0.21 20420 11 0.23 -1 -1 36484 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 30 32 276 308 1 190 82 17 17 289 -1 unnamed_device 26.2 MiB 1.73 1156 8626 2147 5545 934 64.6 MiB 0.08 0.00 5.62872 -116.366 -5.62872 5.62872 0.98 0.000615425 0.000557759 0.0334106 0.030317 40 2624 24 6.79088e+06 269440 706193. 2443.58 5.02 0.282345 0.247445 26254 175826 -1 2477 18 1151 3517 201090 45410 6.00456 6.00456 -133.705 -6.00456 0 0 926341. 3205.33 0.36 0.08 0.17 -1 -1 0.36 0.0301584 0.0271576 125 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_072.v common 9.46 vpr 64.89 MiB -1 -1 0.20 20540 11 0.25 -1 -1 36176 -1 -1 19 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 28 32 253 285 1 176 79 17 17 289 -1 unnamed_device 26.3 MiB 1.47 1024 5149 1225 3564 360 64.9 MiB 0.05 0.00 5.48104 -107.687 -5.48104 5.48104 0.92 0.000558352 0.000508396 0.0207251 0.0189627 36 2805 29 6.79088e+06 255968 648988. 2245.63 4.61 0.179858 0.158654 25390 158009 -1 2502 19 1258 3684 221522 48674 6.0536 6.0536 -130.357 -6.0536 0 0 828058. 2865.25 0.31 0.08 0.14 -1 -1 0.31 0.0294263 0.0264593 116 166 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_073.v common 11.14 vpr 64.45 MiB -1 -1 0.20 20328 13 0.26 -1 -1 36364 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 30 32 235 267 1 172 80 17 17 289 -1 unnamed_device 26.0 MiB 2.21 1061 12292 3804 6727 1761 64.4 MiB 0.10 0.00 5.9509 -124.204 -5.9509 5.9509 0.97 0.000554152 0.000503832 0.042455 0.0386286 36 3103 33 6.79088e+06 242496 648988. 2245.63 5.26 0.182979 0.160621 25390 158009 -1 2401 26 1085 3106 365955 157074 6.0762 6.0762 -138.488 -6.0762 0 0 828058. 2865.25 0.33 0.15 0.15 -1 -1 0.33 0.036007 0.0322091 108 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_074.v common 8.98 vpr 64.77 MiB -1 -1 0.22 21000 12 0.24 -1 -1 36296 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 26.4 MiB 2.57 1162 6490 1526 4609 355 64.8 MiB 0.06 0.00 5.77859 -136.492 -5.77859 5.77859 0.94 0.000582737 0.000528236 0.0249884 0.0227204 36 3327 41 6.79088e+06 242496 648988. 2245.63 2.50 0.153863 0.13452 25390 158009 -1 2829 19 1457 3863 244888 54900 5.90389 5.90389 -152.699 -5.90389 0 0 828058. 2865.25 0.31 0.09 0.15 -1 -1 0.31 0.0291346 0.0261536 120 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_075.v common 14.49 vpr 65.14 MiB -1 -1 0.22 20500 13 0.37 -1 -1 36472 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 31 32 278 310 1 200 84 17 17 289 -1 unnamed_device 26.7 MiB 2.04 1261 9051 2499 5595 957 65.1 MiB 0.08 0.00 6.92806 -142.643 -6.92806 6.92806 0.96 0.000639987 0.000581344 0.0356613 0.0324087 30 3523 33 6.79088e+06 282912 556674. 1926.21 8.82 0.243427 0.213378 24526 138013 -1 2652 17 1238 3431 160658 38259 7.26476 7.26476 -159.985 -7.26476 0 0 706193. 2443.58 0.28 0.07 0.13 -1 -1 0.28 0.0289266 0.0260675 137 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_076.v common 20.69 vpr 65.25 MiB -1 -1 0.22 20648 14 0.30 -1 -1 36164 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 290 322 1 212 84 17 17 289 -1 unnamed_device 26.8 MiB 1.46 1354 13809 4092 7816 1901 65.2 MiB 0.12 0.00 7.26476 -155.727 -7.26476 7.26476 0.91 0.000676257 0.00061694 0.0541432 0.0491473 36 4029 42 6.79088e+06 269440 648988. 2245.63 15.71 0.369564 0.327498 25390 158009 -1 3046 17 1385 4090 275575 57974 7.76595 7.76595 -175.302 -7.76595 0 0 828058. 2865.25 0.30 0.09 0.14 -1 -1 0.30 0.0327243 0.0298464 132 195 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_077.v common 13.26 vpr 64.83 MiB -1 -1 0.24 20660 14 0.31 -1 -1 36660 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 26.5 MiB 2.60 995 13206 4190 6602 2414 64.8 MiB 0.11 0.00 6.75231 -131.012 -6.75231 6.75231 0.96 0.000622344 0.000554605 0.0503989 0.0455755 38 3532 50 6.79088e+06 229024 678818. 2348.85 6.94 0.229377 0.201168 25966 169698 -1 2400 18 1287 3724 203668 47519 6.87761 6.87761 -148.841 -6.87761 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0297439 0.026861 122 174 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_078.v common 10.44 vpr 65.22 MiB -1 -1 0.22 21060 13 0.42 -1 -1 36504 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 32 32 296 328 1 223 86 17 17 289 -1 unnamed_device 26.7 MiB 2.15 1453 7457 1751 5135 571 65.2 MiB 0.08 0.00 6.99948 -143.294 -6.99948 6.99948 0.98 0.000689456 0.000614709 0.032242 0.0292366 38 3902 25 6.79088e+06 296384 678818. 2348.85 4.43 0.20308 0.178502 25966 169698 -1 3241 16 1586 4434 257664 55392 7.37538 7.37538 -165.094 -7.37538 0 0 902133. 3121.57 0.33 0.09 0.16 -1 -1 0.33 0.0302067 0.027264 144 201 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_079.v common 14.91 vpr 64.52 MiB -1 -1 0.20 20652 13 0.24 -1 -1 35840 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 30 32 234 266 1 175 80 17 17 289 -1 unnamed_device 26.1 MiB 2.46 1067 11948 3595 6419 1934 64.5 MiB 0.09 0.00 5.91857 -125.062 -5.91857 5.91857 0.95 0.000527681 0.000479018 0.0399965 0.0363308 36 2657 30 6.79088e+06 242496 648988. 2245.63 8.92 0.263584 0.229652 25390 158009 -1 2263 19 998 2638 163516 35959 6.33018 6.33018 -144.357 -6.33018 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0266467 0.0239366 104 143 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_080.v common 9.80 vpr 64.89 MiB -1 -1 0.24 21056 13 0.56 -1 -1 36516 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 30 32 291 323 1 225 84 17 17 289 -1 unnamed_device 26.3 MiB 2.17 1324 11796 3320 6990 1486 64.9 MiB 0.11 0.00 6.54518 -135.575 -6.54518 6.54518 0.94 0.000686615 0.00061895 0.0476448 0.0432049 36 4408 44 6.79088e+06 296384 648988. 2245.63 3.63 0.205203 0.180617 25390 158009 -1 3305 22 2246 5984 376796 84468 7.23534 7.23534 -165.015 -7.23534 0 0 828058. 2865.25 0.33 0.12 0.15 -1 -1 0.33 0.0376532 0.0336454 145 200 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_081.v common 12.02 vpr 64.84 MiB -1 -1 0.23 20716 14 0.39 -1 -1 36724 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 274 306 1 205 82 17 17 289 -1 unnamed_device 26.4 MiB 1.95 1283 6134 1394 4015 725 64.8 MiB 0.06 0.00 7.05762 -149.408 -7.05762 7.05762 0.94 0.000611656 0.000552736 0.0250684 0.0228395 36 3607 44 6.79088e+06 242496 648988. 2245.63 6.38 0.208723 0.183377 25390 158009 -1 2929 14 1282 3698 240985 52075 7.43352 7.43352 -169.79 -7.43352 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0271619 0.0247307 128 179 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_082.v common 8.23 vpr 64.80 MiB -1 -1 0.24 20824 13 0.28 -1 -1 36472 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 31 32 266 298 1 196 82 17 17 289 -1 unnamed_device 26.4 MiB 2.26 1171 11474 3141 6554 1779 64.8 MiB 0.10 0.00 6.28333 -136.535 -6.28333 6.28333 0.94 0.000596009 0.000539702 0.0423238 0.0384561 36 3228 24 6.79088e+06 255968 648988. 2245.63 2.31 0.171074 0.150239 25390 158009 -1 2691 17 1380 3769 227255 49753 6.69156 6.69156 -157.825 -6.69156 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0296778 0.0268052 124 173 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_083.v common 9.38 vpr 64.77 MiB -1 -1 0.24 20688 13 0.27 -1 -1 36212 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 30 32 266 298 1 199 81 17 17 289 -1 unnamed_device 26.4 MiB 2.04 1189 9531 3169 4648 1714 64.8 MiB 0.08 0.00 6.13113 -122.629 -6.13113 6.13113 0.95 0.000591434 0.00053513 0.0369719 0.0336013 38 3266 30 6.79088e+06 255968 678818. 2348.85 3.67 0.188121 0.164979 25966 169698 -1 2670 18 1481 4083 230545 50227 6.37049 6.37049 -139.039 -6.37049 0 0 902133. 3121.57 0.36 0.09 0.16 -1 -1 0.36 0.030416 0.0274384 121 175 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_084.v common 12.64 vpr 65.09 MiB -1 -1 0.22 20772 14 0.42 -1 -1 36284 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 310 342 1 231 85 17 17 289 -1 unnamed_device 26.5 MiB 1.87 1563 10687 2688 6174 1825 65.1 MiB 0.10 0.00 7.30047 -154.524 -7.30047 7.30047 0.91 0.00068647 0.000610148 0.0440852 0.0397603 38 4326 34 6.79088e+06 282912 678818. 2348.85 7.11 0.256524 0.228012 25966 169698 -1 3532 18 1615 4775 284328 60931 7.42577 7.42577 -169.517 -7.42577 0 0 902133. 3121.57 0.33 0.10 0.15 -1 -1 0.33 0.0355201 0.0321746 154 215 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_085.v common 9.40 vpr 64.83 MiB -1 -1 0.22 20644 11 0.35 -1 -1 36472 -1 -1 23 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 29 32 262 294 1 201 84 17 17 289 -1 unnamed_device 26.4 MiB 2.48 1042 10332 2854 5719 1759 64.8 MiB 0.09 0.00 6.13002 -115.481 -6.13002 6.13002 0.98 0.000604415 0.000546211 0.0388076 0.0351789 36 3537 49 6.79088e+06 309856 648988. 2245.63 3.15 0.198314 0.173576 25390 158009 -1 2495 25 1411 3992 288978 90768 6.25532 6.25532 -133.215 -6.25532 0 0 828058. 2865.25 0.31 0.11 0.15 -1 -1 0.31 0.0355291 0.0315654 136 173 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_086.v common 9.55 vpr 64.61 MiB -1 -1 0.18 20284 13 0.19 -1 -1 36640 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 32 32 222 254 1 182 78 17 17 289 -1 unnamed_device 26.2 MiB 3.56 970 6552 1513 4811 228 64.6 MiB 0.06 0.00 5.95084 -134.489 -5.95084 5.95084 0.94 0.00052421 0.000477372 0.0230321 0.0209881 44 2711 48 6.79088e+06 188608 787024. 2723.27 2.50 0.167779 0.14643 27118 194962 -1 2202 18 1086 2521 146754 33056 6.03694 6.03694 -148.828 -6.03694 0 0 997811. 3452.63 0.39 0.06 0.19 -1 -1 0.39 0.0244688 0.0220246 98 127 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_087.v common 8.74 vpr 64.82 MiB -1 -1 0.22 20776 14 0.30 -1 -1 36612 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 267 299 1 200 81 17 17 289 -1 unnamed_device 26.5 MiB 2.08 1036 6731 1426 5215 90 64.8 MiB 0.07 0.00 7.17517 -141.92 -7.17517 7.17517 0.96 0.000615182 0.000554012 0.0276419 0.0251834 38 3233 19 6.79088e+06 229024 678818. 2348.85 3.01 0.174919 0.154245 25966 169698 -1 2476 19 1232 3233 165441 38207 7.17517 7.17517 -156.2 -7.17517 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0298772 0.0268606 122 172 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_088.v common 21.03 vpr 65.41 MiB -1 -1 0.24 21216 15 0.53 -1 -1 36612 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 334 366 1 254 87 17 17 289 -1 unnamed_device 26.9 MiB 1.88 1439 7575 1712 5351 512 65.4 MiB 0.09 0.00 7.43275 -158.86 -7.43275 7.43275 0.94 0.00075085 0.000682258 0.0357622 0.0324195 38 4238 47 6.79088e+06 309856 678818. 2348.85 15.23 0.389164 0.339255 25966 169698 -1 3374 21 1802 4689 256735 57221 8.02004 8.02004 -180.352 -8.02004 0 0 902133. 3121.57 0.33 0.10 0.16 -1 -1 0.33 0.0394572 0.035498 163 239 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_089.v common 9.89 vpr 64.21 MiB -1 -1 0.19 20688 11 0.21 -1 -1 36284 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65752 32 32 220 252 1 168 79 17 17 289 -1 unnamed_device 25.8 MiB 2.01 972 10388 3119 5289 1980 64.2 MiB 0.08 0.00 5.50342 -119.473 -5.50342 5.50342 0.97 0.000504503 0.000458702 0.0343322 0.0312836 36 2685 22 6.79088e+06 202080 648988. 2245.63 4.40 0.185942 0.162733 25390 158009 -1 2260 16 940 2457 166435 36239 5.82893 5.82893 -138.195 -5.82893 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0225456 0.0203171 97 125 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_090.v common 19.30 vpr 64.43 MiB -1 -1 0.18 20436 12 0.23 -1 -1 36480 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 31 32 244 276 1 193 80 17 17 289 -1 unnamed_device 25.9 MiB 1.92 1184 6272 1478 4198 596 64.4 MiB 0.06 0.00 5.44959 -123.238 -5.44959 5.44959 0.96 0.000576401 0.000514209 0.0249283 0.0226152 36 3634 32 6.79088e+06 229024 648988. 2245.63 13.86 0.269281 0.23347 25390 158009 -1 2841 23 1594 4628 298541 65826 5.822 5.822 -145.005 -5.822 0 0 828058. 2865.25 0.34 0.10 0.15 -1 -1 0.34 0.0311339 0.0276808 112 151 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_091.v common 10.41 vpr 64.82 MiB -1 -1 0.24 20676 12 0.38 -1 -1 36532 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 32 32 300 332 1 219 83 17 17 289 -1 unnamed_device 26.3 MiB 1.44 1382 5123 1131 3579 413 64.8 MiB 0.06 0.00 6.21181 -135.633 -6.21181 6.21181 0.97 0.000698105 0.000633914 0.0241434 0.0220671 44 3343 21 6.79088e+06 255968 787024. 2723.27 5.18 0.251886 0.219932 27118 194962 -1 2745 17 1279 3877 196482 44875 6.54851 6.54851 -155.002 -6.54851 0 0 997811. 3452.63 0.37 0.08 0.19 -1 -1 0.37 0.0309948 0.0279829 143 205 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_092.v common 11.15 vpr 64.83 MiB -1 -1 0.22 20856 12 0.28 -1 -1 36680 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 271 303 1 209 82 17 17 289 -1 unnamed_device 26.4 MiB 2.23 1312 9338 2701 6024 613 64.8 MiB 0.09 0.00 6.08307 -132.83 -6.08307 6.08307 0.91 0.000612759 0.000558544 0.036093 0.0329062 38 3758 21 6.79088e+06 242496 678818. 2348.85 5.45 0.202146 0.179444 25966 169698 -1 2836 15 1310 3757 222910 48376 6.41977 6.41977 -151.709 -6.41977 0 0 902133. 3121.57 0.33 0.08 0.15 -1 -1 0.33 0.0271875 0.0247021 130 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_093.v common 9.66 vpr 65.24 MiB -1 -1 0.23 21264 14 0.57 -1 -1 36492 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 327 359 1 233 86 17 17 289 -1 unnamed_device 26.8 MiB 2.20 1384 6890 1438 5172 280 65.2 MiB 0.08 0.00 7.5658 -152.044 -7.5658 7.5658 0.93 0.000785299 0.000709715 0.0336039 0.0304938 44 3688 22 6.79088e+06 296384 787024. 2723.27 3.38 0.222727 0.196084 27118 194962 -1 2956 26 1507 4526 383443 151710 7.64071 7.64071 -168.518 -7.64071 0 0 997811. 3452.63 0.39 0.16 0.19 -1 -1 0.39 0.0484 0.0434462 167 232 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_094.v common 8.68 vpr 64.50 MiB -1 -1 0.22 20436 12 0.26 -1 -1 36584 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 30 32 246 278 1 185 81 17 17 289 -1 unnamed_device 26.1 MiB 2.01 1057 10581 3212 4923 2446 64.5 MiB 0.09 0.00 6.07188 -116.532 -6.07188 6.07188 0.93 0.000566708 0.000514558 0.0380041 0.0344356 38 3162 45 6.79088e+06 255968 678818. 2348.85 3.15 0.193363 0.168983 25966 169698 -1 2394 17 1117 3268 170086 38708 6.49468 6.49468 -134.651 -6.49468 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0258545 0.0232615 121 155 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_095.v common 7.46 vpr 64.43 MiB -1 -1 0.19 20064 11 0.23 -1 -1 36428 -1 -1 19 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 27 32 219 251 1 163 78 17 17 289 -1 unnamed_device 25.9 MiB 2.46 900 11200 2933 6530 1737 64.4 MiB 0.08 0.00 5.66792 -104.013 -5.66792 5.66792 0.96 0.000510414 0.000469618 0.0375829 0.0341676 28 2673 40 6.79088e+06 255968 531479. 1839.03 1.59 0.124178 0.109111 23950 126010 -1 2212 21 1149 3023 192484 43410 5.81774 5.81774 -122.179 -5.81774 0 0 648988. 2245.63 0.26 0.07 0.12 -1 -1 0.26 0.0253711 0.022539 104 134 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_096.v common 9.69 vpr 65.69 MiB -1 -1 0.26 21664 13 0.54 -1 -1 36416 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67268 32 32 380 412 1 276 90 17 17 289 -1 unnamed_device 27.1 MiB 1.98 1693 10743 2712 6835 1196 65.7 MiB 0.12 0.00 6.75763 -141.164 -6.75763 6.75763 0.96 0.000844257 0.000761957 0.0509897 0.0460747 46 4229 20 6.79088e+06 350272 828058. 2865.25 3.55 0.255506 0.226025 27406 200422 -1 3566 19 1816 5594 272545 60658 7.07428 7.07428 -157.343 -7.07428 0 0 1.01997e+06 3529.29 0.39 0.11 0.19 -1 -1 0.39 0.0421258 0.0381078 188 285 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_097.v common 8.18 vpr 64.83 MiB -1 -1 0.22 20688 14 0.29 -1 -1 36568 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 31 32 277 309 1 197 85 17 17 289 -1 unnamed_device 26.4 MiB 1.97 1195 6037 1209 4595 233 64.8 MiB 0.06 0.00 6.8027 -140.243 -6.8027 6.8027 0.91 0.000610749 0.000555945 0.0237933 0.0217376 38 3075 23 6.79088e+06 296384 678818. 2348.85 2.76 0.181714 0.160423 25966 169698 -1 2447 16 1165 3182 154456 36498 7.0533 7.0533 -154.983 -7.0533 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.027547 0.0250016 130 184 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_098.v common 9.02 vpr 64.59 MiB -1 -1 0.22 20348 12 0.21 -1 -1 36280 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 229 261 1 174 82 17 17 289 -1 unnamed_device 26.1 MiB 2.02 1163 8804 2510 5532 762 64.6 MiB 0.07 0.00 6.02467 -132.982 -6.02467 6.02467 0.97 0.00056028 0.000510931 0.0302151 0.0275563 34 3057 28 6.79088e+06 242496 618332. 2139.56 3.48 0.165607 0.1456 25102 150614 -1 2649 20 1204 3008 194184 42676 6.60078 6.60078 -158.181 -6.60078 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0268718 0.024039 109 134 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_099.v common 8.06 vpr 64.80 MiB -1 -1 0.22 20476 13 0.36 -1 -1 36268 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 263 295 1 199 82 17 17 289 -1 unnamed_device 26.4 MiB 1.73 1285 8092 1940 5248 904 64.8 MiB 0.08 0.00 6.71317 -144.222 -6.71317 6.71317 0.94 0.000601998 0.000543671 0.0309841 0.0281452 38 3178 22 6.79088e+06 242496 678818. 2348.85 2.67 0.175192 0.153607 25966 169698 -1 2648 16 1138 3182 169905 37898 6.71317 6.71317 -156.216 -6.71317 0 0 902133. 3121.57 0.33 0.07 0.17 -1 -1 0.33 0.0263269 0.0237291 128 168 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_100.v common 29.85 vpr 64.98 MiB -1 -1 0.23 21132 13 0.41 -1 -1 37120 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 31 32 321 353 1 241 87 17 17 289 -1 unnamed_device 26.6 MiB 2.06 1388 9687 2321 6371 995 65.0 MiB 0.10 0.00 6.07958 -131.417 -6.07958 6.07958 0.98 0.000746719 0.00066553 0.0423705 0.0382719 46 3648 32 6.79088e+06 323328 828058. 2865.25 23.82 0.395031 0.345089 27406 200422 -1 3013 19 1616 4709 242834 54242 6.07958 6.07958 -143.356 -6.07958 0 0 1.01997e+06 3529.29 0.38 0.10 0.20 -1 -1 0.38 0.0368652 0.0333135 157 228 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_101.v common 11.04 vpr 65.09 MiB -1 -1 0.22 20496 11 0.30 -1 -1 36176 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 30 32 287 319 1 201 84 17 17 289 -1 unnamed_device 26.6 MiB 2.03 1263 9783 2507 6146 1130 65.1 MiB 0.09 0.00 5.66792 -118.324 -5.66792 5.66792 0.97 0.000637874 0.000577235 0.0387296 0.0350797 36 3312 25 6.79088e+06 296384 648988. 2245.63 5.29 0.244621 0.213291 25390 158009 -1 2858 21 1428 4793 310220 76588 5.91852 5.91852 -134.136 -5.91852 0 0 828058. 2865.25 0.34 0.11 0.15 -1 -1 0.34 0.0346143 0.031102 141 196 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_102.v common 22.72 vpr 65.03 MiB -1 -1 0.23 20860 15 0.44 -1 -1 36180 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 296 328 1 220 86 17 17 289 -1 unnamed_device 26.5 MiB 1.80 1350 9536 2644 6436 456 65.0 MiB 0.09 0.00 7.21442 -154.203 -7.21442 7.21442 0.98 0.000595914 0.000539009 0.0390359 0.0353727 38 3456 37 6.79088e+06 296384 678818. 2348.85 17.03 0.345482 0.3018 25966 169698 -1 2834 17 1316 4240 234361 51386 7.67991 7.67991 -172.865 -7.67991 0 0 902133. 3121.57 0.34 0.08 0.17 -1 -1 0.34 0.0312693 0.0282961 147 201 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_103.v common 9.09 vpr 65.17 MiB -1 -1 0.24 21196 13 0.41 -1 -1 36624 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 285 317 1 217 85 17 17 289 -1 unnamed_device 26.7 MiB 2.41 1369 7153 1830 4835 488 65.2 MiB 0.07 0.00 6.57668 -145.772 -6.57668 6.57668 0.94 0.000651309 0.000590315 0.0289818 0.0262917 36 3966 24 6.79088e+06 282912 648988. 2245.63 2.92 0.152759 0.134569 25390 158009 -1 3035 18 1367 4006 235821 52782 7.03867 7.03867 -168.631 -7.03867 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0331535 0.0300062 143 190 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_104.v common 9.46 vpr 64.36 MiB -1 -1 0.21 20508 12 0.25 -1 -1 36320 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 29 32 239 271 1 185 79 17 17 289 -1 unnamed_device 25.8 MiB 2.06 1011 5487 1182 4084 221 64.4 MiB 0.05 0.00 6.04731 -123.992 -6.04731 6.04731 0.96 0.000564939 0.000512914 0.0214331 0.0195256 36 3089 45 6.79088e+06 242496 648988. 2245.63 3.90 0.17642 0.1541 25390 158009 -1 2539 16 1204 2966 177925 40328 6.58771 6.58771 -147.278 -6.58771 0 0 828058. 2865.25 0.34 0.07 0.15 -1 -1 0.34 0.0244697 0.022101 111 150 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_105.v common 10.57 vpr 64.31 MiB -1 -1 0.20 20616 11 0.18 -1 -1 35944 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 32 32 235 267 1 172 78 17 17 289 -1 unnamed_device 25.9 MiB 1.72 1018 6552 1549 4725 278 64.3 MiB 0.06 0.00 5.57833 -123.024 -5.57833 5.57833 0.91 0.000508549 0.000462631 0.0233355 0.02128 34 3173 37 6.79088e+06 188608 618332. 2139.56 5.61 0.226846 0.199419 25102 150614 -1 2456 16 1150 2816 190464 44521 5.86813 5.86813 -142.728 -5.86813 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0227581 0.0205454 98 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_106.v common 10.48 vpr 64.82 MiB -1 -1 0.23 20984 13 0.41 -1 -1 36388 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 294 326 1 212 84 17 17 289 -1 unnamed_device 26.3 MiB 1.38 1312 8685 2280 5635 770 64.8 MiB 0.08 0.00 6.9357 -137.041 -6.9357 6.9357 0.95 0.000653069 0.000591109 0.0348249 0.0315984 46 2995 20 6.79088e+06 282912 828058. 2865.25 5.24 0.276018 0.240835 27406 200422 -1 2615 17 1346 3977 206141 45694 7.17511 7.17511 -149.852 -7.17511 0 0 1.01997e+06 3529.29 0.39 0.08 0.19 -1 -1 0.39 0.0313254 0.0282857 143 201 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_107.v common 8.09 vpr 64.43 MiB -1 -1 0.20 20492 10 0.21 -1 -1 36324 -1 -1 17 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 29 32 219 251 1 163 78 17 17 289 -1 unnamed_device 26.0 MiB 2.31 784 9374 2284 6546 544 64.4 MiB 0.07 0.00 5.03782 -104.747 -5.03782 5.03782 0.94 0.000485106 0.000439414 0.0309717 0.0280856 34 2470 40 6.79088e+06 229024 618332. 2139.56 2.39 0.161732 0.140677 25102 150614 -1 1883 19 978 2495 138088 33867 5.56349 5.56349 -124.722 -5.56349 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0240086 0.0214461 101 130 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_108.v common 9.96 vpr 64.45 MiB -1 -1 0.19 20484 14 0.24 -1 -1 36244 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 32 32 239 271 1 184 82 17 17 289 -1 unnamed_device 26.0 MiB 3.28 1042 12898 3923 6584 2391 64.5 MiB 0.10 0.00 6.62358 -137.051 -6.62358 6.62358 0.97 0.000522322 0.000464128 0.0437454 0.0395348 36 3224 27 6.79088e+06 242496 648988. 2245.63 3.14 0.178642 0.156441 25390 158009 -1 2455 21 1170 3091 197942 43654 6.87418 6.87418 -157.196 -6.87418 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0278983 0.024878 110 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_109.v common 12.04 vpr 64.76 MiB -1 -1 0.23 20752 13 0.34 -1 -1 36572 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 31 32 266 298 1 209 83 17 17 289 -1 unnamed_device 26.3 MiB 2.98 1245 9083 2390 5842 851 64.8 MiB 0.08 0.00 6.34835 -135.308 -6.34835 6.34835 0.97 0.000616621 0.000559419 0.0348666 0.0317611 44 3005 25 6.79088e+06 269440 787024. 2723.27 5.24 0.241584 0.211026 27118 194962 -1 2540 15 1236 3320 183500 40961 6.63815 6.63815 -152.492 -6.63815 0 0 997811. 3452.63 0.41 0.07 0.19 -1 -1 0.41 0.0265976 0.0240064 125 173 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_110.v common 11.58 vpr 64.34 MiB -1 -1 0.19 20812 12 0.19 -1 -1 36332 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65880 31 32 225 257 1 172 80 17 17 289 -1 unnamed_device 26.0 MiB 4.06 889 7648 2444 3880 1324 64.3 MiB 0.06 0.00 5.57833 -115.945 -5.57833 5.57833 0.94 0.000487032 0.000440449 0.0252867 0.0230064 46 2240 21 6.79088e+06 229024 828058. 2865.25 4.04 0.185473 0.160566 27406 200422 -1 1881 15 997 2489 124807 30625 5.78203 5.78203 -131.185 -5.78203 0 0 1.01997e+06 3529.29 0.39 0.06 0.20 -1 -1 0.39 0.0218408 0.0197423 99 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_111.v common 9.86 vpr 64.92 MiB -1 -1 0.20 20892 12 0.24 -1 -1 36412 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 288 320 1 203 82 17 17 289 -1 unnamed_device 26.5 MiB 2.36 1075 7914 1789 5922 203 64.9 MiB 0.08 0.00 5.91508 -127.079 -5.91508 5.91508 0.97 0.000618397 0.000562363 0.0331497 0.0300505 36 3366 48 6.79088e+06 242496 648988. 2245.63 3.96 0.23322 0.205608 25390 158009 -1 2524 17 1239 3637 210218 47647 6.29098 6.29098 -145.877 -6.29098 0 0 828058. 2865.25 0.31 0.08 0.14 -1 -1 0.31 0.029005 0.0260729 130 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_112.v common 9.89 vpr 65.00 MiB -1 -1 0.25 20600 13 0.37 -1 -1 36440 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 31 32 282 314 1 212 83 17 17 289 -1 unnamed_device 26.5 MiB 1.40 1245 13223 4004 7203 2016 65.0 MiB 0.12 0.00 6.69391 -144.564 -6.69391 6.69391 0.94 0.000650604 0.000589374 0.0508513 0.0460494 44 3143 20 6.79088e+06 269440 787024. 2723.27 4.68 0.256299 0.22423 27118 194962 -1 2814 15 1238 3699 211639 46306 6.78001 6.78001 -158.977 -6.78001 0 0 997811. 3452.63 0.38 0.08 0.19 -1 -1 0.38 0.0277102 0.0250845 143 189 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_113.v common 10.33 vpr 64.55 MiB -1 -1 0.21 20308 11 0.21 -1 -1 36324 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 32 32 233 265 1 183 80 17 17 289 -1 unnamed_device 26.1 MiB 2.29 1038 11948 3403 6315 2230 64.6 MiB 0.09 0.00 5.0702 -121.482 -5.0702 5.0702 0.94 0.000527031 0.000478681 0.0400601 0.0363838 38 3108 38 6.79088e+06 215552 678818. 2348.85 4.57 0.224456 0.195583 25966 169698 -1 2429 16 1144 2987 160370 36724 5.64975 5.64975 -143.489 -5.64975 0 0 902133. 3121.57 0.34 0.06 0.17 -1 -1 0.34 0.0229334 0.0206279 106 138 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_114.v common 12.44 vpr 64.78 MiB -1 -1 0.20 20364 13 0.27 -1 -1 36324 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 254 286 1 188 79 17 17 289 -1 unnamed_device 26.4 MiB 3.12 1103 9881 3364 4500 2017 64.8 MiB 0.09 0.00 6.29458 -136.168 -6.29458 6.29458 0.96 0.000583498 0.000529877 0.0378076 0.0344115 36 3486 38 6.79088e+06 202080 648988. 2245.63 5.73 0.201861 0.17777 25390 158009 -1 2767 20 1379 3749 238710 53053 6.83492 6.83492 -163.437 -6.83492 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0298826 0.0268206 113 159 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_115.v common 20.10 vpr 64.83 MiB -1 -1 0.20 20288 13 0.32 -1 -1 36528 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 285 317 1 214 83 17 17 289 -1 unnamed_device 26.4 MiB 1.42 1307 9443 2471 5673 1299 64.8 MiB 0.09 0.00 6.49473 -143.781 -6.49473 6.49473 0.93 0.000632062 0.000571207 0.0375277 0.0339747 38 3494 31 6.79088e+06 255968 678818. 2348.85 14.97 0.30805 0.268592 25966 169698 -1 2932 18 1423 3870 216918 48061 6.94909 6.94909 -163.203 -6.94909 0 0 902133. 3121.57 0.34 0.09 0.17 -1 -1 0.34 0.0322489 0.0291718 136 190 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_116.v common 10.55 vpr 64.52 MiB -1 -1 0.21 20364 11 0.24 -1 -1 36576 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 29 32 243 275 1 184 80 17 17 289 -1 unnamed_device 25.9 MiB 2.54 973 11776 4225 5456 2095 64.5 MiB 0.09 0.00 5.38344 -106.741 -5.38344 5.38344 0.94 0.000572576 0.000518211 0.0416399 0.0376072 44 2635 19 6.79088e+06 255968 787024. 2723.27 4.38 0.230388 0.199941 27118 194962 -1 2023 14 912 2694 131948 30905 5.63404 5.63404 -120.543 -5.63404 0 0 997811. 3452.63 0.37 0.06 0.19 -1 -1 0.37 0.0223267 0.0201976 116 154 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_117.v common 8.62 vpr 65.26 MiB -1 -1 0.25 21212 14 0.42 -1 -1 36584 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 32 32 318 350 1 238 87 17 17 289 -1 unnamed_device 26.8 MiB 1.84 1358 12375 2986 7056 2333 65.3 MiB 0.11 0.00 7.68761 -161.022 -7.68761 7.68761 0.97 0.00070861 0.000638859 0.0504608 0.0456779 30 4262 47 6.79088e+06 309856 556674. 1926.21 2.98 0.191106 0.169016 24526 138013 -1 3077 17 1618 4131 215587 50219 7.68761 7.68761 -183.193 -7.68761 0 0 706193. 2443.58 0.28 0.08 0.13 -1 -1 0.28 0.0326546 0.0295527 159 223 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_118.v common 9.04 vpr 64.43 MiB -1 -1 0.19 20112 12 0.19 -1 -1 36764 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 31 32 222 254 1 188 82 17 17 289 -1 unnamed_device 26.0 MiB 2.88 1087 12898 4279 6278 2341 64.4 MiB 0.10 0.00 5.36349 -125.306 -5.36349 5.36349 0.93 0.000497423 0.00045134 0.0395094 0.0357956 36 3057 35 6.79088e+06 255968 648988. 2245.63 2.72 0.15057 0.132383 25390 158009 -1 2552 16 1156 2603 175105 38584 5.82549 5.82549 -144.344 -5.82549 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0227687 0.0205772 106 129 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_119.v common 9.02 vpr 64.93 MiB -1 -1 0.24 21288 13 0.36 -1 -1 36880 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 282 314 1 211 84 17 17 289 -1 unnamed_device 26.5 MiB 1.76 1333 5391 1085 3738 568 64.9 MiB 0.06 0.00 6.66283 -141.183 -6.66283 6.66283 0.96 0.000656669 0.000587563 0.0241121 0.021916 38 3714 19 6.79088e+06 269440 678818. 2348.85 3.51 0.172688 0.151555 25966 169698 -1 2883 16 1296 3855 203847 45480 7.17511 7.17511 -159.616 -7.17511 0 0 902133. 3121.57 0.36 0.08 0.16 -1 -1 0.36 0.029541 0.0267324 136 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_120.v common 9.25 vpr 64.38 MiB -1 -1 0.22 20692 13 0.23 -1 -1 36152 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 32 32 238 270 1 180 84 17 17 289 -1 unnamed_device 25.9 MiB 1.38 1076 12345 3840 6601 1904 64.4 MiB 0.09 0.00 6.33716 -140.535 -6.33716 6.33716 0.94 0.00055382 0.00050431 0.0396491 0.036054 36 2861 23 6.79088e+06 269440 648988. 2245.63 4.36 0.208655 0.181918 25390 158009 -1 2560 17 1158 2910 181748 41427 6.58776 6.58776 -158.392 -6.58776 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0248045 0.0223806 107 143 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_121.v common 9.91 vpr 64.99 MiB -1 -1 0.21 20960 12 0.27 -1 -1 36416 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 269 301 1 190 83 17 17 289 -1 unnamed_device 26.6 MiB 1.91 1198 7283 1663 5251 369 65.0 MiB 0.07 0.00 5.87167 -132.399 -5.87167 5.87167 0.95 0.000631502 0.000565838 0.0293481 0.0264997 36 3077 22 6.79088e+06 255968 648988. 2245.63 4.42 0.175287 0.153155 25390 158009 -1 2563 17 1137 3321 180559 41473 6.24757 6.24757 -148.637 -6.24757 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0283635 0.025514 128 174 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_122.v common 11.27 vpr 65.53 MiB -1 -1 0.25 21528 15 0.60 -1 -1 36856 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 32 32 350 382 1 256 89 17 17 289 -1 unnamed_device 27.0 MiB 1.40 1565 12761 3559 7102 2100 65.5 MiB 0.13 0.00 7.68761 -162.589 -7.68761 7.68761 0.98 0.000846729 0.000767868 0.0589111 0.0534959 46 4011 23 6.79088e+06 336800 828058. 2865.25 5.64 0.374757 0.329885 27406 200422 -1 3315 17 1752 5450 274412 61377 8.22801 8.22801 -183.167 -8.22801 0 0 1.01997e+06 3529.29 0.40 0.10 0.19 -1 -1 0.40 0.0406328 0.0369498 183 255 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_123.v common 7.33 vpr 64.00 MiB -1 -1 0.18 20156 10 0.12 -1 -1 36180 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65536 30 32 172 204 1 133 74 17 17 289 -1 unnamed_device 25.6 MiB 1.89 777 7359 2276 4279 804 64.0 MiB 0.05 0.00 4.08102 -100.592 -4.08102 4.08102 0.96 0.000394791 0.000358577 0.0205413 0.0186417 34 2076 44 6.79088e+06 161664 618332. 2139.56 2.21 0.121254 0.105144 25102 150614 -1 1657 16 733 1680 95886 22245 4.13136 4.13136 -111.784 -4.13136 0 0 787024. 2723.27 0.30 0.04 0.15 -1 -1 0.30 0.0161643 0.0144302 66 81 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_124.v common 8.91 vpr 64.52 MiB -1 -1 0.21 20456 13 0.23 -1 -1 36188 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 30 32 228 260 1 176 79 17 17 289 -1 unnamed_device 25.9 MiB 1.92 921 6332 1442 4159 731 64.5 MiB 0.06 0.00 6.47021 -131.827 -6.47021 6.47021 0.94 0.000622528 0.000567604 0.0232665 0.0212405 30 3044 39 6.79088e+06 229024 556674. 1926.21 3.60 0.119918 0.105403 24526 138013 -1 2444 16 1208 2995 166343 38658 6.7811 6.7811 -157.155 -6.7811 0 0 706193. 2443.58 0.29 0.07 0.13 -1 -1 0.29 0.0235461 0.02126 103 137 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_125.v common 8.02 vpr 64.92 MiB -1 -1 0.20 20668 12 0.24 -1 -1 36488 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 26.6 MiB 2.43 1186 13610 3872 7549 2189 64.9 MiB 0.11 0.00 5.90733 -133.846 -5.90733 5.90733 0.97 0.000552613 0.000496668 0.0485282 0.0439797 36 3028 20 6.79088e+06 242496 648988. 2245.63 2.02 0.161765 0.142809 25390 158009 -1 2734 18 1256 3220 207993 45131 6.40853 6.40853 -159.301 -6.40853 0 0 828058. 2865.25 0.31 0.08 0.16 -1 -1 0.31 0.0276063 0.0247918 117 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_126.v common 7.87 vpr 64.12 MiB -1 -1 0.18 20160 9 0.16 -1 -1 36064 -1 -1 18 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65660 25 32 183 215 1 134 75 17 17 289 -1 unnamed_device 25.6 MiB 1.03 672 12715 3976 7561 1178 64.1 MiB 0.09 0.00 4.27129 -82.6904 -4.27129 4.27129 0.98 0.000431593 0.000393752 0.0368979 0.0334895 36 1937 20 6.79088e+06 242496 648988. 2245.63 3.42 0.166247 0.144528 25390 158009 -1 1645 16 715 1946 118306 26571 4.39659 4.39659 -95.8287 -4.39659 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0190425 0.0171404 86 102 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_127.v common 9.82 vpr 65.12 MiB -1 -1 0.23 20736 12 0.33 -1 -1 36520 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 300 332 1 226 85 17 17 289 -1 unnamed_device 26.6 MiB 1.86 1452 14407 4182 8030 2195 65.1 MiB 0.13 0.00 6.04387 -138.13 -6.04387 6.04387 0.97 0.000690452 0.000627363 0.057449 0.0521816 40 3413 32 6.79088e+06 282912 706193. 2443.58 3.98 0.231299 0.204403 26254 175826 -1 3333 35 1635 4641 609798 257523 6.41977 6.41977 -160.235 -6.41977 0 0 926341. 3205.33 0.35 0.24 0.18 -1 -1 0.35 0.0562265 0.050231 143 205 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_128.v common 8.81 vpr 65.07 MiB -1 -1 0.25 21304 13 0.39 -1 -1 36488 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 31 32 290 322 1 215 85 17 17 289 -1 unnamed_device 26.5 MiB 2.33 1318 9199 2062 5460 1677 65.1 MiB 0.09 0.00 6.928 -146.391 -6.928 6.928 0.96 0.000664164 0.000597698 0.0366339 0.0332947 36 3989 49 6.79088e+06 296384 648988. 2245.63 2.65 0.218857 0.192821 25390 158009 -1 3145 22 1451 4133 326124 103434 6.9672 6.9672 -162.808 -6.9672 0 0 828058. 2865.25 0.33 0.12 0.15 -1 -1 0.33 0.0364985 0.0327745 147 197 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 9.07 vpr 65.25 MiB -1 -1 0.15 20796 1 0.03 -1 -1 33924 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 354 285 1 207 90 17 17 289 -1 unnamed_device 26.8 MiB 3.75 1204 13155 4344 7859 952 65.2 MiB 0.12 0.00 4.31702 -132.808 -4.31702 4.31702 0.95 0.000525553 0.000478773 0.0352761 0.0321716 34 2965 37 6.87369e+06 363320 618332. 2139.56 2.05 0.158131 0.137697 25762 151098 -1 2454 22 1605 2535 206722 45325 4.66995 4.66995 -157.868 -4.66995 0 0 787024. 2723.27 0.30 0.08 0.15 -1 -1 0.30 0.024039 0.0211895 142 47 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 7.23 vpr 64.78 MiB -1 -1 0.17 20516 1 0.03 -1 -1 33864 -1 -1 24 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 30 32 363 293 1 199 86 17 17 289 -1 unnamed_device 26.4 MiB 2.95 1023 9536 2567 5956 1013 64.8 MiB 0.09 0.00 3.65445 -111.378 -3.65445 3.65445 0.98 0.000457257 0.000416967 0.0267877 0.0245142 30 2233 22 6.87369e+06 335372 556674. 1926.21 1.06 0.094903 0.0834788 25186 138497 -1 1850 22 1570 2420 117208 30916 3.84376 3.84376 -132.173 -3.84376 0 0 706193. 2443.58 0.28 0.06 0.13 -1 -1 0.28 0.0245583 0.0216822 138 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 7.79 vpr 64.89 MiB -1 -1 0.16 20216 1 0.03 -1 -1 33628 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 26.3 MiB 3.00 1042 7153 1647 5062 444 64.9 MiB 0.07 0.00 3.45035 -102.032 -3.45035 3.45035 0.96 0.000450594 0.000410935 0.0197018 0.0180145 34 2503 21 6.87369e+06 293451 618332. 2139.56 1.60 0.114872 0.0998595 25762 151098 -1 2089 18 1138 1583 113254 27084 3.94106 3.94106 -126.18 -3.94106 0 0 787024. 2723.27 0.31 0.05 0.15 -1 -1 0.31 0.0179613 0.0159255 124 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 6.04 vpr 64.69 MiB -1 -1 0.15 20288 1 0.03 -1 -1 33860 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 29 32 308 248 1 172 90 17 17 289 -1 unnamed_device 26.1 MiB 1.20 978 12552 3794 7466 1292 64.7 MiB 0.10 0.00 3.69012 -105.3 -3.69012 3.69012 0.95 0.000447716 0.000408413 0.0302508 0.0275921 34 2286 21 6.87369e+06 405241 618332. 2139.56 1.60 0.125434 0.109231 25762 151098 -1 2037 20 1453 2661 197202 45687 3.8297 3.8297 -122.164 -3.8297 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0198271 0.0174549 124 25 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 11.07 vpr 64.99 MiB -1 -1 0.16 20596 1 0.03 -1 -1 33672 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 336 268 1 181 91 17 17 289 -1 unnamed_device 26.6 MiB 1.49 1069 12127 3256 8126 745 65.0 MiB 0.11 0.00 3.56782 -109.183 -3.56782 3.56782 0.98 0.000523032 0.000478939 0.0319982 0.0291012 28 2929 25 6.87369e+06 377294 531479. 1839.03 6.35 0.187751 0.164569 24610 126494 -1 2403 22 1843 3550 252070 60176 4.069 4.069 -141.689 -4.069 0 0 648988. 2245.63 0.28 0.09 0.12 -1 -1 0.28 0.0249829 0.0220037 131 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 5.97 vpr 64.98 MiB -1 -1 0.16 20568 1 0.03 -1 -1 33912 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 366 295 1 189 94 17 17 289 -1 unnamed_device 26.6 MiB 1.70 1066 10957 2969 7191 797 65.0 MiB 0.10 0.00 2.67957 -99.0661 -2.67957 2.67957 0.96 0.000515288 0.000468321 0.0287098 0.0261599 32 2650 25 6.87369e+06 419215 586450. 2029.24 1.02 0.0984685 0.0862991 25474 144626 -1 2167 17 1311 2055 158442 37158 3.23291 3.23291 -125.502 -3.23291 0 0 744469. 2576.02 0.30 0.06 0.15 -1 -1 0.30 0.021542 0.0191309 136 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 7.96 vpr 64.60 MiB -1 -1 0.15 20404 1 0.03 -1 -1 33940 -1 -1 19 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 27 32 259 221 1 141 78 17 17 289 -1 unnamed_device 26.0 MiB 2.65 623 12528 3238 8291 999 64.6 MiB 0.09 0.00 2.94598 -84.4417 -2.94598 2.94598 0.96 0.000402551 0.000367151 0.031869 0.0290761 30 1650 23 6.87369e+06 265503 556674. 1926.21 2.14 0.133953 0.116122 25186 138497 -1 1283 21 968 1681 94210 22392 2.90196 2.90196 -100.091 -2.90196 0 0 706193. 2443.58 0.28 0.05 0.14 -1 -1 0.28 0.0180145 0.0158376 97 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 5.50 vpr 64.52 MiB -1 -1 0.14 20488 1 0.03 -1 -1 33540 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 26.0 MiB 1.07 910 16295 5327 8217 2751 64.5 MiB 0.11 0.00 2.74825 -84.2401 -2.74825 2.74825 0.95 0.000440224 0.000401544 0.0330279 0.0299563 28 2449 46 6.87369e+06 447163 531479. 1839.03 1.27 0.110482 0.0970826 24610 126494 -1 2133 21 1167 1971 165176 37267 3.18286 3.18286 -108.251 -3.18286 0 0 648988. 2245.63 0.27 0.07 0.12 -1 -1 0.27 0.0198509 0.0175223 119 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 7.62 vpr 64.73 MiB -1 -1 0.16 20292 1 0.03 -1 -1 34004 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 31 32 317 271 1 175 80 17 17 289 -1 unnamed_device 26.2 MiB 2.81 740 7992 1853 5030 1109 64.7 MiB 0.07 0.00 2.65757 -87.8608 -2.65757 2.65757 0.95 0.000486419 0.000445012 0.0229629 0.0210057 36 2066 21 6.87369e+06 237555 648988. 2245.63 1.62 0.117246 0.101734 26050 158493 -1 1735 20 1249 1796 129236 31452 3.21391 3.21391 -111.33 -3.21391 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0197256 0.017361 113 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 9.31 vpr 64.83 MiB -1 -1 0.14 20264 1 0.03 -1 -1 34032 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 298 248 1 162 80 17 17 289 -1 unnamed_device 26.4 MiB 4.52 788 7476 1809 5291 376 64.8 MiB 0.07 0.00 3.21683 -107.397 -3.21683 3.21683 0.96 0.000458728 0.000418783 0.0215425 0.0196918 34 2113 29 6.87369e+06 223581 618332. 2139.56 1.58 0.120132 0.103968 25762 151098 -1 1697 19 1169 1916 137940 33954 2.98996 2.98996 -120.233 -2.98996 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0187672 0.0165721 107 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 8.32 vpr 64.75 MiB -1 -1 0.16 20272 1 0.05 -1 -1 33772 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 30 32 303 262 1 148 78 17 17 289 -1 unnamed_device 26.4 MiB 3.62 738 6884 1779 4589 516 64.8 MiB 0.06 0.00 3.28893 -97.5252 -3.28893 3.28893 0.96 0.000418687 0.00037988 0.0194402 0.0177357 34 1810 22 6.87369e+06 223581 618332. 2139.56 1.50 0.112001 0.0971235 25762 151098 -1 1533 21 959 1582 102080 24455 3.00716 3.00716 -111.48 -3.00716 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.0202219 0.01781 98 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 7.43 vpr 64.70 MiB -1 -1 0.15 20252 1 0.03 -1 -1 33584 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 26.3 MiB 2.74 1005 11631 3362 7063 1206 64.7 MiB 0.09 0.00 3.0081 -102.337 -3.0081 3.0081 0.94 0.000429602 0.000393337 0.0304067 0.0276949 34 2330 21 6.87369e+06 237555 618332. 2139.56 1.51 0.117022 0.101891 25762 151098 -1 1964 18 1080 1490 101507 25017 3.29221 3.29221 -120.693 -3.29221 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.0180501 0.016002 107 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 9.35 vpr 65.13 MiB -1 -1 0.15 20568 1 0.03 -1 -1 33836 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 26.7 MiB 4.31 1017 16983 5386 9533 2064 65.1 MiB 0.15 0.00 3.36593 -110.453 -3.36593 3.36593 0.98 0.000513249 0.000460019 0.0465254 0.0421604 34 2702 20 6.87369e+06 321398 618332. 2139.56 1.71 0.16035 0.140536 25762 151098 -1 2194 20 1792 2692 214169 49437 3.48921 3.48921 -131.461 -3.48921 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0238048 0.0211374 142 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 7.56 vpr 64.86 MiB -1 -1 0.16 20448 1 0.03 -1 -1 33676 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 26.5 MiB 2.82 1021 17375 4684 10530 2161 64.9 MiB 0.15 0.00 3.88148 -120.44 -3.88148 3.88148 0.97 0.000570396 0.0005111 0.0438953 0.0399983 26 2795 30 6.87369e+06 433189 503264. 1741.40 1.46 0.123283 0.109124 24322 120374 -1 2449 24 1883 3030 289869 64227 4.24636 4.24636 -150.732 -4.24636 0 0 618332. 2139.56 0.26 0.10 0.12 -1 -1 0.26 0.0262542 0.0231227 133 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.19 vpr 64.33 MiB -1 -1 0.15 20200 1 0.03 -1 -1 33712 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 29 32 248 215 1 142 80 17 17 289 -1 unnamed_device 25.8 MiB 1.97 596 9368 2305 6541 522 64.3 MiB 0.07 0.00 2.63557 -78.8285 -2.63557 2.63557 0.96 0.000388058 0.000349678 0.0226055 0.0206675 28 2053 20 6.87369e+06 265503 531479. 1839.03 1.12 0.0725585 0.063758 24610 126494 -1 1571 23 1135 1820 140851 35019 3.19991 3.19991 -103.901 -3.19991 0 0 648988. 2245.63 0.26 0.06 0.12 -1 -1 0.26 0.0190919 0.016823 94 21 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 7.88 vpr 65.02 MiB -1 -1 0.16 20748 1 0.03 -1 -1 33832 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 32 32 370 297 1 191 88 17 17 289 -1 unnamed_device 26.6 MiB 2.40 865 11398 3123 6712 1563 65.0 MiB 0.10 0.00 2.9366 -95.9942 -2.9366 2.9366 0.98 0.000509659 0.000464505 0.0329703 0.0300201 34 2749 39 6.87369e+06 335372 618332. 2139.56 2.19 0.165225 0.144276 25762 151098 -1 2007 19 1457 2508 185184 44925 3.41121 3.41121 -121.949 -3.41121 0 0 787024. 2723.27 0.32 0.07 0.15 -1 -1 0.32 0.0218072 0.0193247 135 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 9.48 vpr 65.11 MiB -1 -1 0.15 20428 1 0.03 -1 -1 33704 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 338 269 1 204 85 17 17 289 -1 unnamed_device 26.7 MiB 4.63 1050 9013 2192 6322 499 65.1 MiB 0.08 0.00 3.24063 -108.847 -3.24063 3.24063 0.94 0.000508244 0.000463025 0.026468 0.0242078 34 2654 21 6.87369e+06 293451 618332. 2139.56 1.64 0.131072 0.114242 25762 151098 -1 2238 19 1561 2254 166781 38336 3.20281 3.20281 -124.662 -3.20281 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0223818 0.0199039 140 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 7.81 vpr 65.02 MiB -1 -1 0.15 20648 1 0.03 -1 -1 33684 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 323 276 1 156 92 17 17 289 -1 unnamed_device 26.5 MiB 2.97 895 15410 4821 8560 2029 65.0 MiB 0.11 0.00 2.46506 -93.2432 -2.46506 2.46506 0.96 0.00045793 0.00041631 0.036091 0.0328591 34 2065 21 6.87369e+06 391268 618332. 2139.56 1.57 0.133185 0.116043 25762 151098 -1 1767 19 1069 1562 109745 26471 2.31317 2.31317 -105.809 -2.31317 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.0191151 0.0167989 109 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 4.73 vpr 64.34 MiB -1 -1 0.14 20016 1 0.03 -1 -1 33812 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 30 32 222 206 1 117 76 17 17 289 -1 unnamed_device 25.9 MiB 0.68 678 11276 4126 5716 1434 64.3 MiB 0.07 0.00 2.10903 -75.4981 -2.10903 2.10903 0.97 0.000358649 0.000327829 0.0260877 0.0238454 32 1631 19 6.87369e+06 195634 586450. 2029.24 0.93 0.068361 0.0601477 25474 144626 -1 1339 20 710 983 79386 18435 2.17217 2.17217 -90.7986 -2.17217 0 0 744469. 2576.02 0.30 0.04 0.14 -1 -1 0.30 0.0154264 0.0135857 71 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 8.21 vpr 64.87 MiB -1 -1 0.15 20332 1 0.03 -1 -1 33692 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 31 32 291 243 1 178 82 17 17 289 -1 unnamed_device 26.3 MiB 3.42 998 10050 3228 6176 646 64.9 MiB 0.09 0.00 3.93483 -123.477 -3.93483 3.93483 0.99 0.000449607 0.000410172 0.0271244 0.0247624 34 2145 21 6.87369e+06 265503 618332. 2139.56 1.55 0.119161 0.103682 25762 151098 -1 1868 22 1181 1735 126027 28828 3.79846 3.79846 -139.101 -3.79846 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0214442 0.0189215 116 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 5.35 vpr 65.15 MiB -1 -1 0.15 20152 1 0.03 -1 -1 33828 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 342 271 1 181 99 17 17 289 -1 unnamed_device 26.7 MiB 1.06 1192 18111 4986 10816 2309 65.2 MiB 0.14 0.00 3.33899 -111.682 -3.33899 3.33899 0.95 0.000498919 0.000453983 0.0420397 0.0382952 32 2554 27 6.87369e+06 489084 586450. 2029.24 1.04 0.109124 0.0962256 25474 144626 -1 2118 21 1500 2255 168188 38593 3.7954 3.7954 -136.242 -3.7954 0 0 744469. 2576.02 0.29 0.07 0.14 -1 -1 0.29 0.0223073 0.0196481 137 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 10.08 vpr 65.06 MiB -1 -1 0.17 20444 1 0.03 -1 -1 33828 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 372 300 1 206 86 17 17 289 -1 unnamed_device 26.6 MiB 2.47 1104 8213 1851 5940 422 65.1 MiB 0.09 0.00 3.42215 -106.583 -3.42215 3.42215 0.95 0.00052572 0.000480206 0.0252074 0.0230777 34 2901 24 6.87369e+06 307425 618332. 2139.56 4.35 0.211581 0.18292 25762 151098 -1 2352 22 1611 2520 211374 47667 4.09636 4.09636 -137.028 -4.09636 0 0 787024. 2723.27 0.30 0.08 0.15 -1 -1 0.30 0.0250716 0.02205 142 59 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 6.71 vpr 64.29 MiB -1 -1 0.14 19884 1 0.02 -1 -1 34072 -1 -1 17 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65828 26 32 190 182 1 108 75 17 17 289 -1 unnamed_device 25.9 MiB 2.05 344 9713 3545 4055 2113 64.3 MiB 0.05 0.00 2.06503 -56.4036 -2.06503 2.06503 0.97 0.000300126 0.000272567 0.0199896 0.0182644 34 1227 27 6.87369e+06 237555 618332. 2139.56 1.56 0.0868518 0.0753735 25762 151098 -1 807 19 578 762 49620 14539 2.37877 2.37877 -74.1611 -2.37877 0 0 787024. 2723.27 0.30 0.03 0.15 -1 -1 0.30 0.0126771 0.0111759 67 21 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 6.04 vpr 64.75 MiB -1 -1 0.15 20152 1 0.03 -1 -1 33964 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 26.2 MiB 1.21 998 5271 1082 3713 476 64.7 MiB 0.05 0.00 3.71512 -108.094 -3.71512 3.71512 0.95 0.000434068 0.000396897 0.0137661 0.0126109 34 2363 46 6.87369e+06 321398 618332. 2139.56 1.68 0.123712 0.107016 25762 151098 -1 2082 21 1352 2479 189180 41698 3.7241 3.7241 -125.76 -3.7241 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0207948 0.0183433 119 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 4.57 vpr 63.98 MiB -1 -1 0.14 19832 1 0.03 -1 -1 33664 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65520 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 25.7 MiB 0.50 533 10636 4408 5985 243 64.0 MiB 0.06 0.00 2.08703 -66.0984 -2.08703 2.08703 0.98 0.000303385 0.000276173 0.02099 0.0191202 28 1361 20 6.87369e+06 167686 531479. 1839.03 1.00 0.0602003 0.0528738 24610 126494 -1 1181 21 716 864 73794 17587 2.27547 2.27547 -82.4145 -2.27547 0 0 648988. 2245.63 0.27 0.04 0.12 -1 -1 0.27 0.0137714 0.0121031 65 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 7.89 vpr 64.99 MiB -1 -1 0.16 20524 1 0.03 -1 -1 33980 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 300 245 1 169 94 17 17 289 -1 unnamed_device 26.5 MiB 0.98 827 10957 2485 7973 499 65.0 MiB 0.09 0.00 3.63082 -102.435 -3.63082 3.63082 0.95 0.000460916 0.000420578 0.0254473 0.0232205 26 2617 43 6.87369e+06 419215 503264. 1741.40 3.76 0.164758 0.143433 24322 120374 -1 2042 28 1563 2465 196166 51429 4.0533 4.0533 -129.275 -4.0533 0 0 618332. 2139.56 0.25 0.08 0.12 -1 -1 0.25 0.0257349 0.0225778 120 21 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 5.34 vpr 64.83 MiB -1 -1 0.14 20068 1 0.03 -1 -1 33992 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.3 MiB 1.05 1035 13703 3871 8512 1320 64.8 MiB 0.10 0.00 2.73725 -91.0691 -2.73725 2.73725 0.99 0.000423822 0.000380842 0.0300049 0.027222 26 2536 25 6.87369e+06 433189 503264. 1741.40 1.10 0.0943385 0.0829758 24322 120374 -1 2204 23 1429 2524 190671 42719 3.09756 3.09756 -115.168 -3.09756 0 0 618332. 2139.56 0.26 0.07 0.12 -1 -1 0.26 0.0223043 0.0196203 130 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 7.08 vpr 65.34 MiB -1 -1 0.15 20240 1 0.03 -1 -1 33656 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 32 32 338 277 1 186 92 17 17 289 -1 unnamed_device 27.0 MiB 2.08 1001 11270 2968 7533 769 65.3 MiB 0.11 0.00 3.71518 -107.036 -3.71518 3.71518 0.97 0.000493392 0.000450126 0.0290488 0.0265281 34 2483 20 6.87369e+06 391268 618332. 2139.56 1.68 0.131271 0.114393 25762 151098 -1 2036 22 1427 2397 169052 39663 3.93276 3.93276 -130.574 -3.93276 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0228414 0.0200457 131 47 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 5.50 vpr 64.67 MiB -1 -1 0.16 20308 1 0.03 -1 -1 33548 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 32 32 284 241 1 148 80 17 17 289 -1 unnamed_device 26.3 MiB 1.09 758 12292 4348 5539 2405 64.7 MiB 0.09 0.00 2.61357 -89.9597 -2.61357 2.61357 0.95 0.000421933 0.000384581 0.0318739 0.0291005 28 2180 38 6.87369e+06 223581 531479. 1839.03 1.31 0.100835 0.0883686 24610 126494 -1 1755 17 901 1437 115397 26613 2.80201 2.80201 -111.223 -2.80201 0 0 648988. 2245.63 0.26 0.05 0.13 -1 -1 0.26 0.0166696 0.0147215 99 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.59 vpr 64.62 MiB -1 -1 0.15 20244 1 0.03 -1 -1 33620 -1 -1 26 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 30 32 262 227 1 138 88 17 17 289 -1 unnamed_device 26.0 MiB 1.42 725 10033 2465 6301 1267 64.6 MiB 0.08 0.00 2.60257 -83.4629 -2.60257 2.60257 0.97 0.000416858 0.000379202 0.0244009 0.0222767 32 1796 23 6.87369e+06 363320 586450. 2029.24 0.98 0.0764926 0.0671455 25474 144626 -1 1503 22 1062 1770 136410 30895 2.80196 2.80196 -99.7449 -2.80196 0 0 744469. 2576.02 0.29 0.06 0.14 -1 -1 0.29 0.0187946 0.0164695 97 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 5.28 vpr 64.68 MiB -1 -1 0.15 20184 1 0.03 -1 -1 33560 -1 -1 18 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 28 32 260 223 1 140 78 17 17 289 -1 unnamed_device 26.0 MiB 1.08 653 12860 4741 6584 1535 64.7 MiB 0.09 0.00 2.8296 -82.4227 -2.8296 2.8296 0.97 0.000380508 0.000345662 0.0324417 0.029531 32 1897 25 6.87369e+06 251529 586450. 2029.24 0.99 0.0859337 0.0754761 25474 144626 -1 1510 20 1040 1841 135523 34544 2.95026 2.95026 -100.036 -2.95026 0 0 744469. 2576.02 0.30 0.05 0.13 -1 -1 0.30 0.0173422 0.0152553 95 27 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 5.05 vpr 64.88 MiB -1 -1 0.13 20104 1 0.03 -1 -1 33524 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 26.5 MiB 0.85 819 9181 2266 6333 582 64.9 MiB 0.08 0.00 3.20393 -100.286 -3.20393 3.20393 0.96 0.000412393 0.000378064 0.0243717 0.0223235 32 2213 23 6.87369e+06 237555 586450. 2029.24 1.01 0.0767915 0.067499 25474 144626 -1 1846 20 1189 1937 147730 34374 2.97226 2.97226 -117.26 -2.97226 0 0 744469. 2576.02 0.30 0.06 0.14 -1 -1 0.30 0.0182397 0.0161179 101 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.10 vpr 64.81 MiB -1 -1 0.15 20368 1 0.03 -1 -1 33776 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 271 231 1 149 89 17 17 289 -1 unnamed_device 26.4 MiB 1.02 778 9197 1989 6831 377 64.8 MiB 0.07 0.00 2.8296 -88.0864 -2.8296 2.8296 0.96 0.000427132 0.000388855 0.0212916 0.0194201 30 1907 21 6.87369e+06 363320 556674. 1926.21 0.97 0.0746995 0.0654662 25186 138497 -1 1525 21 806 1499 83246 20574 2.84696 2.84696 -103.998 -2.84696 0 0 706193. 2443.58 0.27 0.05 0.13 -1 -1 0.27 0.0181743 0.0160214 102 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 8.22 vpr 64.71 MiB -1 -1 0.15 20508 1 0.03 -1 -1 33776 -1 -1 25 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 29 32 291 250 1 154 86 17 17 289 -1 unnamed_device 26.3 MiB 3.48 860 13505 3573 8124 1808 64.7 MiB 0.10 0.00 2.42106 -82.6823 -2.42106 2.42106 0.96 0.000455333 0.000416453 0.0333902 0.030456 34 1941 21 6.87369e+06 349346 618332. 2139.56 1.52 0.122937 0.107099 25762 151098 -1 1653 17 1066 1598 106780 26044 2.41177 2.41177 -97.6561 -2.41177 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.0169137 0.0150052 106 48 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 10.42 vpr 65.09 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33544 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 367 282 1 201 104 17 17 289 -1 unnamed_device 26.6 MiB 4.12 1171 15232 4033 9175 2024 65.1 MiB 0.13 0.00 3.28579 -102.179 -3.28579 3.28579 0.97 0.0005377 0.000489766 0.0360436 0.0327762 26 3114 47 6.87369e+06 558954 503264. 1741.40 2.95 0.135693 0.11903 24322 120374 -1 2685 61 3373 6788 668690 138606 3.889 3.889 -131.611 -3.889 0 0 618332. 2139.56 0.25 0.21 0.12 -1 -1 0.25 0.0568561 0.0490288 156 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 8.78 vpr 65.40 MiB -1 -1 0.17 20744 1 0.03 -1 -1 33648 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 32 32 391 311 1 194 102 17 17 289 -1 unnamed_device 26.9 MiB 3.85 1097 17000 4575 10194 2231 65.4 MiB 0.14 0.00 3.09148 -109.588 -3.09148 3.09148 0.95 0.000533346 0.000485796 0.0409295 0.0372694 34 2340 21 6.87369e+06 531006 618332. 2139.56 1.63 0.156196 0.136245 25762 151098 -1 1989 20 1655 2712 165878 38408 2.83166 2.83166 -117.97 -2.83166 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0243136 0.0214334 148 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 7.27 vpr 64.43 MiB -1 -1 0.15 20424 1 0.03 -1 -1 33948 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 26.0 MiB 2.40 884 14081 5194 6489 2398 64.4 MiB 0.11 0.00 3.32193 -102.37 -3.32193 3.32193 0.97 0.000415471 0.000378022 0.0354612 0.0323338 34 2318 19 6.87369e+06 251529 618332. 2139.56 1.63 0.125978 0.110075 25762 151098 -1 1877 23 1271 1909 156585 36102 3.5621 3.5621 -123.902 -3.5621 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0211554 0.0185549 109 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 8.11 vpr 65.07 MiB -1 -1 0.17 20548 1 0.03 -1 -1 33976 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 370 297 1 187 89 17 17 289 -1 unnamed_device 26.7 MiB 3.06 877 15533 4576 8222 2735 65.1 MiB 0.14 0.00 2.9678 -96.0281 -2.9678 2.9678 0.99 0.000539287 0.000491946 0.0439775 0.0400119 34 2465 24 6.87369e+06 363320 618332. 2139.56 1.72 0.156499 0.136776 25762 151098 -1 1979 20 1582 2648 172191 41749 3.09056 3.09056 -116.237 -3.09056 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0225548 0.0198301 136 57 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 10.52 vpr 65.18 MiB -1 -1 0.16 20696 1 0.03 -1 -1 33848 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 31 32 377 302 1 237 88 17 17 289 -1 unnamed_device 26.7 MiB 4.67 1235 17053 5614 8644 2795 65.2 MiB 0.16 0.00 4.36902 -137.043 -4.36902 4.36902 0.95 0.000536632 0.000489167 0.0490564 0.0447701 34 3544 30 6.87369e+06 349346 618332. 2139.56 2.49 0.174151 0.151968 25762 151098 -1 2798 24 2375 3518 308878 68811 4.9887 4.9887 -167.564 -4.9887 0 0 787024. 2723.27 0.30 0.10 0.15 -1 -1 0.30 0.0268072 0.0235387 159 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 8.50 vpr 64.87 MiB -1 -1 0.16 20484 1 0.03 -1 -1 33928 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 31 32 383 305 1 212 90 17 17 289 -1 unnamed_device 26.4 MiB 4.10 1074 16773 5661 8063 3049 64.9 MiB 0.15 0.00 4.33584 -133.913 -4.33584 4.33584 0.95 0.000553461 0.000505155 0.0473972 0.0431736 30 2748 25 6.87369e+06 377294 556674. 1926.21 1.14 0.11952 0.105361 25186 138497 -1 2007 20 1400 2181 129105 30306 4.67715 4.67715 -156.662 -4.67715 0 0 706193. 2443.58 0.28 0.06 0.14 -1 -1 0.28 0.0232174 0.0204722 152 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 7.93 vpr 65.09 MiB -1 -1 0.15 20528 1 0.03 -1 -1 33924 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 31 32 352 285 1 186 88 17 17 289 -1 unnamed_device 26.7 MiB 3.43 972 10423 2904 6299 1220 65.1 MiB 0.10 0.00 3.22963 -104.153 -3.22963 3.22963 0.96 0.000533389 0.000487711 0.0302345 0.0276525 32 3072 44 6.87369e+06 349346 586450. 2029.24 1.24 0.11668 0.102506 25474 144626 -1 2409 23 1639 2727 221457 52105 3.59451 3.59451 -134.181 -3.59451 0 0 744469. 2576.02 0.30 0.08 0.14 -1 -1 0.30 0.0246992 0.0217708 131 51 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 7.79 vpr 64.82 MiB -1 -1 0.15 20412 1 0.03 -1 -1 33768 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 291 242 1 183 84 17 17 289 -1 unnamed_device 26.3 MiB 3.00 1056 14541 5276 7305 1960 64.8 MiB 0.11 0.00 3.52545 -100.891 -3.52545 3.52545 0.95 0.000441737 0.000404292 0.0364644 0.0333187 34 2567 23 6.87369e+06 279477 618332. 2139.56 1.56 0.130586 0.113841 25762 151098 -1 2042 19 1291 1938 138519 32357 3.49886 3.49886 -116.092 -3.49886 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.0190177 0.0167379 119 24 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 8.48 vpr 65.75 MiB -1 -1 0.17 20460 1 0.03 -1 -1 34012 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67328 32 32 457 356 1 225 102 17 17 289 -1 unnamed_device 27.2 MiB 3.93 1268 13192 3427 8863 902 65.8 MiB 0.13 0.00 3.94568 -130.369 -3.94568 3.94568 0.95 0.000612749 0.000557376 0.0367692 0.0334926 32 3463 32 6.87369e+06 531006 586450. 2029.24 1.24 0.126698 0.111266 25474 144626 -1 2719 20 1890 2941 219397 51497 4.27756 4.27756 -155.345 -4.27756 0 0 744469. 2576.02 0.29 0.08 0.14 -1 -1 0.29 0.02647 0.0233758 173 84 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 6.65 vpr 64.84 MiB -1 -1 0.15 20124 1 0.03 -1 -1 33732 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 31 32 261 225 1 148 85 17 17 289 -1 unnamed_device 26.2 MiB 1.99 885 13291 3761 8364 1166 64.8 MiB 0.10 0.00 2.78925 -88.8806 -2.78925 2.78925 0.97 0.000396468 0.000361392 0.0303794 0.0277161 32 2034 20 6.87369e+06 307425 586450. 2029.24 1.46 0.110408 0.0962017 25474 144626 -1 1777 19 1050 1804 140859 31670 2.85996 2.85996 -105.4 -2.85996 0 0 744469. 2576.02 0.30 0.05 0.14 -1 -1 0.30 0.0167777 0.0147597 96 24 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 7.96 vpr 65.20 MiB -1 -1 0.16 20764 1 0.03 -1 -1 33404 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 26.8 MiB 2.80 1163 15017 4305 8854 1858 65.2 MiB 0.13 0.00 3.78918 -119.277 -3.78918 3.78918 0.94 0.000501892 0.000459781 0.0409633 0.0374789 34 2965 24 6.87369e+06 321398 618332. 2139.56 1.87 0.148142 0.129549 25762 151098 -1 2421 22 1911 2875 238123 54139 3.99106 3.99106 -140.556 -3.99106 0 0 787024. 2723.27 0.30 0.08 0.15 -1 -1 0.30 0.0233638 0.0206052 140 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 6.64 vpr 64.98 MiB -1 -1 0.16 20604 1 0.03 -1 -1 33604 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 32 32 349 284 1 183 96 17 17 289 -1 unnamed_device 26.7 MiB 2.27 960 16959 5005 8975 2979 65.0 MiB 0.14 0.00 2.9146 -93.1402 -2.9146 2.9146 0.97 0.000485276 0.000443531 0.0407884 0.0371673 30 2486 21 6.87369e+06 447163 556674. 1926.21 1.12 0.107182 0.0947232 25186 138497 -1 1848 19 1096 1912 106083 25209 2.86001 2.86001 -108.384 -2.86001 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0209664 0.0184995 132 50 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 5.17 vpr 64.75 MiB -1 -1 0.15 20040 1 0.03 -1 -1 33748 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 26.2 MiB 0.83 1057 14562 4411 8073 2078 64.8 MiB 0.11 0.00 3.35979 -108.146 -3.35979 3.35979 0.94 0.000460089 0.000419047 0.0326186 0.0296994 28 2545 25 6.87369e+06 363320 531479. 1839.03 1.20 0.097082 0.085592 24610 126494 -1 2262 21 1478 2846 208528 47497 3.8137 3.8137 -129.29 -3.8137 0 0 648988. 2245.63 0.26 0.07 0.12 -1 -1 0.26 0.020939 0.0184707 123 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 7.79 vpr 64.77 MiB -1 -1 0.15 20504 1 0.03 -1 -1 33700 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 353 287 1 203 86 17 17 289 -1 unnamed_device 26.4 MiB 3.52 1082 9725 2417 6372 936 64.8 MiB 0.10 0.00 3.93315 -121.002 -3.93315 3.93315 0.94 0.000518177 0.000473025 0.028627 0.0261781 30 2681 34 6.87369e+06 307425 556674. 1926.21 1.07 0.103704 0.0908351 25186 138497 -1 2079 19 1153 1642 105236 26000 3.3592 3.3592 -127.534 -3.3592 0 0 706193. 2443.58 0.28 0.06 0.14 -1 -1 0.28 0.02163 0.0190932 136 52 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 8.55 vpr 64.85 MiB -1 -1 0.16 20740 1 0.03 -1 -1 33580 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 361 291 1 189 96 17 17 289 -1 unnamed_device 26.5 MiB 3.67 1027 15864 4682 8227 2955 64.8 MiB 0.13 0.00 2.9366 -98.844 -2.9366 2.9366 0.95 0.000530733 0.000484412 0.0396924 0.0361686 34 2583 22 6.87369e+06 447163 618332. 2139.56 1.62 0.14666 0.127839 25762 151098 -1 2034 21 1409 2474 164549 39646 3.09961 3.09961 -116.078 -3.09961 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0231088 0.0202855 136 52 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 8.86 vpr 65.28 MiB -1 -1 0.16 20492 1 0.03 -1 -1 33548 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 382 305 1 193 99 17 17 289 -1 unnamed_device 26.8 MiB 3.51 920 18567 5784 8597 4186 65.3 MiB 0.13 0.00 3.24063 -105.558 -3.24063 3.24063 0.96 0.00047621 0.000434579 0.0435369 0.0396184 36 2784 25 6.87369e+06 489084 648988. 2245.63 2.03 0.148068 0.130075 26050 158493 -1 2071 21 1631 2640 187683 44463 2.91356 2.91356 -116.797 -2.91356 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0246007 0.0217241 144 59 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 6.01 vpr 64.92 MiB -1 -1 0.17 20184 1 0.03 -1 -1 33688 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 306 248 1 170 97 17 17 289 -1 unnamed_device 26.3 MiB 1.00 900 10975 2629 7859 487 64.9 MiB 0.09 0.00 3.39279 -101.124 -3.39279 3.39279 0.98 0.000461448 0.000412906 0.024441 0.0222627 26 2678 26 6.87369e+06 461137 503264. 1741.40 1.87 0.100736 0.0889909 24322 120374 -1 2113 19 1373 2361 194826 44148 3.7421 3.7421 -125.215 -3.7421 0 0 618332. 2139.56 0.26 0.07 0.11 -1 -1 0.26 0.0199863 0.0176844 124 21 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 7.48 vpr 65.08 MiB -1 -1 0.16 20064 1 0.03 -1 -1 33512 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 26.7 MiB 2.53 1105 9347 2191 6389 767 65.1 MiB 0.09 0.00 3.84368 -115.344 -3.84368 3.84368 0.95 0.000480994 0.000438778 0.0256559 0.0234566 34 2862 32 6.87369e+06 307425 618332. 2139.56 1.74 0.132934 0.115347 25762 151098 -1 2303 21 1556 2236 161146 37365 4.05506 4.05506 -137.384 -4.05506 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0223928 0.0197835 135 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 7.77 vpr 65.23 MiB -1 -1 0.17 20644 1 0.03 -1 -1 34000 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66800 31 32 373 299 1 204 85 17 17 289 -1 unnamed_device 26.8 MiB 2.53 1153 16081 5272 8365 2444 65.2 MiB 0.14 0.00 3.72318 -119.527 -3.72318 3.72318 0.95 0.000513019 0.000467862 0.0468516 0.0427695 34 3044 24 6.87369e+06 307425 618332. 2139.56 1.94 0.159436 0.13959 25762 151098 -1 2521 23 1662 2714 237877 52364 3.95806 3.95806 -139.446 -3.95806 0 0 787024. 2723.27 0.30 0.08 0.15 -1 -1 0.30 0.0252617 0.0222335 141 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 8.40 vpr 65.07 MiB -1 -1 0.17 20628 1 0.03 -1 -1 33852 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 387 315 1 194 85 17 17 289 -1 unnamed_device 26.6 MiB 3.22 1055 11803 3443 7648 712 65.1 MiB 0.11 0.00 3.65075 -115.066 -3.65075 3.65075 0.96 0.00050525 0.000464192 0.0360804 0.0328984 34 3010 45 6.87369e+06 293451 618332. 2139.56 1.89 0.146663 0.127759 25762 151098 -1 2530 19 1504 2665 205438 48033 3.80176 3.80176 -134.647 -3.80176 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0227687 0.0200383 135 74 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 4.65 vpr 64.52 MiB -1 -1 0.13 20428 1 0.03 -1 -1 33808 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 32 32 251 219 1 141 86 17 17 289 -1 unnamed_device 26.0 MiB 0.78 730 8780 2126 6228 426 64.5 MiB 0.06 0.00 2.7886 -85.3614 -2.7886 2.7886 0.90 0.0003722 0.000341096 0.0177981 0.0162698 30 1794 20 6.87369e+06 307425 556674. 1926.21 0.96 0.0665184 0.058043 25186 138497 -1 1522 19 767 1297 78627 19090 2.65766 2.65766 -98.269 -2.65766 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0167747 0.0147804 93 20 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 7.20 vpr 65.25 MiB -1 -1 0.15 20640 1 0.03 -1 -1 33576 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 341 285 1 188 82 17 17 289 -1 unnamed_device 26.7 MiB 2.05 981 15568 5714 7507 2347 65.2 MiB 0.13 0.00 3.03076 -110.051 -3.03076 3.03076 0.97 0.000485882 0.000441749 0.0446182 0.0405846 34 2662 34 6.87369e+06 251529 618332. 2139.56 1.85 0.157689 0.13742 25762 151098 -1 2235 19 1583 2285 193390 44114 3.52841 3.52841 -138.76 -3.52841 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0203708 0.0179654 124 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 9.32 vpr 65.28 MiB -1 -1 0.17 20292 1 0.03 -1 -1 33720 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 32 32 387 293 1 236 88 17 17 289 -1 unnamed_device 26.7 MiB 3.48 1259 15688 5171 8446 2071 65.3 MiB 0.16 0.00 4.25892 -128.132 -4.25892 4.25892 0.96 0.00055286 0.000504304 0.0473606 0.043209 34 3552 36 6.87369e+06 335372 618332. 2139.56 2.45 0.162358 0.142791 25762 151098 -1 2696 21 2001 3136 228195 55463 4.5846 4.5846 -155.7 -4.5846 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0256269 0.0225878 166 28 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 8.36 vpr 64.93 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33776 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 340 270 1 185 98 17 17 289 -1 unnamed_device 26.6 MiB 3.54 1017 18998 6042 10452 2504 64.9 MiB 0.15 0.00 3.45661 -114.141 -3.45661 3.45661 0.94 0.000498883 0.000449691 0.0444139 0.040529 34 2316 24 6.87369e+06 475111 618332. 2139.56 1.55 0.147049 0.128716 25762 151098 -1 1887 20 1266 2044 138695 31937 2.74536 2.74536 -114.04 -2.74536 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0227028 0.0200367 137 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.67 vpr 64.68 MiB -1 -1 0.15 20244 1 0.03 -1 -1 33888 -1 -1 25 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 30 32 278 235 1 150 87 17 17 289 -1 unnamed_device 26.3 MiB 0.92 861 12567 3393 7231 1943 64.7 MiB 0.09 0.00 2.9769 -95.6358 -2.9769 2.9769 0.96 0.000417456 0.000379913 0.0290467 0.0265118 34 1979 24 6.87369e+06 349346 618332. 2139.56 1.56 0.117436 0.102195 25762 151098 -1 1725 20 1117 1858 141344 32812 3.07561 3.07561 -111.568 -3.07561 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0181705 0.0159657 104 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 11.63 vpr 65.82 MiB -1 -1 0.17 20488 1 0.03 -1 -1 33952 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 431 332 1 239 89 17 17 289 -1 unnamed_device 27.1 MiB 6.06 1397 15335 4325 9308 1702 65.8 MiB 0.14 0.00 4.57575 -141.302 -4.57575 4.57575 0.97 0.000597912 0.00054256 0.0467781 0.0426517 34 3427 23 6.87369e+06 349346 618332. 2139.56 2.19 0.180601 0.158439 25762 151098 -1 2741 22 2343 3444 284205 62132 4.9868 4.9868 -165.66 -4.9868 0 0 787024. 2723.27 0.32 0.10 0.15 -1 -1 0.32 0.0290447 0.0257088 171 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 8.05 vpr 65.02 MiB -1 -1 0.15 20296 1 0.03 -1 -1 33772 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 336 268 1 182 99 17 17 289 -1 unnamed_device 26.6 MiB 3.78 1027 11043 2706 7378 959 65.0 MiB 0.09 0.00 3.70602 -113.448 -3.70602 3.70602 0.95 0.00050628 0.000465613 0.0255442 0.0232848 32 2369 24 6.87369e+06 489084 586450. 2029.24 1.04 0.0916428 0.0803929 25474 144626 -1 1973 21 1552 2493 206893 46334 3.7571 3.7571 -130.636 -3.7571 0 0 744469. 2576.02 0.31 0.08 0.14 -1 -1 0.31 0.0234174 0.0206453 135 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 5.50 vpr 64.49 MiB -1 -1 0.13 20336 1 0.03 -1 -1 33616 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.9 MiB 0.80 774 14713 4478 8191 2044 64.5 MiB 0.10 0.00 2.8436 -83.7272 -2.8436 2.8436 0.96 0.000378113 0.000345161 0.0303105 0.0276714 26 2070 26 6.87369e+06 335372 503264. 1741.40 1.56 0.0850977 0.0749141 24322 120374 -1 1778 30 1302 2158 313494 113037 3.43151 3.43151 -111.022 -3.43151 0 0 618332. 2139.56 0.25 0.11 0.12 -1 -1 0.25 0.0223876 0.0195077 94 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 6.97 vpr 65.21 MiB -1 -1 0.15 20560 1 0.03 -1 -1 33596 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 32 32 349 273 1 191 101 17 17 289 -1 unnamed_device 26.8 MiB 2.37 1148 18196 5448 10152 2596 65.2 MiB 0.14 0.00 4.06437 -112.431 -4.06437 4.06437 0.89 0.000542595 0.000487642 0.0381992 0.0345698 28 2884 50 6.87369e+06 517032 531479. 1839.03 1.56 0.125233 0.109614 24610 126494 -1 2444 19 1519 2842 209790 48285 4.55955 4.55955 -141.444 -4.55955 0 0 648988. 2245.63 0.25 0.06 0.11 -1 -1 0.25 0.0184552 0.0162402 145 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 5.13 vpr 64.52 MiB -1 -1 0.15 20200 1 0.03 -1 -1 33976 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.9 MiB 0.93 671 8003 1757 5740 506 64.5 MiB 0.06 0.00 2.8626 -89.6716 -2.8626 2.8626 0.96 0.000399847 0.000364674 0.0185371 0.0169162 30 1845 23 6.87369e+06 265503 556674. 1926.21 1.08 0.0740995 0.0650083 25186 138497 -1 1477 22 912 1552 87824 22323 2.65966 2.65966 -104.569 -2.65966 0 0 706193. 2443.58 0.30 0.05 0.13 -1 -1 0.30 0.0186601 0.0164141 98 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 7.93 vpr 64.70 MiB -1 -1 0.16 20256 1 0.03 -1 -1 33676 -1 -1 34 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 30 32 278 235 1 151 96 17 17 289 -1 unnamed_device 26.2 MiB 2.92 876 16302 5171 8678 2453 64.7 MiB 0.12 0.00 2.97898 -93.3988 -2.97898 2.97898 0.95 0.000421507 0.000383991 0.0334047 0.0304243 26 2145 28 6.87369e+06 475111 503264. 1741.40 1.85 0.0961981 0.0845129 24322 120374 -1 1877 22 1299 2378 207939 45053 3.00526 3.00526 -111.733 -3.00526 0 0 618332. 2139.56 0.25 0.07 0.13 -1 -1 0.25 0.019565 0.0171046 109 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 9.95 vpr 65.04 MiB -1 -1 0.16 20444 1 0.03 -1 -1 33828 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 29 32 355 287 1 200 85 17 17 289 -1 unnamed_device 26.6 MiB 4.50 939 10315 2373 7375 567 65.0 MiB 0.10 0.00 3.21063 -97.8768 -3.21063 3.21063 0.98 0.000516025 0.000474548 0.0303225 0.0276636 34 2919 23 6.87369e+06 335372 618332. 2139.56 2.12 0.141295 0.123016 25762 151098 -1 2200 27 1971 2927 219255 53485 3.42321 3.42321 -120.745 -3.42321 0 0 787024. 2723.27 0.30 0.08 0.15 -1 -1 0.30 0.0276738 0.0242278 138 56 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 7.57 vpr 65.00 MiB -1 -1 0.16 20464 1 0.03 -1 -1 34016 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 358 289 1 183 90 17 17 289 -1 unnamed_device 26.7 MiB 2.69 1098 14964 4275 8653 2036 65.0 MiB 0.12 0.00 3.48515 -116.696 -3.48515 3.48515 0.95 0.000493464 0.000447074 0.0395062 0.0360428 34 2445 23 6.87369e+06 363320 618332. 2139.56 1.62 0.147753 0.129013 25762 151098 -1 2120 22 1708 2626 183657 42472 3.6821 3.6821 -136.897 -3.6821 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0237892 0.0209284 132 51 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 6.92 vpr 65.15 MiB -1 -1 0.16 20660 1 0.03 -1 -1 33748 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 353 285 1 188 91 17 17 289 -1 unnamed_device 26.7 MiB 2.62 1149 11923 3179 7779 965 65.1 MiB 0.10 0.00 3.69318 -116.202 -3.69318 3.69318 0.94 0.000511219 0.000465919 0.0320168 0.0291938 32 3067 25 6.87369e+06 377294 586450. 2029.24 1.09 0.0992007 0.08714 25474 144626 -1 2336 21 1514 2533 205390 48396 3.93276 3.93276 -141.856 -3.93276 0 0 744469. 2576.02 0.30 0.07 0.14 -1 -1 0.30 0.0220309 0.0193398 133 48 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 8.85 vpr 64.69 MiB -1 -1 0.14 20204 1 0.03 -1 -1 33668 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 26.2 MiB 3.94 1003 12585 3734 7404 1447 64.7 MiB 0.10 0.00 3.74452 -113.984 -3.74452 3.74452 0.96 0.000411034 0.000374893 0.033077 0.0302282 34 2228 24 6.87369e+06 209608 618332. 2139.56 1.65 0.124152 0.10833 25762 151098 -1 1994 22 1104 1522 128119 28707 3.29587 3.29587 -118.899 -3.29587 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0209295 0.0185023 103 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 7.87 vpr 64.90 MiB -1 -1 0.17 20252 1 0.03 -1 -1 33696 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 31 32 319 272 1 176 80 17 17 289 -1 unnamed_device 26.4 MiB 3.04 998 13152 3741 7657 1754 64.9 MiB 0.09 0.00 2.99776 -103.303 -2.99776 2.99776 0.94 0.000401722 0.000366512 0.0354341 0.032349 34 2358 23 6.87369e+06 237555 618332. 2139.56 1.63 0.132649 0.115657 25762 151098 -1 2100 21 1249 1813 135164 32705 3.2835 3.2835 -124.042 -3.2835 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0213846 0.0187945 114 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 7.29 vpr 65.02 MiB -1 -1 0.16 20176 1 0.03 -1 -1 33972 -1 -1 34 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 30 32 329 273 1 167 96 17 17 289 -1 unnamed_device 26.3 MiB 3.01 1003 17178 4924 10225 2029 65.0 MiB 0.13 0.00 2.84355 -85.591 -2.84355 2.84355 0.97 0.000472872 0.000429732 0.0396715 0.0360887 32 2428 21 6.87369e+06 475111 586450. 2029.24 1.00 0.0987462 0.0869107 25474 144626 -1 2041 21 1159 2170 163629 36925 2.80496 2.80496 -100.791 -2.80496 0 0 744469. 2576.02 0.29 0.06 0.14 -1 -1 0.29 0.0213783 0.0187767 124 52 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 6.50 vpr 64.63 MiB -1 -1 0.15 20272 1 0.03 -1 -1 33996 -1 -1 35 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 28 32 277 229 1 156 95 17 17 289 -1 unnamed_device 26.1 MiB 2.23 904 10679 2591 7120 968 64.6 MiB 0.08 0.00 3.27479 -88.419 -3.27479 3.27479 0.95 0.000416209 0.000379492 0.0225551 0.0205846 26 2273 38 6.87369e+06 489084 503264. 1741.40 1.18 0.0889821 0.0777181 24322 120374 -1 2009 21 1370 2493 211983 46889 3.936 3.936 -113.748 -3.936 0 0 618332. 2139.56 0.25 0.07 0.12 -1 -1 0.25 0.0191323 0.0167584 117 20 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 10.61 vpr 64.76 MiB -1 -1 0.16 20464 1 0.03 -1 -1 33844 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 30 32 317 269 1 155 79 17 17 289 -1 unnamed_device 26.3 MiB 3.27 728 11402 4740 5897 765 64.8 MiB 0.09 0.00 3.16363 -95.7832 -3.16363 3.16363 0.95 0.000452485 0.000411583 0.0329619 0.0300285 36 1995 24 6.87369e+06 237555 648988. 2245.63 4.12 0.181137 0.156341 26050 158493 -1 1639 20 1226 2025 160979 40008 3.21386 3.21386 -115.86 -3.21386 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0200241 0.0175927 105 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 8.33 vpr 64.75 MiB -1 -1 0.16 20572 1 0.03 -1 -1 33728 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 335 282 1 189 81 17 17 289 -1 unnamed_device 26.2 MiB 3.32 1013 14256 4326 7839 2091 64.7 MiB 0.12 0.00 2.9238 -103.215 -2.9238 2.9238 0.94 0.000478915 0.000437286 0.0412224 0.0376148 34 2671 22 6.87369e+06 237555 618332. 2139.56 1.76 0.14422 0.126004 25762 151098 -1 2190 22 1539 2344 197370 44466 3.11861 3.11861 -126.702 -3.11861 0 0 787024. 2723.27 0.32 0.07 0.15 -1 -1 0.32 0.0229297 0.0201887 122 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 5.69 vpr 64.98 MiB -1 -1 0.16 20444 1 0.03 -1 -1 34112 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 26.4 MiB 0.94 946 9466 2399 6334 733 65.0 MiB 0.08 0.00 3.58982 -105.213 -3.58982 3.58982 0.96 0.000473247 0.000433776 0.0216018 0.0196871 32 2578 23 6.87369e+06 433189 586450. 2029.24 1.56 0.100774 0.0880011 25474 144626 -1 2081 20 1300 2201 167773 39762 3.7151 3.7151 -121.435 -3.7151 0 0 744469. 2576.02 0.29 0.06 0.14 -1 -1 0.29 0.0197919 0.0174331 129 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 9.72 vpr 65.28 MiB -1 -1 0.16 20644 1 0.03 -1 -1 33792 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 26.8 MiB 3.95 1027 12375 3563 7137 1675 65.3 MiB 0.11 0.00 3.78918 -118.751 -3.78918 3.78918 0.95 0.000512176 0.000468042 0.0347051 0.0317364 34 3177 24 6.87369e+06 321398 618332. 2139.56 2.52 0.148291 0.129445 25762 151098 -1 2459 23 2082 3139 247384 59399 4.37266 4.37266 -145.06 -4.37266 0 0 787024. 2723.27 0.30 0.09 0.15 -1 -1 0.30 0.0245346 0.0216111 147 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 10.33 vpr 65.32 MiB -1 -1 0.16 20488 1 0.03 -1 -1 33636 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 385 308 1 196 100 17 17 289 -1 unnamed_device 26.9 MiB 4.56 985 13556 3401 8710 1445 65.3 MiB 0.10 0.00 4.24987 -125.238 -4.24987 4.24987 0.95 0.000545564 0.00049816 0.0340022 0.0309292 34 3178 27 6.87369e+06 503058 618332. 2139.56 2.45 0.161212 0.140827 25762 151098 -1 2310 20 1616 2728 199866 49509 4.81065 4.81065 -149.698 -4.81065 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0244511 0.0216068 147 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 8.52 vpr 65.19 MiB -1 -1 0.16 20620 1 0.03 -1 -1 33956 -1 -1 41 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 32 32 387 309 1 192 105 17 17 289 -1 unnamed_device 26.7 MiB 3.66 1135 20359 6258 10748 3353 65.2 MiB 0.16 0.00 3.61982 -117.192 -3.61982 3.61982 0.98 0.000569353 0.000518735 0.047426 0.0429733 30 2967 36 6.87369e+06 572927 556674. 1926.21 1.52 0.135963 0.11996 25186 138497 -1 2164 23 1520 2836 230795 52479 3.7251 3.7251 -134.95 -3.7251 0 0 706193. 2443.58 0.28 0.08 0.13 -1 -1 0.28 0.0267926 0.0234408 148 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.04 vpr 64.73 MiB -1 -1 0.14 20380 1 0.03 -1 -1 34016 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 30 32 272 232 1 151 79 17 17 289 -1 unnamed_device 26.4 MiB 2.89 875 14613 4926 7902 1785 64.7 MiB 0.11 0.00 3.28893 -103.003 -3.28893 3.28893 0.94 0.000420235 0.000383405 0.0377831 0.0345167 28 2135 28 6.87369e+06 237555 531479. 1839.03 1.02 0.0956621 0.0841703 24610 126494 -1 1944 23 1257 2082 143966 33745 3.11326 3.11326 -117.309 -3.11326 0 0 648988. 2245.63 0.26 0.06 0.12 -1 -1 0.26 0.0198697 0.0174865 99 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 8.32 vpr 65.05 MiB -1 -1 0.17 20696 1 0.03 -1 -1 33832 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 30 32 375 299 1 188 84 17 17 289 -1 unnamed_device 26.6 MiB 4.07 1020 10515 2939 6379 1197 65.0 MiB 0.10 0.00 3.68402 -118.486 -3.68402 3.68402 0.99 0.000541746 0.000494154 0.0328827 0.0299861 30 2238 21 6.87369e+06 307425 556674. 1926.21 1.01 0.0976112 0.0858255 25186 138497 -1 1878 20 1355 2232 139629 31520 3.4224 3.4224 -131.624 -3.4224 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0232269 0.0204922 136 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 8.11 vpr 65.14 MiB -1 -1 0.16 20672 1 0.03 -1 -1 33924 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 26.7 MiB 3.00 1128 11415 3028 7564 823 65.1 MiB 0.10 0.00 4.00821 -123.654 -4.00821 4.00821 0.97 0.000480311 0.000440117 0.0317428 0.0290452 34 2672 24 6.87369e+06 321398 618332. 2139.56 1.82 0.142168 0.123835 25762 151098 -1 2317 21 1648 2772 204414 48175 3.88036 3.88036 -139.519 -3.88036 0 0 787024. 2723.27 0.30 0.08 0.15 -1 -1 0.30 0.0228196 0.0200774 140 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 8.27 vpr 64.71 MiB -1 -1 0.16 20440 1 0.03 -1 -1 33900 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 31 32 340 275 1 201 91 17 17 289 -1 unnamed_device 26.3 MiB 2.82 1112 17023 4936 10304 1783 64.7 MiB 0.14 0.00 4.43294 -126.224 -4.43294 4.43294 0.95 0.000491062 0.000448368 0.0433036 0.0395521 34 2875 25 6.87369e+06 391268 618332. 2139.56 2.12 0.152154 0.133131 25762 151098 -1 2283 22 1666 2685 209104 48337 4.8635 4.8635 -153.347 -4.8635 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0243335 0.0214784 141 43 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 7.54 vpr 64.86 MiB -1 -1 0.18 20560 1 0.03 -1 -1 34036 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 30 32 377 310 1 183 94 17 17 289 -1 unnamed_device 26.4 MiB 3.15 997 16921 5396 8944 2581 64.9 MiB 0.14 0.00 3.69518 -115.863 -3.69518 3.69518 0.97 0.00053558 0.00048784 0.0448468 0.0407906 32 2711 34 6.87369e+06 447163 586450. 2029.24 1.08 0.121376 0.106598 25474 144626 -1 2182 19 1351 2164 184639 42208 3.58686 3.58686 -131.783 -3.58686 0 0 744469. 2576.02 0.29 0.07 0.14 -1 -1 0.29 0.0221181 0.0194828 135 78 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 7.93 vpr 65.05 MiB -1 -1 0.17 20692 1 0.03 -1 -1 33904 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 365 294 1 187 85 17 17 289 -1 unnamed_device 26.7 MiB 2.84 1057 15709 5104 8282 2323 65.1 MiB 0.14 0.00 3.73418 -120.089 -3.73418 3.73418 0.95 0.000511463 0.00046559 0.0451745 0.0412463 34 2694 25 6.87369e+06 293451 618332. 2139.56 1.80 0.157031 0.137332 25762 151098 -1 2280 22 1699 3028 252299 55209 4.08806 4.08806 -144.315 -4.08806 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0243464 0.0213734 132 54 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 8.04 vpr 65.04 MiB -1 -1 0.17 20580 1 0.03 -1 -1 33940 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 29 32 378 310 1 179 90 17 17 289 -1 unnamed_device 26.6 MiB 3.11 982 12954 3602 7806 1546 65.0 MiB 0.11 0.00 3.18563 -100.418 -3.18563 3.18563 0.95 0.000517362 0.00046592 0.0357584 0.0325835 34 2152 18 6.87369e+06 405241 618332. 2139.56 1.65 0.143182 0.125026 25762 151098 -1 1939 23 1505 2573 186866 43228 3.00221 3.00221 -110.727 -3.00221 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0260404 0.0229044 132 79 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 4.82 vpr 64.41 MiB -1 -1 0.13 20252 1 0.03 -1 -1 34036 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.9 MiB 0.77 835 9006 2438 6072 496 64.4 MiB 0.07 0.00 3.18563 -100.403 -3.18563 3.18563 0.97 0.000399113 0.000365466 0.0221219 0.0202202 28 1893 34 6.87369e+06 237555 531479. 1839.03 0.96 0.0788624 0.0691042 24610 126494 -1 1732 21 1038 1525 108591 26041 3.04931 3.04931 -113.243 -3.04931 0 0 648988. 2245.63 0.27 0.05 0.12 -1 -1 0.27 0.0181296 0.0159947 96 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 10.32 vpr 64.90 MiB -1 -1 0.15 20396 1 0.03 -1 -1 33760 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 373 302 1 184 98 17 17 289 -1 unnamed_device 26.5 MiB 5.45 1060 14273 3801 9156 1316 64.9 MiB 0.13 0.00 3.66382 -116.633 -3.66382 3.66382 0.95 0.000570667 0.00052389 0.0365977 0.0334197 34 2501 22 6.87369e+06 475111 618332. 2139.56 1.59 0.147503 0.128422 25762 151098 -1 2075 23 1422 2386 162871 39397 3.6838 3.6838 -132.686 -3.6838 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0247739 0.0216892 137 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 9.76 vpr 65.01 MiB -1 -1 0.16 20480 1 0.03 -1 -1 33844 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 397 314 1 197 85 17 17 289 -1 unnamed_device 26.6 MiB 4.73 1162 13663 3892 7971 1800 65.0 MiB 0.12 0.00 3.54952 -124.484 -3.54952 3.54952 0.96 0.000556984 0.000508265 0.0433587 0.0395673 34 2648 23 6.87369e+06 293451 618332. 2139.56 1.73 0.161582 0.140967 25762 151098 -1 2195 20 1709 2848 201639 45324 3.7791 3.7791 -144.593 -3.7791 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0250519 0.022146 142 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 8.49 vpr 64.82 MiB -1 -1 0.16 20148 1 0.03 -1 -1 33960 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 32 32 269 231 1 170 80 17 17 289 -1 unnamed_device 26.4 MiB 3.78 1057 14184 4438 8343 1403 64.8 MiB 0.10 0.00 3.34852 -102.009 -3.34852 3.34852 0.94 0.000385059 0.000351792 0.0352171 0.0321551 34 2270 28 6.87369e+06 223581 618332. 2139.56 1.55 0.126989 0.110658 25762 151098 -1 1985 21 1185 1619 131030 30148 3.26481 3.26481 -116.413 -3.26481 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0192086 0.016955 106 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 4.95 vpr 64.52 MiB -1 -1 0.16 20276 1 0.03 -1 -1 33984 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.9 MiB 0.85 688 8003 1882 5278 843 64.5 MiB 0.07 0.00 3.17463 -94.6151 -3.17463 3.17463 0.93 0.00040145 0.000366775 0.0192076 0.0175523 32 1942 20 6.87369e+06 279477 586450. 2029.24 0.98 0.0679475 0.0595265 25474 144626 -1 1619 21 1124 1821 120140 29777 3.05831 3.05831 -109.994 -3.05831 0 0 744469. 2576.02 0.29 0.05 0.15 -1 -1 0.29 0.0177632 0.0155375 99 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 8.45 vpr 65.20 MiB -1 -1 0.16 20556 1 0.03 -1 -1 33612 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 26.8 MiB 3.45 1048 13143 3762 8265 1116 65.2 MiB 0.12 0.00 3.74338 -121.833 -3.74338 3.74338 0.94 0.000505766 0.000462088 0.0370567 0.033837 34 2875 29 6.87369e+06 321398 618332. 2139.56 1.76 0.149823 0.13065 25762 151098 -1 2236 22 1961 2619 189618 45568 4.10806 4.10806 -149.074 -4.10806 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0241239 0.0212065 145 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 8.12 vpr 65.24 MiB -1 -1 0.16 20464 1 0.03 -1 -1 34000 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 356 289 1 202 91 17 17 289 -1 unnamed_device 26.8 MiB 3.10 1147 9271 2181 6560 530 65.2 MiB 0.09 0.00 4.30764 -129.95 -4.30764 4.30764 0.95 0.000493924 0.000449284 0.0249566 0.0228108 34 2979 25 6.87369e+06 377294 618332. 2139.56 1.81 0.134502 0.11685 25762 151098 -1 2501 22 1764 2809 223832 51051 5.01085 5.01085 -158.385 -5.01085 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0236812 0.0207965 142 53 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 5.39 vpr 65.03 MiB -1 -1 0.15 20120 1 0.03 -1 -1 33692 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.6 MiB 0.93 1313 20052 5969 11572 2511 65.0 MiB 0.17 0.00 4.13357 -123.907 -4.13357 4.13357 0.96 0.000518937 0.000474596 0.0472717 0.0430343 32 3153 23 6.87369e+06 503058 586450. 2029.24 1.12 0.116401 0.103084 25474 144626 -1 2621 23 1945 3528 281038 63270 4.46725 4.46725 -149.547 -4.46725 0 0 744469. 2576.02 0.29 0.09 0.14 -1 -1 0.29 0.0258277 0.0227207 157 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 7.28 vpr 64.94 MiB -1 -1 0.16 20216 1 0.03 -1 -1 33576 -1 -1 34 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 30 32 316 264 1 165 96 17 17 289 -1 unnamed_device 26.4 MiB 2.82 824 15864 4441 8350 3073 64.9 MiB 0.12 0.00 2.83325 -86.0399 -2.83325 2.83325 0.97 0.000466009 0.000425254 0.0358234 0.0323764 28 2161 32 6.87369e+06 475111 531479. 1839.03 1.24 0.112876 0.0990255 24610 126494 -1 1857 23 1420 2526 195187 45990 3.17456 3.17456 -105.986 -3.17456 0 0 648988. 2245.63 0.27 0.07 0.12 -1 -1 0.27 0.0223246 0.0195719 119 47 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 5.76 vpr 64.68 MiB -1 -1 0.15 20156 1 0.03 -1 -1 34256 -1 -1 21 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 27 32 255 219 1 139 80 17 17 289 -1 unnamed_device 26.1 MiB 1.16 599 13324 3844 8199 1281 64.7 MiB 0.09 0.00 2.8908 -78.0905 -2.8908 2.8908 0.94 0.00039025 0.000356646 0.0319492 0.0292011 34 1463 23 6.87369e+06 293451 618332. 2139.56 1.45 0.112755 0.0981492 25762 151098 -1 1228 20 908 1312 80084 20064 2.83301 2.83301 -92.2401 -2.83301 0 0 787024. 2723.27 0.30 0.04 0.15 -1 -1 0.30 0.016918 0.0148756 96 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 11.57 vpr 65.29 MiB -1 -1 0.17 20484 1 0.03 -1 -1 33940 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 32 32 421 327 1 233 88 17 17 289 -1 unnamed_device 26.7 MiB 4.34 1381 16468 4888 9543 2037 65.3 MiB 0.16 0.00 3.58845 -117.662 -3.58845 3.58845 0.98 0.000586164 0.000535342 0.0512024 0.0466612 36 3567 18 6.87369e+06 335372 648988. 2245.63 3.77 0.181327 0.159044 26050 158493 -1 2968 21 1931 3166 260457 55299 3.96906 3.96906 -140.13 -3.96906 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0264757 0.0232684 165 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 10.60 vpr 64.98 MiB -1 -1 0.17 20616 1 0.03 -1 -1 33484 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 31 32 365 296 1 203 85 17 17 289 -1 unnamed_device 26.6 MiB 4.95 916 12547 3429 7930 1188 65.0 MiB 0.11 0.00 4.46437 -133.819 -4.46437 4.46437 0.98 0.000491444 0.000452062 0.0350609 0.0319455 36 2533 25 6.87369e+06 307425 648988. 2245.63 2.34 0.152997 0.13355 26050 158493 -1 1975 19 1469 2286 159794 37961 4.328 4.328 -147.309 -4.328 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0213621 0.0189072 139 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 10.15 vpr 64.92 MiB -1 -1 0.17 20252 1 0.03 -1 -1 33804 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 331 280 1 185 82 17 17 289 -1 unnamed_device 26.4 MiB 5.25 995 10584 2811 6901 872 64.9 MiB 0.10 0.00 3.45235 -118.525 -3.45235 3.45235 0.99 0.000486238 0.000441944 0.0308654 0.0281729 34 2482 21 6.87369e+06 251529 618332. 2139.56 1.59 0.12917 0.112366 25762 151098 -1 2108 23 1426 2126 152605 35393 3.6454 3.6454 -142.939 -3.6454 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0232154 0.0204146 118 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 6.41 vpr 64.85 MiB -1 -1 0.15 20120 1 0.03 -1 -1 34092 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 326 263 1 176 97 17 17 289 -1 unnamed_device 26.3 MiB 1.40 1092 18079 5031 10968 2080 64.9 MiB 0.15 0.00 4.22895 -118.87 -4.22895 4.22895 0.96 0.000485803 0.000441248 0.0423544 0.0384845 32 2742 37 6.87369e+06 461137 586450. 2029.24 1.73 0.135793 0.118886 25474 144626 -1 2330 19 1193 1949 179116 38612 3.7123 3.7123 -129.11 -3.7123 0 0 744469. 2576.02 0.30 0.07 0.14 -1 -1 0.30 0.0207016 0.0183188 129 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 6.64 vpr 65.39 MiB -1 -1 0.16 20612 1 0.03 -1 -1 33500 -1 -1 34 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66960 31 32 373 294 1 197 97 17 17 289 -1 unnamed_device 27.0 MiB 2.32 1073 18745 5536 10630 2579 65.4 MiB 0.15 0.00 3.46135 -105.573 -3.46135 3.46135 0.95 0.000525884 0.000479157 0.0468727 0.0426925 32 2567 24 6.87369e+06 475111 586450. 2029.24 1.02 0.115908 0.10216 25474 144626 -1 2114 21 1333 2385 164481 39040 3.8657 3.8657 -125.568 -3.8657 0 0 744469. 2576.02 0.29 0.07 0.14 -1 -1 0.29 0.0237917 0.0209408 149 46 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 7.02 vpr 64.68 MiB -1 -1 0.15 20480 1 0.03 -1 -1 33840 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 30 32 325 268 1 172 93 17 17 289 -1 unnamed_device 26.2 MiB 2.48 912 12063 3171 8275 617 64.7 MiB 0.10 0.00 2.8846 -86.2435 -2.8846 2.8846 0.98 0.00048237 0.000439728 0.0290359 0.0264616 28 2535 22 6.87369e+06 433189 531479. 1839.03 1.32 0.100885 0.0891644 24610 126494 -1 2074 23 1455 2446 183808 43034 3.15791 3.15791 -109.205 -3.15791 0 0 648988. 2245.63 0.27 0.07 0.12 -1 -1 0.27 0.0239038 0.0209951 124 46 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 10.36 vpr 65.27 MiB -1 -1 0.15 20512 1 0.03 -1 -1 33864 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 32 32 350 275 1 216 86 17 17 289 -1 unnamed_device 26.8 MiB 3.90 1107 17096 6507 8128 2461 65.3 MiB 0.16 0.00 3.95364 -125.973 -3.95364 3.95364 0.96 0.000538854 0.000493456 0.0493923 0.0451304 34 3512 37 6.87369e+06 307425 618332. 2139.56 3.09 0.178459 0.156548 25762 151098 -1 2698 30 2768 4206 354132 78700 4.27495 4.27495 -152.699 -4.27495 0 0 787024. 2723.27 0.30 0.11 0.15 -1 -1 0.30 0.0307041 0.0268912 148 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 9.97 vpr 65.22 MiB -1 -1 0.16 20496 1 0.03 -1 -1 33768 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 32 32 386 307 1 196 100 17 17 289 -1 unnamed_device 26.7 MiB 3.79 1031 12628 3253 8377 998 65.2 MiB 0.11 0.00 3.24063 -108.952 -3.24063 3.24063 0.95 0.000529735 0.000482283 0.0315959 0.028805 26 2919 25 6.87369e+06 503058 503264. 1741.40 2.98 0.178422 0.154664 24322 120374 -1 2464 24 1804 3008 254753 57074 3.41321 3.41321 -135.905 -3.41321 0 0 618332. 2139.56 0.25 0.09 0.12 -1 -1 0.25 0.0265704 0.0232962 147 59 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 6.41 vpr 64.62 MiB -1 -1 0.15 20284 1 0.03 -1 -1 34104 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 29 32 269 229 1 150 80 17 17 289 -1 unnamed_device 26.3 MiB 2.25 680 8852 2485 5092 1275 64.6 MiB 0.07 0.00 3.00718 -91.0226 -3.00718 3.00718 0.97 0.000430753 0.000392275 0.022455 0.020546 32 1685 25 6.87369e+06 265503 586450. 2029.24 0.97 0.0767361 0.0673726 25474 144626 -1 1420 20 1149 1679 111358 25991 2.93216 2.93216 -106.215 -2.93216 0 0 744469. 2576.02 0.29 0.05 0.14 -1 -1 0.29 0.0178267 0.0156937 101 28 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 6.76 vpr 64.95 MiB -1 -1 0.15 20412 1 0.03 -1 -1 33852 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 310 266 1 176 81 17 17 289 -1 unnamed_device 26.4 MiB 1.91 930 14956 4511 8442 2003 64.9 MiB 0.11 0.00 3.5666 -103.336 -3.5666 3.5666 0.95 0.000367082 0.00033564 0.0378956 0.0344982 34 2295 21 6.87369e+06 237555 618332. 2139.56 1.65 0.132924 0.115778 25762 151098 -1 1907 17 876 1157 91170 20589 3.13654 3.13654 -119.767 -3.13654 0 0 787024. 2723.27 0.31 0.05 0.15 -1 -1 0.31 0.0178397 0.0158428 112 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 6.57 vpr 64.77 MiB -1 -1 0.16 20428 1 0.03 -1 -1 33756 -1 -1 39 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 31 32 326 261 1 178 102 17 17 289 -1 unnamed_device 26.4 MiB 1.96 888 16048 4648 8537 2863 64.8 MiB 0.13 0.00 3.70112 -104.79 -3.70112 3.70112 0.97 0.000488735 0.00044005 0.0347277 0.0316643 32 2579 47 6.87369e+06 544980 586450. 2029.24 1.31 0.121642 0.106746 25474 144626 -1 1973 24 1594 2811 215940 49726 4.005 4.005 -128.861 -4.005 0 0 744469. 2576.02 0.30 0.08 0.14 -1 -1 0.30 0.0238973 0.0209896 135 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 8.69 vpr 64.75 MiB -1 -1 0.16 20324 1 0.03 -1 -1 33720 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 26.3 MiB 3.94 807 8336 2181 5185 970 64.8 MiB 0.07 0.00 3.70248 -100.07 -3.70248 3.70248 0.98 0.00040056 0.000365478 0.0214803 0.0196486 34 2072 25 6.87369e+06 265503 618332. 2139.56 1.52 0.107873 0.0935357 25762 151098 -1 1750 24 1187 1565 124446 29861 3.55416 3.55416 -112.446 -3.55416 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0201513 0.0176167 107 25 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 8.63 vpr 64.74 MiB -1 -1 0.15 20164 1 0.03 -1 -1 33440 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 32 32 278 238 1 158 79 17 17 289 -1 unnamed_device 26.2 MiB 3.82 870 7684 1744 5441 499 64.7 MiB 0.07 0.00 3.31093 -107.104 -3.31093 3.31093 0.99 0.000428626 0.000393087 0.0219735 0.0201363 34 2099 22 6.87369e+06 209608 618332. 2139.56 1.60 0.111842 0.0971542 25762 151098 -1 1782 21 1382 2308 168557 39484 3.11326 3.11326 -120.054 -3.11326 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0195254 0.0172542 101 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 9.41 vpr 65.35 MiB -1 -1 0.17 20428 1 0.03 -1 -1 33868 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 31 32 373 300 1 185 100 17 17 289 -1 unnamed_device 26.9 MiB 3.18 989 12860 3598 7966 1296 65.4 MiB 0.11 0.00 3.11528 -104.533 -3.11528 3.11528 0.98 0.000526996 0.00048144 0.0318407 0.0290616 28 2321 23 6.87369e+06 517032 531479. 1839.03 2.96 0.205775 0.179371 24610 126494 -1 2080 21 1495 2342 161151 37252 3.10426 3.10426 -122.023 -3.10426 0 0 648988. 2245.63 0.28 0.07 0.12 -1 -1 0.28 0.0247183 0.0218016 141 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 8.15 vpr 64.70 MiB -1 -1 0.16 20304 1 0.03 -1 -1 34044 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 31 32 265 230 1 169 80 17 17 289 -1 unnamed_device 26.3 MiB 3.62 844 5756 1165 4184 407 64.7 MiB 0.05 0.00 3.0319 -94.5954 -3.0319 3.0319 0.95 0.000403614 0.000370726 0.0153544 0.0140389 28 2711 50 6.87369e+06 237555 531479. 1839.03 1.45 0.0896361 0.0778449 24610 126494 -1 2001 21 1296 1821 155467 38873 3.42671 3.42671 -121.568 -3.42671 0 0 648988. 2245.63 0.26 0.06 0.12 -1 -1 0.26 0.0184438 0.016207 105 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 13.75 vpr 64.99 MiB -1 -1 0.17 20596 1 0.03 -1 -1 33676 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 349 286 1 177 95 17 17 289 -1 unnamed_device 26.6 MiB 3.56 981 10031 2195 7405 431 65.0 MiB 0.09 0.00 2.9036 -92.5407 -2.9036 2.9036 0.95 0.000502482 0.000457709 0.0254078 0.0231404 28 2891 39 6.87369e+06 433189 531479. 1839.03 7.05 0.173782 0.150643 24610 126494 -1 2270 22 1305 2236 189183 49366 3.09961 3.09961 -116.067 -3.09961 0 0 648988. 2245.63 0.26 0.07 0.13 -1 -1 0.26 0.0232873 0.0204231 129 54 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 8.45 vpr 65.02 MiB -1 -1 0.17 20524 1 0.03 -1 -1 33744 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 31 32 396 325 1 185 95 17 17 289 -1 unnamed_device 26.7 MiB 4.05 955 16295 5564 8035 2696 65.0 MiB 0.13 0.00 2.9696 -103.404 -2.9696 2.9696 0.95 0.000555583 0.000506106 0.0429362 0.0390422 32 2716 25 6.87369e+06 447163 586450. 2029.24 1.09 0.115608 0.101806 25474 144626 -1 2098 23 1922 2836 244175 55445 3.37206 3.37206 -132.243 -3.37206 0 0 744469. 2576.02 0.29 0.09 0.15 -1 -1 0.29 0.0264428 0.0232256 137 87 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 7.76 vpr 64.79 MiB -1 -1 0.14 20636 1 0.03 -1 -1 33904 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 303 262 1 154 80 17 17 289 -1 unnamed_device 26.4 MiB 2.83 708 8336 1826 5706 804 64.8 MiB 0.06 0.00 2.8516 -87.9482 -2.8516 2.8516 0.94 0.000440341 0.000403867 0.0224249 0.0204099 34 2053 43 6.87369e+06 223581 618332. 2139.56 1.77 0.133267 0.115486 25762 151098 -1 1519 21 1085 1785 121215 31118 2.78301 2.78301 -103.434 -2.78301 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0203763 0.0179087 99 54 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 6.71 vpr 64.96 MiB -1 -1 0.16 20164 1 0.03 -1 -1 33556 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 26.4 MiB 1.85 989 14322 5003 7418 1901 65.0 MiB 0.11 0.00 3.36109 -108.361 -3.36109 3.36109 0.95 0.000437055 0.000398898 0.0374521 0.0342217 34 2398 24 6.87369e+06 251529 618332. 2139.56 1.65 0.130795 0.114443 25762 151098 -1 2089 20 1467 2191 187449 41374 3.2092 3.2092 -123.92 -3.2092 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0198654 0.0175125 114 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 7.74 vpr 65.22 MiB -1 -1 0.14 20172 1 0.03 -1 -1 33528 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 32 32 318 257 1 197 86 17 17 289 -1 unnamed_device 26.8 MiB 3.13 941 7079 1617 5049 413 65.2 MiB 0.06 0.00 4.03908 -110.471 -4.03908 4.03908 0.94 0.000485138 0.000440483 0.0173291 0.0158458 34 2432 23 6.87369e+06 307425 618332. 2139.56 1.49 0.108554 0.0940493 25762 151098 -1 2128 19 1445 2004 143306 34265 4.02406 4.02406 -133.055 -4.02406 0 0 787024. 2723.27 0.29 0.05 0.14 -1 -1 0.29 0.0183309 0.0161074 132 27 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 7.49 vpr 64.99 MiB -1 -1 0.15 20500 1 0.03 -1 -1 33692 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 29 32 324 268 1 169 90 17 17 289 -1 unnamed_device 26.4 MiB 3.32 910 15366 4626 8253 2487 65.0 MiB 0.12 0.00 3.20763 -92.8782 -3.20763 3.20763 0.95 0.000463012 0.000422712 0.0376209 0.0343813 30 2030 24 6.87369e+06 405241 556674. 1926.21 0.97 0.0979006 0.0862563 25186 138497 -1 1617 20 854 1485 80876 19885 2.84701 2.84701 -97.6455 -2.84701 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0202497 0.017823 123 49 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 9.25 vpr 65.23 MiB -1 -1 0.17 20568 1 0.03 -1 -1 33288 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66800 32 32 393 312 1 215 86 17 17 289 -1 unnamed_device 26.7 MiB 3.57 1112 16151 5093 8311 2747 65.2 MiB 0.15 0.00 4.14151 -131.605 -4.14151 4.14151 0.97 0.000556901 0.000506313 0.049988 0.0455942 34 3047 42 6.87369e+06 307425 618332. 2139.56 2.32 0.191682 0.167818 25762 151098 -1 2440 20 1804 2782 252424 54702 4.10316 4.10316 -150.023 -4.10316 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0242656 0.021447 151 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.38 vpr 64.45 MiB -1 -1 0.15 20280 1 0.03 -1 -1 33800 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.9 MiB 0.80 840 10400 2691 6334 1375 64.5 MiB 0.08 0.00 2.9769 -91.9689 -2.9769 2.9769 0.94 0.000375472 0.000342908 0.0244239 0.0223442 34 1852 20 6.87369e+06 237555 618332. 2139.56 1.46 0.100548 0.0876069 25762 151098 -1 1646 16 838 1302 95437 22082 2.70966 2.70966 -102.697 -2.70966 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0144 0.0127539 92 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 6.63 vpr 65.36 MiB -1 -1 0.16 20460 1 0.03 -1 -1 33596 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 412 334 1 194 99 17 17 289 -1 unnamed_device 26.9 MiB 2.28 1078 19251 6137 10457 2657 65.4 MiB 0.16 0.00 3.50715 -119.693 -3.50715 3.50715 0.98 0.000571128 0.000520673 0.0503356 0.0458517 30 2491 25 6.87369e+06 489084 556674. 1926.21 1.03 0.125182 0.110563 25186 138497 -1 2101 21 1325 1906 115281 27152 3.70316 3.70316 -135.95 -3.70316 0 0 706193. 2443.58 0.28 0.06 0.13 -1 -1 0.28 0.0248137 0.0218181 145 87 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 10.10 vpr 64.83 MiB -1 -1 0.16 20552 1 0.03 -1 -1 33452 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 26.2 MiB 5.24 961 10744 2764 7013 967 64.8 MiB 0.09 0.00 2.9898 -111.33 -2.9898 2.9898 0.97 0.000534159 0.000487334 0.0350921 0.0320396 34 2246 20 6.87369e+06 223581 618332. 2139.56 1.62 0.142013 0.123941 25762 151098 -1 1955 21 1485 2150 164090 37326 3.20756 3.20756 -133.618 -3.20756 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0235714 0.0207474 114 93 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 8.35 vpr 65.04 MiB -1 -1 0.16 20732 1 0.03 -1 -1 33896 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 32 32 360 293 1 182 96 17 17 289 -1 unnamed_device 26.7 MiB 3.43 1117 16302 4782 9127 2393 65.0 MiB 0.14 0.00 3.24063 -105.014 -3.24063 3.24063 0.98 0.00052725 0.000479121 0.0403025 0.0366984 34 2471 25 6.87369e+06 447163 618332. 2139.56 1.59 0.148243 0.129417 25762 151098 -1 2064 23 1241 1941 141974 32882 2.99901 2.99901 -112.153 -2.99901 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0242272 0.0212611 134 57 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 10.27 vpr 65.18 MiB -1 -1 0.16 20788 1 0.03 -1 -1 33652 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 32 32 396 299 1 240 89 17 17 289 -1 unnamed_device 26.6 MiB 4.65 1274 12563 3567 7498 1498 65.2 MiB 0.13 0.00 4.69005 -144.336 -4.69005 4.69005 0.95 0.000593836 0.000541103 0.0390604 0.0356872 34 3317 40 6.87369e+06 349346 618332. 2139.56 2.28 0.179834 0.15715 25762 151098 -1 2636 21 2265 3465 275141 63533 4.8771 4.8771 -164.154 -4.8771 0 0 787024. 2723.27 0.30 0.09 0.15 -1 -1 0.30 0.0262439 0.023187 171 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 6.10 vpr 64.41 MiB -1 -1 0.15 20480 1 0.03 -1 -1 33596 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 30 32 224 207 1 137 77 17 17 289 -1 unnamed_device 25.9 MiB 1.50 809 9205 2544 4967 1694 64.4 MiB 0.06 0.00 2.40522 -81.1084 -2.40522 2.40522 0.96 0.000357399 0.000327057 0.0217526 0.0199169 34 1724 20 6.87369e+06 209608 618332. 2139.56 1.43 0.0940098 0.0816638 25762 151098 -1 1540 19 694 934 86683 18674 2.32306 2.32306 -96.6301 -2.32306 0 0 787024. 2723.27 0.30 0.04 0.15 -1 -1 0.30 0.0150068 0.0131894 81 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 6.01 vpr 64.84 MiB -1 -1 0.15 20276 1 0.03 -1 -1 34012 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 30 32 286 239 1 151 81 17 17 289 -1 unnamed_device 26.5 MiB 1.29 830 11981 4007 5980 1994 64.8 MiB 0.09 0.00 3.14163 -100.812 -3.14163 3.14163 0.97 0.000442521 0.000404022 0.0314948 0.0286972 34 1849 19 6.87369e+06 265503 618332. 2139.56 1.52 0.119243 0.104056 25762 151098 -1 1581 22 1208 1776 129966 29626 3.13861 3.13861 -116.194 -3.13861 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0198416 0.017461 105 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 5.41 vpr 64.72 MiB -1 -1 0.15 20228 1 0.03 -1 -1 33696 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 32 32 296 247 1 158 87 17 17 289 -1 unnamed_device 26.2 MiB 1.23 898 15255 5007 8419 1829 64.7 MiB 0.12 0.00 2.9879 -101.502 -2.9879 2.9879 0.95 0.000432914 0.000392507 0.0383685 0.0349439 30 2258 23 6.87369e+06 321398 556674. 1926.21 1.01 0.0953721 0.0840399 25186 138497 -1 1848 21 1088 2015 136372 30868 3.07461 3.07461 -119.865 -3.07461 0 0 706193. 2443.58 0.28 0.06 0.13 -1 -1 0.28 0.0194165 0.0170491 109 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 5.03 vpr 64.51 MiB -1 -1 0.16 20204 1 0.03 -1 -1 33452 -1 -1 29 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 25 32 216 194 1 123 86 17 17 289 -1 unnamed_device 25.9 MiB 0.86 504 12938 4287 5737 2914 64.5 MiB 0.08 0.00 2.9029 -68.0577 -2.9029 2.9029 0.98 0.000346263 0.000315467 0.0248941 0.0226987 32 1613 23 6.87369e+06 405241 586450. 2029.24 0.97 0.0692789 0.0607436 25474 144626 -1 1152 22 892 1588 111428 28044 2.75901 2.75901 -76.9416 -2.75901 0 0 744469. 2576.02 0.31 0.05 0.14 -1 -1 0.31 0.0160794 0.0140698 87 19 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 8.32 vpr 65.01 MiB -1 -1 0.17 20608 1 0.03 -1 -1 33860 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 376 307 1 193 84 17 17 289 -1 unnamed_device 26.6 MiB 3.11 1061 15090 4808 7967 2315 65.0 MiB 0.13 0.00 3.64275 -112.262 -3.64275 3.64275 0.95 0.000559584 0.000507394 0.0445702 0.0405364 34 3166 50 6.87369e+06 279477 618332. 2139.56 1.95 0.181978 0.158855 25762 151098 -1 2474 22 1558 2733 212151 49918 3.94106 3.94106 -135.51 -3.94106 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0241421 0.0211977 133 69 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 9.72 vpr 65.22 MiB -1 -1 0.17 20524 1 0.03 -1 -1 34052 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 31 32 409 331 1 193 94 17 17 289 -1 unnamed_device 26.8 MiB 3.55 1032 13939 3814 9136 989 65.2 MiB 0.13 0.00 3.48023 -114.653 -3.48023 3.48023 0.97 0.000555703 0.000507271 0.0391351 0.0356656 28 2486 25 6.87369e+06 433189 531479. 1839.03 2.90 0.203252 0.176333 24610 126494 -1 2316 25 1965 3106 223940 53026 3.48921 3.48921 -134.407 -3.48921 0 0 648988. 2245.63 0.26 0.09 0.12 -1 -1 0.26 0.028156 0.0246443 143 86 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 8.02 vpr 64.95 MiB -1 -1 0.16 20520 1 0.03 -1 -1 33592 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 354 285 1 223 88 17 17 289 -1 unnamed_device 26.4 MiB 3.07 1214 14713 4233 9054 1426 64.9 MiB 0.14 0.00 4.26107 -127.141 -4.26107 4.26107 0.94 0.000527206 0.000479292 0.0412504 0.0375895 34 2920 28 6.89349e+06 338252 618332. 2139.56 1.74 0.157603 0.13783 25762 151098 -1 2383 19 1479 2247 156968 37852 4.44945 4.44945 -149.776 -4.44945 0 0 787024. 2723.27 0.29 0.06 0.13 -1 -1 0.29 0.0210984 0.0185401 149 47 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 7.19 vpr 65.25 MiB -1 -1 0.16 20420 1 0.03 -1 -1 33780 -1 -1 26 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 30 32 363 293 1 228 88 17 17 289 -1 unnamed_device 26.9 MiB 1.91 1167 11983 2953 7809 1221 65.2 MiB 0.10 0.00 3.89968 -122.519 -3.89968 3.89968 0.98 0.000523723 0.000476393 0.0325523 0.0296669 34 2959 47 6.89349e+06 366440 618332. 2139.56 1.96 0.163469 0.142265 25762 151098 -1 2464 22 2049 3078 219665 50092 4.32709 4.32709 -147.377 -4.32709 0 0 787024. 2723.27 0.32 0.08 0.15 -1 -1 0.32 0.0248913 0.021989 156 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 7.16 vpr 64.67 MiB -1 -1 0.16 20484 1 0.03 -1 -1 33912 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 26.2 MiB 2.25 1099 15523 4948 8573 2002 64.7 MiB 0.12 0.00 3.32519 -100.006 -3.32519 3.32519 0.95 0.000436396 0.000399754 0.0389373 0.0355802 34 2547 43 6.89349e+06 295971 618332. 2139.56 1.70 0.146925 0.128197 25762 151098 -1 2102 21 1148 1671 112697 27016 3.6123 3.6123 -119.498 -3.6123 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.0201675 0.0177968 125 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 6.64 vpr 64.72 MiB -1 -1 0.15 20092 1 0.03 -1 -1 33628 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 29 32 308 248 1 195 85 17 17 289 -1 unnamed_device 26.3 MiB 1.93 938 16081 6466 7389 2226 64.7 MiB 0.12 0.00 3.92328 -106.805 -3.92328 3.92328 0.89 0.000462983 0.000419473 0.0372601 0.0340176 34 2404 39 6.89349e+06 338252 618332. 2139.56 1.56 0.135048 0.117521 25762 151098 -1 1847 18 1214 1970 119081 28594 3.84366 3.84366 -119.849 -3.84366 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.018884 0.0166653 134 25 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 9.90 vpr 64.77 MiB -1 -1 0.15 20488 1 0.03 -1 -1 33464 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 336 268 1 212 87 17 17 289 -1 unnamed_device 26.2 MiB 1.71 1287 11415 3479 5825 2111 64.8 MiB 0.10 0.00 4.24401 -124.601 -4.24401 4.24401 0.94 0.000483436 0.000440309 0.0316282 0.0288352 38 2826 45 6.89349e+06 324158 678818. 2348.85 4.92 0.24047 0.208554 26626 170182 -1 2577 22 1999 3648 303020 62576 4.33029 4.33029 -145.208 -4.33029 0 0 902133. 3121.57 0.33 0.09 0.17 -1 -1 0.33 0.023251 0.0203741 142 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 7.46 vpr 65.06 MiB -1 -1 0.15 20740 1 0.03 -1 -1 33948 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 366 295 1 231 97 17 17 289 -1 unnamed_device 26.7 MiB 2.43 1308 19855 6635 10787 2433 65.1 MiB 0.16 0.00 3.42286 -111.444 -3.42286 3.42286 0.95 0.000553662 0.000501826 0.0463614 0.0418653 34 2984 26 6.89349e+06 465097 618332. 2139.56 1.75 0.157905 0.136566 25762 151098 -1 2473 21 1655 2823 211339 48326 3.45475 3.45475 -129.953 -3.45475 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0232877 0.0204914 162 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 6.48 vpr 64.36 MiB -1 -1 0.14 20184 1 0.03 -1 -1 34204 -1 -1 21 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 27 32 259 221 1 160 80 17 17 289 -1 unnamed_device 25.8 MiB 1.48 834 13324 3953 7741 1630 64.4 MiB 0.10 0.00 3.25123 -92.5861 -3.25123 3.25123 0.94 0.000397221 0.00036202 0.0325493 0.0297609 36 1731 20 6.89349e+06 295971 648988. 2245.63 1.80 0.116712 0.102178 26050 158493 -1 1577 19 1135 1665 129639 28433 2.92716 2.92716 -103.718 -2.92716 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.016883 0.0148633 107 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 8.00 vpr 64.61 MiB -1 -1 0.16 20144 1 0.03 -1 -1 33856 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 26.1 MiB 0.93 870 15647 5073 7866 2708 64.6 MiB 0.11 0.00 2.54074 -78.8558 -2.54074 2.54074 0.95 0.000426344 0.000389442 0.0323947 0.0294533 28 2431 29 6.89349e+06 451003 531479. 1839.03 3.93 0.163389 0.14151 24610 126494 -1 1886 20 1112 1911 144690 33288 2.47301 2.47301 -95.8136 -2.47301 0 0 648988. 2245.63 0.26 0.06 0.12 -1 -1 0.26 0.0178386 0.0156664 119 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 9.34 vpr 64.66 MiB -1 -1 0.17 20232 1 0.03 -1 -1 33880 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 31 32 317 271 1 207 83 17 17 289 -1 unnamed_device 26.2 MiB 1.97 1110 13583 4929 5993 2661 64.7 MiB 0.11 0.00 2.80245 -99.26 -2.80245 2.80245 0.95 0.000488994 0.000447405 0.0366794 0.0334525 38 2440 19 6.89349e+06 281877 678818. 2348.85 4.07 0.187764 0.162363 26626 170182 -1 2077 19 1551 2038 157662 33481 2.87296 2.87296 -117.217 -2.87296 0 0 902133. 3121.57 0.33 0.06 0.17 -1 -1 0.33 0.019525 0.017313 130 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 6.95 vpr 64.66 MiB -1 -1 0.12 20244 1 0.03 -1 -1 33960 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 298 248 1 185 82 17 17 289 -1 unnamed_device 26.2 MiB 2.35 941 9516 2381 6135 1000 64.7 MiB 0.08 0.00 3.15648 -104.965 -3.15648 3.15648 0.93 0.000436401 0.0003977 0.0263903 0.024104 34 2267 21 6.89349e+06 253689 618332. 2139.56 1.51 0.117284 0.102208 25762 151098 -1 1954 20 1195 1605 119282 27228 3.16081 3.16081 -123.528 -3.16081 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0194437 0.0170575 120 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 7.44 vpr 64.70 MiB -1 -1 0.16 20260 1 0.03 -1 -1 33604 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 30 32 303 262 1 191 83 17 17 289 -1 unnamed_device 26.2 MiB 2.47 1118 14483 4527 7969 1987 64.7 MiB 0.12 0.00 3.58297 -108.561 -3.58297 3.58297 0.95 0.000433923 0.000395748 0.0371334 0.0338728 34 2391 44 6.89349e+06 295971 618332. 2139.56 1.74 0.147686 0.128675 25762 151098 -1 2128 20 1320 1808 140762 31615 3.83065 3.83065 -130.636 -3.83065 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0197765 0.0174577 124 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 8.96 vpr 64.27 MiB -1 -1 0.15 20088 1 0.03 -1 -1 33568 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 25.9 MiB 1.86 956 14256 4096 8723 1437 64.3 MiB 0.11 0.00 2.911 -95.433 -2.911 2.911 0.93 0.000412635 0.000375663 0.0359899 0.032883 36 2116 28 6.89349e+06 239595 648988. 2245.63 3.91 0.164951 0.143334 26050 158493 -1 1925 20 960 1342 105558 23886 2.92326 2.92326 -112.806 -2.92326 0 0 828058. 2865.25 0.31 0.05 0.16 -1 -1 0.31 0.0181264 0.0159375 108 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 7.69 vpr 64.82 MiB -1 -1 0.16 20672 1 0.03 -1 -1 33804 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 26.1 MiB 2.47 997 16791 5555 8237 2999 64.8 MiB 0.14 0.00 3.19568 -104.214 -3.19568 3.19568 0.97 0.000493949 0.00045098 0.0458707 0.0419462 34 2764 22 6.89349e+06 324158 618332. 2139.56 1.90 0.143707 0.12751 25762 151098 -1 2168 23 1788 2748 229521 50601 3.28316 3.28316 -122.005 -3.28316 0 0 787024. 2723.27 0.31 0.09 0.14 -1 -1 0.31 0.0253923 0.0223566 143 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 9.66 vpr 65.01 MiB -1 -1 0.16 20412 1 0.03 -1 -1 33580 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 363 295 1 232 88 17 17 289 -1 unnamed_device 26.6 MiB 2.16 1237 16273 4603 9085 2585 65.0 MiB 0.14 0.00 4.42931 -129.469 -4.42931 4.42931 0.95 0.000509121 0.000464548 0.0446982 0.0407768 36 2729 24 6.89349e+06 338252 648988. 2245.63 4.18 0.216875 0.188962 26050 158493 -1 2307 20 1573 2165 149117 34638 4.43125 4.43125 -149.792 -4.43125 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0236861 0.0209385 153 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 7.06 vpr 64.50 MiB -1 -1 0.15 20388 1 0.03 -1 -1 33688 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 29 32 248 215 1 160 79 17 17 289 -1 unnamed_device 26.0 MiB 2.27 828 9543 2408 6323 812 64.5 MiB 0.07 0.00 2.55142 -81.9482 -2.55142 2.55142 0.98 0.000405294 0.000371674 0.024221 0.0222139 34 1982 19 6.89349e+06 253689 618332. 2139.56 1.57 0.106077 0.0924145 25762 151098 -1 1670 18 1021 1457 105790 24845 2.88111 2.88111 -103.081 -2.88111 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.0161039 0.0142012 102 21 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 8.21 vpr 64.91 MiB -1 -1 0.16 20644 1 0.03 -1 -1 33920 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 370 297 1 234 88 17 17 289 -1 unnamed_device 26.5 MiB 2.63 1341 15298 4523 8737 2038 64.9 MiB 0.13 0.00 3.3439 -110.98 -3.3439 3.3439 0.96 0.00052006 0.000474516 0.0432333 0.0394371 34 3124 44 6.89349e+06 338252 618332. 2139.56 2.26 0.178166 0.155372 25762 151098 -1 2794 23 1999 3261 293040 61538 3.76655 3.76655 -136.087 -3.76655 0 0 787024. 2723.27 0.30 0.09 0.15 -1 -1 0.30 0.0251573 0.0220705 159 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 7.55 vpr 64.97 MiB -1 -1 0.15 20668 1 0.03 -1 -1 34084 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 338 269 1 205 86 17 17 289 -1 unnamed_device 26.4 MiB 2.23 1142 13694 4281 7359 2054 65.0 MiB 0.11 0.00 3.18768 -107.577 -3.18768 3.18768 0.93 0.000478257 0.000437261 0.0375526 0.0343625 36 2618 26 6.89349e+06 310065 648988. 2245.63 2.14 0.160453 0.141595 26050 158493 -1 2179 18 1316 1981 168549 35025 3.05071 3.05071 -117.928 -3.05071 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.020763 0.0184433 142 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 7.40 vpr 64.95 MiB -1 -1 0.16 20312 1 0.03 -1 -1 33684 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 323 276 1 215 85 17 17 289 -1 unnamed_device 26.4 MiB 2.10 1179 16267 4856 9680 1731 65.0 MiB 0.14 0.00 2.80245 -104.61 -2.80245 2.80245 0.95 0.000454738 0.000415721 0.0420565 0.0383349 34 2724 23 6.89349e+06 295971 618332. 2139.56 2.02 0.148583 0.130295 25762 151098 -1 2288 20 1493 1912 146168 32634 2.80596 2.80596 -124.049 -2.80596 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0206878 0.0182901 131 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 7.33 vpr 64.36 MiB -1 -1 0.14 20260 1 0.03 -1 -1 33452 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 30 32 222 206 1 141 77 17 17 289 -1 unnamed_device 25.9 MiB 1.23 715 5456 1202 3908 346 64.4 MiB 0.04 0.00 2.15123 -73.4367 -2.15123 2.15123 0.94 0.000361188 0.000329817 0.0133549 0.0122278 34 1594 17 6.89349e+06 211408 618332. 2139.56 3.02 0.11433 0.0982161 25762 151098 -1 1400 19 792 931 84281 19685 2.11002 2.11002 -88.4752 -2.11002 0 0 787024. 2723.27 0.30 0.04 0.15 -1 -1 0.30 0.0147886 0.0130272 82 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 7.73 vpr 64.71 MiB -1 -1 0.15 20368 1 0.03 -1 -1 33664 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 31 32 291 243 1 179 82 17 17 289 -1 unnamed_device 26.3 MiB 2.56 931 14678 5107 7399 2172 64.7 MiB 0.12 0.00 3.72732 -118.161 -3.72732 3.72732 0.97 0.000444596 0.000406758 0.0377095 0.0344103 36 2094 22 6.89349e+06 267783 648988. 2245.63 1.87 0.132438 0.11577 26050 158493 -1 1789 18 1102 1732 120418 28032 3.7253 3.7253 -132.318 -3.7253 0 0 828058. 2865.25 0.31 0.05 0.16 -1 -1 0.31 0.017763 0.0156805 117 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.24 vpr 64.81 MiB -1 -1 0.15 20296 1 0.03 -1 -1 33612 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 342 271 1 207 98 17 17 289 -1 unnamed_device 26.2 MiB 1.28 1121 18098 5847 9989 2262 64.8 MiB 0.15 0.00 3.68693 -121.727 -3.68693 3.68693 0.94 0.000515498 0.000470191 0.042166 0.0383934 34 2643 26 6.89349e+06 479191 618332. 2139.56 1.69 0.156714 0.137202 25762 151098 -1 2081 19 1362 2048 141492 32155 3.99624 3.99624 -140.411 -3.99624 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0215769 0.0191145 151 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 6.92 vpr 64.88 MiB -1 -1 0.15 20456 1 0.03 -1 -1 33856 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 372 300 1 229 87 17 17 289 -1 unnamed_device 26.5 MiB 1.60 1311 9111 2463 5760 888 64.9 MiB 0.09 0.00 3.53795 -113.225 -3.53795 3.53795 0.94 0.000535333 0.000487736 0.0273603 0.0249888 34 3144 39 6.89349e+06 324158 618332. 2139.56 2.07 0.148554 0.130545 25762 151098 -1 2560 20 1780 2730 205915 45149 3.71076 3.71076 -135.036 -3.71076 0 0 787024. 2723.27 0.32 0.08 0.15 -1 -1 0.32 0.0244073 0.0216101 155 59 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 5.93 vpr 64.21 MiB -1 -1 0.13 20084 1 0.03 -1 -1 34120 -1 -1 19 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65752 26 32 190 182 1 126 77 17 17 289 -1 unnamed_device 25.8 MiB 1.33 442 11813 4730 5237 1846 64.2 MiB 0.06 0.00 2.20251 -59.9837 -2.20251 2.20251 0.92 0.00029912 0.000273202 0.0227641 0.0208272 34 1494 28 6.89349e+06 267783 618332. 2139.56 1.61 0.0921417 0.0805279 25762 151098 -1 1092 18 775 910 91243 29248 2.64785 2.64785 -75.3782 -2.64785 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0122872 0.010842 76 21 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 5.38 vpr 64.60 MiB -1 -1 0.15 20192 1 0.03 -1 -1 33952 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 26.2 MiB 1.02 992 5655 1153 4209 293 64.6 MiB 0.06 0.00 3.65437 -106.778 -3.65437 3.65437 0.95 0.000442455 0.000403458 0.0148318 0.0135549 28 2347 27 6.89349e+06 324158 531479. 1839.03 1.24 0.0785111 0.0687031 24610 126494 -1 2199 20 1372 2448 202055 45662 4.09715 4.09715 -130.829 -4.09715 0 0 648988. 2245.63 0.26 0.07 0.13 -1 -1 0.26 0.0198403 0.0175014 119 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 6.32 vpr 63.94 MiB -1 -1 0.13 19944 1 0.03 -1 -1 33664 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65472 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 25.4 MiB 0.43 431 9516 3885 5180 451 63.9 MiB 0.05 0.00 1.84032 -58.7538 -1.84032 1.84032 0.94 0.000299032 0.000272101 0.0188343 0.0171783 30 1353 26 6.89349e+06 169126 556674. 1926.21 2.83 0.10607 0.0915105 25186 138497 -1 924 23 595 705 48735 13395 2.28736 2.28736 -68.8921 -2.28736 0 0 706193. 2443.58 0.28 0.04 0.14 -1 -1 0.28 0.0144096 0.0126388 65 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 6.91 vpr 64.91 MiB -1 -1 0.16 20132 1 0.03 -1 -1 33708 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 300 245 1 187 84 17 17 289 -1 unnamed_device 26.5 MiB 1.96 962 14175 3766 8385 2024 64.9 MiB 0.12 0.00 3.95808 -113.056 -3.95808 3.95808 0.97 0.000461573 0.000421655 0.0367866 0.0335974 34 2490 23 6.89349e+06 281877 618332. 2139.56 1.67 0.137619 0.120558 25762 151098 -1 2056 18 1084 1578 107658 26398 3.98196 3.98196 -125.284 -3.98196 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.0185361 0.0164032 125 21 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 5.39 vpr 64.68 MiB -1 -1 0.14 20108 1 0.03 -1 -1 33980 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.2 MiB 0.88 1065 17375 5092 9935 2348 64.7 MiB 0.14 0.00 2.7033 -91.5418 -2.7033 2.7033 1.00 0.00045579 0.000415405 0.0388705 0.0353958 28 2511 20 6.89349e+06 436909 531479. 1839.03 1.23 0.100929 0.0894453 24610 126494 -1 2311 22 1446 2667 215250 47398 2.76385 2.76385 -107.831 -2.76385 0 0 648988. 2245.63 0.26 0.07 0.12 -1 -1 0.26 0.0208634 0.0183005 130 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 7.78 vpr 64.89 MiB -1 -1 0.16 20368 1 0.03 -1 -1 33932 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 338 277 1 215 87 17 17 289 -1 unnamed_device 26.3 MiB 2.63 1126 11031 2881 6858 1292 64.9 MiB 0.10 0.00 3.79978 -109.038 -3.79978 3.79978 1.00 0.000500072 0.000456111 0.0307073 0.0280498 34 2862 22 6.89349e+06 324158 618332. 2139.56 1.79 0.137113 0.119773 25762 151098 -1 2335 20 1671 2486 179050 41287 3.99396 3.99396 -133.27 -3.99396 0 0 787024. 2723.27 0.32 0.07 0.15 -1 -1 0.32 0.0212695 0.018766 142 47 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 9.29 vpr 64.67 MiB -1 -1 0.15 20588 1 0.03 -1 -1 33612 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 284 241 1 177 81 17 17 289 -1 unnamed_device 26.3 MiB 2.06 995 11456 3373 6255 1828 64.7 MiB 0.10 0.00 2.9839 -102.38 -2.9839 2.9839 0.96 0.000430713 0.000392743 0.0301632 0.0275313 36 2158 32 6.89349e+06 239595 648988. 2245.63 3.99 0.163003 0.141162 26050 158493 -1 1873 20 1282 1850 135369 30199 2.93126 2.93126 -116.257 -2.93126 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0187914 0.016524 112 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 6.93 vpr 64.21 MiB -1 -1 0.16 20304 1 0.03 -1 -1 33612 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65756 30 32 262 227 1 161 79 17 17 289 -1 unnamed_device 25.7 MiB 2.18 883 13092 4667 6018 2407 64.2 MiB 0.10 0.00 3.26582 -95.078 -3.26582 3.26582 0.94 0.000403553 0.000370588 0.032566 0.0297197 34 2103 27 6.89349e+06 239595 618332. 2139.56 1.59 0.120012 0.104343 25762 151098 -1 1834 19 1033 1676 144071 32955 3.40406 3.40406 -110.342 -3.40406 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0172304 0.015182 104 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 6.14 vpr 64.53 MiB -1 -1 0.14 20324 1 0.03 -1 -1 33804 -1 -1 20 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 28 32 260 223 1 163 80 17 17 289 -1 unnamed_device 26.0 MiB 1.95 897 13324 4235 7704 1385 64.5 MiB 0.10 0.00 3.27894 -97.2693 -3.27894 3.27894 0.97 0.000401006 0.00036451 0.0325409 0.029687 30 2236 25 6.89349e+06 281877 556674. 1926.21 1.02 0.0865172 0.0760816 25186 138497 -1 1847 22 1027 1731 117580 26764 3.3215 3.3215 -115.058 -3.3215 0 0 706193. 2443.58 0.28 0.05 0.14 -1 -1 0.28 0.0185311 0.0162184 107 27 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 4.83 vpr 64.52 MiB -1 -1 0.14 20124 1 0.03 -1 -1 33744 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 26.0 MiB 0.71 799 12156 3105 8175 876 64.5 MiB 0.09 0.00 3.24508 -101.69 -3.24508 3.24508 0.95 0.000406132 0.000369622 0.0300727 0.0274693 30 2064 22 6.89349e+06 239595 556674. 1926.21 0.98 0.0818618 0.0719888 25186 138497 -1 1741 21 1062 1824 129534 28756 2.84311 2.84311 -111.709 -2.84311 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0188758 0.0166426 101 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 9.01 vpr 64.35 MiB -1 -1 0.14 20212 1 0.03 -1 -1 33560 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 31 32 271 231 1 172 81 17 17 289 -1 unnamed_device 26.0 MiB 1.84 891 14431 4881 6988 2562 64.3 MiB 0.11 0.00 2.82865 -91.106 -2.82865 2.82865 0.97 0.000421108 0.00038461 0.0360086 0.0328809 36 2049 22 6.89349e+06 253689 648988. 2245.63 3.89 0.159399 0.138476 26050 158493 -1 1794 20 991 1457 105895 24308 2.79006 2.79006 -106.632 -2.79006 0 0 828058. 2865.25 0.33 0.05 0.15 -1 -1 0.33 0.018651 0.0164676 108 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 7.16 vpr 64.68 MiB -1 -1 0.17 20268 1 0.03 -1 -1 33732 -1 -1 22 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 29 32 291 250 1 185 83 17 17 289 -1 unnamed_device 26.2 MiB 2.12 871 14123 3525 9365 1233 64.7 MiB 0.10 0.00 2.84275 -83.5485 -2.84275 2.84275 0.97 0.000428628 0.000388983 0.0360213 0.0328487 34 2254 45 6.89349e+06 310065 618332. 2139.56 1.80 0.125602 0.109906 25762 151098 -1 1762 19 1081 1474 99113 25315 2.90121 2.90121 -104.177 -2.90121 0 0 787024. 2723.27 0.33 0.05 0.15 -1 -1 0.33 0.018172 0.0160108 120 48 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 7.17 vpr 65.09 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33732 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 367 282 1 224 89 17 17 289 -1 unnamed_device 26.7 MiB 1.96 1321 14345 3951 8541 1853 65.1 MiB 0.14 0.00 3.60205 -109.719 -3.60205 3.60205 0.93 0.000526473 0.000479506 0.0407932 0.0371839 34 3159 22 6.89349e+06 352346 618332. 2139.56 1.96 0.157985 0.137893 25762 151098 -1 2674 21 1442 2389 194387 41520 3.82676 3.82676 -129.326 -3.82676 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0238304 0.0209954 159 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 10.88 vpr 65.22 MiB -1 -1 0.16 20564 1 0.03 -1 -1 33568 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 391 311 1 250 88 17 17 289 -1 unnamed_device 26.7 MiB 2.48 1342 16078 5333 8863 1882 65.2 MiB 0.15 0.00 3.57677 -122.298 -3.57677 3.57677 0.99 0.000558799 0.000512761 0.0489194 0.0446897 38 2934 21 6.89349e+06 338252 678818. 2348.85 4.94 0.271796 0.237751 26626 170182 -1 2668 20 2270 3144 252306 53181 3.60405 3.60405 -141.651 -3.60405 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0244724 0.0216038 168 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 6.45 vpr 64.19 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33736 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65732 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 25.8 MiB 1.46 933 9706 2569 6078 1059 64.2 MiB 0.08 0.00 3.21878 -100.089 -3.21878 3.21878 0.97 0.000430499 0.000392849 0.0254349 0.0232122 34 2149 30 6.89349e+06 253689 618332. 2139.56 1.77 0.122555 0.106558 25762 151098 -1 1882 19 1073 1680 147039 31275 3.09405 3.09405 -113.961 -3.09405 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0185034 0.0163492 109 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 7.67 vpr 64.91 MiB -1 -1 0.16 20560 1 0.03 -1 -1 33832 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 31 32 370 297 1 235 88 17 17 289 -1 unnamed_device 26.5 MiB 2.59 1278 11593 3170 7401 1022 64.9 MiB 0.11 0.00 3.42249 -111.085 -3.42249 3.42249 0.95 0.000540955 0.00048328 0.0348365 0.0316137 34 3228 23 6.89349e+06 352346 618332. 2139.56 1.80 0.150259 0.130913 25762 151098 -1 2766 21 1760 2661 209380 46037 3.7206 3.7206 -137.265 -3.7206 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0247144 0.0218377 160 57 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 8.53 vpr 64.90 MiB -1 -1 0.17 20520 1 0.03 -1 -1 33936 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 31 32 377 302 1 241 88 17 17 289 -1 unnamed_device 26.5 MiB 3.04 1178 13933 4197 7735 2001 64.9 MiB 0.13 0.00 4.34127 -130.272 -4.34127 4.34127 0.97 0.000539431 0.000491876 0.0402535 0.0367897 36 3161 22 6.89349e+06 352346 648988. 2245.63 2.10 0.140253 0.1234 26050 158493 -1 2522 21 1917 2805 233710 49338 4.5264 4.5264 -158.076 -4.5264 0 0 828058. 2865.25 0.31 0.08 0.16 -1 -1 0.31 0.0243336 0.0214008 163 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 7.81 vpr 65.18 MiB -1 -1 0.17 20624 1 0.03 -1 -1 33752 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 31 32 383 305 1 240 88 17 17 289 -1 unnamed_device 26.7 MiB 2.59 1138 15688 4816 8173 2699 65.2 MiB 0.15 0.00 4.74618 -136.117 -4.74618 4.74618 0.96 0.000522549 0.000476471 0.0465573 0.042498 34 3022 27 6.89349e+06 352346 618332. 2139.56 1.90 0.16922 0.148133 25762 151098 -1 2305 22 1832 2776 192438 44055 4.73554 4.73554 -160.529 -4.73554 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0277357 0.0245952 166 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.44 vpr 64.73 MiB -1 -1 0.17 20888 1 0.03 -1 -1 33992 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 31 32 352 285 1 223 87 17 17 289 -1 unnamed_device 26.4 MiB 2.35 1126 12759 3721 7822 1216 64.7 MiB 0.13 0.00 3.17668 -101.657 -3.17668 3.17668 0.98 0.000520933 0.000475156 0.0363919 0.0332531 34 2760 23 6.89349e+06 338252 618332. 2139.56 1.74 0.154291 0.134787 25762 151098 -1 2223 24 1744 2649 178780 42700 3.18956 3.18956 -117.552 -3.18956 0 0 787024. 2723.27 0.32 0.08 0.15 -1 -1 0.32 0.0268509 0.0235281 148 51 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 7.39 vpr 64.54 MiB -1 -1 0.15 20172 1 0.03 -1 -1 33872 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66092 32 32 291 242 1 188 84 17 17 289 -1 unnamed_device 26.0 MiB 2.18 989 14175 5590 7349 1236 64.5 MiB 0.11 0.00 3.64925 -99.1057 -3.64925 3.64925 0.97 0.00044731 0.000406335 0.0364267 0.0332315 34 2606 40 6.89349e+06 281877 618332. 2139.56 1.96 0.146182 0.127641 25762 151098 -1 2054 17 1117 1614 124279 28688 3.92216 3.92216 -122.376 -3.92216 0 0 787024. 2723.27 0.31 0.05 0.15 -1 -1 0.31 0.0173215 0.0153825 120 24 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 9.76 vpr 65.46 MiB -1 -1 0.17 20560 1 0.03 -1 -1 34012 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67036 32 32 457 356 1 296 95 17 17 289 -1 unnamed_device 27.0 MiB 2.67 1522 11543 2757 8138 648 65.5 MiB 0.13 0.00 4.19571 -136.492 -4.19571 4.19571 0.94 0.000600586 0.000545097 0.0355447 0.0324026 34 5007 44 6.89349e+06 436909 618332. 2139.56 3.76 0.195356 0.169973 25762 151098 -1 3290 23 2570 3824 285701 65384 4.75679 4.75679 -174.509 -4.75679 0 0 787024. 2723.27 0.30 0.10 0.15 -1 -1 0.30 0.0299704 0.0263696 203 84 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 6.73 vpr 64.57 MiB -1 -1 0.16 20476 1 0.03 -1 -1 33588 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 31 32 261 225 1 171 81 17 17 289 -1 unnamed_device 26.0 MiB 1.92 1018 10056 2694 6355 1007 64.6 MiB 0.08 0.00 2.974 -92.1126 -2.974 2.974 0.97 0.000400059 0.000365294 0.0249508 0.0227898 34 2159 26 6.89349e+06 253689 618332. 2139.56 1.62 0.112786 0.0980992 25762 151098 -1 1955 20 1243 1711 135309 30058 2.95031 2.95031 -106.696 -2.95031 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0177792 0.0156161 106 24 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 8.78 vpr 64.98 MiB -1 -1 0.14 20596 1 0.03 -1 -1 33784 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 26.5 MiB 1.75 1236 10481 2814 6547 1120 65.0 MiB 0.10 0.00 3.75642 -119.135 -3.75642 3.75642 0.96 0.000502454 0.00046006 0.0298871 0.0273523 34 2826 47 6.89349e+06 324158 618332. 2139.56 3.75 0.213889 0.185327 25762 151098 -1 2312 21 1577 2375 165266 38005 3.9697 3.9697 -139.018 -3.9697 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.023155 0.0204228 140 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 8.00 vpr 64.87 MiB -1 -1 0.16 20740 1 0.03 -1 -1 33748 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 349 284 1 222 87 17 17 289 -1 unnamed_device 26.5 MiB 2.54 1301 16023 5316 8071 2636 64.9 MiB 0.15 0.00 3.41329 -109.461 -3.41329 3.41329 0.95 0.000496134 0.000451557 0.0447323 0.0408313 34 3317 35 6.89349e+06 324158 618332. 2139.56 2.15 0.170438 0.149241 25762 151098 -1 2633 21 1558 2485 188719 42181 3.512 3.512 -129.244 -3.512 0 0 787024. 2723.27 0.32 0.07 0.15 -1 -1 0.32 0.0231106 0.0203699 149 50 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 5.71 vpr 64.66 MiB -1 -1 0.15 20020 1 0.03 -1 -1 33548 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 26.3 MiB 0.66 1058 12552 3287 7737 1528 64.7 MiB 0.10 0.00 3.37229 -107.321 -3.37229 3.37229 0.95 0.000446758 0.000406686 0.030076 0.0273678 34 2351 20 6.89349e+06 366440 618332. 2139.56 1.84 0.127646 0.111523 25762 151098 -1 2112 20 1331 2475 197445 41974 3.5291 3.5291 -125.189 -3.5291 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0192429 0.0169581 123 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 7.61 vpr 64.74 MiB -1 -1 0.16 20568 1 0.03 -1 -1 33488 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 353 287 1 220 87 17 17 289 -1 unnamed_device 26.4 MiB 2.11 1074 13911 4654 6551 2706 64.7 MiB 0.13 0.00 3.42271 -103.027 -3.42271 3.42271 0.99 0.000510857 0.000465293 0.0400912 0.0366558 34 2861 49 6.89349e+06 324158 618332. 2139.56 2.13 0.169322 0.14909 25762 151098 -1 2272 21 1623 2293 178862 41204 3.17346 3.17346 -115.825 -3.17346 0 0 787024. 2723.27 0.32 0.08 0.15 -1 -1 0.32 0.0249356 0.0220479 148 52 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 8.39 vpr 64.84 MiB -1 -1 0.15 20508 1 0.03 -1 -1 33876 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 361 291 1 233 88 17 17 289 -1 unnamed_device 26.4 MiB 2.43 1108 14908 4824 7385 2699 64.8 MiB 0.12 0.00 3.31619 -102.977 -3.31619 3.31619 0.94 0.000508223 0.000463335 0.0406627 0.0369826 36 3221 41 6.89349e+06 338252 648988. 2245.63 2.70 0.169514 0.147435 26050 158493 -1 2399 22 1695 2531 213480 49150 3.4704 3.4704 -123.765 -3.4704 0 0 828058. 2865.25 0.31 0.08 0.16 -1 -1 0.31 0.0240964 0.02118 154 52 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 7.71 vpr 64.84 MiB -1 -1 0.16 20836 1 0.03 -1 -1 33800 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 382 305 1 243 90 17 17 289 -1 unnamed_device 26.4 MiB 2.14 1356 13758 4192 7257 2309 64.8 MiB 0.13 0.00 3.22384 -110.279 -3.22384 3.22384 0.97 0.000588043 0.000530074 0.0397213 0.0362181 34 3312 36 6.89349e+06 366440 618332. 2139.56 2.25 0.172827 0.150761 25762 151098 -1 2686 17 1666 2308 174927 38794 3.11485 3.11485 -126.89 -3.11485 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.021443 0.0189846 164 59 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 6.89 vpr 64.44 MiB -1 -1 0.14 20184 1 0.03 -1 -1 33472 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 32 32 306 248 1 188 85 17 17 289 -1 unnamed_device 26.0 MiB 1.92 954 14221 3790 8871 1560 64.4 MiB 0.12 0.00 3.61195 -107.106 -3.61195 3.61195 0.97 0.00047447 0.000432462 0.0372349 0.0339792 34 2423 30 6.89349e+06 295971 618332. 2139.56 1.72 0.14064 0.12287 25762 151098 -1 1901 18 1133 1833 113600 28289 3.6593 3.6593 -120.86 -3.6593 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.0183375 0.0161869 128 21 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 6.89 vpr 64.77 MiB -1 -1 0.15 20560 1 0.03 -1 -1 33492 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 26.2 MiB 1.90 1095 11048 2744 7222 1082 64.8 MiB 0.10 0.00 3.93308 -117.677 -3.93308 3.93308 0.95 0.000495127 0.000450751 0.0304177 0.0277596 34 2746 48 6.89349e+06 310065 618332. 2139.56 1.76 0.150128 0.130685 25762 151098 -1 2235 20 1401 2061 147164 33743 3.9127 3.9127 -133.692 -3.9127 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.020282 0.0178916 135 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 7.17 vpr 64.82 MiB -1 -1 0.17 20632 1 0.03 -1 -1 33852 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 373 299 1 227 87 17 17 289 -1 unnamed_device 26.5 MiB 1.60 1438 14871 4798 8065 2008 64.8 MiB 0.15 0.00 3.81572 -120.928 -3.81572 3.81572 0.99 0.000524254 0.000477288 0.044078 0.0402789 34 3395 31 6.89349e+06 338252 618332. 2139.56 2.12 0.182834 0.160532 25762 151098 -1 2827 21 1771 2805 239092 49775 4.00226 4.00226 -141.931 -4.00226 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0257726 0.0228205 156 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 8.72 vpr 64.95 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33960 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 387 315 1 249 89 17 17 289 -1 unnamed_device 26.5 MiB 2.92 1313 8801 1909 6412 480 64.9 MiB 0.09 0.00 3.80725 -116.552 -3.80725 3.80725 0.94 0.00053099 0.00048445 0.0261221 0.0238273 36 3177 25 6.89349e+06 352346 648988. 2245.63 2.51 0.154711 0.135086 26050 158493 -1 2685 19 1877 2766 193911 44263 3.89 3.89 -132.714 -3.89 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0234815 0.0207703 166 74 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 6.49 vpr 64.19 MiB -1 -1 0.14 20468 1 0.03 -1 -1 33344 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65732 32 32 251 219 1 156 79 17 17 289 -1 unnamed_device 25.6 MiB 1.92 846 8867 2360 6012 495 64.2 MiB 0.07 0.00 2.79059 -89.1076 -2.79059 2.79059 0.93 0.000391911 0.000359246 0.0231053 0.0211547 34 1975 23 6.89349e+06 211408 618332. 2139.56 1.46 0.105125 0.0915208 25762 151098 -1 1750 21 948 1522 103975 24312 2.69781 2.69781 -101.008 -2.69781 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.0178865 0.0157313 96 20 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 7.53 vpr 64.88 MiB -1 -1 0.16 20460 1 0.03 -1 -1 33588 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 341 285 1 219 84 17 17 289 -1 unnamed_device 26.3 MiB 1.53 1015 10881 2467 7293 1121 64.9 MiB 0.08 0.00 3.45729 -116.703 -3.45729 3.45729 0.95 0.00048831 0.000443664 0.0296992 0.0270726 36 2769 25 6.89349e+06 281877 648988. 2245.63 2.75 0.141848 0.123458 26050 158493 -1 2182 21 1864 2514 193303 45442 3.70235 3.70235 -139.87 -3.70235 0 0 828058. 2865.25 0.31 0.07 0.16 -1 -1 0.31 0.0219174 0.019268 138 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 8.11 vpr 65.00 MiB -1 -1 0.16 20564 1 0.03 -1 -1 33732 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 387 293 1 237 89 17 17 289 -1 unnamed_device 26.6 MiB 2.10 1416 17117 5679 9084 2354 65.0 MiB 0.17 0.00 4.49577 -134.492 -4.49577 4.49577 0.98 0.00056113 0.000512168 0.0509803 0.0465117 36 3258 23 6.89349e+06 352346 648988. 2245.63 2.61 0.177567 0.15599 26050 158493 -1 2766 21 1997 3207 238561 51288 4.43325 4.43325 -152.901 -4.43325 0 0 828058. 2865.25 0.32 0.08 0.16 -1 -1 0.32 0.0254141 0.0223462 168 28 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 7.58 vpr 64.64 MiB -1 -1 0.14 20428 1 0.03 -1 -1 33576 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 32 32 340 270 1 212 86 17 17 289 -1 unnamed_device 26.1 MiB 2.20 1004 14450 4790 7027 2633 64.6 MiB 0.12 0.00 3.53796 -113.493 -3.53796 3.53796 0.94 0.000481204 0.00043896 0.0400503 0.0365594 36 2604 21 6.89349e+06 310065 648988. 2245.63 2.13 0.152978 0.134397 26050 158493 -1 2154 23 1646 2432 187875 42158 3.18981 3.18981 -121.247 -3.18981 0 0 828058. 2865.25 0.33 0.08 0.16 -1 -1 0.33 0.0245497 0.0216433 144 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 8.30 vpr 64.63 MiB -1 -1 0.15 20076 1 0.03 -1 -1 33548 -1 -1 27 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 30 32 278 235 1 175 89 17 17 289 -1 unnamed_device 26.2 MiB 1.71 1008 17711 5346 10399 1966 64.6 MiB 0.13 0.00 3.17564 -102.032 -3.17564 3.17564 0.97 0.000437539 0.000399978 0.0408164 0.0372702 30 2263 30 6.89349e+06 380534 556674. 1926.21 3.33 0.17281 0.151606 25186 138497 -1 1857 22 1145 1986 141720 31155 3.37665 3.37665 -123.522 -3.37665 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.0204183 0.0179934 118 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 9.49 vpr 65.47 MiB -1 -1 0.16 20852 1 0.03 -1 -1 33876 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 32 32 431 332 1 270 91 17 17 289 -1 unnamed_device 26.9 MiB 3.74 1598 14983 4019 9208 1756 65.5 MiB 0.18 0.00 5.53985 -161.329 -5.53985 5.53985 0.97 0.00061468 0.000562369 0.0478063 0.0436135 36 3930 43 6.89349e+06 380534 648988. 2245.63 2.34 0.178844 0.157512 26050 158493 -1 3393 21 2470 3825 306278 65011 5.47443 5.47443 -188.056 -5.47443 0 0 828058. 2865.25 0.32 0.10 0.15 -1 -1 0.32 0.0284987 0.0252157 188 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 8.81 vpr 64.73 MiB -1 -1 0.16 20268 1 0.03 -1 -1 33668 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 32 32 336 268 1 205 85 17 17 289 -1 unnamed_device 26.2 MiB 1.70 1069 15709 4543 9110 2056 64.7 MiB 0.12 0.00 3.82232 -121.404 -3.82232 3.82232 0.93 0.000480531 0.000438178 0.043088 0.039391 36 2478 47 6.89349e+06 295971 648988. 2245.63 3.89 0.207182 0.18007 26050 158493 -1 2096 21 1533 2140 135845 31507 4.0317 4.0317 -139.229 -4.0317 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0220287 0.0194588 139 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 6.77 vpr 64.44 MiB -1 -1 0.15 20444 1 0.03 -1 -1 33616 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.9 MiB 0.69 700 11203 2591 7175 1437 64.4 MiB 0.07 0.00 2.8828 -80.534 -2.8828 2.8828 0.94 0.000389064 0.000355226 0.0222196 0.0202438 28 1911 23 6.89349e+06 338252 531479. 1839.03 2.99 0.117637 0.101868 24610 126494 -1 1652 27 1171 2066 266800 96794 2.84421 2.84421 -100.474 -2.84421 0 0 648988. 2245.63 0.26 0.10 0.12 -1 -1 0.26 0.0207171 0.0180781 94 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 7.28 vpr 65.03 MiB -1 -1 0.16 20908 1 0.03 -1 -1 33816 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 349 273 1 214 87 17 17 289 -1 unnamed_device 26.5 MiB 2.20 1248 13527 4219 7847 1461 65.0 MiB 0.12 0.00 4.19497 -117.606 -4.19497 4.19497 0.95 0.0005252 0.000479622 0.0378812 0.0344904 34 3051 24 6.89349e+06 324158 618332. 2139.56 1.81 0.146335 0.127416 25762 151098 -1 2546 21 1434 2611 193726 42916 4.51155 4.51155 -142.26 -4.51155 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0226434 0.0199477 149 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 5.48 vpr 64.22 MiB -1 -1 0.15 20252 1 0.03 -1 -1 33660 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.7 MiB 0.74 705 7283 1575 5151 557 64.2 MiB 0.06 0.00 2.81765 -87.6139 -2.81765 2.81765 0.94 0.000393693 0.000360197 0.0176208 0.0160836 34 1884 22 6.89349e+06 267783 618332. 2139.56 1.62 0.100098 0.0866983 25762 151098 -1 1484 20 1095 1881 124319 31037 2.67656 2.67656 -102.077 -2.67656 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.0171303 0.0150608 98 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 6.60 vpr 64.31 MiB -1 -1 0.14 20216 1 0.03 -1 -1 33484 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 30 32 278 235 1 175 82 17 17 289 -1 unnamed_device 26.0 MiB 1.56 854 9516 2323 6740 453 64.3 MiB 0.08 0.00 3.17368 -94.5914 -3.17368 3.17368 0.94 0.000425818 0.000388974 0.0241993 0.0221388 34 2192 22 6.89349e+06 281877 618332. 2139.56 1.86 0.117756 0.102632 25762 151098 -1 1941 20 1234 1757 150482 34311 3.14346 3.14346 -112.331 -3.14346 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0186868 0.0163704 113 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 8.79 vpr 64.70 MiB -1 -1 0.17 20488 1 0.03 -1 -1 34048 -1 -1 26 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 29 32 355 287 1 224 87 17 17 289 -1 unnamed_device 26.3 MiB 3.63 1099 11991 3115 8122 754 64.7 MiB 0.11 0.00 3.60013 -107.086 -3.60013 3.60013 0.98 0.000526952 0.000483615 0.0347052 0.0317719 34 2992 25 6.89349e+06 366440 618332. 2139.56 1.83 0.152297 0.13324 25762 151098 -1 2310 20 1634 2406 181479 42472 3.71125 3.71125 -128.771 -3.71125 0 0 787024. 2723.27 0.32 0.07 0.15 -1 -1 0.32 0.0231701 0.0205288 154 56 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 7.59 vpr 64.96 MiB -1 -1 0.15 20768 1 0.03 -1 -1 33728 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 358 289 1 230 86 17 17 289 -1 unnamed_device 26.6 MiB 2.21 1279 16907 6119 8984 1804 65.0 MiB 0.16 0.00 4.11834 -130.881 -4.11834 4.11834 0.94 0.00050684 0.000462217 0.0492904 0.0448996 34 3126 34 6.89349e+06 310065 618332. 2139.56 2.11 0.17109 0.149573 25762 151098 -1 2399 21 1823 2695 187370 44325 4.64185 4.64185 -159.005 -4.64185 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0229543 0.0202072 151 51 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 7.81 vpr 65.12 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33880 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 353 285 1 228 87 17 17 289 -1 unnamed_device 26.5 MiB 1.87 1306 11223 2863 7379 981 65.1 MiB 0.10 0.00 4.28447 -128.177 -4.28447 4.28447 0.97 0.000544335 0.000481933 0.0320712 0.0290226 34 3388 46 6.89349e+06 324158 618332. 2139.56 2.62 0.148895 0.129645 25762 151098 -1 2782 20 1935 2863 241547 52738 4.69535 4.69535 -152.249 -4.69535 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0233005 0.0205876 150 48 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 5.97 vpr 64.69 MiB -1 -1 0.14 20644 1 0.03 -1 -1 33648 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 26.1 MiB 1.87 935 12247 4169 6567 1511 64.7 MiB 0.10 0.00 3.71247 -110.557 -3.71247 3.71247 0.95 0.000433052 0.000394487 0.0328983 0.0299564 30 2196 22 6.89349e+06 211408 556674. 1926.21 0.97 0.0874415 0.0767341 25186 138497 -1 1858 19 851 1185 84618 19282 3.20825 3.20825 -118.83 -3.20825 0 0 706193. 2443.58 0.28 0.05 0.14 -1 -1 0.28 0.0178869 0.0157521 105 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 9.25 vpr 64.88 MiB -1 -1 0.16 20240 1 0.03 -1 -1 34112 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 319 272 1 203 83 17 17 289 -1 unnamed_device 26.4 MiB 1.89 1000 15203 5165 7372 2666 64.9 MiB 0.12 0.00 2.9155 -100.463 -2.9155 2.9155 0.99 0.000451732 0.000412198 0.0410446 0.0373848 36 2565 22 6.89349e+06 281877 648988. 2245.63 4.03 0.183221 0.159158 26050 158493 -1 2169 20 1492 2084 154912 34829 3.22211 3.22211 -121.152 -3.22211 0 0 828058. 2865.25 0.33 0.06 0.16 -1 -1 0.33 0.0205954 0.0181987 131 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 8.17 vpr 64.84 MiB -1 -1 0.16 20180 1 0.03 -1 -1 33776 -1 -1 26 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 30 32 329 273 1 213 88 17 17 289 -1 unnamed_device 26.2 MiB 2.56 1176 12373 3727 7538 1108 64.8 MiB 0.11 0.00 3.0363 -92.5923 -3.0363 3.0363 0.99 0.000494001 0.000452407 0.0335989 0.0306797 34 2639 50 6.89349e+06 366440 618332. 2139.56 2.28 0.178283 0.156159 25762 151098 -1 2304 19 1322 1950 158326 34660 2.98571 2.98571 -109.643 -2.98571 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0209245 0.0185243 142 52 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 6.39 vpr 64.61 MiB -1 -1 0.15 20384 1 0.03 -1 -1 33948 -1 -1 23 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 28 32 277 229 1 171 83 17 17 289 -1 unnamed_device 26.2 MiB 1.52 887 13583 5697 6722 1164 64.6 MiB 0.09 0.00 3.50369 -90.3791 -3.50369 3.50369 0.95 0.000405187 0.000369397 0.0327774 0.0299616 36 1955 20 6.89349e+06 324158 648988. 2245.63 1.69 0.121877 0.106399 26050 158493 -1 1539 21 1079 1726 102714 24177 3.57616 3.57616 -101.327 -3.57616 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0183592 0.0161261 119 20 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 8.11 vpr 64.73 MiB -1 -1 0.16 20220 1 0.03 -1 -1 33784 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 30 32 317 269 1 202 83 17 17 289 -1 unnamed_device 26.2 MiB 2.87 1085 14663 4703 7768 2192 64.7 MiB 0.12 0.00 3.54502 -110.802 -3.54502 3.54502 0.95 0.000447374 0.000408319 0.0384308 0.0350587 36 2510 19 6.89349e+06 295971 648988. 2245.63 1.98 0.13619 0.119358 26050 158493 -1 2210 23 1672 2370 227930 53582 3.68864 3.68864 -133.847 -3.68864 0 0 828058. 2865.25 0.31 0.08 0.16 -1 -1 0.31 0.022438 0.0197061 130 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 8.42 vpr 64.61 MiB -1 -1 0.17 20220 1 0.03 -1 -1 33840 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 335 282 1 222 84 17 17 289 -1 unnamed_device 26.0 MiB 2.41 1246 9783 2582 5736 1465 64.6 MiB 0.09 0.00 3.03554 -108.938 -3.03554 3.03554 0.96 0.000485926 0.000442833 0.0279706 0.0255151 34 3064 42 6.89349e+06 281877 618332. 2139.56 2.72 0.155432 0.135368 25762 151098 -1 2530 24 1958 2695 240258 51608 3.19191 3.19191 -125.738 -3.19191 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0247301 0.0217435 138 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 5.05 vpr 64.58 MiB -1 -1 0.16 20216 1 0.03 -1 -1 33976 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 26.2 MiB 0.78 1068 17134 4658 10392 2084 64.6 MiB 0.14 0.00 3.69462 -109.783 -3.69462 3.69462 0.95 0.000444318 0.00040626 0.0388989 0.0354469 32 2714 22 6.89349e+06 436909 586450. 2029.24 1.04 0.0971987 0.0858573 25474 144626 -1 2197 21 1336 2513 187967 41964 3.6695 3.6695 -125.862 -3.6695 0 0 744469. 2576.02 0.29 0.07 0.14 -1 -1 0.29 0.0210834 0.0185807 129 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 8.32 vpr 64.98 MiB -1 -1 0.16 20524 1 0.03 -1 -1 34016 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 26.4 MiB 2.41 1013 14487 4470 7256 2761 65.0 MiB 0.13 0.00 3.78342 -121.532 -3.78342 3.78342 1.02 0.000518504 0.000467972 0.041804 0.0381882 34 3086 42 6.89349e+06 324158 618332. 2139.56 2.50 0.199988 0.17662 25762 151098 -1 2442 22 1907 2908 254355 56231 4.0231 4.0231 -144.322 -4.0231 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0267086 0.0236392 148 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 10.11 vpr 65.05 MiB -1 -1 0.16 20412 1 0.03 -1 -1 33968 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 32 32 385 308 1 244 91 17 17 289 -1 unnamed_device 26.6 MiB 2.21 1402 15391 5331 8291 1769 65.1 MiB 0.15 0.00 4.36021 -136.876 -4.36021 4.36021 0.98 0.000545837 0.000497604 0.0429347 0.0391525 36 3138 21 6.89349e+06 380534 648988. 2245.63 4.47 0.215099 0.187434 26050 158493 -1 2750 21 1932 2790 291094 61882 4.96605 4.96605 -166.476 -4.96605 0 0 828058. 2865.25 0.34 0.09 0.15 -1 -1 0.34 0.0253744 0.0223284 164 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 8.69 vpr 65.16 MiB -1 -1 0.15 20640 1 0.03 -1 -1 34004 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 387 309 1 248 90 17 17 289 -1 unnamed_device 26.7 MiB 2.32 1383 12753 3253 7703 1797 65.2 MiB 0.13 0.00 3.66297 -123.421 -3.66297 3.66297 0.96 0.000556985 0.000511199 0.0365803 0.033473 36 3173 30 6.89349e+06 366440 648988. 2245.63 3.05 0.190573 0.168661 26050 158493 -1 2791 23 1857 2738 224232 47399 3.6785 3.6785 -139.157 -3.6785 0 0 828058. 2865.25 0.32 0.09 0.15 -1 -1 0.32 0.0281715 0.0249147 164 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 7.21 vpr 64.26 MiB -1 -1 0.15 20304 1 0.03 -1 -1 33816 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65804 30 32 272 232 1 176 83 17 17 289 -1 unnamed_device 25.9 MiB 2.17 961 15383 5315 7803 2265 64.3 MiB 0.12 0.00 3.29223 -102.912 -3.29223 3.29223 0.99 0.000403702 0.000367775 0.0381982 0.0349505 34 2287 35 6.89349e+06 295971 618332. 2139.56 1.79 0.142841 0.125132 25762 151098 -1 1945 20 1330 1907 150032 33179 3.26431 3.26431 -114.686 -3.26431 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0189761 0.0167477 112 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 7.98 vpr 64.92 MiB -1 -1 0.15 20584 1 0.03 -1 -1 33892 -1 -1 26 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 30 32 375 299 1 236 88 17 17 289 -1 unnamed_device 26.5 MiB 3.13 1248 10813 2534 7525 754 64.9 MiB 0.11 0.00 4.46357 -133.845 -4.46357 4.46357 0.93 0.000575469 0.000527325 0.031609 0.0288706 36 2712 23 6.89349e+06 366440 648988. 2245.63 1.62 0.117605 0.102763 26050 158493 -1 2399 21 1712 2445 181683 40103 4.4365 4.4365 -155.363 -4.4365 0 0 828058. 2865.25 0.31 0.07 0.16 -1 -1 0.31 0.0236333 0.020844 162 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 7.65 vpr 64.86 MiB -1 -1 0.13 20496 1 0.03 -1 -1 33632 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 26.3 MiB 1.54 987 8535 1959 5883 693 64.9 MiB 0.08 0.00 4.12775 -120.614 -4.12775 4.12775 0.93 0.000493905 0.000452702 0.0242635 0.0221102 34 2798 41 6.89349e+06 324158 618332. 2139.56 2.88 0.162615 0.142578 25762 151098 -1 2248 35 1887 3469 497266 185774 3.8877 3.8877 -137.549 -3.8877 0 0 787024. 2723.27 0.30 0.16 0.15 -1 -1 0.30 0.033472 0.0290969 139 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 7.27 vpr 64.66 MiB -1 -1 0.16 20556 1 0.03 -1 -1 33856 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 31 32 340 275 1 211 86 17 17 289 -1 unnamed_device 26.1 MiB 2.20 1112 7457 1593 5369 495 64.7 MiB 0.08 0.00 3.97284 -116.355 -3.97284 3.97284 0.95 0.000515052 0.000470744 0.0220121 0.02012 34 2923 39 6.89349e+06 324158 618332. 2139.56 1.84 0.144328 0.125036 25762 151098 -1 2318 20 1661 2458 164414 39461 4.31625 4.31625 -143.847 -4.31625 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0224309 0.0197748 142 43 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 10.37 vpr 65.00 MiB -1 -1 0.16 20700 1 0.03 -1 -1 33680 -1 -1 27 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 30 32 377 310 1 241 89 17 17 289 -1 unnamed_device 26.6 MiB 2.39 1409 14741 4394 7895 2452 65.0 MiB 0.14 0.00 3.74362 -115.714 -3.74362 3.74362 0.95 0.000537591 0.000491833 0.0430829 0.0394557 36 2936 29 6.89349e+06 380534 648988. 2245.63 4.66 0.224205 0.195484 26050 158493 -1 2504 20 1682 2469 181131 39187 3.75535 3.75535 -135.089 -3.75535 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.023861 0.021042 162 78 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 9.46 vpr 64.90 MiB -1 -1 0.15 20556 1 0.03 -1 -1 34004 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 365 294 1 230 87 17 17 289 -1 unnamed_device 26.6 MiB 3.44 1168 12567 3277 8211 1079 64.9 MiB 0.12 0.00 4.53067 -134.342 -4.53067 4.53067 0.97 0.000535018 0.000488929 0.0364821 0.0332901 36 3198 29 6.89349e+06 324158 648988. 2245.63 2.70 0.16211 0.141895 26050 158493 -1 2505 24 2033 3038 219565 51109 4.47245 4.47245 -150.267 -4.47245 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0263119 0.0230996 155 54 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 7.42 vpr 65.11 MiB -1 -1 0.17 20796 1 0.03 -1 -1 34000 -1 -1 30 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 29 32 378 310 1 247 91 17 17 289 -1 unnamed_device 26.6 MiB 1.97 1324 14575 3657 8994 1924 65.1 MiB 0.14 0.00 3.68595 -113.583 -3.68595 3.68595 0.98 0.000548726 0.000492081 0.0401727 0.0363639 34 3062 28 6.89349e+06 422815 618332. 2139.56 2.09 0.145747 0.128199 25762 151098 -1 2605 21 1767 2405 165638 37293 3.5841 3.5841 -126.888 -3.5841 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0241632 0.0212384 166 79 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 5.29 vpr 64.21 MiB -1 -1 0.12 20144 1 0.03 -1 -1 33988 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65748 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.7 MiB 0.63 856 9181 2604 5846 731 64.2 MiB 0.07 0.00 3.26403 -101.059 -3.26403 3.26403 0.95 0.000390557 0.000356479 0.0219418 0.0200445 34 1867 21 6.89349e+06 239595 618332. 2139.56 1.52 0.103881 0.0903001 25762 151098 -1 1695 18 863 1401 111543 24941 2.86886 2.86886 -108.889 -2.86886 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.0158822 0.0140292 96 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 10.01 vpr 64.97 MiB -1 -1 0.15 20588 1 0.03 -1 -1 33424 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 373 302 1 241 89 17 17 289 -1 unnamed_device 26.6 MiB 1.95 1340 16127 4634 8947 2546 65.0 MiB 0.14 0.00 4.4438 -137.071 -4.4438 4.4438 0.93 0.000510502 0.000464885 0.0443106 0.0405454 34 3221 37 6.89349e+06 352346 618332. 2139.56 4.85 0.26367 0.231155 25762 151098 -1 2580 19 1805 2470 202280 43603 4.53088 4.53088 -157.391 -4.53088 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0225798 0.0199816 156 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 9.57 vpr 65.13 MiB -1 -1 0.16 20436 1 0.03 -1 -1 34004 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 397 314 1 256 89 17 17 289 -1 unnamed_device 26.7 MiB 3.60 1296 8999 1856 6486 657 65.1 MiB 0.10 0.00 4.41647 -143.96 -4.41647 4.41647 0.92 0.000564667 0.000512592 0.0281849 0.0256649 34 3750 30 6.89349e+06 352346 618332. 2139.56 2.70 0.147181 0.12913 25762 151098 -1 3002 23 2400 3511 302246 65696 4.56208 4.56208 -166.363 -4.56208 0 0 787024. 2723.27 0.32 0.10 0.15 -1 -1 0.32 0.0278407 0.0246011 171 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.82 vpr 64.66 MiB -1 -1 0.14 20368 1 0.03 -1 -1 33872 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 269 231 1 172 82 17 17 289 -1 unnamed_device 26.1 MiB 2.93 946 12720 3584 7651 1485 64.7 MiB 0.10 0.00 3.14102 -93.6681 -3.14102 3.14102 0.96 0.000424934 0.000389359 0.0316766 0.0290401 34 2009 21 6.89349e+06 253689 618332. 2139.56 1.67 0.124172 0.108515 25762 151098 -1 1835 22 917 1262 97793 23715 2.91646 2.91646 -108.945 -2.91646 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0196594 0.0173003 108 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 4.87 vpr 64.21 MiB -1 -1 0.14 20252 1 0.03 -1 -1 33848 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65748 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.6 MiB 0.69 814 8723 2270 5729 724 64.2 MiB 0.08 0.00 3.20583 -100.243 -3.20583 3.20583 0.98 0.00040391 0.000370295 0.0207966 0.0190176 32 2164 24 6.89349e+06 281877 586450. 2029.24 1.00 0.0716268 0.0628714 25474 144626 -1 1875 17 1086 1780 145186 32934 2.96021 2.96021 -113.192 -2.96021 0 0 744469. 2576.02 0.29 0.05 0.14 -1 -1 0.29 0.0155243 0.0137023 99 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 7.12 vpr 64.94 MiB -1 -1 0.15 20492 1 0.03 -1 -1 33516 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 26.4 MiB 2.19 1127 10647 2738 6893 1016 64.9 MiB 0.10 0.00 3.58702 -118.784 -3.58702 3.58702 0.93 0.000492979 0.000451862 0.0298781 0.0273433 34 2927 27 6.89349e+06 324158 618332. 2139.56 1.79 0.149588 0.131068 25762 151098 -1 2410 18 1662 2378 186208 40959 4.1073 4.1073 -145.525 -4.1073 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.020788 0.0184221 145 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 7.54 vpr 64.84 MiB -1 -1 0.15 20544 1 0.03 -1 -1 33572 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 356 289 1 224 87 17 17 289 -1 unnamed_device 26.6 MiB 2.16 1223 13527 3789 7324 2414 64.8 MiB 0.12 0.00 3.87394 -119.268 -3.87394 3.87394 0.97 0.000522386 0.000476698 0.0389608 0.0355865 34 3172 20 6.89349e+06 324158 618332. 2139.56 2.09 0.154739 0.135868 25762 151098 -1 2550 21 1608 2317 209794 46842 4.23815 4.23815 -141.096 -4.23815 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0239101 0.0211763 149 53 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 5.91 vpr 64.99 MiB -1 -1 0.16 20340 1 0.03 -1 -1 33720 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.3 MiB 0.80 1215 19356 6311 10204 2841 65.0 MiB 0.17 0.00 4.04336 -119.003 -4.04336 4.04336 0.95 0.000511766 0.000468231 0.0463715 0.0422007 28 3123 26 6.89349e+06 507378 531479. 1839.03 1.82 0.135812 0.120717 24610 126494 -1 2588 22 1820 3334 280246 61888 4.70174 4.70174 -152.342 -4.70174 0 0 648988. 2245.63 0.26 0.09 0.12 -1 -1 0.26 0.0256734 0.0227071 157 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 6.65 vpr 64.71 MiB -1 -1 0.16 20220 1 0.03 -1 -1 33668 -1 -1 25 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 30 32 316 264 1 208 87 17 17 289 -1 unnamed_device 26.2 MiB 1.72 1094 16407 5366 8502 2539 64.7 MiB 0.13 0.00 2.95499 -90.7028 -2.95499 2.95499 0.94 0.000463303 0.000421405 0.041926 0.0381778 34 2465 23 6.89349e+06 352346 618332. 2139.56 1.70 0.141388 0.123442 25762 151098 -1 1980 20 1429 2126 132018 31712 3.1318 3.1318 -107.611 -3.1318 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.02022 0.0178819 136 47 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 5.93 vpr 64.52 MiB -1 -1 0.15 20360 1 0.03 -1 -1 34284 -1 -1 20 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 27 32 255 219 1 162 79 17 17 289 -1 unnamed_device 26.0 MiB 1.67 801 13261 5556 6838 867 64.5 MiB 0.09 0.00 3.41829 -92.4304 -3.41829 3.41829 0.97 0.000406921 0.000371598 0.0326089 0.0297974 30 2056 20 6.89349e+06 281877 556674. 1926.21 1.09 0.0834353 0.0737355 25186 138497 -1 1599 18 884 1296 127131 39508 3.2352 3.2352 -105.873 -3.2352 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0165776 0.0146528 106 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 10.12 vpr 65.00 MiB -1 -1 0.17 20452 1 0.03 -1 -1 33888 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 421 327 1 271 91 17 17 289 -1 unnamed_device 26.5 MiB 3.37 1526 18247 5360 10462 2425 65.0 MiB 0.18 0.00 3.70801 -120.691 -3.70801 3.70801 0.97 0.000571824 0.000528437 0.0550151 0.0501399 36 3763 28 6.89349e+06 380534 648988. 2245.63 3.34 0.195104 0.17128 26050 158493 -1 3048 20 1935 3035 224898 49031 4.17689 4.17689 -141.552 -4.17689 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0256631 0.0226325 185 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 9.37 vpr 64.64 MiB -1 -1 0.16 20812 1 0.03 -1 -1 33752 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 31 32 365 296 1 233 87 17 17 289 -1 unnamed_device 26.3 MiB 2.14 1045 17175 5962 8664 2549 64.6 MiB 0.14 0.00 4.58387 -130.323 -4.58387 4.58387 0.92 0.000512111 0.000467878 0.0471594 0.043065 36 2725 20 6.89349e+06 338252 648988. 2245.63 4.03 0.215021 0.188404 26050 158493 -1 2264 20 1730 2452 177894 40260 4.60808 4.60808 -150.467 -4.60808 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0230068 0.0203601 155 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 7.26 vpr 64.90 MiB -1 -1 0.15 20212 1 0.03 -1 -1 33780 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 331 280 1 221 85 17 17 289 -1 unnamed_device 26.4 MiB 2.25 1152 11617 3158 7466 993 64.9 MiB 0.10 0.00 3.42429 -116.689 -3.42429 3.42429 0.95 0.00049207 0.000447492 0.0319088 0.029084 34 2874 24 6.89349e+06 295971 618332. 2139.56 1.83 0.132103 0.114862 25762 151098 -1 2472 23 1650 2152 176515 38918 3.64735 3.64735 -141.039 -3.64735 0 0 787024. 2723.27 0.29 0.07 0.15 -1 -1 0.29 0.0225765 0.0197154 137 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 7.76 vpr 64.61 MiB -1 -1 0.16 20236 1 0.03 -1 -1 34064 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 326 263 1 203 85 17 17 289 -1 unnamed_device 26.1 MiB 2.39 1083 13291 3890 6982 2419 64.6 MiB 0.12 0.00 4.09751 -117.709 -4.09751 4.09751 0.98 0.000467266 0.00042633 0.0363352 0.0332076 34 2784 40 6.89349e+06 295971 618332. 2139.56 2.04 0.167731 0.147533 25762 151098 -1 2308 20 1316 1981 164051 36268 3.91886 3.91886 -134.844 -3.91886 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0223022 0.0197271 135 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 9.47 vpr 64.97 MiB -1 -1 0.15 20588 1 0.03 -1 -1 33632 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 31 32 373 294 1 231 89 17 17 289 -1 unnamed_device 26.5 MiB 2.02 1187 14939 4277 8596 2066 65.0 MiB 0.14 0.00 3.52995 -104.612 -3.52995 3.52995 0.96 0.000547786 0.000496834 0.043555 0.0396448 36 2828 25 6.89349e+06 366440 648988. 2245.63 4.11 0.214476 0.185848 26050 158493 -1 2477 23 2114 3250 236620 52325 3.8601 3.8601 -128.429 -3.8601 0 0 828058. 2865.25 0.32 0.08 0.16 -1 -1 0.32 0.026008 0.0227875 163 46 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 7.20 vpr 64.55 MiB -1 -1 0.16 20364 1 0.03 -1 -1 34096 -1 -1 24 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 30 32 325 268 1 210 86 17 17 289 -1 unnamed_device 26.0 MiB 2.09 1234 14450 4387 8487 1576 64.6 MiB 0.13 0.00 3.48179 -99.3124 -3.48179 3.48179 0.96 0.00046854 0.000428063 0.0389002 0.0355369 34 2894 24 6.89349e+06 338252 618332. 2139.56 1.83 0.155503 0.13645 25762 151098 -1 2344 21 1354 2336 163093 36792 3.2855 3.2855 -112.6 -3.2855 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0225959 0.0199587 140 46 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 8.39 vpr 65.00 MiB -1 -1 0.16 20508 1 0.03 -1 -1 33984 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 350 275 1 215 86 17 17 289 -1 unnamed_device 26.4 MiB 2.70 1282 16151 5835 7649 2667 65.0 MiB 0.14 0.00 3.88598 -128.15 -3.88598 3.88598 0.93 0.00049244 0.000448325 0.0448009 0.0409847 36 3111 24 6.89349e+06 310065 648988. 2245.63 2.45 0.176595 0.156158 26050 158493 -1 2674 21 1756 2778 254675 54132 3.89329 3.89329 -145.08 -3.89329 0 0 828058. 2865.25 0.32 0.09 0.14 -1 -1 0.32 0.0240124 0.0211973 148 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 10.24 vpr 65.01 MiB -1 -1 0.15 20548 1 0.03 -1 -1 34004 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 386 307 1 246 90 17 17 289 -1 unnamed_device 26.6 MiB 2.88 1373 15969 4551 9068 2350 65.0 MiB 0.15 0.00 3.31614 -114.102 -3.31614 3.31614 0.95 0.000549725 0.000499637 0.0462462 0.0421543 36 2922 26 6.89349e+06 366440 648988. 2245.63 4.03 0.205927 0.179407 26050 158493 -1 2491 18 1646 2307 162727 36290 3.23035 3.23035 -128.685 -3.23035 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0228876 0.020278 167 59 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 5.63 vpr 64.54 MiB -1 -1 0.15 20180 1 0.03 -1 -1 34004 -1 -1 20 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 29 32 269 229 1 173 81 17 17 289 -1 unnamed_device 25.9 MiB 1.49 748 11456 3180 6534 1742 64.5 MiB 0.09 0.00 3.40033 -100.227 -3.40033 3.40033 0.97 0.000386872 0.000353177 0.028775 0.026363 28 2033 25 6.89349e+06 281877 531479. 1839.03 0.99 0.0863002 0.0760246 24610 126494 -1 1753 20 1390 1844 133583 31708 3.51471 3.51471 -120.728 -3.51471 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0194396 0.017162 110 28 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 7.34 vpr 64.91 MiB -1 -1 0.15 20368 1 0.03 -1 -1 33992 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 310 266 1 200 84 17 17 289 -1 unnamed_device 26.4 MiB 1.38 1092 14541 4346 8272 1923 64.9 MiB 0.12 0.00 3.42429 -108.008 -3.42429 3.42429 0.97 0.000421185 0.000388406 0.0377359 0.0344403 36 2492 21 6.89349e+06 281877 648988. 2245.63 2.66 0.158876 0.140274 26050 158493 -1 2207 19 1560 2157 181238 38539 3.6283 3.6283 -129.768 -3.6283 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0208607 0.0184707 125 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 8.93 vpr 64.84 MiB -1 -1 0.15 20376 1 0.03 -1 -1 33808 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 31 32 326 261 1 204 85 17 17 289 -1 unnamed_device 26.3 MiB 1.71 1201 14221 4217 8174 1830 64.8 MiB 0.13 0.00 3.81078 -114.366 -3.81078 3.81078 0.97 0.000484528 0.000442303 0.0392435 0.0358025 36 2602 22 6.89349e+06 310065 648988. 2245.63 3.93 0.192942 0.168028 26050 158493 -1 2276 20 1526 2481 169483 37480 3.79366 3.79366 -131.883 -3.79366 0 0 828058. 2865.25 0.33 0.06 0.14 -1 -1 0.33 0.020231 0.0179176 137 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 8.13 vpr 64.41 MiB -1 -1 0.15 20516 1 0.03 -1 -1 33700 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 25.8 MiB 3.27 760 9540 2523 5670 1347 64.4 MiB 0.08 0.00 3.36962 -90.8618 -3.36962 3.36962 0.97 0.000399719 0.000366721 0.0243987 0.0223358 34 2099 27 6.89349e+06 267783 618332. 2139.56 1.65 0.120341 0.105118 25762 151098 -1 1682 22 1079 1482 117735 27595 3.0107 3.0107 -102.482 -3.0107 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0191413 0.0167828 108 25 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 7.13 vpr 64.46 MiB -1 -1 0.14 20244 1 0.03 -1 -1 33932 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 32 32 278 238 1 182 82 17 17 289 -1 unnamed_device 26.1 MiB 2.24 936 7736 1970 5270 496 64.5 MiB 0.07 0.00 3.26703 -103.618 -3.26703 3.26703 0.98 0.000417695 0.000382723 0.0205824 0.0188694 34 2182 21 6.89349e+06 253689 618332. 2139.56 1.68 0.118708 0.103842 25762 151098 -1 1921 21 1328 1913 148527 33319 3.28401 3.28401 -119.86 -3.28401 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0199865 0.0176508 114 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 7.30 vpr 64.96 MiB -1 -1 0.17 20744 1 0.03 -1 -1 33940 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 31 32 373 300 1 236 89 17 17 289 -1 unnamed_device 26.6 MiB 1.98 1199 10781 2506 7373 902 65.0 MiB 0.11 0.00 3.60497 -116.633 -3.60497 3.60497 0.97 0.000546348 0.000501461 0.0313584 0.0286697 34 3195 28 6.89349e+06 366440 618332. 2139.56 1.96 0.153064 0.135259 25762 151098 -1 2619 26 2508 3413 302751 65670 3.915 3.915 -143.086 -3.915 0 0 787024. 2723.27 0.32 0.11 0.14 -1 -1 0.32 0.0304136 0.026788 160 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 6.43 vpr 64.27 MiB -1 -1 0.15 20448 1 0.03 -1 -1 33996 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65808 31 32 265 230 1 175 80 17 17 289 -1 unnamed_device 25.7 MiB 2.26 871 10400 2220 7543 637 64.3 MiB 0.08 0.00 2.93195 -93.9974 -2.93195 2.93195 0.97 0.000405016 0.000372057 0.0260704 0.0238851 30 2249 21 6.89349e+06 239595 556674. 1926.21 1.01 0.0812477 0.0716049 25186 138497 -1 1876 24 1243 1744 127267 28455 3.13806 3.13806 -111.31 -3.13806 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0208797 0.0183689 108 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 9.48 vpr 64.68 MiB -1 -1 0.14 20700 1 0.03 -1 -1 33908 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 349 286 1 221 86 17 17 289 -1 unnamed_device 26.1 MiB 2.12 1253 14261 4543 7356 2362 64.7 MiB 0.12 0.00 3.27699 -103.618 -3.27699 3.27699 0.97 0.000504508 0.000459821 0.039516 0.0361009 36 2791 19 6.89349e+06 310065 648988. 2245.63 4.04 0.203484 0.177686 26050 158493 -1 2400 21 1416 2008 159935 34758 3.44375 3.44375 -125.408 -3.44375 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0245218 0.0216718 146 54 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 8.00 vpr 65.21 MiB -1 -1 0.18 20468 1 0.03 -1 -1 33936 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 31 32 396 325 1 259 89 17 17 289 -1 unnamed_device 26.7 MiB 2.35 1336 15137 4686 8668 1783 65.2 MiB 0.15 0.00 3.92778 -127.361 -3.92778 3.92778 0.98 0.000555883 0.000507324 0.0445608 0.0406696 36 3119 23 6.89349e+06 366440 648988. 2245.63 2.22 0.189433 0.167551 26050 158493 -1 2734 21 2118 3050 215698 48214 4.00149 4.00149 -148.256 -4.00149 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0274404 0.024293 170 87 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 7.57 vpr 64.55 MiB -1 -1 0.15 20548 1 0.03 -1 -1 33536 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 32 32 303 262 1 200 82 17 17 289 -1 unnamed_device 26.1 MiB 2.82 1074 8804 2303 5981 520 64.6 MiB 0.08 0.00 3.0513 -97.8122 -3.0513 3.0513 0.94 0.000424724 0.000388616 0.0233228 0.0213071 36 2366 22 6.89349e+06 253689 648988. 2245.63 1.64 0.121102 0.105755 26050 158493 -1 2005 18 1431 1931 130091 29359 2.78216 2.78216 -107.928 -2.78216 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0183397 0.0161931 124 54 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 6.41 vpr 64.47 MiB -1 -1 0.12 20148 1 0.03 -1 -1 33720 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66020 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 26.1 MiB 1.31 871 8626 2091 6236 299 64.5 MiB 0.08 0.00 3.24503 -100.934 -3.24503 3.24503 0.96 0.000453418 0.000413622 0.0233018 0.0213389 34 2383 32 6.89349e+06 253689 618332. 2139.56 1.91 0.114859 0.101144 25762 151098 -1 2027 24 1394 2137 167607 40837 3.21576 3.21576 -119.516 -3.21576 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.022651 0.0197902 115 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 6.89 vpr 64.80 MiB -1 -1 0.16 20148 1 0.03 -1 -1 33408 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 318 257 1 198 86 17 17 289 -1 unnamed_device 26.3 MiB 1.94 1058 13694 3846 7638 2210 64.8 MiB 0.11 0.00 3.98738 -115.138 -3.98738 3.98738 0.92 0.000469211 0.000428069 0.0341316 0.0311134 34 2650 23 6.89349e+06 310065 618332. 2139.56 1.76 0.13762 0.119907 25762 151098 -1 2246 19 1347 1909 134677 31196 3.71456 3.71456 -128.687 -3.71456 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0199583 0.0175568 133 27 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 6.95 vpr 64.73 MiB -1 -1 0.16 20380 1 0.03 -1 -1 33652 -1 -1 25 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 29 32 324 268 1 207 86 17 17 289 -1 unnamed_device 26.2 MiB 2.14 1151 13316 3581 7864 1871 64.7 MiB 0.11 0.00 3.15468 -91.5834 -3.15468 3.15468 0.95 0.000463046 0.000422834 0.0349749 0.031862 34 2507 30 6.89349e+06 352346 618332. 2139.56 1.58 0.138939 0.120716 25762 151098 -1 2147 19 1278 1842 133275 30422 3.18991 3.18991 -107.721 -3.18991 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0199926 0.0175881 138 49 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 8.17 vpr 65.11 MiB -1 -1 0.16 20556 1 0.03 -1 -1 33336 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 393 312 1 243 88 17 17 289 -1 unnamed_device 26.7 MiB 2.33 1226 12373 3452 8177 744 65.1 MiB 0.13 0.00 4.55604 -146.357 -4.55604 4.55604 0.99 0.000531837 0.000486337 0.0371987 0.0339109 36 3051 24 6.89349e+06 338252 648988. 2245.63 2.46 0.171667 0.150943 26050 158493 -1 2716 20 1852 2868 204947 47223 4.77999 4.77999 -170.45 -4.77999 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0266314 0.0235764 166 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 4.62 vpr 64.18 MiB -1 -1 0.13 20144 1 0.03 -1 -1 33444 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65716 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.7 MiB 0.62 760 11432 3583 5618 2231 64.2 MiB 0.07 0.00 2.85355 -89.4893 -2.85355 2.85355 0.93 0.000379775 0.000347483 0.0251551 0.0229329 30 1786 19 6.89349e+06 239595 556674. 1926.21 0.93 0.0707774 0.0620728 25186 138497 -1 1461 30 757 1150 182265 94822 2.68966 2.68966 -98.5815 -2.68966 0 0 706193. 2443.58 0.28 0.09 0.14 -1 -1 0.28 0.0223556 0.0194705 92 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 7.42 vpr 65.58 MiB -1 -1 0.18 20704 1 0.03 -1 -1 33868 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 412 334 1 269 91 17 17 289 -1 unnamed_device 26.8 MiB 2.18 1395 11719 3171 7242 1306 65.6 MiB 0.12 0.00 4.61883 -140.281 -4.61883 4.61883 0.95 0.000576907 0.000520814 0.0349258 0.031719 34 3685 25 6.89349e+06 380534 618332. 2139.56 1.94 0.137282 0.119542 25762 151098 -1 2689 21 1840 2523 178512 40505 4.80594 4.80594 -165.883 -4.80594 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0257818 0.0226813 175 87 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 8.30 vpr 64.94 MiB -1 -1 0.16 20588 1 0.03 -1 -1 33512 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 376 318 1 259 87 17 17 289 -1 unnamed_device 26.5 MiB 2.37 1317 16023 5065 8713 2245 64.9 MiB 0.14 0.00 3.86068 -135.313 -3.86068 3.86068 0.94 0.000555689 0.000507489 0.0457813 0.0416524 36 3084 25 6.89349e+06 324158 648988. 2245.63 2.62 0.164404 0.143428 26050 158493 -1 2659 22 2441 3094 252103 54014 4.31809 4.31809 -162.261 -4.31809 0 0 828058. 2865.25 0.31 0.09 0.16 -1 -1 0.31 0.0247638 0.0217572 160 93 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 7.16 vpr 64.67 MiB -1 -1 0.15 20796 1 0.03 -1 -1 33924 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 360 293 1 227 86 17 17 289 -1 unnamed_device 26.3 MiB 2.12 1278 16907 5618 9461 1828 64.7 MiB 0.15 0.00 3.34918 -108.188 -3.34918 3.34918 0.95 0.000534658 0.000486606 0.0465904 0.0425276 34 3047 26 6.89349e+06 310065 618332. 2139.56 1.80 0.1663 0.146102 25762 151098 -1 2510 20 1456 2013 166884 36039 3.26576 3.26576 -124.494 -3.26576 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0239202 0.0210682 152 57 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 8.42 vpr 64.95 MiB -1 -1 0.15 20396 1 0.03 -1 -1 33872 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 396 299 1 241 90 17 17 289 -1 unnamed_device 26.5 MiB 2.89 1239 12552 3723 6357 2472 65.0 MiB 0.12 0.00 4.8408 -145.59 -4.8408 4.8408 0.95 0.000563607 0.000512156 0.0379927 0.0346496 34 3268 29 6.89349e+06 366440 618332. 2139.56 2.24 0.170433 0.148784 25762 151098 -1 2780 21 2251 3503 283095 61644 4.80885 4.80885 -165.255 -4.80885 0 0 787024. 2723.27 0.30 0.09 0.15 -1 -1 0.30 0.0260438 0.0229397 172 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 5.66 vpr 64.44 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33508 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 30 32 224 207 1 138 77 17 17 289 -1 unnamed_device 26.0 MiB 0.97 755 10020 3028 5884 1108 64.4 MiB 0.07 0.00 2.51156 -81.974 -2.51156 2.51156 0.99 0.000360194 0.000328912 0.0239746 0.0219673 34 1771 27 6.89349e+06 211408 618332. 2139.56 1.51 0.10197 0.0886606 25762 151098 -1 1528 18 790 1030 88266 19348 2.36767 2.36767 -95.8165 -2.36767 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0143608 0.0126479 82 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 6.21 vpr 64.62 MiB -1 -1 0.15 20468 1 0.03 -1 -1 34032 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 30 32 286 239 1 176 82 17 17 289 -1 unnamed_device 26.2 MiB 1.38 943 12364 4183 6677 1504 64.6 MiB 0.09 0.00 3.72389 -116.987 -3.72389 3.72389 0.96 0.000428344 0.000393198 0.0297968 0.0272345 34 2192 22 6.89349e+06 281877 618332. 2139.56 1.60 0.12505 0.108927 25762 151098 -1 1900 18 1099 1629 134695 29111 3.37745 3.37745 -124.595 -3.37745 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0184736 0.0163441 119 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 7.70 vpr 64.48 MiB -1 -1 0.16 20396 1 0.03 -1 -1 33656 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 32 32 296 247 1 187 82 17 17 289 -1 unnamed_device 26.0 MiB 2.18 992 12898 4263 6273 2362 64.5 MiB 0.11 0.00 3.40529 -111.019 -3.40529 3.40529 0.95 0.000455232 0.000415506 0.0342034 0.0310619 34 2807 48 6.89349e+06 253689 618332. 2139.56 2.28 0.138573 0.120571 25762 151098 -1 2251 18 1393 2387 196988 44107 4.09025 4.09025 -145.795 -4.09025 0 0 787024. 2723.27 0.30 0.07 0.15 -1 -1 0.30 0.0181805 0.0160264 120 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 8.30 vpr 64.31 MiB -1 -1 0.14 20312 1 0.03 -1 -1 33828 -1 -1 21 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 25 32 216 194 1 138 78 17 17 289 -1 unnamed_device 25.8 MiB 1.29 634 11366 4025 4802 2539 64.3 MiB 0.07 0.00 2.8908 -71.862 -2.8908 2.8908 0.96 0.000343318 0.000314627 0.0247587 0.0226852 36 1505 22 6.89349e+06 295971 648988. 2245.63 3.85 0.132889 0.11508 26050 158493 -1 1298 18 775 1185 83309 19893 2.82501 2.82501 -79.26 -2.82501 0 0 828058. 2865.25 0.33 0.04 0.15 -1 -1 0.33 0.0145357 0.0127945 92 19 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 8.88 vpr 65.02 MiB -1 -1 0.15 20500 1 0.03 -1 -1 33720 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 376 307 1 242 87 17 17 289 -1 unnamed_device 26.4 MiB 2.68 1431 16407 5046 9207 2154 65.0 MiB 0.16 0.00 3.47055 -111.327 -3.47055 3.47055 0.96 0.000511939 0.000467744 0.0472965 0.0430965 34 4062 40 6.89349e+06 324158 618332. 2139.56 2.85 0.189862 0.16747 25762 151098 -1 3019 21 1992 3029 243198 52381 3.74866 3.74866 -137.19 -3.74866 0 0 787024. 2723.27 0.31 0.09 0.14 -1 -1 0.31 0.0270503 0.0238729 161 69 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 8.57 vpr 64.94 MiB -1 -1 0.15 20980 1 0.03 -1 -1 33840 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:42:53 gh-actions-runner-vtr-auto-spawned19 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 31 32 409 331 1 264 92 17 17 289 -1 unnamed_device 26.4 MiB 2.56 1295 14168 4435 7120 2613 64.9 MiB 0.13 0.00 3.87458 -125.043 -3.87458 3.87458 1.00 0.00055824 0.000508993 0.0408714 0.0372353 34 3723 41 6.89349e+06 408721 618332. 2139.56 2.59 0.195204 0.171359 25762 151098 -1 2769 21 2120 2964 241820 53033 4.44349 4.44349 -156.418 -4.44349 0 0 787024. 2723.27 0.33 0.10 0.14 -1 -1 0.33 0.0294914 0.0260715 179 86 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters_frac/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters_frac/config/golden_results.txt index 86e5238f5f4..0606a6ee1c9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters_frac/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters_frac/config/golden_results.txt @@ -133,7 +133,7 @@ k6_frac_2uripple_N8_22nm.xml fir_nopipe_27.v common 21.80 vpr 75.34 MiB 0.13 12 k6_frac_2uripple_N8_22nm.xml fir_nopipe_28.v common 27.61 vpr 75.93 MiB 0.13 12672 -1 -1 1 0.42 -1 -1 39444 -1 -1 83 22 0 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:55 gh-actions-runner-vtr-auto-spawned38 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77752 22 19 2459 1781 1 1417 132 18 18 324 mult_36 auto 38.4 MiB 0.91 8901 22577 4985 14993 2599 75.9 MiB 0.76 0.01 7.8713 -549.689 -7.8713 7.8713 1.41 0.0044721 0.00400453 0.37567 0.335486 70 15022 26 8.18539e+06 4.3894e+06 1.34436e+06 4149.26 18.64 2.63309 2.3407 37264 347768 -1 13242 23 9518 11089 1869023 398865 0 0 1869023 398865 10194 9636 0 0 76816 71405 0 0 102665 82788 0 0 10196 9695 0 0 837549 113400 0 0 831603 111941 0 0 10194 0 0 701 5045 4474 13769 940 130 8.87728 8.87728 -957.043 -8.87728 0 0 1.69344e+06 5226.66 0.81 0.83 0.44 -1 -1 0.81 0.299514 0.271162 594 551 513 19 0 0 k6_frac_2uripple_N8_22nm.xml fir_nopipe_29.v common 27.15 vpr 76.10 MiB 0.14 12824 -1 -1 1 0.46 -1 -1 40700 -1 -1 85 22 0 9 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:55 gh-actions-runner-vtr-auto-spawned38 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77928 22 19 2565 1853 1 1485 135 22 22 484 mult_36 auto 38.7 MiB 0.98 9689 25047 6275 16269 2503 76.1 MiB 0.94 0.01 7.43624 -544.661 -7.43624 7.43624 2.37 0.00627739 0.005399 0.475538 0.415759 70 16428 27 1.33067e+07 4.81483e+06 2.06816e+06 4273.05 14.91 2.30064 2.03522 56434 539830 -1 14001 25 11439 13263 2233851 474457 0 0 2233851 474457 12595 11730 0 0 97078 90555 0 0 128940 103685 0 0 12598 11816 0 0 988671 129989 0 0 993969 126682 0 0 12595 0 0 1181 5046 6091 28215 698 2 8.73683 8.73683 -847.048 -8.73683 0 0 2.60483e+06 5381.88 1.40 1.01 0.68 -1 -1 1.40 0.349618 0.316208 619 570 532 19 0 0 k6_frac_2uripple_N8_22nm.xml fir_nopipe_30.v common 25.19 vpr 76.62 MiB 0.14 12956 -1 -1 1 0.47 -1 -1 39948 -1 -1 89 22 0 9 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:55 gh-actions-runner-vtr-auto-spawned38 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78460 22 19 2639 1910 1 1523 139 22 22 484 mult_36 auto 39.2 MiB 1.02 9640 28859 6707 18502 3650 76.6 MiB 1.13 0.01 7.43624 -520.251 -7.43624 7.43624 2.32 0.00629213 0.00540153 0.557969 0.48787 70 16649 34 1.33067e+07 4.87369e+06 2.06816e+06 4273.05 12.67 2.06295 1.82406 56434 539830 -1 14184 24 12418 14250 2862133 602975 0 0 2862133 602975 13565 12580 0 0 104860 98182 0 0 141217 112467 0 0 13569 12703 0 0 1300740 182621 0 0 1288182 184422 0 0 13565 0 0 1174 4689 4837 20323 761 3 8.95347 8.95347 -846.506 -8.95347 0 0 2.60483e+06 5381.88 1.35 1.16 0.68 -1 -1 1.35 0.337838 0.304321 639 589 551 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_31.v common 29.27 vpr 77.28 MiB 0.15 13268 -1 -1 1 0.50 -1 -1 40004 -1 -1 93 22 0 9 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:55 gh-actions-runner-vtr-auto-spawned38 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79136 22 19 2744 1981 1 1590 143 22 22 484 mult_36 auto 39.9 MiB 1.02 10213 28491 6600 18816 3075 77.3 MiB 1.04 0.01 7.49539 -582.535 -7.49539 7.49539 2.26 0.00571464 0.00518578 0.46636 0.42051 66 19056 43 1.33067e+07 4.93255e+06 1.96511e+06 4060.15 17.03 2.09287 1.85069 54986 507526 -1 15439 26 13348 15703 3065311 631596 0 0 3065311 631596 14541 13592 0 0 110840 103858 0 0 151041 119264 0 0 14544 13652 0 0 1379224 189774 0 0 1395121 191456 0 0 14541 0 0 1223 6898 7095 21649 1209 28 9.15632 9.15632 -1101.43 -9.15632 0 0 2.45963e+06 5081.88 1.27 1.24 0.60 -1 -1 1.27 0.360304 0.325056 665 608 570 19 0 0 +k6_frac_2uripple_N8_22nm.xml fir_nopipe_31.v common 29.27 vpr 77.28 MiB 0.15 13268 -1 -1 1 0.50 -1 -1 40004 -1 -1 93 22 0 9 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:55 gh-actions-runner-vtr-auto-spawned38 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79136 22 19 2744 1981 1 1590 143 22 22 484 mult_36 auto 39.9 MiB 1.02 10213 28491 6600 18816 3075 77.3 MiB 1.04 0.01 7.49539 -582.535 -7.49539 7.49539 2.26 0.00571464 0.00518578 0.46636 0.42051 70 19056 43 1.33067e+07 4.93255e+06 1.96511e+06 4060.15 17.03 2.09287 1.85069 54986 507526 -1 15439 26 13348 15703 3065311 631596 0 0 3065311 631596 14541 13592 0 0 110840 103858 0 0 151041 119264 0 0 14544 13652 0 0 1379224 189774 0 0 1395121 191456 0 0 14541 0 0 1223 6898 7095 21649 1209 28 9.15632 9.15632 -1101.43 -9.15632 0 0 2.45963e+06 5081.88 1.27 1.24 0.60 -1 -1 1.27 0.360304 0.325056 665 608 570 19 0 0 k6_frac_2uripple_N8_22nm.xml fir_nopipe_32.v common 91.23 vpr 77.48 MiB 0.15 13384 -1 -1 1 0.55 -1 -1 40200 -1 -1 96 22 0 9 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:55 gh-actions-runner-vtr-auto-spawned38 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79340 22 19 2818 2038 1 1627 146 22 22 484 mult_36 auto 40.3 MiB 1.09 10325 30482 7786 19419 3277 77.5 MiB 1.10 0.01 7.52039 -582.013 -7.52039 7.52039 2.22 0.00673795 0.00600573 0.559271 0.496011 66 18458 33 1.33067e+07 4.9767e+06 1.96511e+06 4060.15 78.92 4.50174 3.97192 54986 507526 -1 15293 24 12697 14781 2882895 607845 0 0 2882895 607845 13913 12967 0 0 106785 100349 0 0 141821 114087 0 0 13919 13073 0 0 1294441 181143 0 0 1312016 186226 0 0 13913 0 0 1241 5665 5902 20707 932 59 9.34202 9.34202 -1030.73 -9.34202 0 0 2.45963e+06 5081.88 1.31 1.11 0.62 -1 -1 1.31 0.310599 0.281128 684 627 589 19 0 0 k6_frac_2uripple_N8_22nm.xml fir_nopipe_33.v common 26.53 vpr 78.12 MiB 0.16 13808 -1 -1 1 0.56 -1 -1 40348 -1 -1 100 22 0 10 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:55 gh-actions-runner-vtr-auto-spawned38 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79992 22 19 2923 2109 1 1695 151 22 22 484 mult_36 auto 40.9 MiB 0.97 10491 33835 8574 21105 4156 78.1 MiB 1.20 0.01 7.94064 -597.141 -7.94064 7.94064 2.29 0.00674974 0.00577428 0.614694 0.531941 64 19070 50 1.33067e+07 5.43155e+06 1.90554e+06 3937.06 14.02 2.63693 2.32895 54502 494576 -1 15787 25 13858 15489 2824485 600452 0 0 2824485 600452 14633 14088 0 0 112285 105253 0 0 152161 121338 0 0 14640 14194 0 0 1278006 170780 0 0 1252760 174799 0 0 14633 0 0 801 4949 5286 21186 894 34 9.95291 9.95291 -1096.7 -9.95291 0 0 2.40101e+06 4960.76 1.27 1.19 0.59 -1 -1 1.27 0.380018 0.342807 710 646 608 19 0 0 k6_frac_2uripple_N8_22nm.xml fir_nopipe_34.v common 38.28 vpr 78.56 MiB 0.16 14048 -1 -1 1 0.58 -1 -1 40192 -1 -1 101 22 0 10 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:55 gh-actions-runner-vtr-auto-spawned38 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80444 22 19 2997 2166 1 1734 152 22 22 484 mult_36 auto 41.4 MiB 1.33 10939 30122 6941 19995 3186 78.6 MiB 1.07 0.01 7.88963 -601.708 -7.88963 7.88963 2.34 0.00551573 0.0049006 0.494171 0.437728 66 19440 28 1.33067e+07 5.44627e+06 1.96511e+06 4060.15 25.16 3.23147 2.86271 54986 507526 -1 16262 25 13499 15395 2906042 608239 0 0 2906042 608239 14574 13765 0 0 115250 108127 0 0 152837 123878 0 0 14585 13883 0 0 1312936 172636 0 0 1295860 175950 0 0 14574 0 0 1098 5319 5331 20493 889 64 9.72351 9.72351 -1073.66 -9.72351 0 0 2.45963e+06 5081.88 1.32 1.22 0.63 -1 -1 1.32 0.385734 0.348973 729 665 627 19 0 0 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt index 19ddc9b2774..a6c2d6d5fe6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt @@ -1,1025 +1,1025 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 10.85 vpr 64.83 MiB 0.03 7104 -1 -1 14 0.37 -1 -1 36492 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 26.3 MiB 0.34 1364 8130 1912 5160 1058 64.8 MiB 0.09 0.00 6.5171 -132.639 -6.5171 6.5171 1.05 0.000820975 0.000710805 0.0345161 0.0311345 28 3707 48 6.55708e+06 313430 500653. 1732.36 6.81 0.267198 0.233215 21310 115450 -1 3091 22 1479 4603 269119 61238 7.0443 7.0443 -160.189 -7.0443 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.0371316 0.0331266 186 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 16.74 vpr 64.50 MiB 0.02 7044 -1 -1 14 0.40 -1 -1 36708 -1 -1 30 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 30 32 277 309 1 215 92 17 17 289 -1 unnamed_device 26.0 MiB 0.56 1296 12926 3334 7463 2129 64.5 MiB 0.12 0.00 6.98624 -139.787 -6.98624 6.98624 1.08 0.000678634 0.000618743 0.0513579 0.0467903 28 4048 39 6.55708e+06 361650 500653. 1732.36 12.37 0.281918 0.248451 21310 115450 -1 3230 19 1616 4478 283360 65480 7.22664 7.22664 -161.149 -7.22664 0 0 612192. 2118.31 0.26 0.10 0.11 -1 -1 0.26 0.0318915 0.028608 189 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 10.50 vpr 64.70 MiB 0.02 7048 -1 -1 11 0.29 -1 -1 36408 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 274 306 1 208 89 17 17 289 -1 unnamed_device 26.3 MiB 0.46 1279 11375 2946 6710 1719 64.7 MiB 0.11 0.00 5.48872 -115.921 -5.48872 5.48872 1.08 0.000611369 0.000549558 0.042507 0.0382682 36 3725 43 6.55708e+06 301375 612192. 2118.31 6.26 0.245927 0.216713 22750 144809 -1 3092 21 1441 4729 285602 64439 5.92066 5.92066 -139.809 -5.92066 0 0 782063. 2706.10 0.34 0.10 0.13 -1 -1 0.34 0.035526 0.0319501 180 179 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 9.09 vpr 64.68 MiB 0.02 6988 -1 -1 12 0.46 -1 -1 36472 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 29 32 269 301 1 203 90 17 17 289 -1 unnamed_device 26.2 MiB 0.42 1285 8331 1992 5747 592 64.7 MiB 0.09 0.00 6.34804 -118.848 -6.34804 6.34804 1.09 0.00102443 0.000956792 0.0382183 0.0346192 36 3199 34 6.55708e+06 349595 612192. 2118.31 4.80 0.313769 0.275444 22750 144809 -1 2723 17 1272 4078 209914 49862 6.82884 6.82884 -137.442 -6.82884 0 0 782063. 2706.10 0.35 0.09 0.15 -1 -1 0.35 0.0331315 0.0298292 185 180 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 6.33 vpr 65.36 MiB 0.02 7180 -1 -1 13 0.42 -1 -1 37172 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 317 349 1 246 96 17 17 289 -1 unnamed_device 26.6 MiB 0.54 1585 10170 2430 6837 903 65.4 MiB 0.11 0.00 6.46824 -138.353 -6.46824 6.46824 1.10 0.000780043 0.000710863 0.0461686 0.0418677 30 4184 26 6.55708e+06 385760 526063. 1820.29 1.90 0.19465 0.174133 21886 126133 -1 3490 23 1634 4690 316977 103916 6.7183 6.7183 -155.078 -6.7183 0 0 666494. 2306.21 0.32 0.14 0.12 -1 -1 0.32 0.0461458 0.0417573 223 222 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 11.41 vpr 64.78 MiB 0.02 7012 -1 -1 12 0.37 -1 -1 36440 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 299 331 1 232 98 17 17 289 -1 unnamed_device 26.2 MiB 0.59 1486 10223 2593 6423 1207 64.8 MiB 0.11 0.00 6.19064 -124.909 -6.19064 6.19064 1.07 0.000898861 0.000808883 0.0415832 0.0378933 36 3515 27 6.55708e+06 409870 612192. 2118.31 7.01 0.389435 0.343647 22750 144809 -1 3074 16 1264 3905 195661 46585 6.43104 6.43104 -144.363 -6.43104 0 0 782063. 2706.10 0.35 0.08 0.14 -1 -1 0.35 0.0330956 0.0301337 209 204 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 8.20 vpr 64.04 MiB 0.02 6952 -1 -1 12 0.24 -1 -1 36008 -1 -1 27 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 27 32 210 242 1 167 86 17 17 289 -1 unnamed_device 25.5 MiB 0.33 1093 9536 2423 5647 1466 64.0 MiB 0.08 0.00 5.77658 -104.791 -5.77658 5.77658 1.00 0.00051138 0.000454279 0.0308619 0.0281442 28 3057 26 6.55708e+06 325485 500653. 1732.36 4.46 0.214398 0.187714 21310 115450 -1 2681 18 1212 3479 246451 53156 6.16872 6.16872 -126.305 -6.16872 0 0 612192. 2118.31 0.29 0.09 0.12 -1 -1 0.29 0.0254658 0.0226848 136 125 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 15.28 vpr 64.59 MiB 0.02 6936 -1 -1 11 0.21 -1 -1 36584 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 31 32 264 296 1 199 91 17 17 289 -1 unnamed_device 25.9 MiB 0.29 1254 11311 2910 6958 1443 64.6 MiB 0.09 0.00 5.18418 -108.446 -5.18418 5.18418 0.95 0.000620966 0.000564645 0.0366936 0.03332 32 3690 49 6.55708e+06 337540 554710. 1919.41 11.71 0.355544 0.314313 22174 131602 -1 3053 17 1334 3983 283664 66737 5.45412 5.45412 -130.954 -5.45412 0 0 701300. 2426.64 0.29 0.10 0.12 -1 -1 0.29 0.0300536 0.0272528 175 171 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 7.55 vpr 64.41 MiB 0.02 7108 -1 -1 12 0.23 -1 -1 36052 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 31 32 234 266 1 190 88 17 17 289 -1 unnamed_device 26.0 MiB 0.41 1197 8668 2295 5233 1140 64.4 MiB 0.07 0.00 5.61718 -124.3 -5.61718 5.61718 1.01 0.000712188 0.00065629 0.0287459 0.0259891 28 3174 18 6.55708e+06 301375 500653. 1732.36 3.69 0.192207 0.168815 21310 115450 -1 2654 14 1047 2630 162799 37677 6.05878 6.05878 -142.536 -6.05878 0 0 612192. 2118.31 0.31 0.07 0.11 -1 -1 0.31 0.0278838 0.0254017 145 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 11.00 vpr 64.38 MiB 0.02 6956 -1 -1 13 0.22 -1 -1 36148 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 32 32 253 285 1 194 89 17 17 289 -1 unnamed_device 25.9 MiB 0.45 1231 12761 3479 7153 2129 64.4 MiB 0.10 0.00 6.22784 -137.083 -6.22784 6.22784 0.94 0.000648352 0.000593464 0.0421714 0.0384895 28 3284 30 6.55708e+06 301375 500653. 1732.36 7.26 0.31152 0.274943 21310 115450 -1 2907 17 1225 3374 198112 46724 6.27164 6.27164 -156.349 -6.27164 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0302242 0.0272635 162 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 11.83 vpr 64.03 MiB 0.02 7084 -1 -1 12 0.24 -1 -1 36432 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65564 30 32 217 249 1 169 84 17 17 289 -1 unnamed_device 25.5 MiB 0.40 1079 10515 2657 5844 2014 64.0 MiB 0.09 0.00 5.98944 -123.803 -5.98944 5.98944 1.05 0.000515087 0.000468323 0.0346985 0.0316132 26 3384 49 6.55708e+06 265210 477104. 1650.88 7.89 0.238974 0.209644 21022 109990 -1 2435 21 1105 2659 279918 102620 6.47024 6.47024 -147.717 -6.47024 0 0 585099. 2024.56 0.30 0.12 0.11 -1 -1 0.30 0.0314922 0.0283418 132 126 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 6.39 vpr 64.19 MiB 0.02 6848 -1 -1 12 0.19 -1 -1 36044 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65732 32 32 227 259 1 176 85 17 17 289 -1 unnamed_device 25.6 MiB 0.23 1093 12547 4184 6119 2244 64.2 MiB 0.09 0.00 5.51886 -121.204 -5.51886 5.51886 0.99 0.000512843 0.000464295 0.0372017 0.0336322 28 3407 47 6.55708e+06 253155 500653. 1732.36 2.86 0.142678 0.125456 21310 115450 -1 2726 21 1241 3504 285189 73429 5.75926 5.75926 -141.41 -5.75926 0 0 612192. 2118.31 0.27 0.11 0.11 -1 -1 0.27 0.031467 0.0283521 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 5.92 vpr 65.06 MiB 0.03 7228 -1 -1 13 0.36 -1 -1 36532 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 306 338 1 235 94 17 17 289 -1 unnamed_device 26.4 MiB 0.41 1415 5845 939 4748 158 65.1 MiB 0.07 0.00 6.5609 -131.521 -6.5609 6.5609 1.04 0.000731746 0.00066309 0.0261193 0.0238266 28 4118 29 6.55708e+06 361650 500653. 1732.36 1.81 0.149372 0.132133 21310 115450 -1 3293 23 1748 5296 314827 71779 7.03204 7.03204 -154.655 -7.03204 0 0 612192. 2118.31 0.30 0.12 0.11 -1 -1 0.30 0.042485 0.0380722 212 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 9.31 vpr 65.00 MiB 0.02 7092 -1 -1 14 0.42 -1 -1 36684 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 302 334 1 235 93 17 17 289 -1 unnamed_device 26.4 MiB 0.59 1512 12903 3453 7659 1791 65.0 MiB 0.13 0.00 7.41762 -151.614 -7.41762 7.41762 1.04 0.000788301 0.000715766 0.0526359 0.0476661 36 3714 23 6.55708e+06 349595 612192. 2118.31 4.91 0.312656 0.274248 22750 144809 -1 3212 16 1333 3774 202213 47705 8.09702 8.09702 -178.936 -8.09702 0 0 782063. 2706.10 0.34 0.09 0.15 -1 -1 0.34 0.0334351 0.0301401 208 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 8.12 vpr 64.25 MiB 0.02 6792 -1 -1 11 0.22 -1 -1 36120 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 29 32 238 270 1 186 90 17 17 289 -1 unnamed_device 25.8 MiB 0.26 1092 12150 3323 7251 1576 64.2 MiB 0.10 0.00 5.15566 -106.737 -5.15566 5.15566 1.03 0.000591203 0.000538796 0.0384036 0.0345208 34 2633 23 6.55708e+06 349595 585099. 2024.56 4.35 0.289673 0.25473 22462 138074 -1 2341 19 1263 3546 183124 43480 5.49132 5.49132 -120.048 -5.49132 0 0 742403. 2568.87 0.34 0.08 0.13 -1 -1 0.34 0.0303947 0.0271708 160 149 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 7.30 vpr 65.01 MiB 0.02 6996 -1 -1 12 0.35 -1 -1 36768 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 306 338 1 235 98 17 17 289 -1 unnamed_device 26.4 MiB 0.67 1620 7523 1547 5215 761 65.0 MiB 0.08 0.00 6.6001 -134.71 -6.6001 6.6001 1.06 0.000792987 0.000717068 0.0297805 0.0270427 30 4162 28 6.55708e+06 409870 526063. 1820.29 2.95 0.157751 0.139968 21886 126133 -1 3599 17 1592 4975 263557 59691 6.6419 6.6419 -154.495 -6.6419 0 0 666494. 2306.21 0.31 0.11 0.12 -1 -1 0.31 0.0370093 0.03356 213 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 7.70 vpr 65.12 MiB 0.02 7216 -1 -1 13 0.34 -1 -1 36668 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 311 343 1 239 96 17 17 289 -1 unnamed_device 26.5 MiB 0.35 1448 13236 3314 7774 2148 65.1 MiB 0.12 0.00 6.5961 -137.919 -6.5961 6.5961 1.03 0.000737609 0.000666798 0.0502653 0.0453926 28 4621 38 6.55708e+06 385760 500653. 1732.36 3.65 0.188735 0.167387 21310 115450 -1 3453 31 1624 4846 398288 116969 6.9567 6.9567 -160.962 -6.9567 0 0 612192. 2118.31 0.28 0.17 0.11 -1 -1 0.28 0.0546194 0.0489231 217 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 13.97 vpr 64.17 MiB 0.02 7048 -1 -1 12 0.20 -1 -1 36132 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 32 32 230 262 1 182 86 17 17 289 -1 unnamed_device 25.8 MiB 0.59 1085 5000 922 3954 124 64.2 MiB 0.05 0.00 6.1219 -131.656 -6.1219 6.1219 1.03 0.000525308 0.000474845 0.0173682 0.0158342 28 3124 37 6.55708e+06 265210 500653. 1732.36 9.95 0.222277 0.194386 21310 115450 -1 2458 14 954 2750 159970 38424 6.6027 6.6027 -155.559 -6.6027 0 0 612192. 2118.31 0.32 0.08 0.12 -1 -1 0.32 0.0255593 0.0229804 139 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 4.10 vpr 63.79 MiB 0.02 6776 -1 -1 10 0.13 -1 -1 36276 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65320 30 32 176 208 1 139 82 17 17 289 -1 unnamed_device 25.2 MiB 0.13 813 6312 1391 4624 297 63.8 MiB 0.04 0.00 4.44306 -99.6509 -4.44306 4.44306 0.92 0.00037634 0.000341622 0.0154846 0.0140757 30 1993 19 6.55708e+06 241100 526063. 1820.29 0.96 0.0754393 0.0666798 21886 126133 -1 1732 14 679 1648 87712 21025 4.76446 4.76446 -115.823 -4.76446 0 0 666494. 2306.21 0.30 0.04 0.11 -1 -1 0.30 0.0163028 0.0145613 96 85 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 5.03 vpr 64.10 MiB 0.02 6932 -1 -1 13 0.19 -1 -1 36232 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65636 31 32 226 258 1 176 87 17 17 289 -1 unnamed_device 25.7 MiB 0.35 1120 6615 1416 4619 580 64.1 MiB 0.05 0.00 6.22784 -130.123 -6.22784 6.22784 0.88 0.000499541 0.000452326 0.020525 0.0186851 28 2987 35 6.55708e+06 289320 500653. 1732.36 1.60 0.12113 0.107585 21310 115450 -1 2519 33 1440 4301 379415 151491 6.45598 6.45598 -150.67 -6.45598 0 0 612192. 2118.31 0.28 0.16 0.10 -1 -1 0.28 0.0436152 0.038919 139 133 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 5.73 vpr 64.57 MiB 0.02 7008 -1 -1 13 0.37 -1 -1 36804 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 32 32 302 334 1 228 95 17 17 289 -1 unnamed_device 26.0 MiB 0.43 1465 10247 2563 6807 877 64.6 MiB 0.09 0.00 6.22984 -126.721 -6.22984 6.22984 0.96 0.000709114 0.000644382 0.0371961 0.0336967 30 3689 34 6.55708e+06 373705 526063. 1820.29 1.66 0.15792 0.139456 21886 126133 -1 3138 29 2264 8037 515268 175198 6.71064 6.71064 -152.021 -6.71064 0 0 666494. 2306.21 0.31 0.19 0.12 -1 -1 0.31 0.0514275 0.0456014 208 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 8.90 vpr 64.77 MiB 0.02 7288 -1 -1 13 0.38 -1 -1 36448 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 299 331 1 237 98 17 17 289 -1 unnamed_device 26.2 MiB 0.55 1616 10448 2843 6873 732 64.8 MiB 0.09 0.00 6.5191 -137.159 -6.5191 6.5191 0.94 0.000639185 0.000578175 0.0355604 0.0320796 38 3922 24 6.55708e+06 409870 638502. 2209.35 4.74 0.224546 0.19864 23326 155178 -1 3295 16 1379 4612 240732 54056 6.90724 6.90724 -154.036 -6.90724 0 0 851065. 2944.86 0.38 0.10 0.16 -1 -1 0.38 0.0351585 0.0320403 207 204 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 4.70 vpr 63.53 MiB 0.02 6900 -1 -1 9 0.11 -1 -1 35976 -1 -1 21 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65056 26 32 149 181 1 119 79 17 17 289 -1 unnamed_device 25.0 MiB 0.35 696 7346 2031 4662 653 63.5 MiB 0.05 0.00 3.89854 -77.4529 -3.89854 3.89854 0.96 0.000372234 0.000339455 0.0171886 0.0156181 26 1836 26 6.55708e+06 253155 477104. 1650.88 1.41 0.0755844 0.066352 21022 109990 -1 1705 18 671 1767 117589 27163 4.20768 4.20768 -93.02 -4.20768 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0155791 0.0138667 83 66 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 4.85 vpr 64.95 MiB 0.02 7156 -1 -1 13 0.36 -1 -1 36504 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 304 336 1 228 94 17 17 289 -1 unnamed_device 26.5 MiB 0.22 1515 4780 716 3796 268 64.9 MiB 0.05 0.00 6.8405 -130.754 -6.8405 6.8405 0.89 0.000650177 0.000591311 0.0188775 0.0172432 30 3404 26 6.55708e+06 361650 526063. 1820.29 1.44 0.140845 0.126161 21886 126133 -1 3040 20 1381 3878 184947 43711 7.0809 7.0809 -150.111 -7.0809 0 0 666494. 2306.21 0.28 0.08 0.11 -1 -1 0.28 0.0342696 0.0308551 211 209 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 4.88 vpr 63.63 MiB 0.02 6648 -1 -1 8 0.10 -1 -1 36268 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65160 32 32 155 187 1 113 81 17 17 289 -1 unnamed_device 25.2 MiB 0.22 432 4631 1024 2964 643 63.6 MiB 0.03 0.00 3.72586 -71.6208 -3.72586 3.72586 0.89 0.000328257 0.000298496 0.0105743 0.00958378 34 1334 50 6.55708e+06 204935 585099. 2024.56 1.81 0.120052 0.105351 22462 138074 -1 1067 29 565 1146 143089 77876 3.9958 3.9958 -87.775 -3.9958 0 0 742403. 2568.87 0.30 0.08 0.12 -1 -1 0.30 0.0219164 0.0193422 77 60 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 8.08 vpr 64.25 MiB 0.02 7108 -1 -1 15 0.30 -1 -1 36532 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 32 32 253 285 1 192 89 17 17 289 -1 unnamed_device 25.8 MiB 0.26 1122 12167 3931 6268 1968 64.2 MiB 0.09 0.00 7.12896 -136.985 -7.12896 7.12896 0.96 0.000594358 0.0005397 0.0395573 0.0359098 38 2709 27 6.55708e+06 301375 638502. 2209.35 4.36 0.261677 0.231315 23326 155178 -1 2201 28 976 2888 231665 88843 7.36935 7.36935 -153.025 -7.36935 0 0 851065. 2944.86 0.35 0.11 0.14 -1 -1 0.35 0.0384189 0.0342664 161 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 6.61 vpr 65.04 MiB 0.02 6940 -1 -1 12 0.31 -1 -1 36736 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 309 341 1 232 95 17 17 289 -1 unnamed_device 26.4 MiB 0.26 1431 15215 4212 8295 2708 65.0 MiB 0.12 0.00 5.73938 -123.875 -5.73938 5.73938 0.90 0.000633361 0.000566249 0.0501008 0.0447619 34 4130 30 6.55708e+06 373705 585099. 2024.56 2.99 0.202305 0.178521 22462 138074 -1 3179 16 1504 4711 271633 62518 6.01898 6.01898 -141.53 -6.01898 0 0 742403. 2568.87 0.31 0.09 0.12 -1 -1 0.31 0.0315468 0.0285511 218 214 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 9.03 vpr 64.91 MiB 0.02 7228 -1 -1 13 0.35 -1 -1 36708 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 289 321 1 218 92 17 17 289 -1 unnamed_device 26.5 MiB 0.40 1446 8786 2118 5866 802 64.9 MiB 0.08 0.00 5.98944 -130.404 -5.98944 5.98944 0.96 0.00066407 0.000593268 0.0329391 0.0297564 34 3612 48 6.55708e+06 337540 585099. 2024.56 5.16 0.329086 0.288563 22462 138074 -1 3123 17 1412 4170 225204 52230 6.59044 6.59044 -151.967 -6.59044 0 0 742403. 2568.87 0.31 0.08 0.13 -1 -1 0.31 0.0309097 0.0279475 196 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 9.20 vpr 64.38 MiB 0.02 7012 -1 -1 12 0.21 -1 -1 36148 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 32 32 239 271 1 188 86 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1170 8213 1877 5734 602 64.4 MiB 0.07 0.00 5.35486 -120.577 -5.35486 5.35486 0.95 0.000544535 0.000492368 0.0281382 0.025485 28 3154 20 6.55708e+06 265210 500653. 1732.36 5.75 0.187597 0.16384 21310 115450 -1 2713 17 1130 2960 178270 41586 5.80812 5.80812 -139.881 -5.80812 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.024145 0.0216168 146 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 11.08 vpr 64.14 MiB 0.02 6976 -1 -1 11 0.20 -1 -1 36564 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65684 30 32 213 245 1 162 85 17 17 289 -1 unnamed_device 25.6 MiB 0.22 1033 12175 3693 6499 1983 64.1 MiB 0.08 0.00 5.08892 -110.458 -5.08892 5.08892 0.96 0.000508696 0.000461677 0.0348155 0.0314919 26 3180 48 6.55708e+06 277265 477104. 1650.88 7.61 0.207062 0.180965 21022 109990 -1 2482 18 1088 2899 187706 42496 5.36346 5.36346 -128.724 -5.36346 0 0 585099. 2024.56 0.28 0.08 0.11 -1 -1 0.28 0.0268743 0.0240563 128 122 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 4.81 vpr 64.29 MiB 0.02 7048 -1 -1 11 0.18 -1 -1 36988 -1 -1 27 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65836 28 32 221 253 1 183 87 17 17 289 -1 unnamed_device 25.9 MiB 0.27 1194 6807 1572 4701 534 64.3 MiB 0.05 0.00 5.38078 -108.16 -5.38078 5.38078 0.88 0.000488173 0.000442886 0.0203499 0.0185305 30 2896 24 6.55708e+06 325485 526063. 1820.29 1.59 0.107034 0.0951805 21886 126133 -1 2367 16 959 2812 136965 32097 5.71746 5.71746 -125.995 -5.71746 0 0 666494. 2306.21 0.27 0.06 0.10 -1 -1 0.27 0.0227381 0.0205272 142 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 5.93 vpr 64.99 MiB 0.02 6996 -1 -1 12 0.24 -1 -1 35956 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 273 305 1 210 92 17 17 289 -1 unnamed_device 26.2 MiB 0.25 1310 7130 1637 4768 725 65.0 MiB 0.06 0.00 5.77598 -133.314 -5.77598 5.77598 0.98 0.00059782 0.000541751 0.0242006 0.0219228 28 3666 37 6.55708e+06 337540 500653. 1732.36 2.34 0.136349 0.120374 21310 115450 -1 3176 24 1590 4162 325677 94052 6.37698 6.37698 -160.733 -6.37698 0 0 612192. 2118.31 0.27 0.12 0.11 -1 -1 0.27 0.0370314 0.0331718 180 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 6.90 vpr 64.38 MiB 0.02 7056 -1 -1 11 0.21 -1 -1 35952 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 31 32 238 270 1 182 86 17 17 289 -1 unnamed_device 26.0 MiB 0.32 1025 4622 820 3663 139 64.4 MiB 0.04 0.00 5.53052 -114.027 -5.53052 5.53052 0.97 0.000549172 0.000495433 0.016829 0.0153362 30 2523 23 6.55708e+06 277265 526063. 1820.29 3.34 0.191923 0.167707 21886 126133 -1 2094 16 1014 2830 121810 30859 5.67566 5.67566 -127.68 -5.67566 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.023942 0.0214461 147 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 4.70 vpr 64.20 MiB 0.02 6980 -1 -1 10 0.18 -1 -1 36340 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65736 29 32 221 253 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.27 909 11989 3939 5614 2436 64.2 MiB 0.08 0.00 5.05172 -101.001 -5.05172 5.05172 0.97 0.000489618 0.000442616 0.0357111 0.0323568 30 2497 25 6.55708e+06 289320 526063. 1820.29 1.23 0.122337 0.108624 21886 126133 -1 1837 15 830 2464 114600 28220 5.39806 5.39806 -113.948 -5.39806 0 0 666494. 2306.21 0.30 0.05 0.11 -1 -1 0.30 0.0221422 0.0200053 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 9.01 vpr 65.20 MiB 0.02 7172 -1 -1 13 0.39 -1 -1 37288 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 333 365 1 249 97 17 17 289 -1 unnamed_device 26.8 MiB 0.35 1576 6535 1304 4458 773 65.2 MiB 0.07 0.00 6.0409 -126.834 -6.0409 6.0409 0.94 0.000745372 0.000673403 0.0276181 0.0250043 38 3561 28 6.55708e+06 397815 638502. 2209.35 5.16 0.318839 0.279516 23326 155178 -1 3019 16 1324 4483 217817 49468 6.4407 6.4407 -144.605 -6.4407 0 0 851065. 2944.86 0.35 0.09 0.15 -1 -1 0.35 0.0352355 0.0319972 239 238 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 6.14 vpr 64.98 MiB 0.02 7116 -1 -1 13 0.37 -1 -1 36904 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 297 329 1 227 93 17 17 289 -1 unnamed_device 26.4 MiB 0.44 1472 7443 1563 4972 908 65.0 MiB 0.07 0.00 6.46824 -141.83 -6.46824 6.46824 0.90 0.000651053 0.000590234 0.0265674 0.0239899 36 3852 29 6.55708e+06 349595 612192. 2118.31 2.37 0.183843 0.163161 22750 144809 -1 3245 17 1482 4744 278914 62896 6.7601 6.7601 -158.997 -6.7601 0 0 782063. 2706.10 0.31 0.10 0.12 -1 -1 0.31 0.035303 0.0322676 203 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 5.65 vpr 64.28 MiB 0.02 6884 -1 -1 12 0.19 -1 -1 36748 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 31 32 234 266 1 181 88 17 17 289 -1 unnamed_device 25.9 MiB 0.36 1186 7888 1780 4882 1226 64.3 MiB 0.06 0.00 5.38078 -116.722 -5.38078 5.38078 0.92 0.000535447 0.00047053 0.0239666 0.0217189 28 3185 26 6.55708e+06 301375 500653. 1732.36 2.11 0.107037 0.0941192 21310 115450 -1 2729 18 1186 3299 215428 47755 6.14378 6.14378 -145.081 -6.14378 0 0 612192. 2118.31 0.28 0.08 0.11 -1 -1 0.28 0.0266551 0.0240157 150 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 5.39 vpr 64.82 MiB 0.02 7008 -1 -1 12 0.30 -1 -1 36700 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 310 342 1 234 97 17 17 289 -1 unnamed_device 26.2 MiB 0.24 1486 14305 3786 8101 2418 64.8 MiB 0.12 0.00 6.3969 -132.406 -6.3969 6.3969 0.89 0.000668777 0.000606659 0.0466354 0.0422409 30 3753 37 6.55708e+06 409870 526063. 1820.29 1.96 0.17449 0.154943 21886 126133 -1 2985 16 1460 4439 203322 49656 6.5955 6.5955 -148.716 -6.5955 0 0 666494. 2306.21 0.27 0.08 0.10 -1 -1 0.27 0.0320939 0.0292273 219 217 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 6.93 vpr 64.71 MiB 0.02 7280 -1 -1 14 0.44 -1 -1 36648 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 31 32 284 316 1 221 91 17 17 289 -1 unnamed_device 26.3 MiB 0.26 1494 12331 3548 7305 1478 64.7 MiB 0.11 0.00 6.5543 -132.531 -6.5543 6.5543 0.97 0.000729481 0.000662663 0.0476328 0.04304 28 4409 27 6.55708e+06 337540 500653. 1732.36 3.09 0.153667 0.135907 21310 115450 -1 3339 24 1713 5207 380523 105320 7.3565 7.3565 -158.988 -7.3565 0 0 612192. 2118.31 0.28 0.14 0.11 -1 -1 0.28 0.0413091 0.0371482 194 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 6.17 vpr 64.55 MiB 0.02 7184 -1 -1 13 0.33 -1 -1 36916 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 271 303 1 212 91 17 17 289 -1 unnamed_device 26.2 MiB 0.31 1357 8659 1997 5313 1349 64.5 MiB 0.08 0.00 6.74784 -138.35 -6.74784 6.74784 0.96 0.000636712 0.00056598 0.031022 0.0280754 34 3619 22 6.55708e+06 337540 585099. 2024.56 2.38 0.158575 0.140775 22462 138074 -1 3007 20 1410 3930 231792 53727 7.10844 7.10844 -158.849 -7.10844 0 0 742403. 2568.87 0.32 0.09 0.13 -1 -1 0.32 0.0328823 0.029614 181 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 8.09 vpr 64.42 MiB 0.02 7060 -1 -1 12 0.30 -1 -1 36612 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 31 32 280 312 1 211 93 17 17 289 -1 unnamed_device 26.0 MiB 0.57 1398 13113 3430 7650 2033 64.4 MiB 0.11 0.00 5.59164 -120.742 -5.59164 5.59164 0.93 0.000627099 0.00056616 0.0422853 0.0380502 36 3526 42 6.55708e+06 361650 612192. 2118.31 4.16 0.296326 0.260236 22750 144809 -1 2908 17 1211 3996 218534 48809 6.19264 6.19264 -139.316 -6.19264 0 0 782063. 2706.10 0.32 0.08 0.12 -1 -1 0.32 0.0312114 0.0282055 189 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 5.80 vpr 64.43 MiB 0.02 7260 -1 -1 12 0.24 -1 -1 36404 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 32 32 264 296 1 194 88 17 17 289 -1 unnamed_device 25.9 MiB 0.32 1307 9643 2451 5890 1302 64.4 MiB 0.08 0.00 5.8025 -120.324 -5.8025 5.8025 0.96 0.000605337 0.000551758 0.032977 0.029885 28 3392 20 6.55708e+06 289320 500653. 1732.36 2.14 0.123589 0.109625 21310 115450 -1 2909 20 1410 4395 274460 61376 6.4035 6.4035 -147.869 -6.4035 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.0314224 0.0283117 172 169 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 9.20 vpr 65.07 MiB 0.02 7412 -1 -1 14 0.59 -1 -1 36944 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 339 371 1 259 98 17 17 289 -1 unnamed_device 26.9 MiB 0.43 1718 8198 1879 5662 657 65.1 MiB 0.08 0.00 6.5197 -139.307 -6.5197 6.5197 0.94 0.000744255 0.000662475 0.0316536 0.02853 38 4098 28 6.55708e+06 409870 638502. 2209.35 4.97 0.306116 0.268366 23326 155178 -1 3490 17 1519 5181 260546 58755 6.9613 6.9613 -158.842 -6.9613 0 0 851065. 2944.86 0.35 0.10 0.15 -1 -1 0.35 0.0357314 0.0322914 245 244 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 7.38 vpr 64.45 MiB 0.02 7032 -1 -1 11 0.25 -1 -1 36316 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 31 32 246 278 1 188 89 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1203 14345 3942 8112 2291 64.4 MiB 0.11 0.00 5.30238 -114.4 -5.30238 5.30238 0.93 0.000572381 0.000517391 0.044337 0.0401073 38 2862 16 6.55708e+06 313430 638502. 2209.35 3.75 0.242503 0.212742 23326 155178 -1 2379 16 977 2727 136983 31587 5.66298 5.66298 -130.432 -5.66298 0 0 851065. 2944.86 0.35 0.06 0.14 -1 -1 0.35 0.0255826 0.023099 160 153 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 10.49 vpr 64.82 MiB 0.02 7288 -1 -1 13 0.36 -1 -1 36736 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 268 300 1 203 90 17 17 289 -1 unnamed_device 26.4 MiB 0.49 1363 5919 1272 4039 608 64.8 MiB 0.06 0.00 6.33076 -127.785 -6.33076 6.33076 0.99 0.000688462 0.000625256 0.0232093 0.0211395 30 3708 41 6.55708e+06 325485 526063. 1820.29 6.55 0.279659 0.245342 21886 126133 -1 2850 17 1262 4250 212026 48390 6.6007 6.6007 -145.975 -6.6007 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0299399 0.027067 177 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 5.61 vpr 64.79 MiB 0.02 7112 -1 -1 12 0.32 -1 -1 36612 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 318 350 1 229 98 17 17 289 -1 unnamed_device 26.1 MiB 0.40 1554 7973 1709 5467 797 64.8 MiB 0.07 0.00 6.01898 -130.646 -6.01898 6.01898 0.89 0.000702971 0.000632966 0.0288828 0.0260057 30 4018 23 6.55708e+06 409870 526063. 1820.29 1.98 0.151654 0.135421 21886 126133 -1 3283 20 1428 5122 241778 56454 6.14118 6.14118 -145.415 -6.14118 0 0 666494. 2306.21 0.27 0.09 0.10 -1 -1 0.27 0.0359492 0.0323598 227 223 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 7.78 vpr 64.75 MiB 0.02 7088 -1 -1 13 0.29 -1 -1 36312 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 273 305 1 205 92 17 17 289 -1 unnamed_device 26.4 MiB 0.20 1234 14168 3976 7538 2654 64.7 MiB 0.11 0.00 6.30884 -130.584 -6.30884 6.30884 0.91 0.000592696 0.000530103 0.045798 0.0412899 36 3255 21 6.55708e+06 337540 612192. 2118.31 4.32 0.271135 0.239144 22750 144809 -1 2678 18 1221 3468 195751 45701 6.63024 6.63024 -149.501 -6.63024 0 0 782063. 2706.10 0.31 0.08 0.12 -1 -1 0.31 0.0309088 0.0278121 184 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 6.67 vpr 64.75 MiB 0.02 7020 -1 -1 13 0.28 -1 -1 36460 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 269 301 1 197 89 17 17 289 -1 unnamed_device 26.2 MiB 0.37 1223 14741 4322 8043 2376 64.8 MiB 0.11 0.00 6.1219 -132.483 -6.1219 6.1219 0.98 0.000596708 0.00053805 0.0489449 0.0441109 28 3613 43 6.55708e+06 301375 500653. 1732.36 2.88 0.170852 0.150953 21310 115450 -1 3056 16 1286 3789 255391 58519 6.3643 6.3643 -153.511 -6.3643 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0272013 0.0244739 175 174 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 6.76 vpr 64.90 MiB 0.02 7044 -1 -1 12 0.36 -1 -1 36624 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 298 330 1 223 95 17 17 289 -1 unnamed_device 26.4 MiB 0.83 1434 8303 1877 5532 894 64.9 MiB 0.08 0.00 5.63344 -124.299 -5.63344 5.63344 1.00 0.000721691 0.000642208 0.0311605 0.0280053 30 3602 50 6.55708e+06 373705 526063. 1820.29 2.42 0.179366 0.158477 21886 126133 -1 3007 18 1305 4660 219168 50777 5.87384 5.87384 -142.241 -5.87384 0 0 666494. 2306.21 0.29 0.09 0.12 -1 -1 0.29 0.0339718 0.0307679 205 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 6.19 vpr 64.90 MiB 0.02 7020 -1 -1 13 0.37 -1 -1 37208 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 299 331 1 235 93 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1543 7233 1537 4958 738 64.9 MiB 0.07 0.00 6.2813 -128.6 -6.2813 6.2813 0.96 0.000675938 0.000611815 0.0276868 0.0249918 30 4007 46 6.55708e+06 349595 526063. 1820.29 2.35 0.17118 0.151445 21886 126133 -1 3158 18 1479 4524 215908 50563 6.6393 6.6393 -148.92 -6.6393 0 0 666494. 2306.21 0.29 0.09 0.11 -1 -1 0.29 0.0333434 0.0299737 205 204 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 8.20 vpr 64.54 MiB 0.02 7084 -1 -1 14 0.36 -1 -1 36492 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 259 291 1 193 89 17 17 289 -1 unnamed_device 26.0 MiB 0.50 1261 10583 2868 6692 1023 64.5 MiB 0.09 0.00 6.5151 -134.739 -6.5151 6.5151 1.02 0.000614861 0.000555366 0.0366413 0.0331625 28 3556 47 6.55708e+06 301375 500653. 1732.36 4.08 0.260663 0.228308 21310 115450 -1 3000 17 1349 4292 274216 61717 7.1227 7.1227 -156.513 -7.1227 0 0 612192. 2118.31 0.28 0.10 0.11 -1 -1 0.28 0.0308775 0.0280364 167 164 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 5.73 vpr 64.71 MiB 0.02 7064 -1 -1 13 0.35 -1 -1 36720 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 293 325 1 226 94 17 17 289 -1 unnamed_device 26.3 MiB 0.64 1449 7762 1637 5531 594 64.7 MiB 0.07 0.00 6.52936 -137.992 -6.52936 6.52936 0.98 0.000670583 0.000610583 0.0293202 0.0264279 30 3459 19 6.55708e+06 361650 526063. 1820.29 1.61 0.126246 0.111016 21886 126133 -1 2830 15 1347 3796 180147 43042 7.09116 7.09116 -155.022 -7.09116 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0279541 0.0252865 199 198 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 6.08 vpr 65.19 MiB 0.02 7128 -1 -1 13 0.37 -1 -1 36764 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 31 32 311 343 1 231 95 17 17 289 -1 unnamed_device 26.5 MiB 0.40 1538 12191 3186 7658 1347 65.2 MiB 0.11 0.00 6.88536 -140.416 -6.88536 6.88536 1.04 0.000728438 0.000657873 0.0477055 0.0428907 30 3793 41 6.55708e+06 385760 526063. 1820.29 1.96 0.192715 0.171297 21886 126133 -1 3119 17 1280 4117 200869 46061 7.56736 7.56736 -161.114 -7.56736 0 0 666494. 2306.21 0.30 0.08 0.12 -1 -1 0.30 0.0332452 0.0300419 221 218 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 9.25 vpr 65.15 MiB 0.02 7124 -1 -1 12 0.41 -1 -1 36312 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 324 356 1 240 96 17 17 289 -1 unnamed_device 26.5 MiB 0.49 1611 10170 2431 6417 1322 65.2 MiB 0.10 0.00 6.31084 -138.51 -6.31084 6.31084 0.93 0.000735455 0.000663289 0.0402363 0.0363731 36 4062 34 6.55708e+06 385760 612192. 2118.31 4.86 0.24658 0.217691 22750 144809 -1 3333 56 1579 5427 1005994 592375 6.79164 6.79164 -157.329 -6.79164 0 0 782063. 2706.10 0.32 0.42 0.14 -1 -1 0.32 0.0853103 0.0753109 231 229 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 7.06 vpr 64.22 MiB 0.02 7016 -1 -1 11 0.17 -1 -1 36684 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 32 32 216 248 1 165 83 17 17 289 -1 unnamed_device 25.7 MiB 0.26 1077 11423 3236 6140 2047 64.2 MiB 0.09 0.00 4.97132 -113.985 -4.97132 4.97132 1.03 0.000499746 0.000442267 0.0355051 0.0322644 30 2495 18 6.55708e+06 229045 526063. 1820.29 3.42 0.207589 0.182053 21886 126133 -1 2115 15 893 2439 114038 27197 5.09152 5.09152 -129.064 -5.09152 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0223956 0.020341 127 121 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 6.62 vpr 64.62 MiB 0.02 6920 -1 -1 13 0.23 -1 -1 36600 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 245 277 1 195 91 17 17 289 -1 unnamed_device 26.2 MiB 0.54 1303 4783 804 3630 349 64.6 MiB 0.05 0.00 6.82684 -145.66 -6.82684 6.82684 1.02 0.000606254 0.000547786 0.0175553 0.015952 28 3660 31 6.55708e+06 325485 500653. 1732.36 2.74 0.122161 0.107525 21310 115450 -1 2964 29 1190 3442 351883 131863 7.06724 7.06724 -162.105 -7.06724 0 0 612192. 2118.31 0.27 0.15 0.10 -1 -1 0.27 0.0441797 0.0395984 156 150 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 9.82 vpr 65.24 MiB 0.03 7320 -1 -1 14 0.56 -1 -1 36564 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 361 393 1 263 100 17 17 289 -1 unnamed_device 26.9 MiB 0.51 1725 7988 1528 5764 696 65.2 MiB 0.09 0.00 7.24596 -154.761 -7.24596 7.24596 1.04 0.00106892 0.000967086 0.0387639 0.0351402 38 3956 19 6.55708e+06 433980 638502. 2209.35 5.30 0.364098 0.320531 23326 155178 -1 3352 17 1511 4769 224617 51838 7.60916 7.60916 -172.361 -7.60916 0 0 851065. 2944.86 0.37 0.10 0.15 -1 -1 0.37 0.0400434 0.0364923 267 266 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 6.68 vpr 64.94 MiB 0.02 7072 -1 -1 13 0.45 -1 -1 37052 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 318 350 1 241 95 17 17 289 -1 unnamed_device 26.4 MiB 0.63 1465 11759 2984 7444 1331 64.9 MiB 0.12 0.00 6.59044 -139.011 -6.59044 6.59044 1.11 0.000836774 0.0007587 0.0523207 0.0474369 28 3886 21 6.55708e+06 373705 500653. 1732.36 2.01 0.17475 0.155433 21310 115450 -1 3462 20 1732 5204 297597 68173 7.0417 7.0417 -162.024 -7.0417 0 0 612192. 2118.31 0.30 0.12 0.12 -1 -1 0.30 0.0428485 0.0387518 224 223 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 10.66 vpr 64.21 MiB 0.02 6968 -1 -1 11 0.21 -1 -1 36600 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65748 30 32 223 255 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.24 975 9199 2680 4891 1628 64.2 MiB 0.08 0.00 5.42198 -108.929 -5.42198 5.42198 0.97 0.000522845 0.000471738 0.0298407 0.0269654 28 2730 32 6.55708e+06 277265 500653. 1732.36 7.21 0.22462 0.197621 21310 115450 -1 2254 18 973 2923 171623 39968 5.66238 5.66238 -128.82 -5.66238 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0262714 0.0236218 137 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 10.00 vpr 65.24 MiB 0.03 7384 -1 -1 15 0.62 -1 -1 37304 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 335 367 1 253 97 17 17 289 -1 unnamed_device 26.8 MiB 0.45 1747 6979 1368 4970 641 65.2 MiB 0.10 0.00 7.16555 -148.955 -7.16555 7.16555 1.10 0.000943104 0.000856976 0.0398906 0.0363581 36 4348 21 6.55708e+06 397815 612192. 2118.31 5.31 0.355256 0.313668 22750 144809 -1 3696 20 1797 6129 338145 76058 7.68555 7.68555 -172.086 -7.68555 0 0 782063. 2706.10 0.37 0.13 0.15 -1 -1 0.37 0.0453148 0.040459 241 240 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 8.08 vpr 64.84 MiB 0.02 7100 -1 -1 13 0.43 -1 -1 36656 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 301 333 1 226 93 17 17 289 -1 unnamed_device 26.3 MiB 0.47 1460 16473 4845 9025 2603 64.8 MiB 0.15 0.00 6.4015 -131.383 -6.4015 6.4015 1.06 0.000757499 0.000687565 0.0652631 0.0590086 36 4038 27 6.55708e+06 349595 612192. 2118.31 3.62 0.261461 0.230554 22750 144809 -1 3126 18 1451 4071 225697 52784 6.7621 6.7621 -153.301 -6.7621 0 0 782063. 2706.10 0.34 0.10 0.14 -1 -1 0.34 0.0389627 0.0352222 207 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 5.54 vpr 64.20 MiB 0.02 6844 -1 -1 11 0.18 -1 -1 36656 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 32 32 238 270 1 183 88 17 17 289 -1 unnamed_device 25.8 MiB 0.28 1185 6133 1245 4433 455 64.2 MiB 0.06 0.00 5.37818 -115.152 -5.37818 5.37818 1.09 0.00056026 0.000512069 0.021849 0.0198351 28 2967 37 6.55708e+06 289320 500653. 1732.36 1.75 0.126624 0.111831 21310 115450 -1 2542 13 1044 2809 159571 37701 5.73878 5.73878 -135.398 -5.73878 0 0 612192. 2118.31 0.29 0.07 0.12 -1 -1 0.29 0.0234896 0.0213206 149 143 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 9.25 vpr 65.05 MiB 0.03 7220 -1 -1 12 0.40 -1 -1 36504 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 308 340 1 230 95 17 17 289 -1 unnamed_device 26.6 MiB 0.44 1475 7223 1427 5252 544 65.1 MiB 0.08 0.00 6.01898 -125.784 -6.01898 6.01898 1.06 0.000694995 0.000615858 0.0300244 0.027057 44 3257 20 6.55708e+06 373705 742403. 2568.87 4.84 0.347898 0.304926 24478 177802 -1 2838 18 1255 4322 214737 48906 6.13918 6.13918 -138.875 -6.13918 0 0 937218. 3242.97 0.44 0.10 0.18 -1 -1 0.44 0.0378171 0.0341869 217 213 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 11.78 vpr 64.50 MiB 0.02 6824 -1 -1 12 0.26 -1 -1 36308 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 32 32 253 285 1 192 90 17 17 289 -1 unnamed_device 26.0 MiB 0.30 1249 9336 2327 6152 857 64.5 MiB 0.08 0.00 6.2421 -127.001 -6.2421 6.2421 1.03 0.000609999 0.00055393 0.0327313 0.0296729 28 3537 37 6.55708e+06 313430 500653. 1732.36 7.97 0.247636 0.217777 21310 115450 -1 2891 25 1592 4643 391881 128750 6.62964 6.62964 -152.214 -6.62964 0 0 612192. 2118.31 0.28 0.16 0.10 -1 -1 0.28 0.0402917 0.0361809 164 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 5.38 vpr 64.46 MiB 0.02 6900 -1 -1 12 0.25 -1 -1 36548 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 227 259 1 163 83 17 17 289 -1 unnamed_device 26.1 MiB 0.27 996 7283 1637 4962 684 64.5 MiB 0.06 0.00 6.02864 -123.283 -6.02864 6.02864 1.09 0.000510354 0.000457005 0.0258803 0.0235609 24 2938 39 6.55708e+06 253155 448715. 1552.65 1.62 0.142924 0.127491 20734 103517 -1 2277 18 1000 2814 166754 39174 6.50944 6.50944 -143.516 -6.50944 0 0 554710. 1919.41 0.24 0.08 0.09 -1 -1 0.24 0.0304421 0.0275269 139 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 7.48 vpr 64.86 MiB 0.02 7132 -1 -1 12 0.39 -1 -1 36512 -1 -1 32 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 29 32 292 324 1 222 93 17 17 289 -1 unnamed_device 26.4 MiB 0.36 1365 8283 1979 5372 932 64.9 MiB 0.09 0.00 5.93798 -112.647 -5.93798 5.93798 1.12 0.000797091 0.000726435 0.0369889 0.0335731 28 4231 44 6.55708e+06 385760 500653. 1732.36 3.11 0.192713 0.170868 21310 115450 -1 3392 23 1702 5572 474496 153065 6.46258 6.46258 -134.064 -6.46258 0 0 612192. 2118.31 0.30 0.19 0.12 -1 -1 0.30 0.0452691 0.040589 208 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 6.39 vpr 65.01 MiB 0.02 7128 -1 -1 14 0.45 -1 -1 36768 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 316 348 1 253 96 17 17 289 -1 unnamed_device 26.6 MiB 0.65 1500 8418 1799 6158 461 65.0 MiB 0.10 0.00 6.85076 -144.99 -6.85076 6.85076 1.11 0.0011526 0.00106622 0.0387667 0.0354061 30 3832 22 6.55708e+06 385760 526063. 1820.29 1.68 0.170088 0.151371 21886 126133 -1 3272 18 1607 4551 212076 50858 7.1579 7.1579 -163.825 -7.1579 0 0 666494. 2306.21 0.34 0.12 0.13 -1 -1 0.34 0.0447408 0.040588 227 221 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 19.22 vpr 64.71 MiB 0.02 7256 -1 -1 12 0.29 -1 -1 36936 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 286 318 1 212 91 17 17 289 -1 unnamed_device 26.3 MiB 0.48 1468 9679 2510 6148 1021 64.7 MiB 0.09 0.00 6.07044 -130.174 -6.07044 6.07044 1.05 0.000665638 0.000605476 0.0386711 0.0349029 26 4918 48 6.55708e+06 325485 477104. 1650.88 15.04 0.317491 0.27907 21022 109990 -1 3755 33 2199 7122 985607 351731 6.74984 6.74984 -156.935 -6.74984 0 0 585099. 2024.56 0.25 0.31 0.10 -1 -1 0.25 0.0554646 0.0496759 192 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 10.87 vpr 64.28 MiB 0.02 6908 -1 -1 12 0.18 -1 -1 36744 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 32 32 221 253 1 168 87 17 17 289 -1 unnamed_device 25.7 MiB 0.50 1147 6423 1324 4273 826 64.3 MiB 0.06 0.00 5.37878 -117.138 -5.37878 5.37878 1.08 0.000596713 0.000532968 0.0222257 0.0202101 28 2852 49 6.55708e+06 277265 500653. 1732.36 6.91 0.213756 0.187156 21310 115450 -1 2455 16 893 2692 174987 39470 5.73938 5.73938 -135.211 -5.73938 0 0 612192. 2118.31 0.30 0.07 0.11 -1 -1 0.30 0.0258459 0.0234052 133 126 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 13.57 vpr 64.36 MiB 0.02 7120 -1 -1 12 0.26 -1 -1 36112 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 31 32 261 293 1 199 88 17 17 289 -1 unnamed_device 25.8 MiB 0.28 1122 14518 3967 7759 2792 64.4 MiB 0.11 0.00 6.1611 -118.405 -6.1611 6.1611 0.93 0.000562355 0.000501966 0.0474074 0.0427428 28 3363 32 6.55708e+06 301375 500653. 1732.36 10.03 0.256881 0.227618 21310 115450 -1 2632 18 1247 3415 187148 46602 6.50178 6.50178 -142.447 -6.50178 0 0 612192. 2118.31 0.28 0.08 0.10 -1 -1 0.28 0.0321137 0.028982 170 168 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 5.68 vpr 64.50 MiB 0.02 7120 -1 -1 11 0.26 -1 -1 36392 -1 -1 28 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 30 32 277 309 1 208 90 17 17 289 -1 unnamed_device 26.1 MiB 0.29 1278 10341 2819 6494 1028 64.5 MiB 0.09 0.00 5.13472 -109.701 -5.13472 5.13472 1.01 0.000683937 0.00061383 0.0370717 0.0334533 30 3371 25 6.55708e+06 337540 526063. 1820.29 1.69 0.144844 0.128 21886 126133 -1 2710 28 1660 6357 491744 188825 5.33332 5.33332 -126.882 -5.33332 0 0 666494. 2306.21 0.33 0.21 0.13 -1 -1 0.33 0.0501412 0.0450437 189 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 5.94 vpr 64.50 MiB 0.03 7084 -1 -1 11 0.26 -1 -1 36496 -1 -1 28 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 28 32 251 283 1 194 88 17 17 289 -1 unnamed_device 25.9 MiB 0.40 1218 9643 2530 5885 1228 64.5 MiB 0.08 0.00 5.38078 -98.2735 -5.38078 5.38078 0.98 0.000643943 0.000580537 0.0301477 0.0271989 30 3249 35 6.55708e+06 337540 526063. 1820.29 2.14 0.146693 0.130039 21886 126133 -1 2668 16 1185 3899 200551 46161 5.38078 5.38078 -113.577 -5.38078 0 0 666494. 2306.21 0.31 0.09 0.12 -1 -1 0.31 0.030266 0.0272337 171 164 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 7.89 vpr 64.11 MiB 0.02 7084 -1 -1 13 0.25 -1 -1 36576 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65644 30 32 223 255 1 182 87 17 17 289 -1 unnamed_device 25.7 MiB 0.57 1085 5463 1160 3699 604 64.1 MiB 0.05 0.00 6.3185 -124.03 -6.3185 6.3185 1.01 0.000529445 0.000482064 0.0191164 0.017462 34 2687 44 6.55708e+06 301375 585099. 2024.56 3.81 0.235907 0.207829 22462 138074 -1 2345 17 961 2479 141721 33371 6.6399 6.6399 -141.264 -6.6399 0 0 742403. 2568.87 0.34 0.07 0.14 -1 -1 0.34 0.0288175 0.0262 142 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 5.98 vpr 64.84 MiB 0.02 6876 -1 -1 12 0.26 -1 -1 36332 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 269 301 1 211 91 17 17 289 -1 unnamed_device 26.1 MiB 0.46 1341 7639 1544 5419 676 64.8 MiB 0.07 0.00 6.06784 -131.714 -6.06784 6.06784 1.07 0.000927774 0.000864605 0.0297708 0.0268857 28 3612 31 6.55708e+06 325485 500653. 1732.36 1.89 0.142189 0.125664 21310 115450 -1 3045 18 1350 3649 211139 49041 6.30824 6.30824 -151.624 -6.30824 0 0 612192. 2118.31 0.28 0.10 0.12 -1 -1 0.28 0.0341285 0.0307521 180 174 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 7.17 vpr 64.87 MiB 0.02 6952 -1 -1 13 0.39 -1 -1 36768 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 31 32 283 315 1 212 93 17 17 289 -1 unnamed_device 26.4 MiB 0.44 1207 16473 5014 8912 2547 64.9 MiB 0.15 0.00 6.5609 -125.433 -6.5609 6.5609 1.06 0.000697061 0.000619529 0.0626733 0.056609 34 3848 42 6.55708e+06 361650 585099. 2024.56 2.77 0.231003 0.204822 22462 138074 -1 2875 21 1722 5188 308192 71193 7.1227 7.1227 -147.717 -7.1227 0 0 742403. 2568.87 0.34 0.11 0.13 -1 -1 0.34 0.0371029 0.0333742 195 190 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 7.71 vpr 65.09 MiB 0.02 7040 -1 -1 14 0.40 -1 -1 36708 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 308 340 1 227 95 17 17 289 -1 unnamed_device 26.5 MiB 0.39 1402 11327 3034 7146 1147 65.1 MiB 0.12 0.00 6.9587 -139.321 -6.9587 6.9587 1.10 0.00077422 0.000686705 0.047783 0.0432944 28 4101 37 6.55708e+06 373705 500653. 1732.36 3.34 0.188887 0.167796 21310 115450 -1 3577 30 1722 5457 534733 178845 7.1991 7.1991 -161.421 -7.1991 0 0 612192. 2118.31 0.29 0.21 0.11 -1 -1 0.29 0.056858 0.0511269 215 213 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 8.16 vpr 64.77 MiB 0.02 7096 -1 -1 14 0.35 -1 -1 36780 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 277 309 1 210 91 17 17 289 -1 unnamed_device 26.4 MiB 0.52 1362 8455 1835 6208 412 64.8 MiB 0.08 0.00 6.49016 -128.354 -6.49016 6.49016 1.04 0.000759227 0.000686238 0.0342114 0.0311216 36 3574 27 6.55708e+06 325485 612192. 2118.31 3.90 0.218519 0.193093 22750 144809 -1 2888 17 1213 3875 223981 50186 6.6817 6.6817 -142.445 -6.6817 0 0 782063. 2706.10 0.35 0.09 0.13 -1 -1 0.35 0.0320333 0.0290593 183 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 15.07 vpr 64.76 MiB 0.03 7068 -1 -1 13 0.48 -1 -1 36892 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 288 320 1 218 91 17 17 289 -1 unnamed_device 26.3 MiB 0.51 1392 14575 3709 9145 1721 64.8 MiB 0.13 0.00 6.60776 -134.289 -6.60776 6.60776 1.07 0.000861812 0.00077409 0.0570507 0.051209 28 3797 42 6.55708e+06 325485 500653. 1732.36 10.53 0.293442 0.257757 21310 115450 -1 3313 24 1401 4175 370158 121395 7.20876 7.20876 -156.485 -7.20876 0 0 612192. 2118.31 0.31 0.16 0.12 -1 -1 0.31 0.0491536 0.0442635 195 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 5.88 vpr 64.48 MiB 0.02 6992 -1 -1 13 0.24 -1 -1 36348 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 30 32 230 262 1 183 86 17 17 289 -1 unnamed_device 26.1 MiB 0.34 1165 6890 1494 4473 923 64.5 MiB 0.06 0.00 6.5569 -135.001 -6.5569 6.5569 1.10 0.000562159 0.000506584 0.0248256 0.0224614 34 2969 42 6.55708e+06 289320 585099. 2024.56 1.93 0.15836 0.139899 22462 138074 -1 2496 15 976 2501 156265 35611 7.1187 7.1187 -152.222 -7.1187 0 0 742403. 2568.87 0.33 0.07 0.13 -1 -1 0.33 0.0277431 0.025362 146 139 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 7.62 vpr 64.82 MiB 0.03 7152 -1 -1 13 0.60 -1 -1 36584 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 30 32 294 326 1 230 93 17 17 289 -1 unnamed_device 26.3 MiB 0.39 1381 10173 2574 6337 1262 64.8 MiB 0.11 0.00 6.69136 -133.069 -6.69136 6.69136 1.08 0.000921919 0.000823171 0.0441228 0.0397651 30 4175 29 6.55708e+06 373705 526063. 1820.29 3.10 0.177662 0.157608 21886 126133 -1 3101 19 1604 4690 233323 54792 7.01016 7.01016 -155.411 -7.01016 0 0 666494. 2306.21 0.33 0.11 0.13 -1 -1 0.33 0.040022 0.0362471 208 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 5.98 vpr 64.76 MiB 0.02 7168 -1 -1 14 0.38 -1 -1 36392 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 276 308 1 206 94 17 17 289 -1 unnamed_device 26.3 MiB 0.40 1380 8401 2060 5770 571 64.8 MiB 0.09 0.00 6.25538 -134.831 -6.25538 6.25538 1.09 0.000985502 0.000913229 0.0355181 0.0320308 30 3338 34 6.55708e+06 361650 526063. 1820.29 1.76 0.1682 0.149704 21886 126133 -1 2816 18 1298 4243 198240 45980 6.49578 6.49578 -151.673 -6.49578 0 0 666494. 2306.21 0.32 0.09 0.12 -1 -1 0.32 0.0343646 0.0310378 184 181 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 8.16 vpr 64.97 MiB 0.02 7020 -1 -1 12 0.33 -1 -1 36976 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 31 32 293 325 1 227 95 17 17 289 -1 unnamed_device 26.5 MiB 0.28 1495 6359 1229 4710 420 65.0 MiB 0.07 0.00 6.6373 -134.482 -6.6373 6.6373 0.99 0.000719325 0.000639683 0.0259977 0.023539 44 3298 15 6.55708e+06 385760 742403. 2568.87 4.27 0.284361 0.252861 24478 177802 -1 2843 15 1120 3461 182054 41380 7.2775 7.2775 -150.636 -7.2775 0 0 937218. 3242.97 0.41 0.08 0.16 -1 -1 0.41 0.0318866 0.0291181 203 200 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 19.81 vpr 64.78 MiB 0.02 7184 -1 -1 13 0.32 -1 -1 36772 -1 -1 28 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 30 32 273 305 1 212 90 17 17 289 -1 unnamed_device 26.2 MiB 0.31 1278 5517 970 4312 235 64.8 MiB 0.06 0.00 6.23244 -112.435 -6.23244 6.23244 0.96 0.000826508 0.000759083 0.0243956 0.0221707 28 4100 49 6.55708e+06 337540 500653. 1732.36 15.81 0.28537 0.252622 21310 115450 -1 3336 31 1819 5827 659283 203934 6.55324 6.55324 -135.222 -6.55324 0 0 612192. 2118.31 0.30 0.24 0.11 -1 -1 0.30 0.0539558 0.0486452 186 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 6.59 vpr 64.90 MiB 0.02 7060 -1 -1 14 0.47 -1 -1 37140 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 310 342 1 239 96 17 17 289 -1 unnamed_device 26.4 MiB 0.62 1591 11484 2892 7640 952 64.9 MiB 0.12 0.00 7.25822 -142.86 -7.25822 7.25822 1.02 0.000782023 0.000707972 0.0482444 0.0436401 30 4101 26 6.55708e+06 385760 526063. 1820.29 2.13 0.167328 0.148222 21886 126133 -1 3367 17 1520 4486 222444 52006 7.64835 7.64835 -163.353 -7.64835 0 0 666494. 2306.21 0.31 0.09 0.13 -1 -1 0.31 0.0352714 0.0318986 220 215 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 8.61 vpr 64.73 MiB 0.03 7256 -1 -1 11 0.39 -1 -1 36652 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 29 32 259 291 1 190 90 17 17 289 -1 unnamed_device 26.2 MiB 0.43 1169 5919 1198 4273 448 64.7 MiB 0.06 0.00 5.54984 -107.818 -5.54984 5.54984 1.05 0.000654502 0.000590199 0.0223486 0.0203016 34 3057 20 6.55708e+06 349595 585099. 2024.56 4.35 0.268238 0.236828 22462 138074 -1 2656 14 1164 3803 207904 47894 5.94458 5.94458 -124.121 -5.94458 0 0 742403. 2568.87 0.36 0.09 0.14 -1 -1 0.36 0.0323291 0.0293356 174 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 6.83 vpr 64.23 MiB 0.02 6864 -1 -1 13 0.19 -1 -1 36328 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 32 32 225 257 1 185 87 17 17 289 -1 unnamed_device 25.7 MiB 0.32 1128 7767 1722 5232 813 64.2 MiB 0.06 0.00 6.2793 -138.533 -6.2793 6.2793 1.03 0.000572615 0.000522598 0.0254513 0.0231843 28 2909 22 6.55708e+06 277265 500653. 1732.36 3.24 0.201547 0.17811 21310 115450 -1 2549 17 1108 2683 158689 37871 6.58844 6.58844 -156.902 -6.58844 0 0 612192. 2118.31 0.29 0.08 0.11 -1 -1 0.29 0.029584 0.0267948 142 130 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 8.76 vpr 64.59 MiB 0.02 7060 -1 -1 14 0.31 -1 -1 36632 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 273 305 1 209 91 17 17 289 -1 unnamed_device 26.0 MiB 0.30 1329 7231 1423 5159 649 64.6 MiB 0.07 0.00 6.57116 -133.256 -6.57116 6.57116 1.07 0.00068259 0.000615686 0.0279677 0.0254497 34 3369 39 6.55708e+06 325485 585099. 2024.56 4.63 0.292411 0.256153 22462 138074 -1 2842 24 1120 3311 312981 121474 7.09116 7.09116 -153.627 -7.09116 0 0 742403. 2568.87 0.38 0.15 0.14 -1 -1 0.38 0.0434701 0.0390735 183 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 9.21 vpr 65.09 MiB 0.02 6968 -1 -1 15 0.49 -1 -1 36704 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 322 354 1 251 96 17 17 289 -1 unnamed_device 26.7 MiB 0.68 1605 7980 1886 5333 761 65.1 MiB 0.09 0.00 7.73501 -162.833 -7.73501 7.73501 1.05 0.00106597 0.000976198 0.0374205 0.0341538 34 4154 29 6.55708e+06 385760 585099. 2024.56 4.58 0.349948 0.309585 22462 138074 -1 3599 18 1584 4323 243556 57076 8.09561 8.09561 -184.694 -8.09561 0 0 742403. 2568.87 0.36 0.11 0.13 -1 -1 0.36 0.0417672 0.0379808 228 227 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 13.44 vpr 64.37 MiB 0.02 6888 -1 -1 11 0.22 -1 -1 36324 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 32 32 218 250 1 164 86 17 17 289 -1 unnamed_device 25.8 MiB 0.76 1049 7457 1698 5403 356 64.4 MiB 0.07 0.00 5.47144 -114.161 -5.47144 5.47144 1.02 0.00054099 0.000491051 0.0243516 0.0220681 28 2896 40 6.55708e+06 265210 500653. 1732.36 8.69 0.221701 0.193479 21310 115450 -1 2452 31 1412 4445 434362 169343 5.59164 5.59164 -132.217 -5.59164 0 0 612192. 2118.31 0.31 0.20 0.12 -1 -1 0.31 0.0451978 0.040471 126 123 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 7.49 vpr 64.17 MiB 0.02 6848 -1 -1 12 0.24 -1 -1 36192 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65708 31 32 244 276 1 192 89 17 17 289 -1 unnamed_device 25.7 MiB 0.40 1224 13355 3696 7957 1702 64.2 MiB 0.10 0.00 5.98944 -127.755 -5.98944 5.98944 0.94 0.00054784 0.000500015 0.0408663 0.0372123 34 3037 27 6.55708e+06 313430 585099. 2024.56 3.74 0.241701 0.215124 22462 138074 -1 2675 19 1303 3739 215715 51535 6.07044 6.07044 -142.543 -6.07044 0 0 742403. 2568.87 0.32 0.09 0.12 -1 -1 0.32 0.0341516 0.0310935 157 151 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 8.33 vpr 65.16 MiB 0.02 7184 -1 -1 12 0.38 -1 -1 36540 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 301 333 1 231 95 17 17 289 -1 unnamed_device 26.5 MiB 0.75 1502 10031 2256 6452 1323 65.2 MiB 0.10 0.00 6.2029 -136.791 -6.2029 6.2029 1.02 0.000806114 0.000736746 0.0394671 0.0358051 30 3811 22 6.55708e+06 373705 526063. 1820.29 3.89 0.295065 0.259151 21886 126133 -1 3095 17 1346 4067 194415 45696 6.3231 6.3231 -153.412 -6.3231 0 0 666494. 2306.21 0.32 0.09 0.12 -1 -1 0.32 0.036157 0.0329156 209 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 6.41 vpr 64.92 MiB 0.02 7064 -1 -1 12 0.30 -1 -1 36424 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 278 310 1 215 92 17 17 289 -1 unnamed_device 26.4 MiB 0.53 1436 14996 4243 8240 2513 64.9 MiB 0.12 0.00 6.42844 -135.086 -6.42844 6.42844 0.94 0.000621942 0.0005645 0.0516469 0.0467359 34 3842 29 6.55708e+06 337540 585099. 2024.56 2.43 0.189526 0.168326 22462 138074 -1 3289 18 1429 4361 265045 60837 6.74984 6.74984 -155.307 -6.74984 0 0 742403. 2568.87 0.32 0.10 0.12 -1 -1 0.32 0.0346047 0.0315427 186 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 7.99 vpr 65.03 MiB 0.03 7148 -1 -1 14 0.62 -1 -1 36640 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 333 365 1 247 99 17 17 289 -1 unnamed_device 26.6 MiB 0.36 1587 8307 2005 5613 689 65.0 MiB 0.09 0.00 7.16496 -146.142 -7.16496 7.16496 1.06 0.000831203 0.000749036 0.0365585 0.0331626 36 4187 32 6.55708e+06 421925 612192. 2118.31 3.47 0.249203 0.219729 22750 144809 -1 3443 20 1790 5454 290474 67982 7.60655 7.60655 -166.619 -7.60655 0 0 782063. 2706.10 0.37 0.11 0.14 -1 -1 0.37 0.0435095 0.0393378 241 238 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 8.38 vpr 64.52 MiB 0.02 7200 -1 -1 11 0.30 -1 -1 36656 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 30 32 261 293 1 202 89 17 17 289 -1 unnamed_device 25.9 MiB 0.58 1224 14543 4374 7793 2376 64.5 MiB 0.12 0.00 5.26258 -106.392 -5.26258 5.26258 1.03 0.000641394 0.000579607 0.050646 0.0457083 34 3427 25 6.55708e+06 325485 585099. 2024.56 4.14 0.280496 0.246288 22462 138074 -1 2769 16 1184 3572 220151 49663 6.07444 6.07444 -127.938 -6.07444 0 0 742403. 2568.87 0.34 0.08 0.14 -1 -1 0.34 0.0299574 0.0271957 176 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 5.33 vpr 63.91 MiB 0.02 7068 -1 -1 11 0.23 -1 -1 36168 -1 -1 25 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65448 27 32 217 249 1 157 84 17 17 289 -1 unnamed_device 25.3 MiB 0.28 932 5940 1291 4255 394 63.9 MiB 0.05 0.00 5.50038 -100.346 -5.50038 5.50038 1.04 0.000553194 0.000504707 0.0209485 0.0190589 26 2423 24 6.55708e+06 301375 477104. 1650.88 1.68 0.109533 0.0967251 21022 109990 -1 2204 18 919 2563 139398 34416 5.98118 5.98118 -120.294 -5.98118 0 0 585099. 2024.56 0.27 0.07 0.11 -1 -1 0.27 0.0265279 0.0237568 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 10.01 vpr 65.16 MiB 0.04 7264 -1 -1 13 0.52 -1 -1 36720 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 373 405 1 274 104 17 17 289 -1 unnamed_device 27.1 MiB 0.32 1902 12548 3200 8135 1213 65.2 MiB 0.14 0.00 6.42904 -133.695 -6.42904 6.42904 1.04 0.00101166 0.000923259 0.0570129 0.0518253 36 5195 28 6.55708e+06 482200 612192. 2118.31 5.76 0.401862 0.355659 22750 144809 -1 4103 18 1848 6395 359951 80332 6.9633 6.9633 -156.441 -6.9633 0 0 782063. 2706.10 0.33 0.13 0.12 -1 -1 0.33 0.047135 0.0430761 280 278 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 15.89 vpr 64.89 MiB 0.02 7076 -1 -1 14 0.32 -1 -1 36436 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 31 32 269 301 1 201 89 17 17 289 -1 unnamed_device 26.5 MiB 0.35 1320 12761 3202 7623 1936 64.9 MiB 0.11 0.00 6.88536 -138.325 -6.88536 6.88536 1.05 0.000730132 0.000668618 0.0439789 0.0397995 28 4156 41 6.55708e+06 313430 500653. 1732.36 11.82 0.288434 0.253828 21310 115450 -1 3342 29 1900 5738 549742 171446 7.89076 7.89076 -166.88 -7.89076 0 0 612192. 2118.31 0.27 0.20 0.10 -1 -1 0.27 0.0484144 0.0436482 178 176 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 8.71 vpr 64.39 MiB 0.02 7064 -1 -1 12 0.21 -1 -1 36372 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 228 260 1 185 91 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1161 14575 4032 8510 2033 64.4 MiB 0.12 0.00 6.25538 -137.354 -6.25538 6.25538 1.03 0.000977684 0.000877052 0.0441541 0.039784 36 2962 22 6.55708e+06 325485 612192. 2118.31 4.60 0.232951 0.204019 22750 144809 -1 2583 15 1066 3023 177441 39191 6.37558 6.37558 -150.498 -6.37558 0 0 782063. 2706.10 0.37 0.08 0.14 -1 -1 0.37 0.0276194 0.025099 144 133 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 8.75 vpr 64.59 MiB 0.02 7040 -1 -1 13 0.36 -1 -1 36368 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 265 297 1 201 89 17 17 289 -1 unnamed_device 26.0 MiB 0.52 1207 6029 1286 4160 583 64.6 MiB 0.07 0.00 6.7203 -130.556 -6.7203 6.7203 1.06 0.000657879 0.000596473 0.026585 0.024223 36 3116 18 6.55708e+06 301375 612192. 2118.31 4.52 0.295126 0.26165 22750 144809 -1 2623 17 1134 3416 184762 43373 7.0417 7.0417 -146.983 -7.0417 0 0 782063. 2706.10 0.33 0.08 0.12 -1 -1 0.33 0.0325991 0.0296081 172 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 15.10 vpr 65.33 MiB 0.02 7360 -1 -1 13 0.37 -1 -1 36904 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 31 32 325 357 1 256 98 17 17 289 -1 unnamed_device 26.9 MiB 0.39 1673 7298 1424 5307 567 65.3 MiB 0.09 0.00 6.5197 -134.644 -6.5197 6.5197 1.05 0.000973422 0.000895103 0.0364458 0.0333753 28 4813 39 6.55708e+06 421925 500653. 1732.36 11.05 0.340222 0.30252 21310 115450 -1 3876 20 1731 5172 343094 83160 6.7601 6.7601 -156.345 -6.7601 0 0 612192. 2118.31 0.27 0.14 0.10 -1 -1 0.27 0.0482283 0.0441055 235 232 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 6.21 vpr 64.73 MiB 0.02 7088 -1 -1 11 0.32 -1 -1 36588 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 30 32 287 319 1 210 94 17 17 289 -1 unnamed_device 26.3 MiB 0.53 1426 12661 3632 7779 1250 64.7 MiB 0.11 0.00 5.91044 -118.756 -5.91044 5.91044 1.01 0.000687226 0.00061318 0.042156 0.0380067 30 3562 30 6.55708e+06 385760 526063. 1820.29 2.11 0.172835 0.154517 21886 126133 -1 3019 18 1331 4655 224810 51702 6.15284 6.15284 -134.823 -6.15284 0 0 666494. 2306.21 0.29 0.09 0.11 -1 -1 0.29 0.0364377 0.0329029 199 196 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 7.78 vpr 64.77 MiB 0.02 7144 -1 -1 15 0.41 -1 -1 36588 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 297 329 1 230 93 17 17 289 -1 unnamed_device 26.2 MiB 0.37 1410 14373 3536 8116 2721 64.8 MiB 0.12 0.00 7.33722 -149.469 -7.33722 7.33722 1.02 0.000872525 0.00078849 0.0525008 0.0474639 36 3927 37 6.55708e+06 349595 612192. 2118.31 3.63 0.270212 0.239795 22750 144809 -1 3105 16 1433 4635 241152 56710 7.85922 7.85922 -167.529 -7.85922 0 0 782063. 2706.10 0.35 0.10 0.13 -1 -1 0.35 0.0332599 0.03008 203 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 5.55 vpr 65.26 MiB 0.02 7112 -1 -1 13 0.42 -1 -1 36652 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 32 32 311 343 1 238 96 17 17 289 -1 unnamed_device 26.5 MiB 0.29 1562 8418 1908 5621 889 65.3 MiB 0.08 0.00 6.49216 -137.708 -6.49216 6.49216 1.06 0.000796867 0.000721949 0.0344097 0.0312141 30 3797 31 6.55708e+06 385760 526063. 1820.29 1.47 0.175714 0.156723 21886 126133 -1 3141 17 1518 4617 224564 52366 6.85276 6.85276 -158.38 -6.85276 0 0 666494. 2306.21 0.31 0.10 0.12 -1 -1 0.31 0.0373376 0.0339647 217 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 8.12 vpr 64.05 MiB 0.02 6888 -1 -1 12 0.26 -1 -1 35960 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65592 29 32 236 268 1 192 90 17 17 289 -1 unnamed_device 25.6 MiB 0.58 1153 8130 1879 5254 997 64.1 MiB 0.07 0.00 5.81778 -125.088 -5.81778 5.81778 1.07 0.000561217 0.000506823 0.0284073 0.0258108 32 3111 43 6.55708e+06 349595 554710. 1919.41 3.94 0.261925 0.230196 22174 131602 -1 2587 17 1108 2777 170877 40576 6.20792 6.20792 -143.725 -6.20792 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0289535 0.0261502 159 147 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 5.93 vpr 64.25 MiB 0.02 6976 -1 -1 11 0.18 -1 -1 36268 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 32 32 231 263 1 179 86 17 17 289 -1 unnamed_device 25.9 MiB 0.45 1194 5756 1131 4178 447 64.3 MiB 0.05 0.00 5.65838 -120.723 -5.65838 5.65838 0.95 0.000569302 0.00051908 0.0193752 0.0176863 28 3301 50 6.55708e+06 265210 500653. 1732.36 2.08 0.144623 0.128738 21310 115450 -1 2916 55 2199 6648 796759 382303 6.09998 6.09998 -149.73 -6.09998 0 0 612192. 2118.31 0.28 0.34 0.10 -1 -1 0.28 0.0715585 0.063942 138 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 6.00 vpr 65.09 MiB 0.02 7156 -1 -1 13 0.39 -1 -1 36904 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 294 326 1 224 94 17 17 289 -1 unnamed_device 26.5 MiB 0.45 1539 8188 1770 5607 811 65.1 MiB 0.09 0.00 6.74584 -137.864 -6.74584 6.74584 1.06 0.00078339 0.000712948 0.0349962 0.0317359 30 3805 23 6.55708e+06 373705 526063. 1820.29 1.79 0.169511 0.151852 21886 126133 -1 3180 19 1376 4470 226632 52207 7.02284 7.02284 -153.473 -7.02284 0 0 666494. 2306.21 0.33 0.10 0.12 -1 -1 0.33 0.041459 0.0374987 204 201 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 7.19 vpr 64.12 MiB 0.02 7092 -1 -1 10 0.23 -1 -1 36188 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65656 29 32 221 253 1 166 85 17 17 289 -1 unnamed_device 25.5 MiB 0.25 1049 11803 3196 6655 1952 64.1 MiB 0.09 0.00 5.00992 -101.498 -5.00992 5.00992 1.03 0.000681818 0.00062098 0.0362228 0.0327968 28 2672 20 6.55708e+06 289320 500653. 1732.36 3.48 0.201732 0.178339 21310 115450 -1 2253 15 937 2651 152522 35565 5.54418 5.54418 -121.23 -5.54418 0 0 612192. 2118.31 0.30 0.07 0.11 -1 -1 0.30 0.0262574 0.0238593 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 16.00 vpr 64.11 MiB 0.02 6840 -1 -1 14 0.25 -1 -1 36556 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65648 32 32 240 272 1 178 88 17 17 289 -1 unnamed_device 25.7 MiB 0.56 1103 12373 3722 6373 2278 64.1 MiB 0.10 0.00 6.3185 -130.338 -6.3185 6.3185 1.03 0.000542262 0.00048853 0.0400863 0.0362553 28 3219 22 6.55708e+06 289320 500653. 1732.36 11.88 0.221265 0.194156 21310 115450 -1 2686 20 1241 3705 278341 73975 6.7993 6.7993 -155.291 -6.7993 0 0 612192. 2118.31 0.30 0.11 0.11 -1 -1 0.30 0.0322029 0.0290224 149 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 6.97 vpr 64.72 MiB 0.02 7236 -1 -1 12 0.42 -1 -1 36396 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 31 32 292 324 1 211 92 17 17 289 -1 unnamed_device 26.3 MiB 0.41 1349 14789 3999 8146 2644 64.7 MiB 0.14 0.00 6.2787 -129.822 -6.2787 6.2787 1.04 0.000657445 0.000589227 0.0563041 0.0510264 34 3807 34 6.55708e+06 349595 585099. 2024.56 2.71 0.231972 0.204583 22462 138074 -1 3148 15 1300 4244 273503 61275 6.8797 6.8797 -156.46 -6.8797 0 0 742403. 2568.87 0.35 0.10 0.14 -1 -1 0.35 0.0307338 0.0278525 201 199 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 5.99 vpr 64.38 MiB 0.02 7020 -1 -1 12 0.19 -1 -1 36048 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 31 32 229 261 1 182 86 17 17 289 -1 unnamed_device 26.0 MiB 0.37 1060 5945 1165 4580 200 64.4 MiB 0.05 0.00 5.49898 -119.703 -5.49898 5.49898 1.00 0.000615425 0.000560735 0.0204603 0.0184515 28 2984 27 6.55708e+06 277265 500653. 1732.36 2.33 0.113044 0.100061 21310 115450 -1 2519 15 985 2611 157981 37681 5.85958 5.85958 -139.819 -5.85958 0 0 612192. 2118.31 0.29 0.07 0.10 -1 -1 0.29 0.0243017 0.0218877 141 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 7.22 vpr 64.72 MiB 0.02 7068 -1 -1 12 0.24 -1 -1 36272 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 282 314 1 205 91 17 17 289 -1 unnamed_device 26.1 MiB 0.32 1369 9067 2139 5794 1134 64.7 MiB 0.08 0.00 5.75364 -126.276 -5.75364 5.75364 0.96 0.00060939 0.000548063 0.0319925 0.0290281 26 3846 46 6.55708e+06 325485 477104. 1650.88 3.16 0.179547 0.159408 21022 109990 -1 3220 55 2086 8359 1529906 813223 6.23444 6.23444 -151.526 -6.23444 0 0 585099. 2024.56 0.25 0.57 0.09 -1 -1 0.25 0.0829466 0.0741223 188 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 8.30 vpr 64.76 MiB 0.02 7244 -1 -1 13 0.34 -1 -1 37016 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 31 32 269 301 1 216 92 17 17 289 -1 unnamed_device 26.3 MiB 0.34 1404 7751 1757 4862 1132 64.8 MiB 0.07 0.00 6.3995 -136.53 -6.3995 6.3995 0.96 0.000653005 0.000597608 0.0290427 0.0264667 38 3322 18 6.55708e+06 349595 638502. 2209.35 4.48 0.272858 0.242485 23326 155178 -1 2855 14 1143 3400 177267 39696 6.8803 6.8803 -155.506 -6.8803 0 0 851065. 2944.86 0.35 0.07 0.14 -1 -1 0.35 0.0288038 0.0262569 179 176 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 5.77 vpr 64.35 MiB 0.02 6904 -1 -1 11 0.22 -1 -1 36024 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 32 32 237 269 1 184 91 17 17 289 -1 unnamed_device 25.9 MiB 0.31 1228 9067 2214 5839 1014 64.3 MiB 0.08 0.00 5.47144 -121.882 -5.47144 5.47144 1.00 0.000578394 0.000523209 0.0301025 0.0271958 28 3507 29 6.55708e+06 325485 500653. 1732.36 2.07 0.124833 0.109908 21310 115450 -1 2896 21 1175 3596 232505 58048 5.95224 5.95224 -142.036 -5.95224 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.029684 0.0264548 148 142 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 11.86 vpr 64.69 MiB 0.02 6796 -1 -1 13 0.26 -1 -1 36228 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 259 291 1 202 91 17 17 289 -1 unnamed_device 26.1 MiB 0.33 1340 12739 3442 7718 1579 64.7 MiB 0.11 0.00 6.26504 -134.276 -6.26504 6.26504 1.05 0.000645841 0.00058887 0.0451993 0.0406834 28 3432 49 6.55708e+06 325485 500653. 1732.36 7.91 0.282512 0.248783 21310 115450 -1 2929 18 1285 3629 222862 51115 6.5589 6.5589 -154.404 -6.5589 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0316918 0.0285489 167 164 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 8.44 vpr 64.88 MiB 0.02 7232 -1 -1 13 0.35 -1 -1 36832 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 277 309 1 220 91 17 17 289 -1 unnamed_device 26.4 MiB 0.24 1237 12739 3496 7349 1894 64.9 MiB 0.12 0.00 6.65156 -130.528 -6.65156 6.65156 1.02 0.000713764 0.00065071 0.0466227 0.0421489 36 3421 24 6.55708e+06 325485 612192. 2118.31 4.44 0.255844 0.224342 22750 144809 -1 2958 18 1332 3911 210425 49933 6.97296 6.97296 -149.969 -6.97296 0 0 782063. 2706.10 0.37 0.10 0.13 -1 -1 0.37 0.0367144 0.0333936 184 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 9.25 vpr 64.51 MiB 0.02 7192 -1 -1 11 0.26 -1 -1 36084 -1 -1 28 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 29 32 245 277 1 189 89 17 17 289 -1 unnamed_device 26.0 MiB 0.43 1126 8207 1959 5095 1153 64.5 MiB 0.07 0.00 5.53052 -104.852 -5.53052 5.53052 1.07 0.000597561 0.000541156 0.0297243 0.0268676 36 2945 48 6.55708e+06 337540 612192. 2118.31 5.14 0.309855 0.271176 22750 144809 -1 2414 15 962 3046 175607 39719 5.65072 5.65072 -116.392 -5.65072 0 0 782063. 2706.10 0.37 0.08 0.14 -1 -1 0.37 0.0280471 0.0255179 162 156 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 18.42 vpr 65.24 MiB 0.02 7140 -1 -1 14 0.39 -1 -1 37268 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 316 348 1 250 96 17 17 289 -1 unnamed_device 26.6 MiB 0.45 1614 10170 2578 6728 864 65.2 MiB 0.11 0.00 6.86302 -148.285 -6.86302 6.86302 1.07 0.00109169 0.000998568 0.0463335 0.0421245 32 5017 47 6.55708e+06 385760 554710. 1919.41 13.98 0.428115 0.376507 22174 131602 -1 4036 31 2241 6829 805046 289787 8.01156 8.01156 -182.237 -8.01156 0 0 701300. 2426.64 0.34 0.31 0.13 -1 -1 0.34 0.0638841 0.0573087 225 221 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 8.20 vpr 64.35 MiB 0.02 6980 -1 -1 12 0.22 -1 -1 36104 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 31 32 230 262 1 186 91 17 17 289 -1 unnamed_device 26.0 MiB 0.53 1161 13351 3360 8030 1961 64.3 MiB 0.10 0.00 5.43224 -115.756 -5.43224 5.43224 1.04 0.000522532 0.000466772 0.039479 0.0357583 36 2596 19 6.55708e+06 337540 612192. 2118.31 4.07 0.248969 0.218517 22750 144809 -1 2275 14 910 2413 126898 29929 5.67264 5.67264 -128.338 -5.67264 0 0 782063. 2706.10 0.37 0.06 0.14 -1 -1 0.37 0.0226774 0.0205699 145 137 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 5.28 vpr 64.74 MiB 0.02 7044 -1 -1 13 0.36 -1 -1 37008 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 282 314 1 213 91 17 17 289 -1 unnamed_device 26.2 MiB 0.47 1403 5803 1175 4057 571 64.7 MiB 0.06 0.00 6.4407 -128.884 -6.4407 6.4407 0.99 0.000767553 0.000697259 0.0247027 0.0224729 30 3411 21 6.55708e+06 325485 526063. 1820.29 1.27 0.130493 0.11545 21886 126133 -1 2834 17 1218 3769 178862 41311 6.5609 6.5609 -143.227 -6.5609 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0328403 0.029749 189 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 7.86 vpr 64.39 MiB 0.02 6988 -1 -1 13 0.23 -1 -1 36096 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 235 267 1 180 89 17 17 289 -1 unnamed_device 26.0 MiB 0.45 1093 11375 2882 6245 2248 64.4 MiB 0.09 0.00 6.18864 -134.458 -6.18864 6.18864 1.00 0.000665516 0.000606241 0.0348088 0.0314302 34 2770 22 6.55708e+06 301375 585099. 2024.56 3.96 0.234994 0.206314 22462 138074 -1 2429 18 1102 2854 156233 37644 6.66944 6.66944 -152.689 -6.66944 0 0 742403. 2568.87 0.33 0.07 0.13 -1 -1 0.33 0.0274313 0.0245367 146 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 5.77 vpr 64.52 MiB 0.02 7244 -1 -1 12 0.26 -1 -1 36684 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 265 297 1 193 90 17 17 289 -1 unnamed_device 26.0 MiB 0.37 1197 4914 839 3816 259 64.5 MiB 0.05 0.00 5.9619 -125.936 -5.9619 5.9619 0.98 0.000584706 0.000532073 0.0190691 0.017402 28 3179 22 6.55708e+06 313430 500653. 1732.36 2.10 0.127338 0.113293 21310 115450 -1 2787 18 1152 3912 216430 50263 6.46258 6.46258 -145.937 -6.46258 0 0 612192. 2118.31 0.27 0.09 0.10 -1 -1 0.27 0.0338095 0.0306796 172 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 9.73 vpr 65.14 MiB 0.03 7456 -1 -1 15 0.67 -1 -1 36732 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 32 32 344 376 1 259 98 17 17 289 -1 unnamed_device 26.9 MiB 0.42 1757 8648 1956 5929 763 65.1 MiB 0.10 0.00 7.33922 -148.898 -7.33922 7.33922 1.06 0.000975947 0.000886492 0.0406477 0.0367721 36 4393 21 6.55708e+06 409870 612192. 2118.31 5.04 0.250132 0.219886 22750 144809 -1 3900 21 2106 7030 407896 89865 7.80775 7.80775 -166.67 -7.80775 0 0 782063. 2706.10 0.35 0.14 0.15 -1 -1 0.35 0.0449746 0.0404367 250 249 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 4.78 vpr 63.79 MiB 0.02 6784 -1 -1 10 0.13 -1 -1 35812 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65324 30 32 173 205 1 127 78 17 17 289 -1 unnamed_device 25.3 MiB 0.37 713 7216 1660 5270 286 63.8 MiB 0.05 0.00 4.40126 -99.1045 -4.40126 4.40126 1.05 0.000391269 0.000353048 0.0204609 0.0185782 28 1825 26 6.55708e+06 192880 500653. 1732.36 1.11 0.0900805 0.0797525 21310 115450 -1 1608 17 678 1639 89586 22826 4.48226 4.48226 -113.2 -4.48226 0 0 612192. 2118.31 0.29 0.05 0.11 -1 -1 0.29 0.0190617 0.0170907 92 82 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 7.44 vpr 64.13 MiB 0.02 6804 -1 -1 13 0.24 -1 -1 36312 -1 -1 29 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 30 32 229 261 1 172 91 17 17 289 -1 unnamed_device 25.7 MiB 0.27 1066 6619 1342 4448 829 64.1 MiB 0.06 0.00 6.3577 -127.046 -6.3577 6.3577 1.10 0.000555977 0.000503229 0.0217167 0.0198121 30 2585 31 6.55708e+06 349595 526063. 1820.29 3.56 0.20958 0.184297 21886 126133 -1 2182 16 928 2729 125971 30676 6.7183 6.7183 -145.227 -6.7183 0 0 666494. 2306.21 0.32 0.06 0.12 -1 -1 0.32 0.0268878 0.0242881 150 138 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 6.75 vpr 64.34 MiB 0.02 7020 -1 -1 12 0.24 -1 -1 36372 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 32 32 261 293 1 201 87 17 17 289 -1 unnamed_device 25.8 MiB 0.37 1311 5079 1013 3448 618 64.3 MiB 0.06 0.00 5.74138 -125.798 -5.74138 5.74138 1.04 0.00061373 0.000539256 0.022426 0.0203398 36 3130 30 6.55708e+06 277265 612192. 2118.31 2.84 0.195602 0.173015 22750 144809 -1 2647 16 1030 2996 161326 37265 6.22218 6.22218 -146.832 -6.22218 0 0 782063. 2706.10 0.36 0.07 0.14 -1 -1 0.36 0.0276073 0.0247698 167 166 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 5.62 vpr 63.91 MiB 0.02 6892 -1 -1 9 0.17 -1 -1 36088 -1 -1 25 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65440 25 32 184 216 1 141 82 17 17 289 -1 unnamed_device 25.5 MiB 0.22 809 8270 2029 5236 1005 63.9 MiB 0.06 0.00 4.79906 -87.247 -4.79906 4.79906 1.07 0.000465651 0.00042409 0.0239883 0.0218494 26 2306 26 6.55708e+06 301375 477104. 1650.88 1.97 0.103382 0.0912777 21022 109990 -1 1927 16 869 2467 146143 34007 5.15966 5.15966 -105.415 -5.15966 0 0 585099. 2024.56 0.30 0.06 0.11 -1 -1 0.30 0.0224764 0.0201587 112 103 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 9.02 vpr 64.23 MiB 0.02 7260 -1 -1 12 0.36 -1 -1 36396 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 32 32 302 334 1 239 98 17 17 289 -1 unnamed_device 25.7 MiB 0.75 1661 5048 829 3928 291 64.2 MiB 0.06 0.00 6.47024 -139.776 -6.47024 6.47024 1.04 0.000794264 0.000721763 0.0223536 0.0203891 36 4200 25 6.55708e+06 409870 612192. 2118.31 4.56 0.216047 0.191412 22750 144809 -1 3541 17 1615 4655 266942 59960 6.67144 6.67144 -161.013 -6.67144 0 0 782063. 2706.10 0.33 0.10 0.12 -1 -1 0.33 0.0367232 0.0335037 209 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 7.65 vpr 64.59 MiB 0.02 7200 -1 -1 14 0.38 -1 -1 36764 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 31 32 295 327 1 219 92 17 17 289 -1 unnamed_device 26.0 MiB 0.50 1275 9821 2569 5868 1384 64.6 MiB 0.10 0.00 6.62002 -132.776 -6.62002 6.62002 1.00 0.000700361 0.000632421 0.0404252 0.0366335 34 3787 39 6.55708e+06 349595 585099. 2024.56 3.37 0.263637 0.233479 22462 138074 -1 2979 20 1526 4562 256083 63118 7.28976 7.28976 -160.63 -7.28976 0 0 742403. 2568.87 0.36 0.11 0.14 -1 -1 0.36 0.0419693 0.0381251 204 202 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.45 vpr 64.84 MiB 0.02 7500 -1 -1 1 0.04 -1 -1 34284 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 438 350 1 194 100 17 17 289 -1 unnamed_device 26.6 MiB 0.12 962 13788 3481 8832 1475 64.8 MiB 0.13 0.00 3.40616 -115.043 -3.40616 3.40616 0.99 0.000760912 0.000700207 0.04202 0.0383053 32 2687 25 6.64007e+06 452088 554710. 1919.41 1.06 0.137983 0.122228 22834 132086 -1 2192 21 1786 3004 200821 46925 3.61223 3.61223 -138.265 -3.61223 0 0 701300. 2426.64 0.31 0.09 0.12 -1 -1 0.31 0.0339277 0.030515 153 80 32 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.61 vpr 65.11 MiB 0.02 7520 -1 -1 1 0.04 -1 -1 33912 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 30 32 409 330 1 186 85 17 17 289 -1 unnamed_device 26.4 MiB 0.20 877 14221 4303 7638 2280 65.1 MiB 0.13 0.00 3.60576 -107.965 -3.60576 3.60576 1.05 0.000495756 0.000443148 0.0471393 0.0427347 32 2340 22 6.64007e+06 288834 554710. 1919.41 1.04 0.131447 0.116264 22834 132086 -1 1975 17 1496 2467 158885 37859 4.05543 4.05543 -137.708 -4.05543 0 0 701300. 2426.64 0.33 0.07 0.12 -1 -1 0.33 0.0275919 0.0246136 142 78 30 30 89 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 5.49 vpr 64.94 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34084 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 387 309 1 186 99 17 17 289 -1 unnamed_device 26.2 MiB 0.10 902 8763 1778 6595 390 64.9 MiB 0.09 0.00 3.13925 -105.673 -3.13925 3.13925 1.03 0.000568263 0.000521327 0.0273617 0.0249843 28 2735 34 6.64007e+06 439530 500653. 1732.36 2.11 0.131255 0.116431 21970 115934 -1 2230 22 1512 2499 208904 46122 3.77883 3.77883 -138.853 -3.77883 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.0308398 0.0273917 142 50 54 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.69 vpr 64.95 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 33764 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 29 32 343 267 1 184 85 17 17 289 -1 unnamed_device 26.3 MiB 0.08 909 11803 3544 7112 1147 64.9 MiB 0.12 0.00 3.70576 -107.366 -3.70576 3.70576 1.08 0.000498622 0.000452142 0.0363861 0.033092 32 2313 21 6.64007e+06 301392 554710. 1919.41 1.10 0.112717 0.0995135 22834 132086 -1 1968 22 1705 2912 194415 45321 3.73963 3.73963 -131.057 -3.73963 0 0 701300. 2426.64 0.32 0.09 0.14 -1 -1 0.32 0.0291541 0.0258052 138 25 87 29 29 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.72 vpr 65.15 MiB 0.02 7320 -1 -1 1 0.04 -1 -1 33812 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 376 288 1 195 86 17 17 289 -1 unnamed_device 26.4 MiB 0.11 1082 12938 3934 7730 1274 65.2 MiB 0.13 0.00 3.30796 -118.656 -3.30796 3.30796 1.08 0.000588149 0.000538269 0.041294 0.0376091 32 2490 20 6.64007e+06 276276 554710. 1919.41 1.09 0.120481 0.106492 22834 132086 -1 2246 22 1984 3634 241305 52921 3.72443 3.72443 -144.935 -3.72443 0 0 701300. 2426.64 0.35 0.10 0.14 -1 -1 0.35 0.0338882 0.0303471 153 31 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.57 vpr 65.46 MiB 0.03 7148 -1 -1 1 0.04 -1 -1 33912 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67036 32 32 402 316 1 199 103 17 17 289 -1 unnamed_device 26.9 MiB 0.11 1088 18901 5111 11447 2343 65.5 MiB 0.17 0.00 2.7819 -100.102 -2.7819 2.7819 1.02 0.000673465 0.000619133 0.0564738 0.0516838 32 2396 18 6.64007e+06 489762 554710. 1919.41 1.05 0.13694 0.121903 22834 132086 -1 2111 20 1357 2177 167600 35630 2.71677 2.71677 -114.835 -2.71677 0 0 701300. 2426.64 0.32 0.08 0.13 -1 -1 0.32 0.03117 0.027975 156 61 63 32 63 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.46 vpr 64.08 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 34332 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65616 27 32 269 226 1 135 79 17 17 289 -1 unnamed_device 25.7 MiB 0.10 727 13261 4280 6742 2239 64.1 MiB 0.10 0.00 3.0775 -84.7733 -3.0775 3.0775 1.13 0.000618212 0.000569464 0.0365952 0.0333263 30 1478 21 6.64007e+06 251160 526063. 1820.29 1.01 0.0962981 0.0851546 22546 126617 -1 1347 20 703 1255 69168 16178 2.72477 2.72477 -94.5921 -2.72477 0 0 666494. 2306.21 0.30 0.05 0.11 -1 -1 0.30 0.0203709 0.0181828 96 26 54 27 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.58 vpr 64.94 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34272 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 31 32 317 242 1 185 97 17 17 289 -1 unnamed_device 26.3 MiB 0.08 1048 16303 5056 8818 2429 64.9 MiB 0.13 0.00 2.9483 -95.6493 -2.9483 2.9483 1.04 0.000538134 0.000487835 0.0402206 0.0365748 28 2496 21 6.64007e+06 426972 500653. 1732.36 1.13 0.119245 0.106131 21970 115934 -1 2058 15 971 1723 132098 27675 2.76417 2.76417 -108.217 -2.76417 0 0 612192. 2118.31 0.31 0.06 0.11 -1 -1 0.31 0.0219314 0.0198242 140 -1 115 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.68 vpr 64.88 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 33816 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 338 292 1 147 80 17 17 289 -1 unnamed_device 26.1 MiB 0.16 810 6100 1410 3634 1056 64.9 MiB 0.06 0.00 2.69519 -86.3861 -2.69519 2.69519 1.13 0.000485572 0.000443395 0.021637 0.0197996 32 1818 20 6.64007e+06 213486 554710. 1919.41 1.03 0.0914439 0.0803753 22834 132086 -1 1622 17 894 1456 94397 22288 2.77577 2.77577 -101.968 -2.77577 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0242554 0.0214212 106 81 0 0 84 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.46 vpr 64.86 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33996 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 314 256 1 162 81 17 17 289 -1 unnamed_device 26.1 MiB 0.18 945 13731 4635 7137 1959 64.9 MiB 0.11 0.00 2.7849 -102.339 -2.7849 2.7849 1.01 0.000557704 0.000511283 0.0391711 0.0358891 32 2200 27 6.64007e+06 213486 554710. 1919.41 1.04 0.1148 0.102317 22834 132086 -1 1950 21 1432 2216 168969 37194 2.89097 2.89097 -121.184 -2.89097 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0274326 0.0245948 121 31 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.46 vpr 64.86 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33656 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 30 32 325 273 1 151 80 17 17 289 -1 unnamed_device 26.1 MiB 0.15 665 9884 2798 5725 1361 64.9 MiB 0.09 0.00 2.80139 -88.6898 -2.80139 2.80139 1.10 0.000496525 0.000451941 0.0323979 0.0295424 28 1568 22 6.64007e+06 226044 500653. 1732.36 0.95 0.101653 0.0897573 21970 115934 -1 1374 16 957 1385 76594 19878 2.97617 2.97617 -107.745 -2.97617 0 0 612192. 2118.31 0.30 0.05 0.10 -1 -1 0.30 0.0223455 0.0201538 110 58 30 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.78 vpr 64.85 MiB 0.03 7224 -1 -1 1 0.04 -1 -1 34036 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 26.0 MiB 0.11 899 15003 4027 9024 1952 64.8 MiB 0.13 0.00 2.6877 -93.3875 -2.6877 2.6877 1.13 0.000470627 0.000423359 0.0412338 0.0374982 32 1994 24 6.64007e+06 364182 554710. 1919.41 1.09 0.115353 0.101859 22834 132086 -1 1851 19 1165 1847 133294 29677 2.86077 2.86077 -112.857 -2.86077 0 0 701300. 2426.64 0.33 0.07 0.14 -1 -1 0.33 0.0239123 0.0212391 114 57 25 25 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.96 vpr 65.21 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 33796 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 386 305 1 188 98 17 17 289 -1 unnamed_device 26.4 MiB 0.21 841 17423 5563 8405 3455 65.2 MiB 0.16 0.00 2.8299 -97.2128 -2.8299 2.8299 1.08 0.000685348 0.00062552 0.0517816 0.0472452 32 2492 26 6.64007e+06 426972 554710. 1919.41 1.19 0.149276 0.132977 22834 132086 -1 1952 20 1706 2815 175493 42799 3.11637 3.11637 -118.835 -3.11637 0 0 701300. 2426.64 0.34 0.08 0.13 -1 -1 0.34 0.0303246 0.0271738 145 55 64 32 57 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.96 vpr 65.29 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 33936 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 32 32 407 319 1 200 100 17 17 289 -1 unnamed_device 26.8 MiB 0.15 1027 16804 4495 9925 2384 65.3 MiB 0.16 0.00 3.38416 -118.804 -3.38416 3.38416 1.11 0.00070386 0.000640952 0.0500308 0.0454838 32 2550 20 6.64007e+06 452088 554710. 1919.41 1.14 0.138942 0.123096 22834 132086 -1 2206 22 2007 3143 230778 49128 3.65443 3.65443 -142.732 -3.65443 0 0 701300. 2426.64 0.35 0.10 0.14 -1 -1 0.35 0.0360013 0.0324395 158 60 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.35 vpr 64.27 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 34116 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 29 32 272 228 1 145 80 17 17 289 -1 unnamed_device 25.8 MiB 0.10 829 13668 4281 7724 1663 64.3 MiB 0.10 0.00 2.7049 -86.3628 -2.7049 2.7049 1.03 0.000445435 0.000404677 0.0348332 0.0316613 32 1805 21 6.64007e+06 238602 554710. 1919.41 0.99 0.0959966 0.0847965 22834 132086 -1 1676 20 1075 1807 129316 29375 2.72157 2.72157 -102.322 -2.72157 0 0 701300. 2426.64 0.34 0.06 0.12 -1 -1 0.34 0.0215342 0.0191313 108 21 58 29 24 24 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.60 vpr 64.99 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 34052 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 401 315 1 192 86 17 17 289 -1 unnamed_device 26.2 MiB 0.17 1121 14261 4528 7474 2259 65.0 MiB 0.14 0.00 2.7929 -101.487 -2.7929 2.7929 1.03 0.000578117 0.000518225 0.0494455 0.0454615 32 2729 22 6.64007e+06 276276 554710. 1919.41 1.08 0.141486 0.126588 22834 132086 -1 2307 19 1739 2964 200212 44498 3.11037 3.11037 -125.204 -3.11037 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0319988 0.0289186 147 60 64 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 7.36 vpr 65.28 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 33992 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 383 303 1 185 100 17 17 289 -1 unnamed_device 26.5 MiB 0.16 975 17500 5427 9602 2471 65.3 MiB 0.15 0.00 2.9051 -104.077 -2.9051 2.9051 1.02 0.000644749 0.000587358 0.0474626 0.0432176 34 2272 48 6.64007e+06 452088 585099. 2024.56 3.83 0.262375 0.231127 23122 138558 -1 1925 16 1230 1792 116166 26964 2.99097 2.99097 -120.322 -2.99097 0 0 742403. 2568.87 0.34 0.06 0.13 -1 -1 0.34 0.0219711 0.0197372 144 54 64 32 56 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.76 vpr 64.90 MiB 0.02 7228 -1 -1 1 0.04 -1 -1 33800 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 339 284 1 162 95 17 17 289 -1 unnamed_device 26.1 MiB 0.13 768 12407 4011 5872 2524 64.9 MiB 0.11 0.00 2.29764 -80.8776 -2.29764 2.29764 1.12 0.000554095 0.000496844 0.0359247 0.0324577 32 2002 26 6.64007e+06 389298 554710. 1919.41 1.10 0.11901 0.105228 22834 132086 -1 1549 17 1099 1710 106174 26116 2.27071 2.27071 -96.4443 -2.27071 0 0 701300. 2426.64 0.34 0.06 0.14 -1 -1 0.34 0.0251623 0.0225552 119 62 29 29 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.00 vpr 64.11 MiB 0.02 7060 -1 -1 1 0.03 -1 -1 33868 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65648 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 25.6 MiB 0.04 554 7738 1823 4997 918 64.1 MiB 0.05 0.00 2.36284 -73.7482 -2.36284 2.36284 0.98 0.000369406 0.000338302 0.0183845 0.0168346 32 1363 20 6.64007e+06 188370 554710. 1919.41 0.90 0.066402 0.0584885 22834 132086 -1 1225 21 745 1090 79317 19441 1.85991 1.85991 -78.5754 -1.85991 0 0 701300. 2426.64 0.32 0.05 0.12 -1 -1 0.32 0.0191519 0.0169675 85 29 24 24 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.69 vpr 64.93 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34212 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 31 32 335 280 1 154 80 17 17 289 -1 unnamed_device 26.1 MiB 0.14 657 12636 5260 6585 791 64.9 MiB 0.12 0.00 3.41785 -99.3078 -3.41785 3.41785 1.07 0.000483809 0.000440571 0.0440165 0.0402869 32 2069 33 6.64007e+06 213486 554710. 1919.41 1.09 0.123212 0.108744 22834 132086 -1 1553 20 841 1229 86788 21527 3.60083 3.60083 -119.861 -3.60083 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0255367 0.0228001 113 55 31 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 6.23 vpr 64.98 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 34008 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 366 283 1 192 100 17 17 289 -1 unnamed_device 26.2 MiB 0.10 843 17732 4593 8777 4362 65.0 MiB 0.13 0.00 3.36336 -109.359 -3.36336 3.36336 1.06 0.000537514 0.000488863 0.0474984 0.0433654 32 2559 31 6.64007e+06 452088 554710. 1919.41 2.60 0.195368 0.17238 22834 132086 -1 1904 21 1681 2368 200981 51367 4.51903 4.51903 -139.421 -4.51903 0 0 701300. 2426.64 0.35 0.09 0.13 -1 -1 0.35 0.0313041 0.027901 147 31 91 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.83 vpr 65.17 MiB 0.03 7540 -1 -1 1 0.04 -1 -1 34040 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 460 375 1 196 102 17 17 289 -1 unnamed_device 26.8 MiB 0.22 953 11288 2784 7344 1160 65.2 MiB 0.12 0.00 3.06225 -100.942 -3.06225 3.06225 1.07 0.000870576 0.000794135 0.0370959 0.0338316 32 2723 20 6.64007e+06 477204 554710. 1919.41 1.10 0.127939 0.113383 22834 132086 -1 2087 22 1481 2246 150684 35205 3.61343 3.61343 -123.253 -3.61343 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0347868 0.0309986 150 108 0 0 125 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.43 vpr 63.83 MiB 0.02 6788 -1 -1 1 0.03 -1 -1 34332 -1 -1 17 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65364 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 25.5 MiB 0.10 586 11293 3389 6712 1192 63.8 MiB 0.07 0.00 2.13964 -62.899 -2.13964 2.13964 1.09 0.00033847 0.000305272 0.0259608 0.023602 32 1267 19 6.64007e+06 213486 554710. 1919.41 0.97 0.0717375 0.063135 22834 132086 -1 1157 15 438 710 50491 11297 1.86611 1.86611 -70.7317 -1.86611 0 0 701300. 2426.64 0.34 0.03 0.14 -1 -1 0.34 0.0139172 0.0124416 77 21 26 26 22 22 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.40 vpr 64.78 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 33960 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 333 251 1 187 86 17 17 289 -1 unnamed_device 26.3 MiB 0.07 1193 11993 3448 7342 1203 64.8 MiB 0.12 0.00 3.76596 -121.69 -3.76596 3.76596 1.01 0.000628119 0.000576747 0.0369316 0.0338972 32 2579 20 6.64007e+06 276276 554710. 1919.41 1.05 0.117444 0.104995 22834 132086 -1 2318 22 1707 3004 208400 46413 4.00203 4.00203 -145.654 -4.00203 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0305558 0.0274259 138 -1 122 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.44 vpr 64.11 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 33692 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65644 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 25.7 MiB 0.04 775 11650 3871 6221 1558 64.1 MiB 0.08 0.00 1.86653 -70.0919 -1.86653 1.86653 1.11 0.000342473 0.000311206 0.0279452 0.0254473 32 1481 17 6.64007e+06 163254 554710. 1919.41 0.98 0.0753103 0.0668174 22834 132086 -1 1344 15 608 820 57592 13107 2.01231 2.01231 -86.1872 -2.01231 0 0 701300. 2426.64 0.34 0.04 0.14 -1 -1 0.34 0.0139107 0.0124838 81 -1 53 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.52 vpr 64.96 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34264 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 26.2 MiB 0.08 999 20391 7010 10345 3036 65.0 MiB 0.17 0.00 3.44536 -118.941 -3.44536 3.44536 1.00 0.000553283 0.000494674 0.0529794 0.0483255 32 2438 23 6.64007e+06 439530 554710. 1919.41 1.08 0.142003 0.126943 22834 132086 -1 2115 21 1925 2989 210391 47807 3.63543 3.63543 -140.18 -3.63543 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0295243 0.026459 153 21 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.97 vpr 64.56 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 34028 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66108 32 32 337 253 1 196 101 17 17 289 -1 unnamed_device 25.8 MiB 0.09 1024 10676 2621 7554 501 64.6 MiB 0.10 0.00 2.8691 -100.143 -2.8691 2.8691 1.02 0.000608481 0.000553926 0.0273425 0.0249362 26 2784 45 6.64007e+06 464646 477104. 1650.88 1.63 0.132007 0.116622 21682 110474 -1 2216 18 1424 2245 142909 34725 2.97997 2.97997 -119.821 -2.97997 0 0 585099. 2024.56 0.28 0.07 0.10 -1 -1 0.28 0.0243907 0.0217943 152 -1 124 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.49 vpr 65.23 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33920 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 26.5 MiB 0.08 985 13261 3795 8080 1386 65.2 MiB 0.13 0.00 3.43916 -114.862 -3.43916 3.43916 0.98 0.000718516 0.000667679 0.0389878 0.0358049 32 2809 26 6.64007e+06 464646 554710. 1919.41 1.13 0.133669 0.118813 22834 132086 -1 2218 21 1862 3229 237233 52314 3.78863 3.78863 -139.476 -3.78863 0 0 701300. 2426.64 0.31 0.09 0.12 -1 -1 0.31 0.0319119 0.0286563 155 54 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.50 vpr 64.34 MiB 0.02 6924 -1 -1 1 0.03 -1 -1 33932 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 32 32 294 246 1 149 80 17 17 289 -1 unnamed_device 25.8 MiB 0.07 825 6616 1872 3777 967 64.3 MiB 0.06 0.00 2.45379 -87.7356 -2.45379 2.45379 1.07 0.00043649 0.000399256 0.0209908 0.0191806 32 1985 21 6.64007e+06 200928 554710. 1919.41 1.05 0.0906656 0.0794295 22834 132086 -1 1722 19 1097 1797 126927 28292 2.76097 2.76097 -107.181 -2.76097 0 0 701300. 2426.64 0.34 0.06 0.14 -1 -1 0.34 0.0231014 0.0205953 107 31 54 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.55 vpr 64.42 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33876 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 25.9 MiB 0.08 830 12681 3760 7170 1751 64.4 MiB 0.10 0.00 2.7739 -94.2438 -2.7739 2.7739 1.07 0.000474882 0.000434124 0.0368699 0.0336833 32 1834 19 6.64007e+06 238602 554710. 1919.41 1.05 0.101177 0.0893646 22834 132086 -1 1629 20 1203 1757 119551 27465 2.91397 2.91397 -111.862 -2.91397 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0225646 0.0199781 115 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.65 vpr 64.53 MiB 0.09 7108 -1 -1 1 0.04 -1 -1 33672 -1 -1 20 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 26.0 MiB 0.10 733 10056 2641 5787 1628 64.5 MiB 0.09 0.00 2.7097 -84.1332 -2.7097 2.7097 1.10 0.000414885 0.000377301 0.0279294 0.0255096 32 1819 21 6.64007e+06 251160 554710. 1919.41 1.05 0.0937805 0.0827491 22834 132086 -1 1616 20 1205 1988 135884 31843 2.89677 2.89677 -103.074 -2.89677 0 0 701300. 2426.64 0.33 0.06 0.14 -1 -1 0.33 0.0227781 0.0201625 107 27 56 28 28 28 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.65 vpr 64.65 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33904 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 25.8 MiB 0.09 818 10940 3479 6415 1046 64.6 MiB 0.11 0.00 2.8039 -97.5727 -2.8039 2.8039 1.10 0.000450716 0.000408944 0.0339994 0.030911 32 2083 22 6.64007e+06 226044 554710. 1919.41 1.06 0.102919 0.0910907 22834 132086 -1 1748 21 1433 2213 147252 34120 3.04997 3.04997 -121.29 -3.04997 0 0 701300. 2426.64 0.34 0.07 0.14 -1 -1 0.34 0.0256884 0.0230518 125 -1 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.32 vpr 64.61 MiB 0.02 6976 -1 -1 1 0.04 -1 -1 34096 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 26.2 MiB 0.06 869 16495 4995 9142 2358 64.6 MiB 0.12 0.00 2.7427 -95.3065 -2.7427 2.7427 1.00 0.000484542 0.0004428 0.0387393 0.0354574 32 2103 19 6.64007e+06 389298 554710. 1919.41 0.99 0.104519 0.0925844 22834 132086 -1 1841 21 1315 2029 135730 31796 2.79497 2.79497 -111.838 -2.79497 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.0247709 0.0219009 119 26 61 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.32 vpr 65.00 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 33572 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 29 32 312 264 1 154 92 17 17 289 -1 unnamed_device 26.3 MiB 0.13 764 10442 2334 7462 646 65.0 MiB 0.09 0.00 2.24264 -73.5213 -2.24264 2.24264 1.03 0.00054975 0.00049606 0.026517 0.0239878 30 1569 18 6.64007e+06 389298 526063. 1820.29 0.94 0.0876803 0.0768861 22546 126617 -1 1330 14 806 1299 59240 14586 1.99731 1.99731 -80.3616 -1.99731 0 0 666494. 2306.21 0.31 0.04 0.12 -1 -1 0.31 0.0175113 0.0157232 110 55 29 29 57 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.51 vpr 65.31 MiB 0.02 7284 -1 -1 1 0.04 -1 -1 34092 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 423 310 1 229 105 17 17 289 -1 unnamed_device 27.1 MiB 0.16 1295 11467 2941 7739 787 65.3 MiB 0.12 0.00 3.41716 -121.564 -3.41716 3.41716 0.99 0.000819322 0.000751604 0.0387284 0.0357342 32 2972 21 6.64007e+06 514878 554710. 1919.41 1.07 0.131644 0.117985 22834 132086 -1 2606 17 1736 2801 182072 40366 3.79883 3.79883 -146.49 -3.79883 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0306627 0.0277692 181 26 128 32 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.93 vpr 65.28 MiB 0.03 7384 -1 -1 1 0.04 -1 -1 33956 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 403 317 1 198 101 17 17 289 -1 unnamed_device 26.6 MiB 0.16 947 16551 4738 8951 2862 65.3 MiB 0.16 0.00 2.8801 -101.513 -2.8801 2.8801 1.11 0.000693093 0.000623884 0.0507638 0.0463397 32 2332 24 6.64007e+06 464646 554710. 1919.41 1.15 0.145941 0.13005 22834 132086 -1 1931 21 1922 2992 186155 43594 2.95797 2.95797 -117.091 -2.95797 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0327249 0.0291556 154 62 62 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.92 vpr 64.89 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 34272 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 31 32 353 302 1 156 92 17 17 289 -1 unnamed_device 26.1 MiB 0.21 731 8579 1945 6283 351 64.9 MiB 0.08 0.00 2.9621 -91.1584 -2.9621 2.9621 1.07 0.000526867 0.000479154 0.0257975 0.0235496 26 2289 37 6.64007e+06 364182 477104. 1650.88 1.39 0.125344 0.110977 21682 110474 -1 1710 21 1245 1859 123436 29727 3.00597 3.00597 -110.635 -3.00597 0 0 585099. 2024.56 0.27 0.07 0.10 -1 -1 0.27 0.027209 0.0241458 114 77 0 0 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.95 vpr 65.03 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 33868 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 31 32 391 309 1 194 87 17 17 289 -1 unnamed_device 26.4 MiB 0.14 1074 13335 4173 6672 2490 65.0 MiB 0.13 0.00 2.9211 -98.485 -2.9211 2.9211 1.13 0.000647809 0.000586523 0.0446641 0.0407048 32 2531 23 6.64007e+06 301392 554710. 1919.41 1.13 0.133075 0.11737 22834 132086 -1 2143 23 1830 3134 207984 47737 2.96097 2.96097 -116.839 -2.96097 0 0 701300. 2426.64 0.35 0.10 0.13 -1 -1 0.35 0.0329113 0.0292535 149 59 60 30 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 5.07 vpr 65.45 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 33880 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 31 32 455 371 1 193 86 17 17 289 -1 unnamed_device 26.8 MiB 0.37 1075 10859 2964 6681 1214 65.5 MiB 0.12 0.00 4.21121 -122.888 -4.21121 4.21121 1.11 0.000648877 0.00059224 0.0425522 0.038744 28 2596 20 6.64007e+06 288834 500653. 1732.36 1.26 0.13959 0.123769 21970 115934 -1 2310 20 997 1601 125027 27109 4.04469 4.04469 -143.456 -4.04469 0 0 612192. 2118.31 0.28 0.07 0.10 -1 -1 0.28 0.0309457 0.0275819 150 111 0 0 124 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.77 vpr 65.14 MiB 0.02 7400 -1 -1 1 0.04 -1 -1 34048 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 413 333 1 188 86 17 17 289 -1 unnamed_device 26.4 MiB 0.20 1015 12749 3873 7799 1077 65.1 MiB 0.13 0.00 4.04401 -114.423 -4.04401 4.04401 1.09 0.000836167 0.000765326 0.0468758 0.0427632 32 2374 21 6.64007e+06 288834 554710. 1919.41 1.08 0.131559 0.11641 22834 132086 -1 2098 19 1298 2166 154073 35476 3.88948 3.88948 -136.923 -3.88948 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0306344 0.0273136 144 86 31 31 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.72 vpr 65.14 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 33720 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 31 32 391 309 1 193 98 17 17 289 -1 unnamed_device 26.4 MiB 0.14 877 12473 3169 8349 955 65.1 MiB 0.13 0.00 2.7859 -92.6822 -2.7859 2.7859 1.07 0.000642577 0.000580718 0.0396742 0.0362552 32 2402 24 6.64007e+06 439530 554710. 1919.41 1.10 0.130531 0.115952 22834 132086 -1 1924 22 1694 2933 169026 41386 2.86197 2.86197 -112.246 -2.86197 0 0 701300. 2426.64 0.35 0.09 0.14 -1 -1 0.35 0.0346333 0.0308422 148 58 60 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.64 vpr 65.27 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 33856 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 407 319 1 198 101 17 17 289 -1 unnamed_device 26.4 MiB 0.11 1110 15846 4422 9952 1472 65.3 MiB 0.14 0.00 3.31896 -119.55 -3.31896 3.31896 1.03 0.000653774 0.000594298 0.041723 0.0380208 28 2618 22 6.64007e+06 464646 500653. 1732.36 1.20 0.137208 0.122406 21970 115934 -1 2347 21 1865 2995 220629 47521 3.73543 3.73543 -146.525 -3.73543 0 0 612192. 2118.31 0.28 0.09 0.10 -1 -1 0.28 0.0317477 0.0283496 156 42 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.72 vpr 65.44 MiB 0.03 7592 -1 -1 1 0.04 -1 -1 34016 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 496 380 1 232 106 17 17 289 -1 unnamed_device 27.2 MiB 0.17 1269 15106 3945 9674 1487 65.4 MiB 0.18 0.00 3.57956 -123.56 -3.57956 3.57956 1.02 0.000783155 0.000718403 0.0512173 0.0467223 30 2942 21 6.64007e+06 527436 526063. 1820.29 1.13 0.157544 0.140431 22546 126617 -1 2527 20 1871 3107 168644 38916 3.55842 3.55842 -141.763 -3.55842 0 0 666494. 2306.21 0.33 0.09 0.12 -1 -1 0.33 0.0365598 0.0327469 186 91 62 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.49 vpr 64.81 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33788 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 26.0 MiB 0.10 740 13906 5010 6411 2485 64.8 MiB 0.12 0.00 3.0453 -97.4397 -3.0453 3.0453 1.03 0.00042667 0.000386992 0.040085 0.036601 32 1899 23 6.64007e+06 226044 554710. 1919.41 1.02 0.113296 0.100904 22834 132086 -1 1608 20 1335 2124 151164 35288 2.99397 2.99397 -114.031 -2.99397 0 0 701300. 2426.64 0.34 0.07 0.13 -1 -1 0.34 0.0245622 0.0216882 116 24 62 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.42 vpr 65.24 MiB 0.02 7332 -1 -1 1 0.04 -1 -1 33976 -1 -1 38 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 31 32 395 311 1 196 101 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1032 18666 7089 9583 1994 65.2 MiB 0.17 0.00 3.54836 -117.608 -3.54836 3.54836 1.05 0.000645329 0.000589204 0.0529954 0.0484245 28 2907 36 6.64007e+06 477204 500653. 1732.36 1.86 0.170918 0.152775 21970 115934 -1 2235 20 1813 3093 216752 49701 3.88902 3.88902 -143.497 -3.88902 0 0 612192. 2118.31 0.31 0.09 0.11 -1 -1 0.31 0.0320741 0.0287641 152 59 62 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 6.85 vpr 65.43 MiB 0.02 7428 -1 -1 1 0.04 -1 -1 34040 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 397 313 1 196 98 17 17 289 -1 unnamed_device 26.5 MiB 0.12 1057 8423 1813 5699 911 65.4 MiB 0.10 0.00 3.0713 -99.7452 -3.0713 3.0713 1.09 0.000808823 0.000749118 0.0290606 0.0266019 28 2692 22 6.64007e+06 426972 500653. 1732.36 3.32 0.195188 0.170815 21970 115934 -1 2262 20 1197 2255 144020 36100 3.24637 3.24637 -120.476 -3.24637 0 0 612192. 2118.31 0.28 0.08 0.11 -1 -1 0.28 0.0297227 0.0267125 149 54 62 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.79 vpr 64.75 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 33664 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 345 257 1 194 86 17 17 289 -1 unnamed_device 26.3 MiB 0.08 1130 16340 5772 8458 2110 64.8 MiB 0.16 0.00 3.30796 -118.295 -3.30796 3.30796 1.09 0.000526156 0.000478663 0.0522748 0.0476007 32 2722 24 6.64007e+06 276276 554710. 1919.41 1.17 0.141955 0.126322 22834 132086 -1 2299 21 1916 3325 217365 49153 3.62443 3.62443 -145.014 -3.62443 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0312872 0.0279538 151 -1 128 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.75 vpr 64.85 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 34048 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 424 343 1 190 99 17 17 289 -1 unnamed_device 26.1 MiB 0.19 1064 17199 4613 10367 2219 64.9 MiB 0.16 0.00 2.7537 -100.272 -2.7537 2.7537 1.07 0.000587763 0.000532638 0.0498569 0.0453025 28 2401 21 6.64007e+06 439530 500653. 1732.36 1.11 0.137907 0.122455 21970 115934 -1 2191 20 1351 2149 151465 33671 2.73077 2.73077 -116.108 -2.73077 0 0 612192. 2118.31 0.30 0.08 0.11 -1 -1 0.30 0.0298822 0.0265832 146 81 25 25 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.93 vpr 65.20 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 33888 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 395 311 1 194 101 17 17 289 -1 unnamed_device 26.4 MiB 0.18 1022 13496 3550 8351 1595 65.2 MiB 0.13 0.00 2.7537 -99.671 -2.7537 2.7537 1.06 0.000711429 0.000648004 0.0383399 0.0348779 26 2745 35 6.64007e+06 464646 477104. 1650.88 1.38 0.139277 0.122969 21682 110474 -1 2177 20 1306 2187 158702 34493 3.01517 3.01517 -119.975 -3.01517 0 0 585099. 2024.56 0.27 0.07 0.11 -1 -1 0.27 0.0278253 0.0247566 148 58 64 32 60 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 5.09 vpr 65.39 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33988 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 405 318 1 200 103 17 17 289 -1 unnamed_device 26.8 MiB 0.15 971 20106 6523 10087 3496 65.4 MiB 0.18 0.00 2.9343 -100.466 -2.9343 2.9343 1.06 0.000633796 0.000577944 0.058762 0.0533848 28 2945 30 6.64007e+06 489762 500653. 1732.36 1.49 0.160872 0.142716 21970 115934 -1 2282 20 1745 2768 192161 44306 3.22637 3.22637 -126.455 -3.22637 0 0 612192. 2118.31 0.28 0.08 0.11 -1 -1 0.28 0.0288179 0.0255773 157 61 63 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.47 vpr 65.43 MiB 0.02 7328 -1 -1 1 0.04 -1 -1 34220 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 32 32 376 288 1 194 101 17 17 289 -1 unnamed_device 26.5 MiB 0.08 1090 20076 6356 11046 2674 65.4 MiB 0.17 0.00 3.37316 -119.769 -3.37316 3.37316 1.00 0.00061046 0.000531396 0.0536808 0.0487536 32 2492 23 6.64007e+06 464646 554710. 1919.41 1.02 0.131787 0.116999 22834 132086 -1 2147 20 1699 2654 176650 39131 3.69143 3.69143 -142.893 -3.69143 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0281395 0.0251434 152 21 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.17 vpr 65.32 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 34336 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 407 319 1 197 103 17 17 289 -1 unnamed_device 26.8 MiB 0.13 1005 11671 2780 7949 942 65.3 MiB 0.11 0.00 3.41536 -117.125 -3.41536 3.41536 1.04 0.000618861 0.000563691 0.0340352 0.0310795 26 2691 25 6.64007e+06 489762 477104. 1650.88 1.74 0.142032 0.126628 21682 110474 -1 2229 21 1848 2974 208810 47614 4.02903 4.02903 -154.18 -4.02903 0 0 585099. 2024.56 0.27 0.09 0.10 -1 -1 0.27 0.030121 0.0268329 155 50 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.51 vpr 65.23 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 34212 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66800 31 32 449 367 1 193 99 17 17 289 -1 unnamed_device 26.6 MiB 0.22 1132 11727 2897 7809 1021 65.2 MiB 0.13 0.00 3.83395 -115.186 -3.83395 3.83395 1.07 0.000703378 0.000643717 0.0379612 0.0345344 26 3016 47 6.64007e+06 452088 477104. 1650.88 1.84 0.165207 0.145446 21682 110474 -1 2417 19 1504 2612 185412 41535 3.73663 3.73663 -137.713 -3.73663 0 0 585099. 2024.56 0.28 0.08 0.11 -1 -1 0.28 0.0290599 0.0255563 147 110 0 0 122 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.75 vpr 65.30 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 34108 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 432 346 1 195 86 17 17 289 -1 unnamed_device 26.8 MiB 0.16 1052 15773 5242 8402 2129 65.3 MiB 0.16 0.00 3.56755 -112.832 -3.56755 3.56755 1.06 0.000672637 0.000612688 0.0589501 0.0538023 32 2723 23 6.64007e+06 276276 554710. 1919.41 1.12 0.162151 0.145098 22834 132086 -1 2263 21 1683 3054 197701 45133 3.62863 3.62863 -134.121 -3.62863 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0351107 0.0314618 151 86 32 32 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.87 vpr 64.97 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 33840 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 312 255 1 166 95 17 17 289 -1 unnamed_device 26.1 MiB 0.07 776 8951 1850 6701 400 65.0 MiB 0.09 0.00 2.7537 -96.7128 -2.7537 2.7537 1.04 0.000522615 0.000475145 0.0235669 0.0214993 28 2457 28 6.64007e+06 389298 500653. 1732.36 1.53 0.0994884 0.0876809 21970 115934 -1 1938 22 1318 2005 160114 35798 2.84177 2.84177 -117.465 -2.84177 0 0 612192. 2118.31 0.29 0.07 0.11 -1 -1 0.29 0.024016 0.0211543 125 20 63 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.68 vpr 64.94 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33724 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 26.1 MiB 0.19 956 11474 3199 7255 1020 64.9 MiB 0.11 0.00 2.7819 -101.672 -2.7819 2.7819 1.05 0.000534413 0.000488653 0.0396157 0.0360023 32 2182 23 6.64007e+06 226044 554710. 1919.41 1.08 0.12634 0.11219 22834 132086 -1 1949 19 1260 2028 149212 32517 2.76557 2.76557 -118.289 -2.76557 0 0 701300. 2426.64 0.34 0.07 0.13 -1 -1 0.34 0.024495 0.0217968 121 91 0 0 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 5.90 vpr 65.50 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 34084 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 469 351 1 233 106 17 17 289 -1 unnamed_device 27.2 MiB 0.12 1369 19606 6011 11185 2410 65.5 MiB 0.22 0.00 4.14482 -142.34 -4.14482 4.14482 1.07 0.000640755 0.000583167 0.0619982 0.0563935 28 3836 27 6.64007e+06 527436 500653. 1732.36 2.15 0.177842 0.157984 21970 115934 -1 2980 24 2557 4228 312977 70254 4.85968 4.85968 -177.631 -4.85968 0 0 612192. 2118.31 0.30 0.12 0.12 -1 -1 0.30 0.0396459 0.0352705 189 53 96 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.62 vpr 64.92 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 34096 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 368 284 1 194 97 17 17 289 -1 unnamed_device 26.3 MiB 0.13 862 16747 4896 9029 2822 64.9 MiB 0.15 0.00 2.8911 -98.8384 -2.8911 2.8911 1.04 0.000593286 0.000522446 0.049406 0.0447365 32 2279 26 6.64007e+06 414414 554710. 1919.41 1.07 0.138887 0.123221 22834 132086 -1 1792 19 1373 1971 125271 30907 3.13437 3.13437 -117.649 -3.13437 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0262628 0.0234998 148 31 92 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.37 vpr 64.52 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 33740 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 30 32 296 244 1 158 93 17 17 289 -1 unnamed_device 26.2 MiB 0.07 709 7023 1303 5326 394 64.5 MiB 0.06 0.00 2.7317 -88.2741 -2.7317 2.7317 1.02 0.000454541 0.000418972 0.0170931 0.0155653 26 2005 22 6.64007e+06 389298 477104. 1650.88 1.18 0.088428 0.078116 21682 110474 -1 1715 19 1164 1755 108124 26146 2.86197 2.86197 -111.376 -2.86197 0 0 585099. 2024.56 0.28 0.05 0.10 -1 -1 0.28 0.0217457 0.0193241 116 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 5.85 vpr 65.59 MiB 0.03 7532 -1 -1 1 0.04 -1 -1 34544 -1 -1 45 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 32 32 531 413 1 232 109 17 17 289 -1 unnamed_device 27.3 MiB 0.29 1374 12849 2997 8676 1176 65.6 MiB 0.14 0.00 3.89342 -135.958 -3.89342 3.89342 1.06 0.000745812 0.000680777 0.0415579 0.0377598 26 3512 26 6.64007e+06 565110 477104. 1650.88 2.10 0.155259 0.137586 21682 110474 -1 2810 22 2346 3773 282011 60455 4.54909 4.54909 -174.114 -4.54909 0 0 585099. 2024.56 0.30 0.12 0.11 -1 -1 0.30 0.0437708 0.0393018 188 109 32 32 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.55 vpr 65.09 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34088 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 376 288 1 194 102 17 17 289 -1 unnamed_device 26.4 MiB 0.13 929 11526 2669 8210 647 65.1 MiB 0.11 0.00 3.41536 -118.083 -3.41536 3.41536 1.04 0.00077588 0.00069731 0.0347831 0.031776 30 2385 22 6.64007e+06 477204 526063. 1820.29 1.08 0.126718 0.112799 22546 126617 -1 1913 22 1560 2304 125282 30190 3.69343 3.69343 -139.998 -3.69343 0 0 666494. 2306.21 0.33 0.07 0.12 -1 -1 0.33 0.0303977 0.0270998 153 31 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 5.08 vpr 64.70 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34028 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 283 225 1 164 96 17 17 289 -1 unnamed_device 26.4 MiB 0.08 792 12579 3290 8680 609 64.7 MiB 0.12 0.00 2.7647 -98.1605 -2.7647 2.7647 1.06 0.000555666 0.000510472 0.0339516 0.0309266 26 2450 27 6.64007e+06 401856 477104. 1650.88 1.66 0.112848 0.100026 21682 110474 -1 1865 21 1187 1896 138339 31381 2.94077 2.94077 -122.206 -2.94077 0 0 585099. 2024.56 0.29 0.07 0.11 -1 -1 0.29 0.0245255 0.0218851 124 -1 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.14 vpr 65.11 MiB 0.03 7332 -1 -1 1 0.06 -1 -1 34328 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 438 320 1 235 107 17 17 289 -1 unnamed_device 26.8 MiB 0.13 1332 16299 4383 10515 1401 65.1 MiB 0.17 0.00 3.99342 -139.136 -3.99342 3.99342 1.04 0.000810897 0.000747162 0.0508178 0.0466155 28 3488 20 6.64007e+06 539994 500653. 1732.36 1.50 0.157921 0.141806 21970 115934 -1 3062 23 2515 4379 339661 71021 4.68068 4.68068 -176.811 -4.68068 0 0 612192. 2118.31 0.30 0.13 0.11 -1 -1 0.30 0.0409414 0.0366836 190 26 128 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.38 vpr 64.56 MiB 0.02 7000 -1 -1 1 0.03 -1 -1 33708 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 283 225 1 162 81 17 17 289 -1 unnamed_device 25.9 MiB 0.09 854 11981 4008 6145 1828 64.6 MiB 0.10 0.00 2.7849 -100.479 -2.7849 2.7849 1.01 0.000441927 0.000402019 0.0311774 0.028288 32 2018 24 6.64007e+06 213486 554710. 1919.41 1.00 0.0971431 0.0854593 22834 132086 -1 1839 19 1421 2308 178991 39836 3.19537 3.19537 -125.609 -3.19537 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0259208 0.0231784 121 -1 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 6.65 vpr 64.41 MiB 0.02 7052 -1 -1 1 0.03 -1 -1 34012 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 30 32 296 244 1 157 94 17 17 289 -1 unnamed_device 26.1 MiB 0.12 694 12022 3074 7474 1474 64.4 MiB 0.09 0.00 2.8189 -90.3374 -2.8189 2.8189 1.05 0.000446481 0.000408465 0.0284328 0.0259054 28 2094 30 6.64007e+06 401856 500653. 1732.36 3.24 0.177491 0.155053 21970 115934 -1 1673 19 966 1621 108796 26568 3.13717 3.13717 -115.884 -3.13717 0 0 612192. 2118.31 0.29 0.06 0.11 -1 -1 0.29 0.0217267 0.0193201 114 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.70 vpr 65.08 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34016 -1 -1 34 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 29 32 393 319 1 182 95 17 17 289 -1 unnamed_device 26.4 MiB 0.19 1004 14999 4032 9192 1775 65.1 MiB 0.15 0.00 2.9591 -89.5578 -2.9591 2.9591 1.10 0.000624701 0.000572973 0.0453532 0.0412782 28 2364 19 6.64007e+06 426972 500653. 1732.36 1.04 0.127231 0.112665 21970 115934 -1 2036 19 1165 1985 120552 28447 3.26256 3.26256 -111.226 -3.26256 0 0 612192. 2118.31 0.30 0.06 0.11 -1 -1 0.30 0.0261888 0.0232695 134 81 29 29 85 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.57 vpr 64.98 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 34016 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 407 319 1 194 86 17 17 289 -1 unnamed_device 26.2 MiB 0.14 895 8969 2133 6503 333 65.0 MiB 0.10 0.00 3.37836 -116.939 -3.37836 3.37836 1.05 0.000688929 0.000627785 0.0320851 0.0293676 30 2297 25 6.64007e+06 276276 526063. 1820.29 1.08 0.118037 0.104278 22546 126617 -1 1902 21 1549 2400 146319 32992 3.66543 3.66543 -139.83 -3.66543 0 0 666494. 2306.21 0.31 0.07 0.12 -1 -1 0.31 0.0279907 0.0248417 152 53 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.96 vpr 64.92 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 34248 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 407 319 1 195 100 17 17 289 -1 unnamed_device 26.4 MiB 0.23 1056 15876 4832 8212 2832 64.9 MiB 0.16 0.00 3.41716 -119.667 -3.41716 3.41716 1.11 0.000694624 0.00063122 0.0489532 0.0444452 32 2616 22 6.64007e+06 452088 554710. 1919.41 1.16 0.142107 0.126247 22834 132086 -1 2187 16 1480 2439 158728 36185 3.61523 3.61523 -139.348 -3.61523 0 0 701300. 2426.64 0.34 0.07 0.14 -1 -1 0.34 0.0260173 0.0232469 154 55 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.40 vpr 65.04 MiB 0.02 7008 -1 -1 1 0.04 -1 -1 34184 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 32 32 345 287 1 161 96 17 17 289 -1 unnamed_device 26.4 MiB 0.13 897 13893 3276 8957 1660 65.0 MiB 0.11 0.00 2.8629 -104.168 -2.8629 2.8629 1.04 0.000519733 0.000464551 0.0364913 0.033092 28 2116 21 6.64007e+06 401856 500653. 1732.36 1.03 0.122478 0.10914 21970 115934 -1 1887 20 1198 1829 127592 28678 2.94877 2.94877 -123.284 -2.94877 0 0 612192. 2118.31 0.30 0.07 0.10 -1 -1 0.30 0.0261205 0.0232365 122 55 32 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.46 vpr 65.02 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34276 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 26.2 MiB 0.17 863 7992 2041 4939 1012 65.0 MiB 0.08 0.00 2.9591 -94.569 -2.9591 2.9591 1.04 0.000660727 0.000606076 0.0275868 0.025236 30 2045 24 6.64007e+06 213486 526063. 1820.29 1.03 0.116341 0.103579 22546 126617 -1 1714 19 893 1615 88458 20900 2.80457 2.80457 -110.634 -2.80457 0 0 666494. 2306.21 0.32 0.05 0.12 -1 -1 0.32 0.0237241 0.021207 109 82 0 0 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 6.52 vpr 65.12 MiB 0.02 7284 -1 -1 1 0.04 -1 -1 34244 -1 -1 35 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 30 32 374 297 1 186 97 17 17 289 -1 unnamed_device 26.4 MiB 0.15 867 8977 1908 6586 483 65.1 MiB 0.10 0.00 2.7317 -88.7549 -2.7317 2.7317 1.04 0.000636258 0.000584192 0.0278962 0.025559 28 2314 24 6.64007e+06 439530 500653. 1732.36 3.12 0.198798 0.173929 21970 115934 -1 1898 17 1189 1879 117624 28974 3.20057 3.20057 -110.549 -3.20057 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0254741 0.0227571 139 52 60 30 57 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.38 vpr 64.88 MiB 0.02 7408 -1 -1 1 0.03 -1 -1 33900 -1 -1 32 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 28 32 332 260 1 180 92 17 17 289 -1 unnamed_device 26.3 MiB 0.08 954 12719 3532 8069 1118 64.9 MiB 0.11 0.00 3.53535 -102.191 -3.53535 3.53535 1.00 0.000531263 0.000486843 0.033572 0.030491 26 2405 23 6.64007e+06 401856 477104. 1650.88 1.12 0.112102 0.099219 21682 110474 -1 1988 18 1372 2174 140376 32086 3.97002 3.97002 -127.585 -3.97002 0 0 585099. 2024.56 0.28 0.07 0.10 -1 -1 0.28 0.0239357 0.0215053 134 20 84 28 28 28 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.54 vpr 64.70 MiB 0.02 7344 -1 -1 1 0.04 -1 -1 33736 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 30 32 325 273 1 157 81 17 17 289 -1 unnamed_device 25.9 MiB 0.18 850 13731 4322 7300 2109 64.7 MiB 0.11 0.00 2.8131 -95.1918 -2.8131 2.8131 1.03 0.000510139 0.000465163 0.0407189 0.0371873 32 1985 19 6.64007e+06 238602 554710. 1919.41 1.03 0.11087 0.0985222 22834 132086 -1 1834 19 1259 2101 158714 35220 2.88417 2.88417 -110.683 -2.88417 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0229144 0.0202979 114 58 30 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.74 vpr 64.91 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 33552 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 361 308 1 158 81 17 17 289 -1 unnamed_device 26.1 MiB 0.19 916 12156 3444 6619 2093 64.9 MiB 0.11 0.00 2.9653 -95.0324 -2.9653 2.9653 1.07 0.00051701 0.000469203 0.040862 0.0371407 32 2048 21 6.64007e+06 213486 554710. 1919.41 1.05 0.114592 0.100756 22834 132086 -1 1845 21 1192 2014 141661 31890 2.73857 2.73857 -108.912 -2.73857 0 0 701300. 2426.64 0.36 0.07 0.13 -1 -1 0.36 0.0293277 0.0261144 114 88 0 0 91 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.50 vpr 64.67 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 33844 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 31 32 335 251 1 196 100 17 17 289 -1 unnamed_device 26.1 MiB 0.09 1008 11236 2912 7417 907 64.7 MiB 0.11 0.00 3.38416 -112.662 -3.38416 3.38416 1.02 0.000513432 0.000468292 0.0276577 0.025258 32 2726 21 6.64007e+06 464646 554710. 1919.41 1.09 0.102271 0.0900104 22834 132086 -1 2219 18 1575 2514 151454 36486 3.74563 3.74563 -137.435 -3.74563 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0242364 0.0215553 152 -1 124 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.77 vpr 65.14 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34164 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 32 32 407 319 1 197 100 17 17 289 -1 unnamed_device 26.5 MiB 0.17 974 19588 5941 10475 3172 65.1 MiB 0.18 0.00 3.39516 -117.047 -3.39516 3.39516 1.07 0.000626871 0.000571687 0.0571753 0.0521605 32 2405 21 6.64007e+06 452088 554710. 1919.41 1.12 0.153525 0.13732 22834 132086 -1 2044 18 1656 2788 170865 39928 3.70243 3.70243 -139.061 -3.70243 0 0 701300. 2426.64 0.33 0.08 0.12 -1 -1 0.33 0.0295302 0.0264749 155 57 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.72 vpr 65.32 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33996 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 407 319 1 194 100 17 17 289 -1 unnamed_device 26.8 MiB 0.16 1106 16108 4364 9972 1772 65.3 MiB 0.15 0.00 3.38416 -119.924 -3.38416 3.38416 1.05 0.000693914 0.000639474 0.0509018 0.0465797 32 2670 21 6.64007e+06 452088 554710. 1919.41 1.13 0.150441 0.134656 22834 132086 -1 2329 20 1746 2813 203457 43421 3.69443 3.69443 -145.719 -3.69443 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0337906 0.0303445 153 62 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.56 vpr 65.16 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 34112 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 399 315 1 196 102 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1160 18666 5104 11527 2035 65.2 MiB 0.19 0.00 3.37316 -115.961 -3.37316 3.37316 1.09 0.000629198 0.000571478 0.0532643 0.0482465 26 3007 26 6.64007e+06 477204 477104. 1650.88 1.92 0.148076 0.131082 21682 110474 -1 2464 21 1651 2839 202196 44668 3.63943 3.63943 -139.369 -3.63943 0 0 585099. 2024.56 0.28 0.09 0.11 -1 -1 0.28 0.0301753 0.0269044 149 62 60 30 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.45 vpr 64.89 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 33844 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 30 32 296 244 1 156 81 17 17 289 -1 unnamed_device 26.1 MiB 0.11 647 13381 3925 7147 2309 64.9 MiB 0.12 0.00 2.7709 -89.1313 -2.7709 2.7709 1.04 0.000473088 0.000433969 0.0381832 0.0348578 32 1814 21 6.64007e+06 238602 554710. 1919.41 1.01 0.101727 0.0898376 22834 132086 -1 1531 19 1191 1888 127169 29838 2.81877 2.81877 -108.024 -2.81877 0 0 701300. 2426.64 0.35 0.06 0.13 -1 -1 0.35 0.0235151 0.0210082 113 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.44 vpr 65.28 MiB 0.02 7428 -1 -1 1 0.04 -1 -1 34068 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 30 32 383 303 1 191 86 17 17 289 -1 unnamed_device 26.6 MiB 0.15 927 14450 3655 8821 1974 65.3 MiB 0.12 0.00 3.35636 -108.653 -3.35636 3.35636 1.00 0.000567015 0.0005168 0.0442541 0.0402787 32 2282 22 6.64007e+06 301392 554710. 1919.41 1.04 0.125472 0.111199 22834 132086 -1 2081 20 1739 2609 197090 44688 3.66483 3.66483 -131.299 -3.66483 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0275191 0.0244632 146 58 60 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.81 vpr 64.86 MiB 0.02 7528 -1 -1 1 0.04 -1 -1 34088 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 469 381 1 198 105 17 17 289 -1 unnamed_device 26.5 MiB 0.22 1080 15172 4050 9950 1172 64.9 MiB 0.16 0.00 3.43916 -121.954 -3.43916 3.43916 1.05 0.000801582 0.000737193 0.0484555 0.0442761 26 2976 32 6.64007e+06 514878 477104. 1650.88 2.19 0.171437 0.153132 21682 110474 -1 2460 20 1941 3222 258002 54988 3.75743 3.75743 -147.071 -3.75743 0 0 585099. 2024.56 0.28 0.11 0.10 -1 -1 0.28 0.0354189 0.0318061 156 106 0 0 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.58 vpr 65.26 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34056 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 31 32 425 341 1 189 96 17 17 289 -1 unnamed_device 26.8 MiB 0.12 1070 16959 5715 8963 2281 65.3 MiB 0.17 0.00 3.39516 -115.177 -3.39516 3.39516 1.02 0.000605832 0.00053846 0.0544621 0.0497432 30 2225 23 6.64007e+06 414414 526063. 1820.29 1.09 0.147208 0.131228 22546 126617 -1 1928 20 1426 2382 123993 29195 3.53523 3.53523 -134.018 -3.53523 0 0 666494. 2306.21 0.31 0.07 0.12 -1 -1 0.31 0.0296285 0.0264973 148 79 31 31 93 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.81 vpr 64.78 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33980 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 30 32 404 328 1 182 94 17 17 289 -1 unnamed_device 26.1 MiB 0.15 1025 9679 2534 6520 625 64.8 MiB 0.10 0.00 3.00058 -93.5826 -3.00058 3.00058 1.04 0.000610611 0.000563599 0.0296093 0.0270079 26 2662 27 6.64007e+06 401856 477104. 1650.88 1.26 0.124714 0.110051 21682 110474 -1 2257 19 1485 2387 160648 36826 3.17537 3.17537 -119.861 -3.17537 0 0 585099. 2024.56 0.30 0.08 0.11 -1 -1 0.30 0.0315034 0.0281283 138 83 26 26 90 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.68 vpr 65.11 MiB 0.02 7368 -1 -1 1 0.04 -1 -1 34056 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 32 32 407 319 1 198 86 17 17 289 -1 unnamed_device 26.2 MiB 0.24 1030 11237 3477 6934 826 65.1 MiB 0.12 0.00 3.35816 -117.64 -3.35816 3.35816 1.03 0.000588384 0.000536109 0.037166 0.0337609 32 2585 21 6.64007e+06 276276 554710. 1919.41 1.10 0.122767 0.108594 22834 132086 -1 2227 20 1878 3128 210220 46338 3.69963 3.69963 -144.957 -3.69963 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0298061 0.0265395 155 58 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.59 vpr 65.12 MiB 0.03 7388 -1 -1 1 0.04 -1 -1 34120 -1 -1 36 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 29 32 387 316 1 179 97 17 17 289 -1 unnamed_device 26.4 MiB 0.14 793 17191 5498 8460 3233 65.1 MiB 0.15 0.00 2.7749 -84.0934 -2.7749 2.7749 1.05 0.000714846 0.000651126 0.0514802 0.0467077 32 2102 21 6.64007e+06 452088 554710. 1919.41 1.06 0.136288 0.121019 22834 132086 -1 1692 17 1385 2235 138910 33952 3.13457 3.13457 -103.117 -3.13457 0 0 701300. 2426.64 0.34 0.07 0.12 -1 -1 0.34 0.0286066 0.0257396 136 81 26 26 85 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.37 vpr 64.34 MiB 0.02 6856 -1 -1 1 0.03 -1 -1 33960 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 32 32 283 225 1 156 81 17 17 289 -1 unnamed_device 25.8 MiB 0.06 800 5331 1118 3727 486 64.3 MiB 0.06 0.00 2.7709 -98.1017 -2.7709 2.7709 1.04 0.000464251 0.00042309 0.0163768 0.0150035 32 1993 23 6.64007e+06 213486 554710. 1919.41 1.04 0.0841428 0.0737879 22834 132086 -1 1799 20 1255 1951 128446 30412 2.96597 2.96597 -120.618 -2.96597 0 0 701300. 2426.64 0.33 0.06 0.12 -1 -1 0.33 0.0228141 0.0203047 115 -1 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.71 vpr 65.17 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 33820 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 407 319 1 194 99 17 17 289 -1 unnamed_device 26.7 MiB 0.25 1085 15603 4456 9696 1451 65.2 MiB 0.14 0.00 3.37316 -120.37 -3.37316 3.37316 1.03 0.000739655 0.000683074 0.0473997 0.0432244 32 2553 21 6.64007e+06 439530 554710. 1919.41 1.09 0.141167 0.12608 22834 132086 -1 2259 21 1696 2569 168304 38887 3.68563 3.68563 -144.965 -3.68563 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0318161 0.0284833 152 62 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.70 vpr 65.48 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 34092 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 407 319 1 201 87 17 17 289 -1 unnamed_device 26.6 MiB 0.18 1005 16599 6352 9045 1202 65.5 MiB 0.15 0.00 3.37836 -119.558 -3.37836 3.37836 1.01 0.000518094 0.000468768 0.0513215 0.0465686 32 2482 22 6.64007e+06 288834 554710. 1919.41 1.06 0.138173 0.122413 22834 132086 -1 2170 21 2082 3164 233952 52278 3.80083 3.80083 -142.909 -3.80083 0 0 701300. 2426.64 0.34 0.09 0.13 -1 -1 0.34 0.0324938 0.029126 158 62 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.51 vpr 64.84 MiB 0.02 7004 -1 -1 1 0.03 -1 -1 34064 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 26.0 MiB 0.15 717 8188 1647 6063 478 64.8 MiB 0.08 0.00 3.0903 -92.4514 -3.0903 3.0903 1.08 0.000552276 0.000504379 0.0231866 0.0210711 32 1919 22 6.64007e+06 376740 554710. 1919.41 1.02 0.0961875 0.0850715 22834 132086 -1 1464 19 917 1466 87499 21919 3.05597 3.05597 -107.304 -3.05597 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0239685 0.0213376 112 47 32 32 54 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.47 vpr 64.59 MiB 0.02 7180 -1 -1 1 0.03 -1 -1 33700 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 26.1 MiB 0.08 891 13556 4350 7094 2112 64.6 MiB 0.11 0.00 2.8321 -100.327 -2.8321 2.8321 1.05 0.000461078 0.000417666 0.0363539 0.0331268 32 2000 25 6.64007e+06 226044 554710. 1919.41 1.05 0.10368 0.0917046 22834 132086 -1 1828 21 1442 2325 174060 38942 2.97197 2.97197 -119.356 -2.97197 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0225689 0.020029 118 -1 93 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 6.04 vpr 64.78 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 33936 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 381 303 1 188 97 17 17 289 -1 unnamed_device 26.1 MiB 0.15 990 10753 2618 7263 872 64.8 MiB 0.11 0.00 3.32336 -113.497 -3.32336 3.32336 1.06 0.00061698 0.000560142 0.0340652 0.0309189 24 2862 33 6.64007e+06 414414 448715. 1552.65 2.57 0.163154 0.143125 21394 104001 -1 2253 18 1509 2183 176319 38145 3.99423 3.99423 -142.635 -3.99423 0 0 554710. 1919.41 0.27 0.07 0.10 -1 -1 0.27 0.0264521 0.0236547 139 56 60 32 58 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.03 vpr 65.38 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 33652 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 32 32 406 330 1 190 96 17 17 289 -1 unnamed_device 26.6 MiB 0.11 1110 16740 4475 10065 2200 65.4 MiB 0.16 0.00 3.57456 -111.886 -3.57456 3.57456 1.07 0.000622477 0.000558508 0.0525084 0.0476734 26 2787 22 6.64007e+06 401856 477104. 1650.88 1.42 0.140801 0.124768 21682 110474 -1 2339 21 1656 2613 183311 41138 4.17323 4.17323 -142.424 -4.17323 0 0 585099. 2024.56 0.29 0.08 0.11 -1 -1 0.29 0.029394 0.026129 136 81 28 28 88 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.66 vpr 64.88 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 33960 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 399 285 1 228 101 17 17 289 -1 unnamed_device 26.6 MiB 0.09 1320 13261 3826 8337 1098 64.9 MiB 0.14 0.00 3.93662 -136.325 -3.93662 3.93662 1.01 0.000650784 0.000592262 0.0404227 0.0368513 28 3126 21 6.64007e+06 464646 500653. 1732.36 1.19 0.125968 0.111754 21970 115934 -1 2570 21 2043 3264 202085 46594 4.23489 4.23489 -162.288 -4.23489 0 0 612192. 2118.31 0.29 0.09 0.11 -1 -1 0.29 0.0320244 0.0284797 179 -1 156 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 5.40 vpr 64.93 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 34168 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 30 32 371 295 1 184 96 17 17 289 -1 unnamed_device 26.2 MiB 0.16 902 10608 2630 7342 636 64.9 MiB 0.10 0.00 3.1105 -94.5351 -3.1105 3.1105 1.01 0.000635475 0.000580403 0.0297042 0.0271 26 2905 26 6.64007e+06 426972 477104. 1650.88 1.97 0.118396 0.104552 21682 110474 -1 2106 22 1514 2450 178574 42887 3.79737 3.79737 -128.73 -3.79737 0 0 585099. 2024.56 0.27 0.08 0.11 -1 -1 0.27 0.0298948 0.0265857 138 47 60 30 56 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.57 vpr 64.36 MiB 0.02 6984 -1 -1 1 0.03 -1 -1 34232 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 27 32 269 226 1 143 80 17 17 289 -1 unnamed_device 25.8 MiB 0.10 632 12636 5273 6095 1268 64.4 MiB 0.09 0.00 2.7691 -80.2981 -2.7691 2.7691 1.00 0.000414396 0.000377838 0.0327121 0.0297996 26 1813 35 6.64007e+06 263718 477104. 1650.88 1.32 0.105422 0.0929014 21682 110474 -1 1443 23 1331 1939 141315 35428 3.10237 3.10237 -103.56 -3.10237 0 0 585099. 2024.56 0.27 0.07 0.11 -1 -1 0.27 0.0236392 0.0207143 107 26 54 27 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 5.24 vpr 65.31 MiB 0.03 7324 -1 -1 1 0.04 -1 -1 34168 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 493 378 1 232 106 17 17 289 -1 unnamed_device 27.0 MiB 0.17 1353 13106 3199 8878 1029 65.3 MiB 0.15 0.00 3.68056 -121.246 -3.68056 3.68056 1.05 0.00092643 0.000856055 0.0464458 0.0425381 28 3784 24 6.64007e+06 527436 500653. 1732.36 1.61 0.169342 0.151503 21970 115934 -1 3069 22 2105 3862 298572 66660 3.86663 3.86663 -146.337 -3.86663 0 0 612192. 2118.31 0.29 0.13 0.11 -1 -1 0.29 0.0428246 0.038348 186 85 62 31 95 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.94 vpr 65.26 MiB 0.03 7592 -1 -1 1 0.04 -1 -1 34364 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 31 32 455 371 1 188 85 17 17 289 -1 unnamed_device 26.7 MiB 0.26 908 9199 2239 5992 968 65.3 MiB 0.10 0.00 3.64105 -113.603 -3.64105 3.64105 1.08 0.000632687 0.000569348 0.0371697 0.033903 32 2359 22 6.64007e+06 276276 554710. 1919.41 1.15 0.133586 0.118292 22834 132086 -1 1902 20 1567 2601 163715 41189 3.79263 3.79263 -139.61 -3.79263 0 0 701300. 2426.64 0.35 0.08 0.13 -1 -1 0.35 0.0334266 0.0300115 145 105 0 0 124 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.60 vpr 64.87 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 33748 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 355 304 1 150 80 17 17 289 -1 unnamed_device 26.1 MiB 0.21 866 14184 4481 7866 1837 64.9 MiB 0.12 0.00 2.9543 -94.0722 -2.9543 2.9543 1.08 0.000499258 0.000454672 0.0467907 0.0426997 30 1950 23 6.64007e+06 200928 526063. 1820.29 1.00 0.126825 0.112474 22546 126617 -1 1742 19 813 1315 83517 18803 2.64057 2.64057 -109.245 -2.64057 0 0 666494. 2306.21 0.33 0.05 0.12 -1 -1 0.33 0.0256724 0.02284 108 86 0 0 89 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.55 vpr 65.11 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 33900 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 364 282 1 196 97 17 17 289 -1 unnamed_device 26.4 MiB 0.07 1096 13195 3422 8717 1056 65.1 MiB 0.13 0.00 3.65525 -116.79 -3.65525 3.65525 1.06 0.000529729 0.000481055 0.0376717 0.0342793 32 2291 19 6.64007e+06 414414 554710. 1919.41 1.06 0.115289 0.102094 22834 132086 -1 2030 20 1298 2064 135207 33870 3.82202 3.82202 -136.408 -3.82202 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0271418 0.0240185 147 31 90 30 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.62 vpr 65.29 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 34476 -1 -1 38 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 31 32 443 336 1 220 101 17 17 289 -1 unnamed_device 26.8 MiB 0.13 1002 21016 6174 11161 3681 65.3 MiB 0.19 0.00 3.65376 -113.344 -3.65376 3.65376 1.02 0.000637215 0.000576793 0.063494 0.0576478 32 2649 24 6.64007e+06 477204 554710. 1919.41 1.08 0.157468 0.139868 22834 132086 -1 2253 21 1866 2830 186038 44397 3.83363 3.83363 -138.601 -3.83363 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0328424 0.0292205 173 50 87 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.79 vpr 65.21 MiB 0.03 7464 -1 -1 1 0.04 -1 -1 34128 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 30 32 373 297 1 186 96 17 17 289 -1 unnamed_device 26.5 MiB 0.12 1038 16740 4573 9849 2318 65.2 MiB 0.17 0.00 2.9811 -92.2189 -2.9811 2.9811 1.10 0.000606775 0.000555131 0.0515339 0.0466187 32 2434 21 6.64007e+06 426972 554710. 1919.41 1.12 0.13602 0.120407 22834 132086 -1 2174 17 1372 2308 151529 34727 3.11136 3.11136 -111.237 -3.11136 0 0 701300. 2426.64 0.33 0.07 0.14 -1 -1 0.33 0.0257422 0.0231685 135 50 58 30 58 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.56 vpr 64.81 MiB 0.02 7404 -1 -1 1 0.04 -1 -1 33968 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 407 319 1 201 107 17 17 289 -1 unnamed_device 26.5 MiB 0.13 1334 22624 7539 12337 2748 64.8 MiB 0.18 0.00 3.65756 -127.051 -3.65756 3.65756 0.98 0.000534199 0.000484619 0.0606272 0.0552264 32 2768 22 6.64007e+06 539994 554710. 1919.41 1.07 0.146637 0.130376 22834 132086 -1 2580 23 2068 3423 274489 59211 3.97583 3.97583 -151.334 -3.97583 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0324437 0.0286314 158 61 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 7.03 vpr 65.25 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34076 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 405 318 1 200 104 17 17 289 -1 unnamed_device 26.7 MiB 0.14 981 17428 4994 9490 2944 65.2 MiB 0.16 0.00 2.7929 -97.8812 -2.7929 2.7929 1.04 0.000611342 0.000552916 0.0461985 0.0419342 32 2536 26 6.64007e+06 502320 554710. 1919.41 3.46 0.23196 0.203174 22834 132086 -1 1964 21 1645 2533 159162 37769 3.11157 3.11157 -118.728 -3.11157 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0292946 0.0259065 157 61 63 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.45 vpr 64.38 MiB 0.02 7176 -1 -1 1 0.03 -1 -1 33616 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 29 32 287 238 1 134 79 17 17 289 -1 unnamed_device 25.9 MiB 0.08 542 13430 5790 6758 882 64.4 MiB 0.09 0.00 2.9573 -85.5328 -2.9573 2.9573 1.03 0.000433448 0.000389623 0.0359055 0.0326614 32 1545 29 6.64007e+06 226044 554710. 1919.41 1.10 0.117117 0.103822 22834 132086 -1 1219 24 1103 1547 116733 33137 2.78577 2.78577 -101.885 -2.78577 0 0 701300. 2426.64 0.33 0.07 0.12 -1 -1 0.33 0.0261514 0.0231198 95 28 58 29 29 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.38 vpr 64.60 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34084 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 334 290 1 156 83 17 17 289 -1 unnamed_device 25.8 MiB 0.17 904 6743 1537 4830 376 64.6 MiB 0.07 0.00 3.39936 -96.1227 -3.39936 3.39936 1.06 0.000515281 0.00047001 0.0217703 0.0198825 26 2030 22 6.64007e+06 238602 477104. 1650.88 0.94 0.098666 0.0872028 21682 110474 -1 1767 22 1116 1572 124326 28328 2.83617 2.83617 -110.571 -2.83617 0 0 585099. 2024.56 0.29 0.07 0.11 -1 -1 0.29 0.0288542 0.0258122 112 79 0 0 82 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.38 vpr 65.05 MiB 0.02 7340 -1 -1 1 0.04 -1 -1 33964 -1 -1 38 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 31 32 365 281 1 196 101 17 17 289 -1 unnamed_device 26.4 MiB 0.14 986 13261 3497 8846 918 65.1 MiB 0.13 0.00 3.98015 -118.414 -3.98015 3.98015 1.08 0.00062556 0.000571621 0.0388547 0.0353135 26 2899 22 6.64007e+06 477204 477104. 1650.88 1.74 0.126624 0.112132 21682 110474 -1 2420 22 1823 2926 224715 49412 3.90583 3.90583 -147.998 -3.90583 0 0 585099. 2024.56 0.30 0.10 0.11 -1 -1 0.30 0.0326773 0.0290377 151 29 93 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.47 vpr 64.66 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 34020 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 25.9 MiB 0.19 768 16652 4973 9354 2325 64.7 MiB 0.13 0.00 2.9591 -84.7747 -2.9591 2.9591 1.04 0.000490904 0.000438445 0.0395508 0.0359332 28 1696 21 6.64007e+06 389298 500653. 1732.36 0.98 0.10565 0.0935065 21970 115934 -1 1542 20 993 1593 99143 23239 2.77157 2.77157 -99.6573 -2.77157 0 0 612192. 2118.31 0.28 0.06 0.12 -1 -1 0.28 0.0235663 0.0208554 108 48 29 29 52 26 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.40 vpr 64.74 MiB 0.02 7176 -1 -1 1 0.03 -1 -1 34016 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 32 32 314 256 1 160 81 17 17 289 -1 unnamed_device 25.9 MiB 0.13 896 13906 4719 7628 1559 64.7 MiB 0.11 0.00 2.7929 -101.448 -2.7929 2.7929 1.02 0.000453582 0.000411323 0.0389162 0.0352723 32 2142 21 6.64007e+06 213486 554710. 1919.41 1.00 0.106051 0.0937622 22834 132086 -1 1908 22 1452 2398 189519 40731 2.91297 2.91297 -122.494 -2.91297 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.02419 0.0214849 118 31 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.89 vpr 65.18 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 34100 -1 -1 38 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 31 32 387 307 1 189 101 17 17 289 -1 unnamed_device 26.5 MiB 0.15 934 10206 2303 7222 681 65.2 MiB 0.11 0.00 2.8453 -96.2463 -2.8453 2.8453 1.10 0.000607493 0.000544226 0.0319413 0.0287815 26 2263 24 6.64007e+06 477204 477104. 1650.88 1.24 0.125735 0.111035 21682 110474 -1 1958 19 1661 2354 151886 35885 3.02437 3.02437 -120.033 -3.02437 0 0 585099. 2024.56 0.29 0.08 0.11 -1 -1 0.29 0.0291196 0.0259872 144 60 58 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.35 vpr 64.82 MiB 0.02 7196 -1 -1 1 0.03 -1 -1 33988 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 308 262 1 147 80 17 17 289 -1 unnamed_device 26.0 MiB 0.14 898 13324 4150 7278 1896 64.8 MiB 0.10 0.00 2.70619 -87.5425 -2.70619 2.70619 1.01 0.000480485 0.00043737 0.0360103 0.0328925 32 1937 20 6.64007e+06 213486 554710. 1919.41 0.96 0.0994087 0.0879647 22834 132086 -1 1730 20 904 1583 110992 24425 2.94917 2.94917 -107.264 -2.94917 0 0 701300. 2426.64 0.32 0.06 0.12 -1 -1 0.32 0.0219971 0.0196196 106 49 31 31 53 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.69 vpr 65.11 MiB 0.02 7364 -1 -1 1 0.04 -1 -1 34156 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 383 307 1 184 97 17 17 289 -1 unnamed_device 26.4 MiB 0.14 924 13195 3203 9313 679 65.1 MiB 0.12 0.00 2.7379 -93.8791 -2.7379 2.7379 1.07 0.000573311 0.000523667 0.0391182 0.0354932 30 2265 23 6.64007e+06 414414 526063. 1820.29 1.13 0.127491 0.113156 22546 126617 -1 1934 21 1126 1900 118915 26254 2.67557 2.67557 -108.231 -2.67557 0 0 666494. 2306.21 0.32 0.07 0.12 -1 -1 0.32 0.0294869 0.0263167 137 56 52 26 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.85 vpr 65.31 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 34160 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 31 32 422 339 1 195 100 17 17 289 -1 unnamed_device 26.8 MiB 0.24 1001 14716 3816 9619 1281 65.3 MiB 0.15 0.00 3.1215 -100.469 -3.1215 3.1215 1.08 0.000666639 0.000606698 0.0495009 0.044954 26 2387 25 6.64007e+06 464646 477104. 1650.88 1.22 0.155597 0.138628 21682 110474 -1 2061 19 1600 2391 161278 36759 3.08717 3.08717 -120.708 -3.08717 0 0 585099. 2024.56 0.28 0.08 0.10 -1 -1 0.28 0.031018 0.0276985 149 88 31 31 92 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.62 vpr 64.86 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 34044 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 26.1 MiB 0.13 893 13966 3753 8425 1788 64.9 MiB 0.12 0.00 2.55679 -94.205 -2.55679 2.55679 1.09 0.000504628 0.000459302 0.0431113 0.0393449 32 2172 21 6.64007e+06 226044 554710. 1919.41 1.05 0.124171 0.110518 22834 132086 -1 1865 19 1137 1794 125703 29338 2.84697 2.84697 -114.275 -2.84697 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0249174 0.0223246 115 54 32 32 60 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.86 vpr 65.00 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 33568 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 26.2 MiB 0.19 827 8092 1997 5793 302 65.0 MiB 0.09 0.00 2.7819 -96.5035 -2.7819 2.7819 1.15 0.000564238 0.000511052 0.0301073 0.0273395 32 2061 20 6.64007e+06 226044 554710. 1919.41 1.09 0.112102 0.0991629 22834 132086 -1 1815 22 1243 2144 155232 35295 2.88797 2.88797 -116.865 -2.88797 0 0 701300. 2426.64 0.34 0.07 0.13 -1 -1 0.34 0.0272706 0.0241064 121 60 32 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.82 vpr 64.99 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34400 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 407 319 1 198 102 17 17 289 -1 unnamed_device 26.5 MiB 0.14 954 9622 2117 6470 1035 65.0 MiB 0.10 0.00 3.42636 -116.672 -3.42636 3.42636 1.09 0.000642301 0.000585259 0.0287003 0.026132 32 2350 22 6.64007e+06 477204 554710. 1919.41 1.13 0.119721 0.105902 22834 132086 -1 2016 22 1998 2967 203285 46239 3.72443 3.72443 -142.682 -3.72443 0 0 701300. 2426.64 0.33 0.10 0.13 -1 -1 0.33 0.0355093 0.0318447 156 49 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.48 vpr 65.25 MiB 0.03 7440 -1 -1 1 0.04 -1 -1 34228 -1 -1 34 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 29 32 367 293 1 183 95 17 17 289 -1 unnamed_device 26.5 MiB 0.14 864 10031 2293 7091 647 65.3 MiB 0.09 0.00 3.0713 -89.186 -3.0713 3.0713 1.06 0.000569182 0.00052154 0.0294676 0.0268797 28 2308 24 6.64007e+06 426972 500653. 1732.36 1.01 0.109793 0.0969508 21970 115934 -1 1900 16 1172 1858 118235 28442 3.03817 3.03817 -107.953 -3.03817 0 0 612192. 2118.31 0.30 0.06 0.11 -1 -1 0.30 0.0242442 0.0218525 135 54 56 29 58 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 11.62 vpr 65.21 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34256 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 469 381 1 200 103 17 17 289 -1 unnamed_device 26.8 MiB 0.26 936 9020 1782 6656 582 65.2 MiB 0.10 0.00 3.42636 -117.212 -3.42636 3.42636 1.09 0.000726032 0.000658482 0.0286313 0.0259873 26 3407 46 6.64007e+06 489762 477104. 1650.88 7.91 0.245921 0.213121 21682 110474 -1 2534 23 2221 3508 277950 74413 3.95383 3.95383 -154.554 -3.95383 0 0 585099. 2024.56 0.28 0.11 0.11 -1 -1 0.28 0.0345781 0.0306398 158 117 0 0 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.56 vpr 64.28 MiB 0.02 7000 -1 -1 1 0.03 -1 -1 33864 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 31 32 259 212 1 146 80 17 17 289 -1 unnamed_device 25.8 MiB 0.08 855 12464 3598 7451 1415 64.3 MiB 0.10 0.00 2.50628 -87.9013 -2.50628 2.50628 1.07 0.00041317 0.000376124 0.0349722 0.031798 32 1929 20 6.64007e+06 213486 554710. 1919.41 1.06 0.100045 0.0888298 22834 132086 -1 1719 20 998 1564 113495 25749 2.76997 2.76997 -109.505 -2.76997 0 0 701300. 2426.64 0.35 0.06 0.13 -1 -1 0.35 0.0226858 0.0201462 106 -1 85 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 5.89 vpr 65.39 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 33892 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 418 338 1 190 99 17 17 289 -1 unnamed_device 26.9 MiB 0.14 973 19023 5625 10538 2860 65.4 MiB 0.19 0.00 3.43436 -113.195 -3.43436 3.43436 1.16 0.000615008 0.000555523 0.0629468 0.0574209 26 2715 24 6.64007e+06 439530 477104. 1650.88 1.98 0.164653 0.146628 21682 110474 -1 2069 30 1765 2719 237842 62374 4.08143 4.08143 -142.709 -4.08143 0 0 585099. 2024.56 0.32 0.13 0.11 -1 -1 0.32 0.0460878 0.0408729 144 89 28 28 92 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.85 vpr 64.88 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33816 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 26.1 MiB 0.26 782 12506 4296 6611 1599 64.9 MiB 0.12 0.00 2.8021 -100.516 -2.8021 2.8021 1.11 0.00066583 0.000610565 0.0456874 0.0418482 32 1899 20 6.64007e+06 213486 554710. 1919.41 1.10 0.132382 0.118017 22834 132086 -1 1636 21 1358 1949 134356 31228 2.97497 2.97497 -121.101 -2.97497 0 0 701300. 2426.64 0.36 0.08 0.13 -1 -1 0.36 0.0324995 0.0291256 114 93 0 0 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 5.69 vpr 65.28 MiB 0.02 7436 -1 -1 1 0.04 -1 -1 33900 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 401 316 1 196 101 17 17 289 -1 unnamed_device 26.4 MiB 0.15 869 9266 2001 6475 790 65.3 MiB 0.10 0.00 2.8409 -95.9032 -2.8409 2.8409 1.07 0.00084025 0.000775324 0.0313061 0.02884 26 2898 39 6.64007e+06 464646 477104. 1650.88 2.17 0.156154 0.138911 21682 110474 -1 2192 19 1445 2158 138729 34697 2.95717 2.95717 -120.914 -2.95717 0 0 585099. 2024.56 0.29 0.07 0.10 -1 -1 0.29 0.0311901 0.0278561 151 59 61 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 6.83 vpr 65.27 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34220 -1 -1 45 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 500 382 1 232 109 17 17 289 -1 unnamed_device 27.0 MiB 0.21 1199 16749 4175 10682 1892 65.3 MiB 0.18 0.00 4.01362 -138.146 -4.01362 4.01362 1.03 0.000851073 0.000787405 0.0572616 0.0526119 26 3530 25 6.64007e+06 565110 477104. 1650.88 3.18 0.170153 0.152255 21682 110474 -1 2795 23 2465 3782 310669 65663 4.85488 4.85488 -171.095 -4.85488 0 0 585099. 2024.56 0.29 0.12 0.10 -1 -1 0.29 0.0404595 0.0361626 188 81 64 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.48 vpr 64.22 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 33728 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 25.7 MiB 0.08 524 5619 1308 4029 282 64.2 MiB 0.05 0.00 2.34384 -69.1356 -2.34384 2.34384 1.14 0.000392642 0.000349996 0.0165974 0.0151266 26 1416 27 6.64007e+06 188370 477104. 1650.88 0.96 0.0811396 0.0710164 21682 110474 -1 1228 21 681 918 68857 16715 1.95231 1.95231 -77.8954 -1.95231 0 0 585099. 2024.56 0.30 0.05 0.11 -1 -1 0.30 0.0225595 0.0200147 83 51 0 0 53 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.52 vpr 64.47 MiB 0.02 7136 -1 -1 1 0.03 -1 -1 33856 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66020 30 32 296 244 1 137 79 17 17 289 -1 unnamed_device 26.0 MiB 0.08 656 11233 4671 5674 888 64.5 MiB 0.09 0.00 2.9603 -88.4474 -2.9603 2.9603 1.08 0.000465802 0.000421074 0.0348875 0.0317529 32 1562 21 6.64007e+06 213486 554710. 1919.41 1.04 0.105851 0.0934089 22834 132086 -1 1365 17 945 1390 106809 25187 2.91397 2.91397 -109.698 -2.91397 0 0 701300. 2426.64 0.34 0.05 0.13 -1 -1 0.34 0.0217048 0.019411 97 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.55 vpr 64.83 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33552 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 26.0 MiB 0.11 747 8982 2439 5376 1167 64.8 MiB 0.09 0.00 2.7647 -94.9151 -2.7647 2.7647 1.05 0.000472627 0.000431738 0.0267705 0.0244251 32 2339 28 6.64007e+06 226044 554710. 1919.41 1.07 0.104142 0.091692 22834 132086 -1 1823 20 1398 2456 145657 35439 3.18117 3.18117 -125.114 -3.18117 0 0 701300. 2426.64 0.34 0.07 0.12 -1 -1 0.34 0.0241843 0.0214695 126 31 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.36 vpr 64.38 MiB 0.02 7236 -1 -1 1 0.03 -1 -1 34096 -1 -1 34 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 25.9 MiB 0.05 734 14371 4254 7957 2160 64.4 MiB 0.10 0.00 2.6877 -74.4937 -2.6877 2.6877 1.06 0.000374498 0.000341329 0.0308927 0.0281423 26 1692 21 6.64007e+06 426972 477104. 1650.88 1.01 0.0970937 0.0861228 21682 110474 -1 1564 18 963 1523 110832 25030 2.77097 2.77097 -92.5841 -2.77097 0 0 585099. 2024.56 0.29 0.05 0.11 -1 -1 0.29 0.0199566 0.0178296 103 19 50 25 25 25 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.08 vpr 65.08 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 34160 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 432 346 1 193 86 17 17 289 -1 unnamed_device 26.5 MiB 0.16 881 15017 5363 6769 2885 65.1 MiB 0.16 0.00 3.50535 -109.154 -3.50535 3.50535 1.08 0.000606575 0.000555976 0.0570422 0.0518165 32 2612 25 6.64007e+06 276276 554710. 1919.41 1.30 0.162208 0.14352 22834 132086 -1 1963 21 1635 2875 177653 42192 3.77663 3.77663 -135.037 -3.77663 0 0 701300. 2426.64 0.37 0.09 0.14 -1 -1 0.37 0.0350189 0.0311496 149 84 32 32 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.80 vpr 65.32 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 33940 -1 -1 39 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 31 32 421 339 1 193 102 17 17 289 -1 unnamed_device 26.8 MiB 0.16 892 10812 2340 6945 1527 65.3 MiB 0.10 0.00 2.8831 -95.4857 -2.8831 2.8831 1.07 0.000966546 0.000836288 0.035136 0.0320278 30 2440 24 6.64007e+06 489762 526063. 1820.29 1.20 0.140057 0.124455 22546 126617 -1 1787 18 1533 2505 135111 34675 3.15017 3.15017 -119.679 -3.15017 0 0 666494. 2306.21 0.33 0.08 0.12 -1 -1 0.33 0.0322332 0.0287965 148 88 29 29 93 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 7.84 vpr 64.89 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 34088 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 438 350 1 194 98 17 17 289 -1 unnamed_device 26.6 MiB 0.24 937 9323 2024 6093 1206 64.9 MiB 0.09 0.00 3.15264 -107.851 -3.15264 3.15264 1.09 0.000723616 0.00066499 0.0323354 0.0295767 34 2824 43 6.65987e+06 431052 585099. 2024.56 4.02 0.253182 0.2218 23122 138558 -1 2186 24 1752 2802 207598 50750 3.60511 3.60511 -138.542 -3.60511 0 0 742403. 2568.87 0.35 0.10 0.14 -1 -1 0.35 0.0364558 0.0322453 151 80 32 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 5.11 vpr 65.10 MiB 0.03 7352 -1 -1 1 0.04 -1 -1 33880 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 30 32 409 330 1 186 83 17 17 289 -1 unnamed_device 26.4 MiB 0.41 818 6563 1468 4244 851 65.1 MiB 0.08 0.00 3.4765 -99.5249 -3.4765 3.4765 1.09 0.00061712 0.000563247 0.0261309 0.0238136 32 2469 23 6.65987e+06 266238 554710. 1919.41 1.16 0.118045 0.104587 22834 132086 -1 1988 23 1865 3089 246175 56515 3.78971 3.78971 -131.062 -3.78971 0 0 701300. 2426.64 0.35 0.10 0.13 -1 -1 0.35 0.0348882 0.0311838 140 78 30 30 89 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.71 vpr 65.12 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34208 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 387 309 1 186 98 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1047 14723 3618 8932 2173 65.1 MiB 0.13 0.00 2.72347 -96.5797 -2.72347 2.72347 1.05 0.000577154 0.000523959 0.040915 0.0373315 32 2572 29 6.65987e+06 431052 554710. 1919.41 1.12 0.130782 0.115732 22834 132086 -1 2149 22 1550 2472 208414 45562 3.24285 3.24285 -120.689 -3.24285 0 0 701300. 2426.64 0.32 0.09 0.14 -1 -1 0.32 0.0320658 0.028569 141 50 54 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.67 vpr 64.93 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 34116 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 29 32 343 267 1 184 83 17 17 289 -1 unnamed_device 26.3 MiB 0.11 858 16283 5083 8672 2528 64.9 MiB 0.16 0.00 3.4563 -100.035 -3.4563 3.4563 1.05 0.00053185 0.000485349 0.0538113 0.0491548 30 2333 23 6.65987e+06 278916 526063. 1820.29 1.17 0.137141 0.122238 22546 126617 -1 1745 21 1424 2513 140986 34067 3.41411 3.41411 -116.47 -3.41411 0 0 666494. 2306.21 0.31 0.07 0.12 -1 -1 0.31 0.0272218 0.0242866 138 25 87 29 29 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 5.05 vpr 64.95 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 33832 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 376 288 1 195 84 17 17 289 -1 unnamed_device 26.5 MiB 0.22 922 9966 2392 7082 492 65.0 MiB 0.10 0.00 3.30796 -113.007 -3.30796 3.30796 1.05 0.000593306 0.000543197 0.0356965 0.032581 32 3142 31 6.65987e+06 253560 554710. 1919.41 1.41 0.146155 0.130493 22834 132086 -1 2358 22 2022 3711 262292 63172 3.81163 3.81163 -146.222 -3.81163 0 0 701300. 2426.64 0.33 0.10 0.13 -1 -1 0.33 0.0345164 0.0309992 151 31 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 7.49 vpr 64.94 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 34112 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 402 316 1 199 101 17 17 289 -1 unnamed_device 26.7 MiB 0.25 1143 17961 5183 10397 2381 64.9 MiB 0.17 0.00 2.90104 -101.953 -2.90104 2.90104 1.09 0.000568007 0.000515272 0.049773 0.0453408 34 2333 17 6.65987e+06 469086 585099. 2024.56 3.63 0.2231 0.196258 23122 138558 -1 2069 19 1363 2195 147175 33720 2.73971 2.73971 -112.47 -2.73971 0 0 742403. 2568.87 0.35 0.08 0.14 -1 -1 0.35 0.0309643 0.02756 154 61 63 32 63 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.66 vpr 64.27 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34348 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65808 27 32 269 226 1 135 78 17 17 289 -1 unnamed_device 25.8 MiB 0.20 588 12694 3519 7760 1415 64.3 MiB 0.09 0.00 3.0353 -80.2894 -3.0353 3.0353 1.09 0.000452739 0.000414538 0.0351363 0.0320471 32 1538 19 6.65987e+06 240882 554710. 1919.41 1.03 0.0937175 0.0829655 22834 132086 -1 1354 21 1116 1898 125615 32614 2.83291 2.83291 -96.0865 -2.83291 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0219158 0.019396 96 26 54 27 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.78 vpr 64.80 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33452 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 31 32 317 242 1 185 96 17 17 289 -1 unnamed_device 26.2 MiB 0.15 988 17397 5060 9798 2539 64.8 MiB 0.16 0.00 2.73284 -89.6492 -2.73284 2.73284 1.09 0.000603038 0.000533232 0.0500891 0.0455561 28 2329 22 6.65987e+06 418374 500653. 1732.36 1.14 0.13063 0.116558 21970 115934 -1 2099 21 1337 2328 168698 37650 2.85265 2.85265 -110.24 -2.85265 0 0 612192. 2118.31 0.31 0.07 0.10 -1 -1 0.31 0.025472 0.0226106 139 -1 115 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.71 vpr 64.62 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 33492 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 31 32 338 292 1 148 79 17 17 289 -1 unnamed_device 25.8 MiB 0.30 860 10219 2849 6140 1230 64.6 MiB 0.09 0.00 2.45267 -82.6069 -2.45267 2.45267 1.08 0.000529243 0.000482225 0.0332428 0.0303291 32 1903 17 6.65987e+06 202848 554710. 1919.41 1.03 0.102294 0.0904466 22834 132086 -1 1643 17 826 1330 95037 21484 2.38705 2.38705 -98.146 -2.38705 0 0 701300. 2426.64 0.34 0.05 0.13 -1 -1 0.34 0.0234899 0.0211451 105 81 0 0 84 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.70 vpr 64.68 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33944 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 314 256 1 162 80 17 17 289 -1 unnamed_device 25.9 MiB 0.28 828 11260 3298 5950 2012 64.7 MiB 0.10 0.00 2.7537 -99.3682 -2.7537 2.7537 1.07 0.000469843 0.000428464 0.0358275 0.0327494 32 2069 15 6.65987e+06 202848 554710. 1919.41 1.04 0.101067 0.0896606 22834 132086 -1 1795 20 1434 2214 169845 37793 2.83157 2.83157 -119.654 -2.83157 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0234043 0.0207795 121 31 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.77 vpr 64.71 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 33576 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 30 32 325 273 1 151 79 17 17 289 -1 unnamed_device 25.9 MiB 0.27 815 13092 4597 6659 1836 64.7 MiB 0.12 0.00 2.8281 -95.0467 -2.8281 2.8281 1.10 0.000468671 0.000422248 0.0425967 0.0388193 32 1809 22 6.65987e+06 215526 554710. 1919.41 1.05 0.112279 0.0993686 22834 132086 -1 1565 19 1107 1600 100848 24317 2.92597 2.92597 -112.914 -2.92597 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0225272 0.0200852 110 58 30 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.87 vpr 64.63 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33764 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 26.0 MiB 0.26 864 10383 2536 6754 1093 64.6 MiB 0.10 0.00 2.44518 -86.2004 -2.44518 2.44518 1.11 0.000606297 0.000553855 0.0301292 0.0274808 32 2198 35 6.65987e+06 367662 554710. 1919.41 1.13 0.113798 0.100203 22834 132086 -1 1815 23 1374 2266 179957 39965 2.55139 2.55139 -104.668 -2.55139 0 0 701300. 2426.64 0.35 0.08 0.13 -1 -1 0.35 0.0289106 0.0257632 114 57 25 25 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 5.00 vpr 64.94 MiB 0.03 7436 -1 -1 1 0.04 -1 -1 34000 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 386 305 1 188 96 17 17 289 -1 unnamed_device 26.2 MiB 0.45 1030 12798 3361 8649 788 64.9 MiB 0.13 0.00 2.7537 -99.7786 -2.7537 2.7537 1.05 0.000647148 0.000594786 0.0395682 0.0359972 28 2494 22 6.65987e+06 405696 500653. 1732.36 1.15 0.126973 0.112325 21970 115934 -1 2117 22 1686 2843 199586 45428 2.88397 2.88397 -119.021 -2.88397 0 0 612192. 2118.31 0.30 0.09 0.12 -1 -1 0.30 0.0307061 0.0272702 143 55 64 32 57 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.82 vpr 65.08 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 34184 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 32 32 407 319 1 200 98 17 17 289 -1 unnamed_device 26.4 MiB 0.31 1115 10223 2268 7014 941 65.1 MiB 0.10 0.00 3.1647 -112.747 -3.1647 3.1647 1.04 0.000682113 0.000626003 0.0331122 0.030263 32 2615 24 6.65987e+06 431052 554710. 1919.41 1.14 0.136325 0.121123 22834 132086 -1 2313 21 2031 3208 236406 54141 3.64037 3.64037 -139.621 -3.64037 0 0 701300. 2426.64 0.33 0.10 0.13 -1 -1 0.33 0.0344286 0.0308709 156 60 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.57 vpr 64.21 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34136 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65748 29 32 272 228 1 145 79 17 17 289 -1 unnamed_device 25.6 MiB 0.17 657 9374 2454 6049 871 64.2 MiB 0.08 0.00 2.43238 -73.0963 -2.43238 2.43238 1.09 0.000528386 0.000481699 0.0277725 0.0253566 28 1846 23 6.65987e+06 228204 500653. 1732.36 1.02 0.0960408 0.0850437 21970 115934 -1 1489 23 1152 1946 124892 32150 2.52039 2.52039 -92.6281 -2.52039 0 0 612192. 2118.31 0.32 0.05 0.12 -1 -1 0.32 0.018229 0.0162135 107 21 58 29 24 24 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.01 vpr 65.10 MiB 0.02 7396 -1 -1 1 0.04 -1 -1 34124 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 401 315 1 192 84 17 17 289 -1 unnamed_device 26.5 MiB 0.30 1095 13443 3633 7958 1852 65.1 MiB 0.14 0.00 2.9131 -107.137 -2.9131 2.9131 1.09 0.000582092 0.000529043 0.0499666 0.0454956 32 2710 22 6.65987e+06 253560 554710. 1919.41 1.15 0.142382 0.12635 22834 132086 -1 2369 21 1814 3158 248343 56678 3.12031 3.12031 -125.285 -3.12031 0 0 701300. 2426.64 0.33 0.10 0.14 -1 -1 0.33 0.0340875 0.0305972 146 60 64 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 4.85 vpr 64.81 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 33932 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 383 303 1 185 98 17 17 289 -1 unnamed_device 26.1 MiB 0.39 1033 12023 3015 8132 876 64.8 MiB 0.11 0.00 2.9131 -105.574 -2.9131 2.9131 1.09 0.000560497 0.000506758 0.0359599 0.0327561 28 2234 23 6.65987e+06 431052 500653. 1732.36 1.02 0.123757 0.109602 21970 115934 -1 2022 21 1445 2202 145318 34171 2.78657 2.78657 -120.101 -2.78657 0 0 612192. 2118.31 0.31 0.08 0.11 -1 -1 0.31 0.0322902 0.0286796 142 54 64 32 56 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.66 vpr 64.84 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33760 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 339 284 1 162 94 17 17 289 -1 unnamed_device 26.2 MiB 0.22 891 16708 5107 9436 2165 64.8 MiB 0.14 0.00 2.23864 -84.0256 -2.23864 2.23864 1.07 0.000488121 0.000441766 0.0462625 0.0419851 28 1991 19 6.65987e+06 380340 500653. 1732.36 1.05 0.120505 0.106358 21970 115934 -1 1886 17 980 1530 105308 24902 2.15851 2.15851 -98.4323 -2.15851 0 0 612192. 2118.31 0.30 0.06 0.12 -1 -1 0.30 0.0232073 0.0207049 118 62 29 29 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.26 vpr 64.14 MiB 0.02 7120 -1 -1 1 0.03 -1 -1 33608 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65680 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 25.6 MiB 0.13 705 9531 2665 5536 1330 64.1 MiB 0.07 0.00 1.99938 -68.6713 -1.99938 1.99938 1.06 0.000359093 0.000328567 0.0248013 0.022624 26 1504 22 6.65987e+06 190170 477104. 1650.88 0.92 0.0802887 0.0705758 21682 110474 -1 1383 16 663 937 76489 17279 1.82785 1.82785 -80.3751 -1.82785 0 0 585099. 2024.56 0.28 0.05 0.11 -1 -1 0.28 0.0153541 0.0135432 85 29 24 24 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.73 vpr 64.69 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34052 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 31 32 335 280 1 154 79 17 17 289 -1 unnamed_device 26.0 MiB 0.25 838 13937 5061 6934 1942 64.7 MiB 0.12 0.00 3.15104 -98.411 -3.15104 3.15104 1.09 0.000541437 0.000488277 0.0461545 0.0420814 32 2017 20 6.65987e+06 202848 554710. 1919.41 1.06 0.119829 0.106182 22834 132086 -1 1747 18 889 1350 107104 24660 3.11665 3.11665 -114.527 -3.11665 0 0 701300. 2426.64 0.34 0.06 0.14 -1 -1 0.34 0.025616 0.0229539 113 55 31 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.46 vpr 64.73 MiB 0.02 7128 -1 -1 1 0.03 -1 -1 33596 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 32 32 366 283 1 192 98 17 17 289 -1 unnamed_device 26.0 MiB 0.06 991 18998 5911 10297 2790 64.7 MiB 0.16 0.00 3.1409 -108.235 -3.1409 3.1409 0.99 0.000668975 0.000608939 0.053399 0.0485443 32 2297 21 6.65987e+06 431052 554710. 1919.41 1.05 0.132414 0.117179 22834 132086 -1 2016 20 1548 2187 164114 36879 3.36697 3.36697 -128.504 -3.36697 0 0 701300. 2426.64 0.32 0.08 0.14 -1 -1 0.32 0.028761 0.0256316 145 31 91 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.81 vpr 64.92 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 34264 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 460 375 1 196 100 17 17 289 -1 unnamed_device 26.8 MiB 0.37 1120 16340 4492 10058 1790 64.9 MiB 0.15 0.00 2.73064 -99.5078 -2.73064 2.73064 1.00 0.000589517 0.000535367 0.0471511 0.0428079 32 2810 24 6.65987e+06 456408 554710. 1919.41 1.08 0.138215 0.121796 22834 132086 -1 2415 21 1687 2623 206600 46153 3.48825 3.48825 -124.569 -3.48825 0 0 701300. 2426.64 0.33 0.09 0.14 -1 -1 0.33 0.033926 0.0302156 149 108 0 0 125 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.40 vpr 63.59 MiB 0.02 7084 -1 -1 1 0.03 -1 -1 34256 -1 -1 17 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65112 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 25.2 MiB 0.19 544 11293 3626 6689 978 63.6 MiB 0.07 0.00 2.01838 -58.8962 -2.01838 2.01838 1.05 0.000323305 0.000290013 0.0261172 0.0238599 32 1259 16 6.65987e+06 215526 554710. 1919.41 0.96 0.071864 0.0636425 22834 132086 -1 1145 21 573 954 71916 16557 1.79005 1.79005 -67.0541 -1.79005 0 0 701300. 2426.64 0.34 0.05 0.12 -1 -1 0.34 0.0190841 0.0169299 77 21 26 26 22 22 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 6.68 vpr 64.77 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34036 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 333 251 1 187 84 17 17 289 -1 unnamed_device 26.1 MiB 0.14 1100 7770 1980 5307 483 64.8 MiB 0.09 0.00 3.26284 -110.335 -3.26284 3.26284 1.03 0.000508557 0.000463019 0.0269176 0.0245681 28 2609 23 6.65987e+06 253560 500653. 1732.36 3.24 0.188145 0.164851 21970 115934 -1 2353 21 1613 2761 193629 44449 3.99491 3.99491 -142.972 -3.99491 0 0 612192. 2118.31 0.29 0.09 0.12 -1 -1 0.29 0.0310887 0.0278336 137 -1 122 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.16 vpr 63.98 MiB 0.02 6976 -1 -1 1 0.03 -1 -1 34028 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65516 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 25.5 MiB 0.04 737 11650 3536 6416 1698 64.0 MiB 0.07 0.00 1.74527 -67.3368 -1.74527 1.74527 1.05 0.000333631 0.000303712 0.0260986 0.0237864 32 1535 19 6.65987e+06 164814 554710. 1919.41 0.92 0.0700971 0.0619411 22834 132086 -1 1403 19 666 904 72682 16758 1.88005 1.88005 -82.688 -1.88005 0 0 701300. 2426.64 0.32 0.04 0.14 -1 -1 0.32 0.0154757 0.0137608 81 -1 53 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.60 vpr 64.81 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 34260 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 376 288 1 194 97 17 17 289 -1 unnamed_device 26.4 MiB 0.06 1080 19189 6181 10709 2299 64.8 MiB 0.18 0.00 3.3241 -116.455 -3.3241 3.3241 1.04 0.000588622 0.000536862 0.0541532 0.0491774 32 2590 22 6.65987e+06 418374 554710. 1919.41 1.11 0.135826 0.120205 22834 132086 -1 2173 22 1945 2890 196959 46360 3.53017 3.53017 -138.38 -3.53017 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0341526 0.0307141 151 21 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.55 vpr 64.88 MiB 0.02 7156 -1 -1 1 0.03 -1 -1 33760 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 337 253 1 196 99 17 17 289 -1 unnamed_device 26.2 MiB 0.16 1101 11955 3170 7905 880 64.9 MiB 0.12 0.00 2.66064 -97.5546 -2.66064 2.66064 1.03 0.000588651 0.000533683 0.0330011 0.0301003 32 2571 23 6.65987e+06 443730 554710. 1919.41 1.03 0.107919 0.095517 22834 132086 -1 2211 19 1530 2437 173342 40697 2.87371 2.87371 -116.79 -2.87371 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0251631 0.0225011 150 -1 124 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 6.22 vpr 64.58 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 34224 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 32 32 407 319 1 197 99 17 17 289 -1 unnamed_device 26.3 MiB 0.13 1144 10815 2697 7451 667 64.6 MiB 0.12 0.00 3.07644 -110.15 -3.07644 3.07644 1.04 0.000774542 0.000706435 0.0334708 0.0305268 36 2370 37 6.65987e+06 443730 612192. 2118.31 2.62 0.193046 0.169138 23410 145293 -1 2068 21 1734 2870 186737 43207 3.67325 3.67325 -144.751 -3.67325 0 0 782063. 2706.10 0.36 0.08 0.15 -1 -1 0.36 0.0299813 0.0266796 153 54 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.28 vpr 64.42 MiB 0.02 7040 -1 -1 1 0.03 -1 -1 33696 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 32 32 294 246 1 149 79 17 17 289 -1 unnamed_device 25.8 MiB 0.06 766 8022 1881 5885 256 64.4 MiB 0.07 0.00 2.30182 -81.4786 -2.30182 2.30182 1.01 0.000465251 0.000422139 0.0254174 0.0231104 32 1987 19 6.65987e+06 190170 554710. 1919.41 0.99 0.0857254 0.0755147 22834 132086 -1 1761 18 1027 1646 136219 32278 2.81091 2.81091 -103.852 -2.81091 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0232156 0.0207975 106 31 54 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.31 vpr 64.43 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 33804 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 26.1 MiB 0.12 838 12506 5226 6847 433 64.4 MiB 0.10 0.00 2.8721 -96.5836 -2.8721 2.8721 1.00 0.000446901 0.000406871 0.0342892 0.0311488 32 1860 23 6.65987e+06 240882 554710. 1919.41 0.99 0.0997368 0.0876617 22834 132086 -1 1646 19 1203 1823 132755 30306 2.92897 2.92897 -111.572 -2.92897 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0206096 0.0183755 115 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.26 vpr 64.16 MiB 0.02 7016 -1 -1 1 0.03 -1 -1 33796 -1 -1 20 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65700 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 25.6 MiB 0.15 798 8508 2294 5421 793 64.2 MiB 0.08 0.00 2.7097 -86.4171 -2.7097 2.7097 0.99 0.000605758 0.000562131 0.0242037 0.0221481 32 1978 22 6.65987e+06 253560 554710. 1919.41 0.97 0.0819115 0.0719879 22834 132086 -1 1745 21 1271 2155 161118 37900 2.90597 2.90597 -106.63 -2.90597 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0215875 0.0191302 107 27 56 28 28 28 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.54 vpr 64.48 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33616 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 26.1 MiB 0.12 776 5778 1112 4477 189 64.5 MiB 0.06 0.00 2.7647 -96.8353 -2.7647 2.7647 1.06 0.000491246 0.000446509 0.0176796 0.0160859 32 2143 26 6.65987e+06 228204 554710. 1919.41 1.09 0.0904127 0.0796438 22834 132086 -1 1875 18 1353 2120 153079 35131 3.04997 3.04997 -121.959 -3.04997 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0231776 0.0208826 125 -1 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.40 vpr 64.50 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34192 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 26.1 MiB 0.08 771 11383 3075 7214 1094 64.5 MiB 0.10 0.00 2.57058 -87.584 -2.57058 2.57058 1.03 0.000475396 0.000431659 0.0280807 0.0255075 28 2064 23 6.65987e+06 393018 500653. 1732.36 1.05 0.0964627 0.0845193 21970 115934 -1 1948 23 1277 1932 187088 53037 2.67325 2.67325 -108.625 -2.67325 0 0 612192. 2118.31 0.28 0.08 0.11 -1 -1 0.28 0.0251934 0.022194 119 26 61 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.34 vpr 64.64 MiB 0.02 7300 -1 -1 1 0.03 -1 -1 33960 -1 -1 30 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 29 32 312 264 1 154 91 17 17 289 -1 unnamed_device 26.2 MiB 0.23 867 12943 3555 7843 1545 64.6 MiB 0.11 0.00 2.24264 -75.5647 -2.24264 2.24264 0.99 0.000489536 0.000438422 0.0317617 0.0288155 32 1902 21 6.65987e+06 380340 554710. 1919.41 0.95 0.0931771 0.0817639 22834 132086 -1 1668 18 960 1606 110810 26677 2.29671 2.29671 -88.1234 -2.29671 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0223338 0.0197934 109 55 29 29 57 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 5.05 vpr 64.98 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34140 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 423 310 1 229 103 17 17 289 -1 unnamed_device 26.8 MiB 0.31 1187 10466 2263 7613 590 65.0 MiB 0.12 0.00 3.41716 -117.409 -3.41716 3.41716 1.06 0.000818539 0.000748142 0.0340864 0.0310802 30 3095 25 6.65987e+06 494442 526063. 1820.29 1.34 0.139743 0.124221 22546 126617 -1 2231 21 1646 2826 159950 36331 3.44122 3.44122 -134.733 -3.44122 0 0 666494. 2306.21 0.32 0.08 0.12 -1 -1 0.32 0.0341388 0.0306359 179 26 128 32 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.77 vpr 64.77 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34064 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 403 317 1 198 99 17 17 289 -1 unnamed_device 26.5 MiB 0.26 1008 11499 2972 7414 1113 64.8 MiB 0.12 0.00 2.7849 -97.5775 -2.7849 2.7849 1.06 0.00085454 0.000770554 0.0377957 0.0343834 32 2318 22 6.65987e+06 443730 554710. 1919.41 1.08 0.129267 0.114726 22834 132086 -1 2006 21 1851 2800 180924 42689 2.77297 2.77297 -115.946 -2.77297 0 0 701300. 2426.64 0.34 0.09 0.13 -1 -1 0.34 0.0341524 0.0306349 152 62 62 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.75 vpr 64.80 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 34164 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 31 32 353 302 1 156 91 17 17 289 -1 unnamed_device 26.2 MiB 0.36 865 8251 1890 5788 573 64.8 MiB 0.08 0.00 2.46718 -88.0621 -2.46718 2.46718 1.06 0.000595676 0.000535797 0.0239308 0.021801 32 2050 20 6.65987e+06 354984 554710. 1919.41 1.03 0.093741 0.0823931 22834 132086 -1 1789 17 1037 1665 113782 26772 2.57925 2.57925 -105.256 -2.57925 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0239099 0.0214912 113 77 0 0 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.75 vpr 65.10 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 33620 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 31 32 391 309 1 194 84 17 17 289 -1 unnamed_device 26.3 MiB 0.26 1065 12894 3634 7777 1483 65.1 MiB 0.15 0.00 2.8911 -99.0566 -2.8911 2.8911 1.04 0.000738141 0.000674005 0.0488073 0.0445409 32 2559 24 6.65987e+06 266238 554710. 1919.41 1.08 0.139598 0.124027 22834 132086 -1 2188 22 1692 2845 199191 48494 2.92677 2.92677 -115.49 -2.92677 0 0 701300. 2426.64 0.34 0.09 0.12 -1 -1 0.34 0.0338821 0.0303608 148 59 60 30 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.70 vpr 65.29 MiB 0.02 7476 -1 -1 1 0.04 -1 -1 33884 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 31 32 455 371 1 193 84 17 17 289 -1 unnamed_device 26.6 MiB 0.35 1117 9600 2223 6617 760 65.3 MiB 0.10 0.00 3.84744 -114.331 -3.84744 3.84744 1.00 0.000602461 0.000546212 0.0356391 0.032462 32 2803 23 6.65987e+06 266238 554710. 1919.41 1.08 0.124061 0.109027 22834 132086 -1 2380 22 1428 2449 201859 44860 3.89111 3.89111 -141.561 -3.89111 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0319835 0.0283568 149 111 0 0 124 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.76 vpr 65.19 MiB 0.02 7564 -1 -1 1 0.04 -1 -1 33632 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 31 32 413 333 1 188 84 17 17 289 -1 unnamed_device 26.4 MiB 0.49 1038 15456 4621 9030 1805 65.2 MiB 0.15 0.00 3.8015 -109.342 -3.8015 3.8015 1.00 0.000616072 0.000561184 0.0539395 0.049031 30 2320 18 6.65987e+06 266238 526063. 1820.29 1.01 0.130079 0.115115 22546 126617 -1 1980 16 965 1569 91198 21014 3.44357 3.44357 -125.975 -3.44357 0 0 666494. 2306.21 0.31 0.05 0.12 -1 -1 0.31 0.0244136 0.0218761 143 86 31 31 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.74 vpr 64.75 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 33808 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 31 32 391 309 1 193 96 17 17 289 -1 unnamed_device 26.6 MiB 0.26 1021 17616 4838 10803 1975 64.7 MiB 0.16 0.00 2.69764 -93.2733 -2.69764 2.69764 1.02 0.000584821 0.000529676 0.0494323 0.0447223 30 2228 22 6.65987e+06 418374 526063. 1820.29 1.08 0.132835 0.116866 22546 126617 -1 1887 18 1390 2341 124132 29577 2.55411 2.55411 -105.258 -2.55411 0 0 666494. 2306.21 0.31 0.06 0.12 -1 -1 0.31 0.0275 0.0246134 146 58 60 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 6.06 vpr 64.74 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 34056 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 407 319 1 198 99 17 17 289 -1 unnamed_device 26.5 MiB 0.12 1101 8535 1820 6000 715 64.7 MiB 0.09 0.00 3.07644 -111.551 -3.07644 3.07644 1.07 0.000589978 0.000537702 0.0267386 0.0244963 26 3006 36 6.65987e+06 443730 477104. 1650.88 2.73 0.130561 0.114976 21682 110474 -1 2658 23 2001 2967 246525 54965 3.61311 3.61311 -145.496 -3.61311 0 0 585099. 2024.56 0.29 0.10 0.11 -1 -1 0.29 0.034713 0.0310337 154 42 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.02 vpr 65.34 MiB 0.02 7456 -1 -1 1 0.04 -1 -1 34168 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 32 32 496 380 1 232 104 17 17 289 -1 unnamed_device 27.0 MiB 0.27 1177 19624 5478 11399 2747 65.3 MiB 0.19 0.00 3.2831 -114.767 -3.2831 3.2831 1.00 0.000593583 0.000542559 0.0631064 0.0576727 28 3037 45 6.65987e+06 507120 500653. 1732.36 1.42 0.195541 0.174143 21970 115934 -1 2632 23 2049 3212 239854 54685 3.70437 3.70437 -140.948 -3.70437 0 0 612192. 2118.31 0.28 0.10 0.11 -1 -1 0.28 0.0373244 0.0334624 184 91 62 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.45 vpr 64.81 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 34020 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 26.2 MiB 0.13 778 11981 4715 6434 832 64.8 MiB 0.11 0.00 2.83398 -93.9955 -2.83398 2.83398 1.04 0.000466062 0.000425686 0.0367972 0.0336582 32 2107 20 6.65987e+06 228204 554710. 1919.41 1.02 0.103716 0.0921764 22834 132086 -1 1853 22 1431 2240 190105 43672 2.99905 2.99905 -115.343 -2.99905 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.026494 0.0235925 116 24 62 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 4.78 vpr 64.93 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34216 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 31 32 395 311 1 196 99 17 17 289 -1 unnamed_device 26.7 MiB 0.30 983 10131 2479 7109 543 64.9 MiB 0.12 0.00 3.3069 -109.937 -3.3069 3.3069 1.06 0.00072299 0.000667752 0.034729 0.0318578 30 2330 24 6.65987e+06 456408 526063. 1820.29 1.11 0.129372 0.115258 22546 126617 -1 2004 22 1485 2475 140843 33229 3.44817 3.44817 -129.487 -3.44817 0 0 666494. 2306.21 0.32 0.07 0.12 -1 -1 0.32 0.0313688 0.028044 150 59 62 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 5.03 vpr 64.79 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 34112 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 397 313 1 196 97 17 17 289 -1 unnamed_device 26.6 MiB 0.14 1199 15415 4784 9240 1391 64.8 MiB 0.16 0.00 2.90504 -98.2267 -2.90504 2.90504 1.04 0.000659684 0.000601184 0.0481858 0.0439185 28 2875 24 6.65987e+06 418374 500653. 1732.36 1.55 0.140679 0.125101 21970 115934 -1 2535 23 1686 3051 223304 49533 2.77471 2.77471 -116.832 -2.77471 0 0 612192. 2118.31 0.29 0.09 0.10 -1 -1 0.29 0.0332998 0.0297797 148 54 62 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 5.38 vpr 64.77 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 33712 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 345 257 1 194 84 17 17 289 -1 unnamed_device 26.1 MiB 0.17 992 9234 2503 6027 704 64.8 MiB 0.10 0.00 3.30796 -113.956 -3.30796 3.30796 1.04 0.000580214 0.000535276 0.0312813 0.0284591 28 2767 23 6.65987e+06 253560 500653. 1732.36 1.65 0.11715 0.103691 21970 115934 -1 2315 22 1825 3398 271422 59574 3.93183 3.93183 -147.297 -3.93183 0 0 612192. 2118.31 0.30 0.10 0.11 -1 -1 0.30 0.0307487 0.0275111 150 -1 128 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.95 vpr 65.21 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 34068 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 32 32 424 343 1 190 98 17 17 289 -1 unnamed_device 26.6 MiB 0.35 1056 13823 3931 8985 907 65.2 MiB 0.13 0.00 2.54238 -94.3834 -2.54238 2.54238 0.99 0.000692348 0.000631155 0.0398865 0.0362341 32 2506 22 6.65987e+06 431052 554710. 1919.41 1.02 0.121959 0.107255 22834 132086 -1 2099 22 1527 2199 152170 35810 2.60845 2.60845 -113.509 -2.60845 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0297265 0.0262863 145 81 25 25 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.76 vpr 64.76 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 33736 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 395 311 1 194 99 17 17 289 -1 unnamed_device 26.5 MiB 0.34 1091 11727 3283 7547 897 64.8 MiB 0.12 0.00 2.7537 -99.7404 -2.7537 2.7537 1.01 0.000586055 0.000533077 0.0338086 0.0307872 32 2561 20 6.65987e+06 443730 554710. 1919.41 1.12 0.112767 0.0995681 22834 132086 -1 2224 17 1335 2224 157463 36408 3.00717 3.00717 -119.728 -3.00717 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0248388 0.0221618 146 58 64 32 60 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.61 vpr 64.84 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 33816 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 405 318 1 200 101 17 17 289 -1 unnamed_device 26.5 MiB 0.26 1116 13966 3741 9079 1146 64.8 MiB 0.13 0.00 2.63244 -96.5003 -2.63244 2.63244 1.03 0.000724547 0.000662581 0.042176 0.0385354 28 2490 23 6.65987e+06 469086 500653. 1732.36 1.05 0.125753 0.111464 21970 115934 -1 2290 20 1618 2540 174786 40069 2.71871 2.71871 -116.274 -2.71871 0 0 612192. 2118.31 0.29 0.08 0.11 -1 -1 0.29 0.0301032 0.0268676 155 61 63 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.55 vpr 64.26 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33808 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65804 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 26.1 MiB 0.06 1090 17199 4883 10353 1963 64.3 MiB 0.15 0.00 3.1757 -113.179 -3.1757 3.1757 0.98 0.000587805 0.000538631 0.0448821 0.0409647 28 2695 27 6.65987e+06 443730 500653. 1732.36 1.32 0.135707 0.120931 21970 115934 -1 2329 21 1665 2768 212848 47450 3.77157 3.77157 -144.022 -3.77157 0 0 612192. 2118.31 0.27 0.09 0.10 -1 -1 0.27 0.0308161 0.0275809 150 21 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.99 vpr 64.50 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34216 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 26.3 MiB 0.15 904 16316 4604 8863 2849 64.5 MiB 0.14 0.00 3.11564 -107.958 -3.11564 3.11564 0.99 0.000579124 0.000525589 0.0436163 0.0396702 28 2852 38 6.65987e+06 469086 500653. 1732.36 1.56 0.15472 0.137132 21970 115934 -1 2320 22 2008 3195 243703 56870 3.98891 3.98891 -144.407 -3.98891 0 0 612192. 2118.31 0.29 0.10 0.11 -1 -1 0.29 0.033675 0.0301221 153 50 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 7.38 vpr 64.82 MiB 0.02 7396 -1 -1 1 0.04 -1 -1 34004 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 449 367 1 193 97 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1015 10975 2577 7765 633 64.8 MiB 0.12 0.00 3.40198 -101.199 -3.40198 3.40198 0.98 0.000689011 0.000628781 0.0360518 0.0327795 26 3585 35 6.65987e+06 431052 477104. 1650.88 3.80 0.141229 0.123746 21682 110474 -1 2597 22 1690 2913 255640 58499 3.75265 3.75265 -134.633 -3.75265 0 0 585099. 2024.56 0.21 0.11 0.11 -1 -1 0.21 0.0350741 0.0308823 145 110 0 0 122 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.30 vpr 64.96 MiB 0.02 7364 -1 -1 1 0.04 -1 -1 33856 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 432 346 1 195 84 17 17 289 -1 unnamed_device 26.6 MiB 0.26 1014 10149 2787 6727 635 65.0 MiB 0.11 0.00 3.16978 -102.067 -3.16978 3.16978 1.03 0.000602765 0.000548602 0.0369992 0.033806 26 3098 40 6.65987e+06 253560 477104. 1650.88 1.74 0.147572 0.130545 21682 110474 -1 2539 25 2097 3747 283987 68404 4.00699 4.00699 -141.917 -4.00699 0 0 585099. 2024.56 0.27 0.11 0.10 -1 -1 0.27 0.0384249 0.0342213 149 86 32 32 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.36 vpr 64.40 MiB 0.02 7216 -1 -1 1 0.03 -1 -1 33924 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 32 32 312 255 1 166 94 17 17 289 -1 unnamed_device 26.1 MiB 0.06 792 9679 2255 6267 1157 64.4 MiB 0.07 0.00 2.64858 -92.0476 -2.64858 2.64858 1.02 0.000466941 0.000426238 0.0231447 0.021162 32 2389 21 6.65987e+06 380340 554710. 1919.41 1.06 0.0951763 0.0843577 22834 132086 -1 1912 21 1400 2159 175648 44025 2.95985 2.95985 -116.825 -2.95985 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.0239051 0.021209 124 20 63 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.91 vpr 64.53 MiB 0.02 7216 -1 -1 1 0.04 -1 -1 33496 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 25.9 MiB 0.31 697 13788 3172 9066 1550 64.5 MiB 0.10 0.00 2.66064 -91.9052 -2.66064 2.66064 1.03 0.000510903 0.000464088 0.0434218 0.0394776 32 2353 42 6.65987e+06 228204 554710. 1919.41 1.27 0.138231 0.121771 22834 132086 -1 1855 21 1448 2236 173543 41831 3.10031 3.10031 -119.093 -3.10031 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0279803 0.0250022 121 91 0 0 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.67 vpr 65.00 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34180 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 469 351 1 233 104 17 17 289 -1 unnamed_device 26.7 MiB 0.16 1291 12304 2912 7951 1441 65.0 MiB 0.14 0.00 3.7011 -128.789 -3.7011 3.7011 0.99 0.000764777 0.000699263 0.0418473 0.038317 30 2842 21 6.65987e+06 507120 526063. 1820.29 1.18 0.140101 0.12461 22546 126617 -1 2344 20 2010 3343 158216 39473 3.90197 3.90197 -150.986 -3.90197 0 0 666494. 2306.21 0.31 0.08 0.12 -1 -1 0.31 0.0327751 0.02936 187 53 96 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.43 vpr 65.07 MiB 0.02 7188 -1 -1 1 0.03 -1 -1 34032 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 368 284 1 194 95 17 17 289 -1 unnamed_device 26.3 MiB 0.23 1128 12623 3257 8134 1232 65.1 MiB 0.13 0.00 2.9131 -105.213 -2.9131 2.9131 0.98 0.000583587 0.000533631 0.0377344 0.0346325 32 2288 25 6.65987e+06 393018 554710. 1919.41 0.99 0.114431 0.101594 22834 132086 -1 2148 20 1521 2335 150432 36478 2.98517 2.98517 -121.883 -2.98517 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.0296578 0.0265697 146 31 92 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 8.24 vpr 64.49 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33476 -1 -1 30 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 30 32 296 244 1 158 92 17 17 289 -1 unnamed_device 26.1 MiB 0.12 716 10235 2391 7349 495 64.5 MiB 0.09 0.00 2.8079 -91.9139 -2.8079 2.8079 1.02 0.000489115 0.000441461 0.0256887 0.0234676 26 2451 49 6.65987e+06 380340 477104. 1650.88 4.88 0.186985 0.163448 21682 110474 -1 1843 22 1352 2112 157964 39303 3.50997 3.50997 -123.094 -3.50997 0 0 585099. 2024.56 0.28 0.07 0.11 -1 -1 0.28 0.0250309 0.0222845 115 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 5.19 vpr 65.27 MiB 0.03 7456 -1 -1 1 0.04 -1 -1 34388 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 32 32 531 413 1 232 107 17 17 289 -1 unnamed_device 26.9 MiB 0.62 1090 14781 3819 9258 1704 65.3 MiB 0.15 0.00 3.7711 -126.43 -3.7711 3.7711 0.98 0.000743833 0.000676642 0.0466648 0.0423889 32 3204 26 6.65987e+06 545154 554710. 1919.41 1.22 0.157292 0.139602 22834 132086 -1 2427 22 2449 3619 264973 60648 4.25457 4.25457 -155.339 -4.25457 0 0 701300. 2426.64 0.33 0.11 0.12 -1 -1 0.33 0.0423612 0.0380152 186 109 32 32 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.66 vpr 64.76 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33732 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 376 288 1 194 100 17 17 289 -1 unnamed_device 26.6 MiB 0.27 1075 13788 3380 9064 1344 64.8 MiB 0.13 0.00 3.30796 -116.474 -3.30796 3.30796 1.00 0.000608297 0.000550904 0.0388869 0.0352217 32 2528 32 6.65987e+06 456408 554710. 1919.41 1.10 0.128416 0.113255 22834 132086 -1 2250 19 1684 2464 176136 40464 3.70243 3.70243 -141.722 -3.70243 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0274417 0.024563 151 31 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.42 vpr 64.25 MiB 0.02 7016 -1 -1 1 0.03 -1 -1 33756 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 32 32 283 225 1 164 95 17 17 289 -1 unnamed_device 25.8 MiB 0.06 892 18671 5874 10331 2466 64.2 MiB 0.14 0.00 2.8299 -101.546 -2.8299 2.8299 1.03 0.000460643 0.000419194 0.041637 0.0379003 32 2005 21 6.65987e+06 393018 554710. 1919.41 1.00 0.106639 0.094559 22834 132086 -1 1896 21 1449 2316 178988 40805 2.92977 2.92977 -118.37 -2.92977 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0244349 0.0218872 123 -1 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.95 vpr 65.18 MiB 0.02 7452 -1 -1 1 0.04 -1 -1 34120 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 438 320 1 235 105 17 17 289 -1 unnamed_device 26.9 MiB 0.19 1465 19618 5656 11904 2058 65.2 MiB 0.20 0.00 3.87216 -135.44 -3.87216 3.87216 1.04 0.000984253 0.000912661 0.0597853 0.0545954 32 3421 20 6.65987e+06 519798 554710. 1919.41 1.22 0.152783 0.136162 22834 132086 -1 2983 23 2542 4140 354744 77099 4.77543 4.77543 -172.994 -4.77543 0 0 701300. 2426.64 0.31 0.13 0.13 -1 -1 0.31 0.0375541 0.0334806 188 26 128 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.49 vpr 64.73 MiB 0.02 7020 -1 -1 1 0.03 -1 -1 34004 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 283 225 1 162 80 17 17 289 -1 unnamed_device 25.9 MiB 0.15 872 11948 3634 6430 1884 64.7 MiB 0.10 0.00 2.7537 -99.1188 -2.7537 2.7537 1.03 0.000430048 0.000391686 0.0339814 0.0309818 32 2128 22 6.65987e+06 202848 554710. 1919.41 1.05 0.100858 0.0892675 22834 132086 -1 1971 17 1365 2212 163913 38697 3.21631 3.21631 -127.341 -3.21631 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0210258 0.0188206 121 -1 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.48 vpr 64.61 MiB 0.02 7032 -1 -1 1 0.03 -1 -1 33904 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 30 32 296 244 1 157 93 17 17 289 -1 unnamed_device 26.2 MiB 0.25 773 15423 5394 7859 2170 64.6 MiB 0.12 0.00 2.8299 -94.4258 -2.8299 2.8299 1.04 0.000445581 0.000403357 0.0367082 0.033473 30 1838 19 6.65987e+06 393018 526063. 1820.29 0.98 0.0997932 0.0884184 22546 126617 -1 1561 20 957 1459 76497 18317 3.02797 3.02797 -109.683 -3.02797 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0212317 0.0188828 113 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 5.82 vpr 64.79 MiB 0.03 7408 -1 -1 1 0.04 -1 -1 34084 -1 -1 33 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 29 32 393 319 1 182 94 17 17 289 -1 unnamed_device 26.0 MiB 0.32 1030 10744 2666 7237 841 64.8 MiB 0.11 0.00 2.7969 -89.882 -2.7969 2.7969 1.01 0.000702626 0.000641538 0.0332625 0.0302591 26 2869 24 6.65987e+06 418374 477104. 1650.88 2.29 0.130186 0.115517 21682 110474 -1 2367 16 1324 2235 155821 36808 3.54217 3.54217 -121.094 -3.54217 0 0 585099. 2024.56 0.28 0.07 0.10 -1 -1 0.28 0.0263318 0.0237862 133 81 29 29 85 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.45 vpr 65.33 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34356 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 32 32 407 319 1 194 84 17 17 289 -1 unnamed_device 26.7 MiB 0.12 947 9234 2430 6025 779 65.3 MiB 0.10 0.00 3.2179 -112.354 -3.2179 3.2179 0.98 0.000595452 0.000544144 0.0311707 0.0284145 32 2345 26 6.65987e+06 253560 554710. 1919.41 1.05 0.119408 0.105139 22834 132086 -1 2062 21 1969 2961 224875 52775 3.86077 3.86077 -146.668 -3.86077 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0302787 0.0270412 151 53 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.91 vpr 64.84 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34264 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 407 319 1 195 98 17 17 289 -1 unnamed_device 26.6 MiB 0.46 1042 18548 5868 10140 2540 64.8 MiB 0.17 0.00 3.3721 -116.896 -3.3721 3.3721 0.96 0.000568216 0.000511102 0.0498319 0.0454028 32 2915 33 6.65987e+06 431052 554710. 1919.41 1.16 0.148304 0.131974 22834 132086 -1 2441 22 2077 3497 278839 63603 3.65637 3.65637 -142.152 -3.65637 0 0 701300. 2426.64 0.30 0.10 0.12 -1 -1 0.30 0.0325406 0.029129 152 55 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.44 vpr 64.55 MiB 0.02 7216 -1 -1 1 0.04 -1 -1 34240 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 32 32 345 287 1 161 94 17 17 289 -1 unnamed_device 26.1 MiB 0.23 810 15217 5023 7887 2307 64.6 MiB 0.13 0.00 2.74164 -96.8554 -2.74164 2.74164 0.98 0.000542202 0.000490149 0.0409894 0.0372541 30 1959 21 6.65987e+06 380340 526063. 1820.29 1.02 0.112313 0.0991535 22546 126617 -1 1587 21 1110 1615 94975 21947 2.57911 2.57911 -106.88 -2.57911 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0259904 0.0231019 120 55 32 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.45 vpr 64.78 MiB 0.02 7200 -1 -1 1 0.03 -1 -1 34104 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 26.0 MiB 0.30 826 12636 3818 6741 2077 64.8 MiB 0.11 0.00 2.74778 -88.6721 -2.74778 2.74778 0.99 0.000507004 0.000462902 0.0392346 0.0354786 32 2035 25 6.65987e+06 215526 554710. 1919.41 0.99 0.110912 0.0974985 22834 132086 -1 1783 21 1082 1959 141307 33543 2.62725 2.62725 -105.261 -2.62725 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.024773 0.0219396 109 82 0 0 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.72 vpr 65.21 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 34156 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 30 32 374 297 1 186 95 17 17 289 -1 unnamed_device 26.4 MiB 0.24 995 16511 4643 9288 2580 65.2 MiB 0.16 0.00 2.61044 -87.9362 -2.61044 2.61044 1.07 0.00071339 0.000655478 0.0490842 0.0448364 32 2392 18 6.65987e+06 418374 554710. 1919.41 1.02 0.123754 0.109562 22834 132086 -1 2111 21 1331 2111 164574 37379 2.93591 2.93591 -112.001 -2.93591 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0263906 0.0233026 137 52 60 30 57 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.67 vpr 64.59 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 33988 -1 -1 31 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 28 32 332 260 1 180 91 17 17 289 -1 unnamed_device 25.9 MiB 0.11 895 15391 5167 7522 2702 64.6 MiB 0.15 0.00 3.41304 -100.596 -3.41304 3.41304 1.06 0.000570346 0.00052184 0.045838 0.0419581 28 2227 23 6.65987e+06 393018 500653. 1732.36 1.18 0.126281 0.112506 21970 115934 -1 1955 20 1347 2105 166030 36378 3.59931 3.59931 -121.281 -3.59931 0 0 612192. 2118.31 0.29 0.08 0.11 -1 -1 0.29 0.0271892 0.0243178 133 20 84 28 28 28 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.76 vpr 64.71 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 33988 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 30 32 325 273 1 157 80 17 17 289 -1 unnamed_device 25.9 MiB 0.36 788 13668 4419 7145 2104 64.7 MiB 0.12 0.00 2.8131 -94.711 -2.8131 2.8131 1.05 0.000460548 0.000418937 0.0420647 0.0382674 32 1965 21 6.65987e+06 228204 554710. 1919.41 1.05 0.119857 0.106269 22834 132086 -1 1749 19 1305 2141 160312 37224 2.88697 2.88697 -110.601 -2.88697 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0234162 0.0208145 114 58 30 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.42 vpr 64.49 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33596 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 361 308 1 158 80 17 17 289 -1 unnamed_device 25.9 MiB 0.30 979 7992 2132 5090 770 64.5 MiB 0.08 0.00 2.72278 -89.9421 -2.72278 2.72278 0.99 0.000485075 0.000441901 0.0262093 0.0238989 26 2262 19 6.65987e+06 202848 477104. 1650.88 0.95 0.0973065 0.0856235 21682 110474 -1 1989 22 1283 2088 161833 37345 2.79085 2.79085 -110.682 -2.79085 0 0 585099. 2024.56 0.27 0.08 0.10 -1 -1 0.27 0.0302908 0.0270477 113 88 0 0 91 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.90 vpr 65.00 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 33676 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 31 32 335 251 1 196 98 17 17 289 -1 unnamed_device 26.4 MiB 0.11 1005 11348 2842 7630 876 65.0 MiB 0.11 0.00 3.33845 -109.761 -3.33845 3.33845 1.04 0.000607227 0.000559264 0.0326837 0.0299172 28 2924 22 6.65987e+06 443730 500653. 1732.36 1.51 0.120019 0.107043 21970 115934 -1 2494 20 1589 2573 188202 43700 3.86583 3.86583 -142.518 -3.86583 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0308476 0.027635 150 -1 124 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.72 vpr 64.81 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 34224 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 407 319 1 197 98 17 17 289 -1 unnamed_device 26.5 MiB 0.27 1018 13823 3824 9190 809 64.8 MiB 0.14 0.00 3.1977 -111.144 -3.1977 3.1977 0.99 0.000603339 0.000549102 0.0409142 0.0371069 32 2681 21 6.65987e+06 431052 554710. 1919.41 1.07 0.122906 0.10848 22834 132086 -1 2357 21 1944 3244 229631 55061 3.72337 3.72337 -143.234 -3.72337 0 0 701300. 2426.64 0.33 0.10 0.12 -1 -1 0.33 0.0336693 0.0299934 153 57 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.86 vpr 64.83 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 33900 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 407 319 1 194 98 17 17 289 -1 unnamed_device 26.5 MiB 0.33 950 8648 1950 5826 872 64.8 MiB 0.09 0.00 3.40616 -114.322 -3.40616 3.40616 1.03 0.000643669 0.000591368 0.0285596 0.0261746 32 2819 24 6.65987e+06 431052 554710. 1919.41 1.19 0.13011 0.116399 22834 132086 -1 2290 17 1649 2746 186646 45250 3.80363 3.80363 -141.416 -3.80363 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.029765 0.0269287 151 62 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.36 vpr 64.80 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 33796 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 399 315 1 196 101 17 17 289 -1 unnamed_device 26.5 MiB 0.25 1149 10206 2542 6901 763 64.8 MiB 0.11 0.00 3.02144 -107.034 -3.02144 3.02144 0.98 0.000638405 0.000586308 0.031058 0.0283458 26 3124 29 6.65987e+06 469086 477104. 1650.88 1.89 0.12614 0.111656 21682 110474 -1 2609 20 1734 2992 237265 53725 3.58011 3.58011 -138.645 -3.58011 0 0 585099. 2024.56 0.28 0.09 0.10 -1 -1 0.28 0.0287915 0.0257867 148 62 60 30 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.47 vpr 64.61 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 33856 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 30 32 296 244 1 156 80 17 17 289 -1 unnamed_device 26.2 MiB 0.20 831 12980 3467 7889 1624 64.6 MiB 0.11 0.00 2.7709 -93.8065 -2.7709 2.7709 1.02 0.000395278 0.000358065 0.0357341 0.0325262 32 1927 20 6.65987e+06 228204 554710. 1919.41 1.00 0.103214 0.0912808 22834 132086 -1 1832 21 1287 2072 159781 35827 3.02797 3.02797 -114.109 -3.02797 0 0 701300. 2426.64 0.32 0.06 0.12 -1 -1 0.32 0.0234713 0.0210136 112 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.80 vpr 65.12 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 33960 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 30 32 383 303 1 191 84 17 17 289 -1 unnamed_device 26.2 MiB 0.26 995 11430 3243 7066 1121 65.1 MiB 0.12 0.00 3.35636 -111.946 -3.35636 3.35636 1.09 0.000602014 0.000550862 0.0389013 0.0354793 32 2425 23 6.65987e+06 278916 554710. 1919.41 1.10 0.124212 0.109932 22834 132086 -1 2123 20 1744 2591 177970 41982 3.60843 3.60843 -133.615 -3.60843 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0283224 0.025266 145 58 60 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.94 vpr 65.16 MiB 0.03 7580 -1 -1 1 0.04 -1 -1 33964 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 469 381 1 198 103 17 17 289 -1 unnamed_device 27.0 MiB 0.33 1112 14081 3632 8964 1485 65.2 MiB 0.14 0.00 3.10658 -111.647 -3.10658 3.10658 1.09 0.00107014 0.000992158 0.0483098 0.0441065 30 2453 24 6.65987e+06 494442 526063. 1820.29 1.14 0.155292 0.138501 22546 126617 -1 2180 21 1648 2665 157018 35494 3.15325 3.15325 -129.382 -3.15325 0 0 666494. 2306.21 0.32 0.09 0.12 -1 -1 0.32 0.0362133 0.0323169 154 106 0 0 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.82 vpr 64.81 MiB 0.03 7524 -1 -1 1 0.04 -1 -1 34028 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 425 341 1 189 94 17 17 289 -1 unnamed_device 26.6 MiB 0.23 1105 12448 2918 8411 1119 64.8 MiB 0.13 0.00 3.11964 -108.017 -3.11964 3.11964 1.08 0.000672798 0.000621404 0.0435618 0.0399128 32 2471 23 6.65987e+06 393018 554710. 1919.41 1.11 0.13208 0.117356 22834 132086 -1 2235 22 1694 2638 188794 43988 3.88571 3.88571 -141.87 -3.88571 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.031927 0.0282424 146 79 31 31 93 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.80 vpr 65.05 MiB 0.02 7520 -1 -1 1 0.04 -1 -1 33892 -1 -1 30 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 30 32 404 328 1 182 92 17 17 289 -1 unnamed_device 26.3 MiB 0.34 1059 16445 4549 9532 2364 65.1 MiB 0.15 0.00 3.0353 -92.6195 -3.0353 3.0353 1.04 0.000597624 0.000534361 0.0518417 0.047373 28 2480 21 6.65987e+06 380340 500653. 1732.36 1.10 0.146046 0.130637 21970 115934 -1 2222 18 1367 2313 154541 35718 3.05517 3.05517 -115.028 -3.05517 0 0 612192. 2118.31 0.29 0.07 0.11 -1 -1 0.29 0.0288286 0.0258967 136 83 26 26 90 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.72 vpr 64.76 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34292 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 407 319 1 198 85 17 17 289 -1 unnamed_device 26.5 MiB 0.24 1048 14593 4789 8120 1684 64.8 MiB 0.15 0.00 3.2069 -112.905 -3.2069 3.2069 1.00 0.00057351 0.000524787 0.0493474 0.0450567 32 2644 22 6.65987e+06 266238 554710. 1919.41 1.11 0.1326 0.117657 22834 132086 -1 2330 21 1967 3405 265859 59928 3.72337 3.72337 -145.57 -3.72337 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0295603 0.0263015 154 58 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.63 vpr 64.93 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 33728 -1 -1 34 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 29 32 387 316 1 179 95 17 17 289 -1 unnamed_device 26.2 MiB 0.21 885 10031 2322 6990 719 64.9 MiB 0.10 0.00 2.58364 -81.6689 -2.58364 2.58364 1.08 0.000700437 0.000642587 0.0315569 0.0286626 30 1709 21 6.65987e+06 431052 526063. 1820.29 1.04 0.11341 0.0997495 22546 126617 -1 1481 19 896 1507 73546 18360 2.50931 2.50931 -93.556 -2.50931 0 0 666494. 2306.21 0.33 0.06 0.11 -1 -1 0.33 0.0279558 0.0248944 134 81 26 26 85 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.50 vpr 64.46 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 33760 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 283 225 1 157 80 17 17 289 -1 unnamed_device 26.1 MiB 0.10 871 14700 4546 8486 1668 64.5 MiB 0.12 0.00 2.7819 -99.8269 -2.7819 2.7819 1.06 0.000450136 0.000403287 0.0436973 0.0400379 32 2186 21 6.65987e+06 202848 554710. 1919.41 1.03 0.109016 0.0970247 22834 132086 -1 1877 20 1390 2163 168626 39874 2.98797 2.98797 -122.861 -2.98797 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.0247038 0.0221947 116 -1 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 5.09 vpr 64.87 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 33616 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 407 319 1 194 97 17 17 289 -1 unnamed_device 26.7 MiB 0.41 947 20077 6723 10268 3086 64.9 MiB 0.18 0.00 3.34716 -114.17 -3.34716 3.34716 1.04 0.000589452 0.000534527 0.0616023 0.0556502 32 2585 29 6.65987e+06 418374 554710. 1919.41 1.17 0.153658 0.135805 22834 132086 -1 2133 22 1869 2798 237232 52466 3.84463 3.84463 -140.106 -3.84463 0 0 701300. 2426.64 0.33 0.10 0.13 -1 -1 0.33 0.0341301 0.0305815 150 62 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.77 vpr 64.83 MiB 0.03 7436 -1 -1 1 0.04 -1 -1 33920 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 407 319 1 201 85 17 17 289 -1 unnamed_device 26.5 MiB 0.29 960 17011 5208 9636 2167 64.8 MiB 0.17 0.00 3.37836 -118.46 -3.37836 3.37836 1.01 0.000597933 0.000546722 0.0592436 0.0540801 32 2487 22 6.65987e+06 266238 554710. 1919.41 1.06 0.150467 0.134504 22834 132086 -1 2166 21 2151 3233 241718 55973 3.63243 3.63243 -142.475 -3.63243 0 0 701300. 2426.64 0.32 0.09 0.12 -1 -1 0.32 0.0324793 0.0291371 157 62 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.58 vpr 64.52 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 34036 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 32 32 315 267 1 158 93 17 17 289 -1 unnamed_device 26.0 MiB 0.26 683 8913 2055 6351 507 64.5 MiB 0.08 0.00 2.72758 -83.2963 -2.72758 2.72758 1.02 0.000472384 0.000429411 0.0226865 0.0206479 32 2050 26 6.65987e+06 367662 554710. 1919.41 1.01 0.0919256 0.0805497 22834 132086 -1 1653 22 1169 1757 123987 31075 2.62325 2.62325 -101.231 -2.62325 0 0 701300. 2426.64 0.34 0.07 0.13 -1 -1 0.34 0.0270366 0.0240371 111 47 32 32 54 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.44 vpr 64.34 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 34112 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 25.8 MiB 0.12 696 7606 1920 4768 918 64.3 MiB 0.07 0.00 2.8519 -93.2757 -2.8519 2.8519 1.03 0.000385483 0.000353585 0.0195937 0.0178885 32 1969 20 6.65987e+06 228204 554710. 1919.41 1.02 0.0861764 0.0758078 22834 132086 -1 1741 22 1577 2542 190974 44844 3.03897 3.03897 -111.635 -3.03897 0 0 701300. 2426.64 0.34 0.08 0.13 -1 -1 0.34 0.0265535 0.0236834 118 -1 93 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.95 vpr 64.89 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34028 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 381 303 1 188 96 17 17 289 -1 unnamed_device 26.2 MiB 0.36 990 9732 2351 6698 683 64.9 MiB 0.10 0.00 3.1709 -105.388 -3.1709 3.1709 1.06 0.00060043 0.000543577 0.0298971 0.0272467 26 2552 23 6.65987e+06 405696 477104. 1650.88 1.17 0.118114 0.104696 21682 110474 -1 2270 24 1646 2415 178774 41123 3.63631 3.63631 -134.754 -3.63631 0 0 585099. 2024.56 0.29 0.09 0.11 -1 -1 0.29 0.0338338 0.0300279 138 56 60 32 58 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.81 vpr 64.77 MiB 0.02 7448 -1 -1 1 0.04 -1 -1 34104 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 406 330 1 190 94 17 17 289 -1 unnamed_device 26.6 MiB 0.29 1047 12874 3129 8060 1685 64.8 MiB 0.13 0.00 3.36904 -105.145 -3.36904 3.36904 1.09 0.000594437 0.000543808 0.0420761 0.0384353 26 3040 32 6.65987e+06 380340 477104. 1650.88 2.02 0.146063 0.129738 21682 110474 -1 2383 22 1594 2581 208850 47906 4.18931 4.18931 -133.3 -4.18931 0 0 585099. 2024.56 0.28 0.09 0.11 -1 -1 0.28 0.0342333 0.030559 134 81 28 28 88 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 7.81 vpr 64.96 MiB 0.03 7428 -1 -1 1 0.04 -1 -1 33896 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 399 285 1 228 99 17 17 289 -1 unnamed_device 26.8 MiB 0.07 1314 19707 6354 10517 2836 65.0 MiB 0.22 0.00 3.73916 -126.758 -3.73916 3.73916 1.10 0.000726001 0.000667158 0.0660224 0.060371 34 3029 30 6.65987e+06 443730 585099. 2024.56 4.07 0.27236 0.239149 23122 138558 -1 2569 21 1838 3176 228058 52038 4.22563 4.22563 -154.967 -4.22563 0 0 742403. 2568.87 0.35 0.09 0.13 -1 -1 0.35 0.0339943 0.030544 177 -1 156 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.87 vpr 65.07 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 33936 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 30 32 371 295 1 184 94 17 17 289 -1 unnamed_device 26.3 MiB 0.30 1010 13513 3458 8294 1761 65.1 MiB 0.13 0.00 2.86284 -90.969 -2.86284 2.86284 1.10 0.000589064 0.000530898 0.0404772 0.0366179 32 2386 19 6.65987e+06 405696 554710. 1919.41 1.05 0.119329 0.10499 22834 132086 -1 2058 18 1373 2136 155461 35482 3.09811 3.09811 -115.054 -3.09811 0 0 701300. 2426.64 0.34 0.07 0.14 -1 -1 0.34 0.0277953 0.0250773 136 47 60 30 56 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.46 vpr 64.28 MiB 0.02 7128 -1 -1 1 0.03 -1 -1 34244 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65824 27 32 269 226 1 143 79 17 17 289 -1 unnamed_device 25.8 MiB 0.12 669 11909 4847 5461 1601 64.3 MiB 0.10 0.00 2.6767 -79.5326 -2.6767 2.6767 1.04 0.000435302 0.000394402 0.0347204 0.0315813 28 1691 23 6.65987e+06 253560 500653. 1732.36 1.06 0.100514 0.088436 21970 115934 -1 1447 20 1131 1640 124385 28726 2.69451 2.69451 -94.8037 -2.69451 0 0 612192. 2118.31 0.29 0.06 0.12 -1 -1 0.29 0.0223516 0.0197647 107 26 54 27 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 5.43 vpr 65.00 MiB 0.03 7592 -1 -1 1 0.04 -1 -1 34224 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 493 378 1 232 104 17 17 289 -1 unnamed_device 26.7 MiB 0.22 1371 16208 4459 9989 1760 65.0 MiB 0.18 0.00 3.43804 -114.932 -3.43804 3.43804 1.02 0.000837364 0.000771595 0.0583491 0.0534843 28 3707 30 6.65987e+06 507120 500653. 1732.36 1.70 0.179977 0.160846 21970 115934 -1 3044 22 2263 3974 315894 71138 3.62411 3.62411 -139.981 -3.62411 0 0 612192. 2118.31 0.30 0.12 0.11 -1 -1 0.30 0.0376134 0.0339198 184 85 62 31 95 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.74 vpr 65.23 MiB 0.03 7488 -1 -1 1 0.04 -1 -1 34164 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66800 31 32 455 371 1 189 84 17 17 289 -1 unnamed_device 26.5 MiB 0.39 987 7770 1623 5770 377 65.2 MiB 0.09 0.00 3.51179 -109.645 -3.51179 3.51179 1.04 0.000615176 0.000556357 0.0303362 0.0277378 30 2329 22 6.65987e+06 266238 526063. 1820.29 1.04 0.123162 0.108801 22546 126617 -1 1904 18 1086 1763 96792 23607 3.44511 3.44511 -130.189 -3.44511 0 0 666494. 2306.21 0.30 0.06 0.13 -1 -1 0.30 0.0276522 0.0246576 144 105 0 0 124 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.95 vpr 64.82 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33816 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 32 32 355 304 1 151 80 17 17 289 -1 unnamed_device 26.0 MiB 0.33 738 9540 2350 6820 370 64.8 MiB 0.09 0.00 2.71178 -87.7572 -2.71178 2.71178 1.13 0.000498572 0.000454037 0.0338101 0.0309447 32 2018 27 6.65987e+06 202848 554710. 1919.41 1.10 0.122781 0.108799 22834 132086 -1 1751 23 1188 1845 144082 33821 2.87371 2.87371 -114.232 -2.87371 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0315302 0.0280955 109 86 0 0 89 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.90 vpr 64.64 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34036 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 364 282 1 196 96 17 17 289 -1 unnamed_device 26.3 MiB 0.11 1103 15426 3969 9166 2291 64.6 MiB 0.15 0.00 3.4743 -112.833 -3.4743 3.4743 1.14 0.000534218 0.000482933 0.0466183 0.042471 28 2842 21 6.65987e+06 405696 500653. 1732.36 1.16 0.133268 0.118691 21970 115934 -1 2479 22 1617 2429 195577 43767 3.80057 3.80057 -141.552 -3.80057 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0320913 0.0287455 146 31 90 30 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.76 vpr 64.94 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34172 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 443 336 1 220 99 17 17 289 -1 unnamed_device 26.8 MiB 0.17 1148 19935 6578 10388 2969 64.9 MiB 0.22 0.00 3.56744 -112.237 -3.56744 3.56744 1.14 0.00067786 0.000620335 0.068974 0.0629758 28 3048 28 6.65987e+06 456408 500653. 1732.36 1.88 0.175787 0.156779 21970 115934 -1 2492 21 1850 2811 203094 47272 3.85571 3.85571 -136.411 -3.85571 0 0 612192. 2118.31 0.31 0.09 0.11 -1 -1 0.31 0.0350365 0.0313587 171 50 87 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 7.21 vpr 65.11 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34108 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 30 32 373 297 1 186 95 17 17 289 -1 unnamed_device 26.3 MiB 0.13 1138 18023 5710 9985 2328 65.1 MiB 0.17 0.00 2.89104 -90.2693 -2.89104 2.89104 1.13 0.000574748 0.000521319 0.0556468 0.0505689 28 2670 19 6.65987e+06 418374 500653. 1732.36 3.49 0.251264 0.220717 21970 115934 -1 2374 21 1280 2328 171074 37968 2.92371 2.92371 -111.283 -2.92371 0 0 612192. 2118.31 0.31 0.09 0.11 -1 -1 0.31 0.0355052 0.0319837 134 50 58 30 58 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 7.56 vpr 64.77 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 34216 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 407 319 1 201 106 17 17 289 -1 unnamed_device 26.4 MiB 0.29 1051 19106 5470 10719 2917 64.8 MiB 0.17 0.00 3.3571 -116.707 -3.3571 3.3571 1.07 0.000555389 0.000505953 0.0490904 0.0446988 30 2614 22 6.65987e+06 532476 526063. 1820.29 3.82 0.25184 0.21996 22546 126617 -1 2146 21 1585 2481 142473 32532 3.66737 3.66737 -136.926 -3.66737 0 0 666494. 2306.21 0.31 0.07 0.13 -1 -1 0.31 0.0297741 0.0264696 157 61 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.90 vpr 64.74 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34064 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 405 318 1 200 102 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1026 17476 4896 9779 2801 64.7 MiB 0.17 0.00 2.74164 -96.7116 -2.74164 2.74164 1.10 0.000714337 0.000656738 0.0549618 0.050258 32 2451 23 6.65987e+06 481764 554710. 1919.41 1.07 0.144937 0.12913 22834 132086 -1 2005 21 1545 2309 149127 36060 2.74451 2.74451 -111.55 -2.74451 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0328251 0.0291944 155 61 63 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 7.05 vpr 64.17 MiB 0.02 6928 -1 -1 1 0.04 -1 -1 33784 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 29 32 287 238 1 134 77 17 17 289 -1 unnamed_device 25.6 MiB 0.15 644 12628 5273 6513 842 64.2 MiB 0.11 0.00 3.0383 -87.5279 -3.0383 3.0383 1.13 0.000544729 0.000497075 0.0399831 0.036554 34 1472 19 6.65987e+06 202848 585099. 2024.56 3.37 0.164791 0.143754 23122 138558 -1 1327 15 790 1080 78028 18257 2.78677 2.78677 -97.8598 -2.78677 0 0 742403. 2568.87 0.34 0.05 0.15 -1 -1 0.34 0.0186423 0.0167277 93 28 58 29 29 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.77 vpr 64.53 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 34184 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 334 290 1 154 81 17 17 289 -1 unnamed_device 25.7 MiB 0.34 924 10931 2647 6889 1395 64.5 MiB 0.09 0.00 2.97218 -88.7987 -2.97218 2.97218 1.10 0.000521121 0.000479614 0.0339941 0.031058 26 2036 20 6.65987e+06 215526 477104. 1650.88 1.06 0.11624 0.103762 21682 110474 -1 1868 18 1006 1435 117032 26897 2.94711 2.94711 -108.054 -2.94711 0 0 585099. 2024.56 0.31 0.07 0.11 -1 -1 0.31 0.026898 0.0243 111 79 0 0 82 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.82 vpr 64.86 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 34016 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 31 32 365 281 1 196 100 17 17 289 -1 unnamed_device 26.5 MiB 0.15 925 12396 3215 7989 1192 64.9 MiB 0.13 0.00 3.64344 -108.049 -3.64344 3.64344 1.12 0.000614873 0.000564048 0.0352741 0.032171 30 2147 24 6.65987e+06 469086 526063. 1820.29 1.13 0.123098 0.109213 22546 126617 -1 1803 19 1487 2534 127030 31665 3.30865 3.30865 -122.681 -3.30865 0 0 666494. 2306.21 0.32 0.07 0.12 -1 -1 0.32 0.0274569 0.0244809 150 29 93 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.83 vpr 64.38 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 34164 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 25.5 MiB 0.32 665 9821 2680 6329 812 64.4 MiB 0.09 0.00 2.86104 -76.4711 -2.86104 2.86104 1.11 0.000566183 0.000519749 0.0270204 0.0246255 26 1891 21 6.65987e+06 393018 477104. 1650.88 1.10 0.0974933 0.0856383 21682 110474 -1 1659 21 1068 1744 128397 29930 2.73765 2.73765 -95.581 -2.73765 0 0 585099. 2024.56 0.29 0.06 0.11 -1 -1 0.29 0.0226628 0.0198727 108 48 29 29 52 26 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.89 vpr 64.62 MiB 0.02 7216 -1 -1 1 0.04 -1 -1 33816 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 314 256 1 160 80 17 17 289 -1 unnamed_device 25.8 MiB 0.21 890 14356 4857 7481 2018 64.6 MiB 0.13 0.00 2.7929 -101.474 -2.7929 2.7929 1.10 0.000577427 0.000519375 0.0458418 0.0417809 32 2146 19 6.65987e+06 202848 554710. 1919.41 1.12 0.123616 0.109902 22834 132086 -1 1933 19 1443 2370 167100 38703 2.95677 2.95677 -123.765 -2.95677 0 0 701300. 2426.64 0.36 0.08 0.13 -1 -1 0.36 0.0263151 0.0236275 119 31 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 7.35 vpr 64.94 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 33832 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 387 307 1 189 99 17 17 289 -1 unnamed_device 26.2 MiB 0.27 881 11499 2525 8371 603 64.9 MiB 0.11 0.00 2.8501 -95.7848 -2.8501 2.8501 1.03 0.000573639 0.000514045 0.032553 0.0295371 26 2543 30 6.65987e+06 456408 477104. 1650.88 3.77 0.205366 0.179115 21682 110474 -1 2033 20 1653 2356 169602 43908 2.91877 2.91877 -119.669 -2.91877 0 0 585099. 2024.56 0.28 0.08 0.10 -1 -1 0.28 0.0278153 0.024771 142 60 58 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.69 vpr 64.71 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 33772 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 31 32 308 262 1 148 79 17 17 289 -1 unnamed_device 25.9 MiB 0.35 864 11740 3617 6446 1677 64.7 MiB 0.10 0.00 2.49487 -81.7494 -2.49487 2.49487 1.05 0.000454314 0.000413202 0.03647 0.0331214 32 1970 24 6.65987e+06 202848 554710. 1919.41 1.02 0.104702 0.0923277 22834 132086 -1 1758 23 1011 1702 118685 27745 2.65065 2.65065 -102.484 -2.65065 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0254771 0.0226265 105 49 31 31 53 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 6.18 vpr 64.80 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 34112 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 383 307 1 184 96 17 17 289 -1 unnamed_device 26.1 MiB 0.27 931 11703 3107 7769 827 64.8 MiB 0.12 0.00 2.6767 -89.5926 -2.6767 2.6767 1.08 0.000572345 0.000522226 0.0368981 0.0337413 26 2746 41 6.65987e+06 405696 477104. 1650.88 2.52 0.15734 0.140239 21682 110474 -1 2184 21 1264 2187 179311 41837 2.89617 2.89617 -111.55 -2.89617 0 0 585099. 2024.56 0.29 0.09 0.11 -1 -1 0.29 0.0322861 0.0288713 136 56 52 26 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 6.96 vpr 64.99 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33700 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 31 32 422 339 1 195 99 17 17 289 -1 unnamed_device 26.7 MiB 0.72 1052 13779 3559 9046 1174 65.0 MiB 0.14 0.00 3.0413 -101.606 -3.0413 3.0413 1.09 0.000916249 0.000830106 0.0468602 0.0423682 26 2559 49 6.65987e+06 456408 477104. 1650.88 2.75 0.284607 0.25109 21682 110474 -1 2205 20 1624 2394 227924 68465 2.94896 2.94896 -121.084 -2.94896 0 0 585099. 2024.56 0.29 0.11 0.11 -1 -1 0.29 0.0351653 0.0316376 148 88 31 31 92 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.73 vpr 64.82 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34044 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 26.0 MiB 0.17 716 9516 2669 5878 969 64.8 MiB 0.09 0.00 2.31427 -80.8954 -2.31427 2.31427 1.08 0.000793626 0.000743948 0.0307116 0.0280838 32 2214 25 6.65987e+06 228204 554710. 1919.41 1.12 0.117525 0.104453 22834 132086 -1 1815 23 1352 2123 157438 38076 2.66645 2.66645 -104.779 -2.66645 0 0 701300. 2426.64 0.35 0.08 0.13 -1 -1 0.35 0.0311577 0.0278053 115 54 32 32 60 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.81 vpr 64.82 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 33592 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 26.2 MiB 0.32 824 9160 2365 6420 375 64.8 MiB 0.09 0.00 2.66064 -94.0688 -2.66064 2.66064 1.04 0.000488841 0.000444971 0.0295098 0.0269604 32 2240 24 6.65987e+06 228204 554710. 1919.41 1.10 0.104717 0.0920409 22834 132086 -1 1951 23 1480 2380 176748 41664 2.84791 2.84791 -116.865 -2.84791 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0289824 0.025647 121 60 32 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.79 vpr 64.64 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 34420 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 32 32 407 319 1 198 100 17 17 289 -1 unnamed_device 26.4 MiB 0.17 1040 11004 2789 7417 798 64.6 MiB 0.11 0.00 3.13064 -107.966 -3.13064 3.13064 1.09 0.000816629 0.000752334 0.0333726 0.0304332 28 2435 22 6.65987e+06 456408 500653. 1732.36 1.13 0.124267 0.110325 21970 115934 -1 2183 22 1622 2571 178465 40641 3.63031 3.63031 -137.65 -3.63031 0 0 612192. 2118.31 0.31 0.09 0.12 -1 -1 0.31 0.0341421 0.030328 154 49 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.90 vpr 64.83 MiB 0.02 7420 -1 -1 1 0.04 -1 -1 34256 -1 -1 32 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 29 32 367 293 1 183 93 17 17 289 -1 unnamed_device 26.1 MiB 0.25 960 14373 4042 8897 1434 64.8 MiB 0.14 0.00 2.88233 -84.6905 -2.88233 2.88233 1.09 0.000620381 0.000568057 0.0460249 0.0418991 28 2179 21 6.65987e+06 405696 500653. 1732.36 1.19 0.134987 0.11996 21970 115934 -1 1873 19 1057 1649 97961 23927 2.75271 2.75271 -100.104 -2.75271 0 0 612192. 2118.31 0.31 0.06 0.11 -1 -1 0.31 0.0270547 0.0241462 133 54 56 29 58 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 5.77 vpr 65.03 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34112 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 469 381 1 200 101 17 17 289 -1 unnamed_device 26.6 MiB 0.37 1001 11381 2896 7843 642 65.0 MiB 0.13 0.00 3.12784 -108.736 -3.12784 3.12784 1.10 0.00083788 0.000769512 0.0385304 0.0351473 26 3209 28 6.65987e+06 469086 477104. 1650.88 1.89 0.142615 0.12602 21682 110474 -1 2592 24 2187 3415 274274 64085 4.15631 4.15631 -152.682 -4.15631 0 0 585099. 2024.56 0.28 0.11 0.11 -1 -1 0.28 0.0359857 0.031807 156 117 0 0 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.39 vpr 64.15 MiB 0.02 6920 -1 -1 1 0.03 -1 -1 33884 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 31 32 259 212 1 146 79 17 17 289 -1 unnamed_device 25.7 MiB 0.12 797 13430 5333 6415 1682 64.1 MiB 0.11 0.00 2.32153 -81.0887 -2.32153 2.32153 1.03 0.000470754 0.00043423 0.0369557 0.0337272 32 1894 20 6.65987e+06 202848 554710. 1919.41 0.98 0.0933181 0.0824037 22834 132086 -1 1641 19 892 1455 125227 28548 2.62371 2.62371 -100.222 -2.62371 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0198436 0.0176884 105 -1 85 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 6.22 vpr 65.19 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 34052 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 32 32 418 338 1 190 97 17 17 289 -1 unnamed_device 26.6 MiB 0.19 987 19411 6700 9088 3623 65.2 MiB 0.16 0.00 3.31204 -109.095 -3.31204 3.31204 1.03 0.000554877 0.000502129 0.0568856 0.051569 36 2237 21 6.65987e+06 418374 612192. 2118.31 2.55 0.196325 0.172282 23410 145293 -1 1849 20 1497 2169 158570 38441 3.45917 3.45917 -124.372 -3.45917 0 0 782063. 2706.10 0.36 0.08 0.15 -1 -1 0.36 0.0299363 0.0266022 142 89 28 28 92 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.83 vpr 64.94 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 33784 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 26.3 MiB 0.25 842 11776 3203 7061 1512 64.9 MiB 0.11 0.00 2.8021 -102.199 -2.8021 2.8021 1.08 0.000520695 0.000472959 0.0425351 0.0386926 32 1960 20 6.65987e+06 202848 554710. 1919.41 1.06 0.122971 0.108917 22834 132086 -1 1745 20 1377 2013 148423 34739 3.12837 3.12837 -126.04 -3.12837 0 0 701300. 2426.64 0.36 0.08 0.13 -1 -1 0.36 0.0299944 0.0267491 115 93 0 0 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 5.80 vpr 64.98 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 33772 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 401 316 1 196 99 17 17 289 -1 unnamed_device 26.7 MiB 0.28 955 17655 5187 9321 3147 65.0 MiB 0.17 0.00 2.71964 -93.5267 -2.71964 2.71964 1.10 0.000855633 0.000793956 0.0556832 0.0511005 28 2907 26 6.65987e+06 443730 500653. 1732.36 1.95 0.166518 0.149003 21970 115934 -1 2161 21 1438 2275 198594 47779 2.71031 2.71031 -112.972 -2.71031 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0333777 0.0298761 149 59 61 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 5.46 vpr 65.28 MiB 0.03 7508 -1 -1 1 0.04 -1 -1 34432 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 32 32 500 382 1 232 107 17 17 289 -1 unnamed_device 27.0 MiB 0.38 1195 9215 1703 6911 601 65.3 MiB 0.12 0.00 3.8601 -128.639 -3.8601 3.8601 1.12 0.00085577 0.000777992 0.0328512 0.0298702 28 3151 26 6.65987e+06 545154 500653. 1732.36 1.40 0.145809 0.128892 21970 115934 -1 2655 20 2202 3365 223518 52855 4.74137 4.74137 -167.155 -4.74137 0 0 612192. 2118.31 0.32 0.10 0.11 -1 -1 0.32 0.0394584 0.0356238 186 81 64 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.38 vpr 64.17 MiB 0.02 7168 -1 -1 1 0.03 -1 -1 33476 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 25.6 MiB 0.23 551 10672 2622 7473 577 64.2 MiB 0.08 0.00 2.22258 -69.1123 -2.22258 2.22258 1.04 0.000368309 0.000335156 0.0292508 0.0265909 30 1257 21 6.65987e+06 190170 526063. 1820.29 0.92 0.0799364 0.0703073 22546 126617 -1 1102 15 438 591 38425 9443 1.69545 1.69545 -74.4431 -1.69545 0 0 666494. 2306.21 0.32 0.03 0.11 -1 -1 0.32 0.0154678 0.0138009 83 51 0 0 53 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.43 vpr 64.14 MiB 0.02 6972 -1 -1 1 0.03 -1 -1 34088 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65676 30 32 296 244 1 137 78 17 17 289 -1 unnamed_device 25.6 MiB 0.11 592 11034 4583 5653 798 64.1 MiB 0.09 0.00 2.80784 -84.049 -2.80784 2.80784 1.08 0.000464855 0.000423707 0.0350097 0.0318665 32 1761 20 6.65987e+06 202848 554710. 1919.41 0.99 0.0972078 0.0857033 22834 132086 -1 1378 20 989 1460 117453 28097 2.72051 2.72051 -104.068 -2.72051 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.022057 0.0195928 96 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.75 vpr 64.50 MiB 0.02 7052 -1 -1 1 0.04 -1 -1 33400 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 26.2 MiB 0.11 930 9160 2282 6357 521 64.5 MiB 0.09 0.00 2.7647 -99.2423 -2.7647 2.7647 1.11 0.000493296 0.000448039 0.0289588 0.0264901 30 2382 20 6.65987e+06 228204 526063. 1820.29 1.20 0.111457 0.099555 22546 126617 -1 1915 21 1132 2004 130372 28716 2.61817 2.61817 -113.333 -2.61817 0 0 666494. 2306.21 0.33 0.07 0.12 -1 -1 0.33 0.0256348 0.0229088 126 31 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.43 vpr 64.25 MiB 0.02 7224 -1 -1 1 0.03 -1 -1 33944 -1 -1 34 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65792 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 25.7 MiB 0.07 703 12535 3025 7876 1634 64.2 MiB 0.10 0.00 2.68184 -74.5657 -2.68184 2.68184 1.09 0.000463149 0.000423014 0.0286046 0.0261557 26 1659 21 6.65987e+06 431052 477104. 1650.88 1.05 0.0995526 0.0887746 21682 110474 -1 1534 23 1146 1769 127460 29812 2.72851 2.72851 -89.6785 -2.72851 0 0 585099. 2024.56 0.30 0.06 0.11 -1 -1 0.30 0.024139 0.0213652 103 19 50 25 25 25 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.78 vpr 64.83 MiB 0.02 7464 -1 -1 1 0.04 -1 -1 33992 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 432 346 1 193 84 17 17 289 -1 unnamed_device 26.6 MiB 0.25 883 9600 2351 6585 664 64.8 MiB 0.11 0.00 3.17278 -99.7227 -3.17278 3.17278 1.02 0.000889586 0.000807852 0.0381813 0.0348563 32 2920 42 6.65987e+06 253560 554710. 1919.41 2.13 0.199223 0.176261 22834 132086 -1 2190 21 1850 3313 255028 61442 3.60539 3.60539 -127.692 -3.60539 0 0 701300. 2426.64 0.36 0.11 0.13 -1 -1 0.36 0.0355957 0.0317979 147 84 32 32 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 5.29 vpr 64.82 MiB 0.02 7516 -1 -1 1 0.04 -1 -1 33860 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 31 32 421 339 1 193 100 17 17 289 -1 unnamed_device 26.5 MiB 0.22 865 12628 2822 9015 791 64.8 MiB 0.12 0.00 2.7691 -92.7554 -2.7691 2.7691 1.02 0.000744487 0.000682629 0.0389542 0.035497 26 2941 34 6.65987e+06 469086 477104. 1650.88 1.79 0.15158 0.134795 21682 110474 -1 2120 20 1657 2537 175208 43945 3.13331 3.13331 -121.654 -3.13331 0 0 585099. 2024.56 0.28 0.08 0.10 -1 -1 0.28 0.0324152 0.0289815 146 88 29 29 93 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 11.60 vpr 65.70 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34032 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 438 350 1 187 89 17 17 289 -1 unnamed_device 27.2 MiB 1.03 758 11771 3695 5635 2441 65.7 MiB 0.09 0.00 3.15069 -112.585 -3.15069 3.15069 1.07 0.000657457 0.000554808 0.0404597 0.0369877 56 2238 34 6.95648e+06 361892 973134. 3367.25 6.73 0.287886 0.252502 29794 239141 -1 1763 22 1852 2903 233359 56134 4.05846 4.05846 -146.305 -4.05846 0 0 1.19926e+06 4149.71 0.56 0.10 0.24 -1 -1 0.56 0.036908 0.0331525 84 80 32 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 13.20 vpr 65.68 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34112 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 30 32 409 330 1 179 76 17 17 289 -1 unnamed_device 27.0 MiB 2.30 819 12716 4427 6806 1483 65.7 MiB 0.11 0.00 3.3213 -109.081 -3.3213 3.3213 1.04 0.000582229 0.000528971 0.0493841 0.044997 56 2281 49 6.95648e+06 202660 973134. 3367.25 7.16 0.271252 0.236177 29794 239141 -1 1915 22 1740 2568 252529 52764 3.92522 3.92522 -138.577 -3.92522 0 0 1.19926e+06 4149.71 0.53 0.10 0.25 -1 -1 0.53 0.0319015 0.0282906 76 78 30 30 89 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 9.87 vpr 65.86 MiB 0.02 7456 -1 -1 1 0.04 -1 -1 33888 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67440 32 32 387 309 1 179 83 17 17 289 -1 unnamed_device 27.2 MiB 0.82 716 15563 6617 8113 833 65.9 MiB 0.13 0.00 3.01749 -104.169 -3.01749 3.01749 1.06 0.000527359 0.000478202 0.0535576 0.0486882 48 2129 26 6.95648e+06 275038 865456. 2994.66 5.28 0.261288 0.228619 28354 207349 -1 1711 25 1553 2326 174759 41700 3.82376 3.82376 -135.042 -3.82376 0 0 1.05005e+06 3633.38 0.50 0.09 0.21 -1 -1 0.50 0.035085 0.0311135 77 50 54 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 9.23 vpr 65.82 MiB 0.03 7288 -1 -1 1 0.04 -1 -1 33888 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67396 29 32 343 267 1 176 77 17 17 289 -1 unnamed_device 27.2 MiB 0.44 701 12302 4234 6135 1933 65.8 MiB 0.10 0.00 3.3745 -105.09 -3.3745 3.3745 1.02 0.000489818 0.000443502 0.0410925 0.0374646 40 2626 48 6.95648e+06 231611 706193. 2443.58 5.34 0.185415 0.162275 26914 176310 -1 1954 21 1691 2544 285301 68190 4.17936 4.17936 -146.872 -4.17936 0 0 926341. 3205.33 0.39 0.10 0.18 -1 -1 0.39 0.0285158 0.0253872 75 25 87 29 29 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 7.24 vpr 65.59 MiB 0.03 7124 -1 -1 1 0.03 -1 -1 33816 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67160 32 32 376 288 1 187 77 17 17 289 -1 unnamed_device 27.1 MiB 0.66 704 10346 3486 4993 1867 65.6 MiB 0.08 0.00 3.04139 -109.825 -3.04139 3.04139 0.99 0.000545232 0.000494492 0.0376551 0.0343808 62 2011 38 6.95648e+06 188184 1.05005e+06 3633.38 3.01 0.189387 0.166503 30946 263737 -1 1384 22 1871 3174 191638 48136 3.89696 3.89696 -134.925 -3.89696 0 0 1.30136e+06 4502.97 0.53 0.08 0.26 -1 -1 0.53 0.0289565 0.0257893 78 31 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 7.16 vpr 65.91 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33748 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 32 32 402 316 1 191 93 17 17 289 -1 unnamed_device 27.2 MiB 0.38 807 13743 4152 7400 2191 65.9 MiB 0.09 0.00 2.5973 -95.5077 -2.5973 2.5973 1.06 0.000525089 0.000477727 0.0391839 0.0356375 46 2381 39 6.95648e+06 419795 828058. 2865.25 3.19 0.204253 0.179552 28066 200906 -1 1690 21 1480 2038 142421 34026 3.16717 3.16717 -121.364 -3.16717 0 0 1.01997e+06 3529.29 0.43 0.08 0.18 -1 -1 0.43 0.0326441 0.0292243 89 61 63 32 63 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 11.97 vpr 65.12 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 34112 -1 -1 14 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 27 32 269 226 1 129 73 17 17 289 -1 unnamed_device 26.6 MiB 4.66 478 8433 3031 4066 1336 65.1 MiB 0.06 0.00 2.76796 -78.1223 -2.76796 2.76796 1.00 0.000399969 0.000361541 0.0249222 0.022709 38 1297 24 6.95648e+06 202660 678818. 2348.85 4.04 0.151604 0.131024 26626 170182 -1 1054 20 885 1319 77143 20077 2.82563 2.82563 -93.7063 -2.82563 0 0 902133. 3121.57 0.37 0.05 0.16 -1 -1 0.37 0.0203478 0.0180791 54 26 54 27 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 6.54 vpr 65.32 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33832 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 26.7 MiB 0.58 690 11604 4098 5234 2272 65.3 MiB 0.09 0.00 2.5203 -84.8536 -2.5203 2.5203 1.07 0.000496998 0.000452097 0.0376088 0.0344126 52 1969 30 6.95648e+06 246087 926341. 3205.33 2.34 0.177542 0.156947 29218 227130 -1 1498 24 1143 1684 125363 33178 3.22923 3.22923 -107.315 -3.22923 0 0 1.14541e+06 3963.36 0.51 0.07 0.21 -1 -1 0.51 0.0294404 0.0262624 77 -1 115 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 8.00 vpr 65.19 MiB 0.02 7092 -1 -1 1 0.03 -1 -1 33752 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 31 32 338 292 1 143 74 17 17 289 -1 unnamed_device 26.7 MiB 1.84 644 9684 3981 5431 272 65.2 MiB 0.07 0.00 2.60155 -84.316 -2.60155 2.60155 1.05 0.00049743 0.000452629 0.0319417 0.0290991 38 1877 21 6.95648e+06 159232 678818. 2348.85 2.75 0.149534 0.13019 26626 170182 -1 1565 21 1097 1627 141991 30681 3.51622 3.51622 -114.394 -3.51622 0 0 902133. 3121.57 0.37 0.06 0.16 -1 -1 0.37 0.0242119 0.0213253 57 81 0 0 84 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 6.34 vpr 65.17 MiB 0.02 6956 -1 -1 1 0.03 -1 -1 33736 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 314 256 1 156 74 17 17 289 -1 unnamed_device 26.7 MiB 0.87 583 9994 4132 5602 260 65.2 MiB 0.07 0.00 2.44885 -93.915 -2.44885 2.44885 0.98 0.000476239 0.000427076 0.0322866 0.029444 42 1999 26 6.95648e+06 144757 744469. 2576.02 2.15 0.156182 0.137661 27202 183097 -1 1491 22 1482 2031 178688 39452 3.32052 3.32052 -122.386 -3.32052 0 0 949917. 3286.91 0.40 0.07 0.16 -1 -1 0.40 0.0261935 0.0233587 62 31 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 7.42 vpr 65.19 MiB 0.02 7208 -1 -1 1 0.03 -1 -1 33816 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 30 32 325 273 1 148 74 17 17 289 -1 unnamed_device 26.7 MiB 1.94 641 11079 4648 6079 352 65.2 MiB 0.09 0.00 2.6083 -93.0682 -2.6083 2.6083 1.00 0.000461552 0.000420364 0.0375968 0.0343221 36 1966 38 6.95648e+06 173708 648988. 2245.63 2.21 0.160079 0.139459 26050 158493 -1 1459 20 1308 1673 113755 25738 3.09002 3.09002 -116.365 -3.09002 0 0 828058. 2865.25 0.35 0.06 0.15 -1 -1 0.35 0.0237962 0.0211435 60 58 30 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 7.46 vpr 65.55 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 34100 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67128 32 32 331 280 1 150 76 17 17 289 -1 unnamed_device 26.8 MiB 0.90 553 10636 4387 5710 539 65.6 MiB 0.08 0.00 2.4781 -86.3316 -2.4781 2.4781 1.04 0.000500191 0.000453776 0.0365754 0.0332645 46 1701 27 6.95648e+06 173708 828058. 2865.25 2.90 0.154816 0.136034 28066 200906 -1 1352 22 1148 1615 152732 50132 3.08097 3.08097 -115.596 -3.08097 0 0 1.01997e+06 3529.29 0.46 0.08 0.20 -1 -1 0.46 0.0306675 0.0274166 60 57 25 25 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 8.81 vpr 65.77 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 33716 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 32 32 386 305 1 180 85 17 17 289 -1 unnamed_device 27.1 MiB 1.33 715 12175 3671 6224 2280 65.8 MiB 0.10 0.00 2.5833 -94.1965 -2.5833 2.5833 1.04 0.000532813 0.000483992 0.0388105 0.0353587 44 2401 29 6.95648e+06 303989 787024. 2723.27 3.94 0.17903 0.156336 27778 195446 -1 1797 27 1926 3020 283485 67878 3.66817 3.66817 -129.618 -3.66817 0 0 997811. 3452.63 0.42 0.11 0.19 -1 -1 0.42 0.0373078 0.0332366 79 55 64 32 57 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 19.26 vpr 65.67 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 34000 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 407 319 1 192 90 17 17 289 -1 unnamed_device 26.9 MiB 0.95 856 13959 4953 7643 1363 65.7 MiB 0.12 0.00 3.13369 -118.051 -3.13369 3.13369 1.08 0.000584699 0.000530592 0.0467584 0.0425966 38 2798 47 6.95648e+06 376368 678818. 2348.85 14.75 0.385283 0.339015 26626 170182 -1 2226 24 2209 3097 334052 72509 4.16656 4.16656 -156.968 -4.16656 0 0 902133. 3121.57 0.40 0.13 0.16 -1 -1 0.40 0.0398329 0.0355004 87 60 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 7.43 vpr 64.85 MiB 0.02 7068 -1 -1 1 0.03 -1 -1 34060 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 29 32 272 228 1 141 74 17 17 289 -1 unnamed_device 26.4 MiB 1.23 518 10769 3505 5285 1979 64.9 MiB 0.08 0.00 2.64555 -77.8882 -2.64555 2.64555 1.04 0.000403693 0.000365765 0.0331814 0.0300932 38 2034 37 6.95648e+06 188184 678818. 2348.85 2.89 0.153993 0.134856 26626 170182 -1 1463 21 1099 1678 128832 28907 2.97862 2.97862 -102.848 -2.97862 0 0 902133. 3121.57 0.39 0.06 0.16 -1 -1 0.39 0.0227035 0.0202187 58 21 58 29 24 24 -fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 8.15 vpr 65.86 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 33760 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67440 32 32 401 315 1 185 77 17 17 289 -1 unnamed_device 27.0 MiB 1.82 855 12139 5131 6576 432 65.9 MiB 0.10 0.00 2.7746 -102.548 -2.7746 2.7746 1.06 0.00054499 0.000494931 0.0475355 0.0432654 62 2276 29 6.95648e+06 188184 1.05005e+06 3633.38 2.60 0.189069 0.165513 30946 263737 -1 1765 19 1541 2440 170239 37999 3.51477 3.51477 -129.969 -3.51477 0 0 1.30136e+06 4502.97 0.54 0.07 0.27 -1 -1 0.54 0.0278228 0.0246687 77 60 64 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 7.75 vpr 65.84 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 34076 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67420 32 32 383 303 1 179 84 17 17 289 -1 unnamed_device 27.1 MiB 1.47 688 13443 5308 6761 1374 65.8 MiB 0.10 0.00 2.5613 -93.6772 -2.5613 2.5613 1.08 0.000553979 0.000502435 0.0440725 0.0401641 44 2315 45 6.95648e+06 289514 787024. 2723.27 2.64 0.207026 0.181946 27778 195446 -1 1631 22 1498 2029 155265 38046 3.21727 3.21727 -125.6 -3.21727 0 0 997811. 3452.63 0.45 0.07 0.19 -1 -1 0.45 0.0299329 0.0265252 78 54 64 32 56 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 16.58 vpr 65.43 MiB 0.02 7252 -1 -1 1 0.04 -1 -1 33884 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 339 284 1 156 84 17 17 289 -1 unnamed_device 26.6 MiB 0.82 570 12894 4349 6169 2376 65.4 MiB 0.08 0.00 2.04276 -76.9212 -2.04276 2.04276 1.03 0.000469387 0.000420731 0.0365202 0.0331128 46 1730 28 6.95648e+06 289514 828058. 2865.25 12.29 0.293254 0.256686 28066 200906 -1 1322 19 1154 1526 109415 27614 2.40703 2.40703 -100.675 -2.40703 0 0 1.01997e+06 3529.29 0.45 0.07 0.20 -1 -1 0.45 0.0274366 0.0245938 67 62 29 29 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 5.57 vpr 64.70 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 33716 -1 -1 10 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 30 32 226 208 1 118 72 17 17 289 -1 unnamed_device 26.3 MiB 0.38 451 11098 4873 5836 389 64.7 MiB 0.07 0.00 1.84156 -65.2368 -1.84156 1.84156 1.02 0.0003605 0.000327992 0.0293173 0.02674 36 1436 27 6.95648e+06 144757 648988. 2245.63 1.98 0.118592 0.103427 26050 158493 -1 1136 17 705 893 94047 20594 2.22668 2.22668 -88.2217 -2.22668 0 0 828058. 2865.25 0.34 0.04 0.15 -1 -1 0.34 0.0160437 0.0141981 45 29 24 24 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 10.09 vpr 65.07 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33760 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 335 280 1 152 74 17 17 289 -1 unnamed_device 26.6 MiB 1.24 637 9064 3750 4982 332 65.1 MiB 0.07 0.00 3.23215 -106.742 -3.23215 3.23215 1.05 0.000459653 0.000417475 0.0302618 0.027605 48 1694 25 6.95648e+06 159232 865456. 2994.66 5.37 0.205378 0.17951 28354 207349 -1 1470 21 1017 1381 129594 29419 3.89422 3.89422 -129.621 -3.89422 0 0 1.05005e+06 3633.38 0.44 0.07 0.19 -1 -1 0.44 0.0278769 0.0249804 61 55 31 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 9.85 vpr 65.86 MiB 0.02 7336 -1 -1 1 0.04 -1 -1 33560 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67436 32 32 366 283 1 184 85 17 17 289 -1 unnamed_device 27.2 MiB 0.47 668 11803 3040 7280 1483 65.9 MiB 0.10 0.00 3.10369 -106.356 -3.10369 3.10369 1.06 0.000533273 0.000487205 0.0374751 0.0341782 50 1808 24 6.95648e+06 303989 902133. 3121.57 5.71 0.237821 0.207318 28642 213929 -1 1533 23 1774 2303 192125 42503 3.72656 3.72656 -133.567 -3.72656 0 0 1.08113e+06 3740.92 0.48 0.08 0.21 -1 -1 0.48 0.0299984 0.0266339 81 31 91 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 10.29 vpr 66.14 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 33896 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67728 32 32 460 375 1 188 91 17 17 289 -1 unnamed_device 27.5 MiB 1.15 809 16411 6706 8555 1150 66.1 MiB 0.13 0.00 3.03469 -105.175 -3.03469 3.03469 0.99 0.000619225 0.000560757 0.053628 0.0485897 46 2763 27 6.95648e+06 390843 828058. 2865.25 5.65 0.290989 0.253398 28066 200906 -1 2072 23 1669 2546 229844 47711 4.30116 4.30116 -134.606 -4.30116 0 0 1.01997e+06 3529.29 0.41 0.09 0.20 -1 -1 0.41 0.0324736 0.0287365 85 108 0 0 125 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 6.99 vpr 64.57 MiB 0.02 6948 -1 -1 1 0.03 -1 -1 33824 -1 -1 13 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 26 32 198 186 1 108 71 17 17 289 -1 unnamed_device 26.3 MiB 1.10 396 8101 3321 4190 590 64.6 MiB 0.05 0.00 1.82136 -55.181 -1.82136 1.82136 1.02 0.000312251 0.000284419 0.0196287 0.0179333 36 1408 48 6.95648e+06 188184 648988. 2245.63 2.67 0.114894 0.0996301 26050 158493 -1 931 21 681 878 75337 17157 2.04838 2.04838 -71.6473 -2.04838 0 0 828058. 2865.25 0.34 0.04 0.15 -1 -1 0.34 0.0172827 0.0152204 44 21 26 26 22 22 -fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 6.96 vpr 65.64 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 34016 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 32 32 333 251 1 180 76 17 17 289 -1 unnamed_device 27.0 MiB 0.94 707 8076 3256 4415 405 65.6 MiB 0.06 0.00 3.3371 -110.857 -3.3371 3.3371 0.97 0.000486132 0.000439476 0.0249704 0.022733 62 1967 23 6.95648e+06 173708 1.05005e+06 3633.38 2.48 0.142864 0.124544 30946 263737 -1 1567 19 1453 2203 164761 38652 4.01606 4.01606 -134.51 -4.01606 0 0 1.30136e+06 4502.97 0.54 0.07 0.26 -1 -1 0.54 0.0261789 0.0233253 74 -1 122 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 6.23 vpr 64.62 MiB 0.02 6864 -1 -1 1 0.03 -1 -1 33728 -1 -1 8 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 26.3 MiB 0.33 634 9608 4055 5400 153 64.6 MiB 0.06 0.00 1.77736 -70.2586 -1.77736 1.77736 1.06 0.000319724 0.000286897 0.0219847 0.0199752 38 1655 24 6.95648e+06 115805 678818. 2348.85 2.62 0.10682 0.0935079 26626 170182 -1 1313 17 681 855 102574 21041 2.15648 2.15648 -92.7332 -2.15648 0 0 902133. 3121.57 0.37 0.04 0.15 -1 -1 0.37 0.0141819 0.0126806 44 -1 53 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 10.28 vpr 65.98 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 33848 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67564 32 32 376 288 1 186 90 17 17 289 -1 unnamed_device 27.2 MiB 0.59 828 16773 5823 8948 2002 66.0 MiB 0.14 0.00 3.17289 -114.42 -3.17289 3.17289 1.07 0.000613451 0.000559386 0.051272 0.0467491 44 2670 27 6.95648e+06 376368 787024. 2723.27 6.02 0.284517 0.248034 27778 195446 -1 2043 21 1903 2934 235575 48905 4.14486 4.14486 -152.991 -4.14486 0 0 997811. 3452.63 0.43 0.09 0.19 -1 -1 0.43 0.0278093 0.0246694 85 21 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 5.88 vpr 65.70 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33996 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 27.0 MiB 0.33 1083 14996 5553 7555 1888 65.7 MiB 0.11 0.00 2.5943 -101.787 -2.5943 2.5943 1.04 0.000497072 0.000451525 0.0394191 0.035904 38 2726 35 6.95648e+06 405319 678818. 2348.85 2.11 0.166913 0.14633 26626 170182 -1 2275 22 1687 2459 220065 42712 3.04467 3.04467 -127.093 -3.04467 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0264719 0.0235676 87 -1 124 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 6.67 vpr 66.02 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34152 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67600 32 32 407 319 1 189 92 17 17 289 -1 unnamed_device 27.3 MiB 0.43 913 17894 5998 9434 2462 66.0 MiB 0.15 0.00 3.10069 -113.2 -3.10069 3.10069 1.06 0.000581078 0.000529179 0.0555677 0.0503922 46 2492 36 6.95648e+06 405319 828058. 2865.25 2.53 0.183107 0.161499 28066 200906 -1 2013 21 1945 3204 238783 49715 4.11636 4.11636 -147.151 -4.11636 0 0 1.01997e+06 3529.29 0.44 0.09 0.20 -1 -1 0.44 0.0298666 0.0264834 87 54 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 6.33 vpr 65.08 MiB 0.02 7148 -1 -1 1 0.03 -1 -1 34176 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 294 246 1 145 74 17 17 289 -1 unnamed_device 26.6 MiB 0.93 812 9374 3915 4945 514 65.1 MiB 0.07 0.00 2.3791 -88.817 -2.3791 2.3791 1.03 0.000480789 0.000435922 0.0294484 0.0269077 42 2167 27 6.95648e+06 144757 744469. 2576.02 2.00 0.146842 0.129447 27202 183097 -1 1740 20 1252 1981 180391 36505 2.82742 2.82742 -112.986 -2.82742 0 0 949917. 3286.91 0.42 0.07 0.16 -1 -1 0.42 0.0241072 0.02155 57 31 54 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 8.68 vpr 65.21 MiB 0.02 7120 -1 -1 1 0.03 -1 -1 33532 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 30 32 296 244 1 148 74 17 17 289 -1 unnamed_device 26.7 MiB 0.63 591 9374 3907 5125 342 65.2 MiB 0.07 0.00 2.6163 -92.4601 -2.6163 2.6163 1.04 0.000446489 0.000404709 0.0290304 0.0264921 40 1855 24 6.95648e+06 173708 706193. 2443.58 4.64 0.197509 0.172281 26914 176310 -1 1610 20 1367 1857 192125 42559 3.61507 3.61507 -131.234 -3.61507 0 0 926341. 3205.33 0.39 0.08 0.18 -1 -1 0.39 0.0240977 0.0215045 60 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 9.37 vpr 65.05 MiB 0.02 7124 -1 -1 1 0.03 -1 -1 33752 -1 -1 13 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 28 32 278 232 1 144 73 17 17 289 -1 unnamed_device 26.6 MiB 0.70 507 11169 4683 5762 724 65.1 MiB 0.08 0.00 2.5894 -83.1346 -2.5894 2.5894 1.03 0.000410818 0.000374038 0.0338988 0.0308293 48 1638 29 6.95648e+06 188184 865456. 2994.66 5.22 0.201289 0.173803 28354 207349 -1 1385 20 1181 1761 136752 34825 3.20427 3.20427 -106.054 -3.20427 0 0 1.05005e+06 3633.38 0.43 0.06 0.21 -1 -1 0.43 0.0212599 0.0189122 61 27 56 28 28 28 -fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 6.53 vpr 65.07 MiB 0.02 6864 -1 -1 1 0.04 -1 -1 33980 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 26.6 MiB 0.23 873 9684 4032 5522 130 65.1 MiB 0.07 0.00 2.43165 -99.8836 -2.43165 2.43165 1.08 0.000434783 0.000395572 0.0296663 0.0271098 40 2180 27 6.95648e+06 144757 706193. 2443.58 2.75 0.16468 0.146152 26914 176310 -1 2011 21 1618 2339 281870 54070 3.18092 3.18092 -128.903 -3.18092 0 0 926341. 3205.33 0.41 0.09 0.17 -1 -1 0.41 0.0259156 0.0232587 64 -1 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 8.43 vpr 64.88 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 33756 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 303 249 1 156 84 17 17 289 -1 unnamed_device 26.3 MiB 0.24 565 11796 3628 5857 2311 64.9 MiB 0.08 0.00 2.5943 -90.9525 -2.5943 2.5943 1.09 0.000519245 0.0004745 0.032208 0.0293132 46 1955 28 6.95648e+06 303989 828058. 2865.25 4.56 0.156625 0.137421 28066 200906 -1 1393 24 1416 1997 152833 37576 3.20917 3.20917 -117.507 -3.20917 0 0 1.01997e+06 3529.29 0.45 0.08 0.19 -1 -1 0.45 0.0282765 0.0251116 68 26 61 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 7.22 vpr 65.02 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 33984 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 29 32 312 264 1 148 79 17 17 289 -1 unnamed_device 26.5 MiB 0.90 502 10895 3849 4803 2243 65.0 MiB 0.08 0.00 2.12706 -71.7738 -2.12706 2.12706 1.11 0.000427812 0.000389107 0.0334989 0.030448 44 1611 47 6.95648e+06 260562 787024. 2723.27 2.68 0.158312 0.139271 27778 195446 -1 1065 20 1126 1532 99844 26405 2.43813 2.43813 -88.0913 -2.43813 0 0 997811. 3452.63 0.44 0.06 0.19 -1 -1 0.44 0.0248623 0.0221604 64 55 29 29 57 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 10.24 vpr 66.02 MiB 0.03 7364 -1 -1 1 0.04 -1 -1 34092 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67608 32 32 423 310 1 219 92 17 17 289 -1 unnamed_device 27.4 MiB 0.79 1124 14582 4830 7580 2172 66.0 MiB 0.13 0.00 3.32935 -120.571 -3.32935 3.32935 1.06 0.000815347 0.000744621 0.0481131 0.0438885 46 2932 29 6.95648e+06 405319 828058. 2865.25 5.78 0.281691 0.245954 28066 200906 -1 2366 24 2265 3421 260718 52382 4.02232 4.02232 -148.885 -4.02232 0 0 1.01997e+06 3529.29 0.43 0.11 0.20 -1 -1 0.43 0.0362041 0.0322521 100 26 128 32 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 10.13 vpr 65.82 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 33952 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67396 32 32 403 317 1 190 91 17 17 289 -1 unnamed_device 27.1 MiB 0.93 748 11923 3842 6032 2049 65.8 MiB 0.10 0.00 2.6866 -97.658 -2.6866 2.6866 1.09 0.000620305 0.000569383 0.0401931 0.036638 46 2154 25 6.95648e+06 390843 828058. 2865.25 5.56 0.314767 0.276522 28066 200906 -1 1700 23 1933 2737 203932 45230 3.13697 3.13697 -122.357 -3.13697 0 0 1.01997e+06 3529.29 0.43 0.09 0.18 -1 -1 0.43 0.0340052 0.0303105 87 62 62 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 7.09 vpr 65.24 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 34092 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 31 32 353 302 1 150 78 17 17 289 -1 unnamed_device 26.5 MiB 1.17 555 12860 5416 6837 607 65.2 MiB 0.10 0.00 2.76796 -90.6303 -2.76796 2.76796 1.08 0.000484782 0.000444985 0.0434822 0.0397238 46 1740 33 6.95648e+06 217135 828058. 2865.25 2.33 0.149223 0.130974 28066 200906 -1 1448 25 1280 1850 172108 51229 3.25747 3.25747 -114.657 -3.25747 0 0 1.01997e+06 3529.29 0.41 0.08 0.20 -1 -1 0.41 0.0285083 0.0251792 62 77 0 0 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 10.44 vpr 65.77 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34204 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67352 31 32 391 309 1 186 77 17 17 289 -1 unnamed_device 27.1 MiB 0.69 1018 10835 4524 6052 259 65.8 MiB 0.10 0.00 2.5613 -96.8883 -2.5613 2.5613 1.13 0.000535257 0.000487302 0.0439968 0.0402253 40 2604 41 6.95648e+06 202660 706193. 2443.58 6.04 0.335423 0.294266 26914 176310 -1 2501 19 1796 2662 299497 58611 3.51287 3.51287 -131.947 -3.51287 0 0 926341. 3205.33 0.41 0.10 0.16 -1 -1 0.41 0.0288525 0.0259693 79 59 60 30 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 9.82 vpr 66.02 MiB 0.03 7416 -1 -1 1 0.04 -1 -1 34264 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67600 31 32 455 371 1 185 77 17 17 289 -1 unnamed_device 27.3 MiB 2.28 842 8716 3565 4886 265 66.0 MiB 0.08 0.00 3.85289 -126.398 -3.85289 3.85289 1.08 0.00059919 0.000544815 0.0365165 0.0333735 40 2715 37 6.95648e+06 202660 706193. 2443.58 3.88 0.23458 0.20779 26914 176310 -1 2240 20 1569 2353 237318 50049 4.77761 4.77761 -159.432 -4.77761 0 0 926341. 3205.33 0.41 0.10 0.17 -1 -1 0.41 0.036018 0.0324296 78 111 0 0 124 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 8.70 vpr 65.94 MiB 0.02 7360 -1 -1 1 0.04 -1 -1 33980 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67520 31 32 413 333 1 182 76 17 17 289 -1 unnamed_device 27.2 MiB 1.74 735 13196 5631 6832 733 65.9 MiB 0.12 0.00 3.72384 -110.565 -3.72384 3.72384 1.12 0.000580366 0.000522424 0.0537339 0.0489026 46 2644 31 6.95648e+06 188184 828058. 2865.25 3.18 0.209437 0.183436 28066 200906 -1 1717 23 1457 2265 158245 37932 3.90056 3.90056 -137.586 -3.90056 0 0 1.01997e+06 3529.29 0.44 0.08 0.20 -1 -1 0.44 0.0327467 0.0291003 76 86 31 31 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 11.96 vpr 65.87 MiB 0.03 7440 -1 -1 1 0.04 -1 -1 34080 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67448 31 32 391 309 1 185 88 17 17 289 -1 unnamed_device 27.2 MiB 0.84 871 15493 4407 9000 2086 65.9 MiB 0.13 0.00 2.6273 -95.9663 -2.6273 2.6273 1.08 0.000528312 0.000481158 0.051397 0.0467376 36 2866 50 6.95648e+06 361892 648988. 2245.63 7.47 0.227732 0.199882 26050 158493 -1 2222 25 1902 2882 287918 64014 3.64617 3.64617 -127.83 -3.64617 0 0 828058. 2865.25 0.37 0.11 0.15 -1 -1 0.37 0.03462 0.03074 85 58 60 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 8.62 vpr 66.00 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 34356 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67580 32 32 407 319 1 190 90 17 17 289 -1 unnamed_device 27.2 MiB 0.50 764 11547 4368 5758 1421 66.0 MiB 0.09 0.00 3.16669 -114.068 -3.16669 3.16669 1.11 0.000563266 0.000508544 0.0359361 0.0327021 48 2634 33 6.95648e+06 376368 865456. 2994.66 4.24 0.203287 0.179155 28354 207349 -1 2035 28 2223 3436 391910 103442 4.36886 4.36886 -157.384 -4.36886 0 0 1.05005e+06 3633.38 0.48 0.15 0.21 -1 -1 0.48 0.0415946 0.0370533 86 42 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 28.59 vpr 65.93 MiB 0.03 7496 -1 -1 1 0.04 -1 -1 33952 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67508 32 32 496 380 1 222 95 17 17 289 -1 unnamed_device 27.6 MiB 1.07 1064 13055 3624 7275 2156 65.9 MiB 0.12 0.00 3.32935 -122.578 -3.32935 3.32935 1.09 0.00067496 0.00061301 0.0473366 0.043198 42 3334 34 6.95648e+06 448746 744469. 2576.02 23.78 0.388639 0.338677 27202 183097 -1 2601 23 2225 3354 311735 62698 4.15561 4.15561 -155.747 -4.15561 0 0 949917. 3286.91 0.42 0.12 0.18 -1 -1 0.42 0.0406139 0.0363956 104 91 62 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 7.06 vpr 65.46 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 33992 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67028 31 32 305 250 1 152 74 17 17 289 -1 unnamed_device 26.7 MiB 0.67 824 9064 3727 5107 230 65.5 MiB 0.07 0.00 2.84796 -103.992 -2.84796 2.84796 1.06 0.000444585 0.000404106 0.0299478 0.0273782 36 2228 31 6.95648e+06 159232 648988. 2245.63 2.89 0.132696 0.116644 26050 158493 -1 1865 21 1387 1937 183808 37291 3.43957 3.43957 -133.223 -3.43957 0 0 828058. 2865.25 0.40 0.09 0.16 -1 -1 0.40 0.0296629 0.0267562 62 24 62 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 11.68 vpr 65.92 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 34168 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67504 31 32 395 311 1 188 90 17 17 289 -1 unnamed_device 27.2 MiB 0.65 760 12954 5330 6993 631 65.9 MiB 0.11 0.00 3.5328 -117.17 -3.5328 3.5328 1.11 0.000615359 0.000564978 0.0443054 0.0404815 60 2024 24 6.95648e+06 390843 1.01997e+06 3529.29 7.08 0.297678 0.260366 30658 258169 -1 1641 22 1669 2562 199209 42831 3.86582 3.86582 -138.141 -3.86582 0 0 1.27783e+06 4421.56 0.56 0.09 0.27 -1 -1 0.56 0.0315816 0.0281297 86 59 62 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 10.94 vpr 65.50 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 33916 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 32 32 397 313 1 188 90 17 17 289 -1 unnamed_device 26.7 MiB 0.83 817 14361 4548 7141 2672 65.5 MiB 0.12 0.00 2.79476 -96.8542 -2.79476 2.79476 1.08 0.000610697 0.000555486 0.0472815 0.0431255 40 2977 47 6.95648e+06 376368 706193. 2443.58 6.44 0.245777 0.217471 26914 176310 -1 2389 23 1812 2964 320058 68438 3.38457 3.38457 -128.639 -3.38457 0 0 926341. 3205.33 0.43 0.12 0.17 -1 -1 0.43 0.0400859 0.0358733 85 54 62 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 30.05 vpr 65.50 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33604 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 345 257 1 187 77 17 17 289 -1 unnamed_device 27.1 MiB 0.96 780 7901 3204 4446 251 65.5 MiB 0.08 0.00 3.03039 -111.418 -3.03039 3.03039 1.12 0.000543055 0.000493017 0.0315126 0.0287716 40 3444 43 6.95648e+06 188184 706193. 2443.58 25.41 0.365334 0.31972 26914 176310 -1 2698 24 2122 3590 474608 100445 4.70696 4.70696 -171.252 -4.70696 0 0 926341. 3205.33 0.39 0.14 0.16 -1 -1 0.39 0.031999 0.0286477 78 -1 128 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 9.57 vpr 65.88 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 33852 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67456 32 32 424 343 1 182 87 17 17 289 -1 unnamed_device 27.1 MiB 1.65 707 12567 4353 6177 2037 65.9 MiB 0.10 0.00 2.5503 -92.1642 -2.5503 2.5503 1.11 0.00061069 0.000548092 0.0444416 0.0404394 46 2411 31 6.95648e+06 332941 828058. 2865.25 4.20 0.21056 0.1854 28066 200906 -1 1755 20 1545 2299 165772 38834 3.20437 3.20437 -121.735 -3.20437 0 0 1.01997e+06 3529.29 0.48 0.09 0.19 -1 -1 0.48 0.0364279 0.0327947 81 81 25 25 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 9.33 vpr 65.82 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 33640 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 395 311 1 186 92 17 17 289 -1 unnamed_device 27.1 MiB 0.90 871 13133 3713 6981 2439 65.8 MiB 0.11 0.00 2.6023 -96.9969 -2.6023 2.6023 1.09 0.000629337 0.000567127 0.0404167 0.0368195 44 2420 24 6.95648e+06 405319 787024. 2723.27 4.67 0.262645 0.228952 27778 195446 -1 1866 21 1452 2261 151568 32846 3.09187 3.09187 -118.51 -3.09187 0 0 997811. 3452.63 0.47 0.08 0.20 -1 -1 0.47 0.0344349 0.0310405 85 58 64 32 60 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 7.90 vpr 66.08 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 34052 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67668 32 32 405 318 1 192 92 17 17 289 -1 unnamed_device 27.3 MiB 0.54 828 15410 5283 8174 1953 66.1 MiB 0.12 0.00 2.6646 -97.6585 -2.6646 2.6646 1.12 0.000632768 0.000574774 0.0469469 0.0426805 44 2775 50 6.95648e+06 405319 787024. 2723.27 3.60 0.21015 0.185055 27778 195446 -1 2040 24 2017 2965 224263 50374 3.15417 3.15417 -128.238 -3.15417 0 0 997811. 3452.63 0.44 0.10 0.20 -1 -1 0.44 0.0371865 0.0331172 88 61 63 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 7.90 vpr 65.54 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34140 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 376 288 1 186 92 17 17 289 -1 unnamed_device 27.0 MiB 0.68 813 16238 5039 9230 1969 65.5 MiB 0.14 0.00 3.16669 -113.478 -3.16669 3.16669 1.09 0.000555906 0.000505627 0.0490781 0.04467 46 2389 48 6.95648e+06 405319 828058. 2865.25 3.42 0.214007 0.18748 28066 200906 -1 1869 23 2204 3570 237591 53898 4.01016 4.01016 -149.275 -4.01016 0 0 1.01997e+06 3529.29 0.45 0.10 0.20 -1 -1 0.45 0.03178 0.0281378 85 21 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 7.61 vpr 65.96 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34276 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67548 32 32 407 319 1 189 94 17 17 289 -1 unnamed_device 27.2 MiB 1.00 857 12235 3021 7796 1418 66.0 MiB 0.11 0.00 3.08969 -114.316 -3.08969 3.08969 1.14 0.000617585 0.00055791 0.0387501 0.0351573 38 2746 27 6.95648e+06 434271 678818. 2348.85 2.82 0.168251 0.147899 26626 170182 -1 2095 24 2173 3094 264576 54871 4.04606 4.04606 -150.57 -4.04606 0 0 902133. 3121.57 0.39 0.11 0.17 -1 -1 0.39 0.0350443 0.0311908 88 50 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 10.47 vpr 65.78 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34208 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67360 31 32 449 367 1 185 88 17 17 289 -1 unnamed_device 27.5 MiB 1.32 967 10813 4019 5155 1639 65.8 MiB 0.10 0.00 3.56395 -115.065 -3.56395 3.56395 1.09 0.000676613 0.000615289 0.0400307 0.0363667 44 3051 26 6.95648e+06 361892 787024. 2723.27 5.50 0.262619 0.228301 27778 195446 -1 2346 21 1529 2523 211630 42966 4.22302 4.22302 -144.922 -4.22302 0 0 997811. 3452.63 0.43 0.09 0.20 -1 -1 0.43 0.0313411 0.0278152 84 110 0 0 122 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 8.35 vpr 66.04 MiB 0.02 7520 -1 -1 1 0.04 -1 -1 34136 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67620 32 32 432 346 1 187 77 17 17 289 -1 unnamed_device 27.2 MiB 1.07 873 11487 4789 6360 338 66.0 MiB 0.10 0.00 3.08604 -106.745 -3.08604 3.08604 1.06 0.000621532 0.000535758 0.0458704 0.0415419 44 3166 45 6.95648e+06 188184 787024. 2723.27 3.60 0.243726 0.21527 27778 195446 -1 2282 33 2654 4586 343904 74964 4.22306 4.22306 -145.525 -4.22306 0 0 997811. 3452.63 0.47 0.15 0.18 -1 -1 0.47 0.050542 0.0450713 78 86 32 32 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 8.74 vpr 65.31 MiB 0.02 6968 -1 -1 1 0.03 -1 -1 34152 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 312 255 1 160 87 17 17 289 -1 unnamed_device 26.9 MiB 0.17 664 11223 4561 6257 405 65.3 MiB 0.08 0.00 2.6834 -96.1081 -2.6834 2.6834 1.08 0.000474244 0.000432352 0.030879 0.0279482 40 2362 30 6.95648e+06 332941 706193. 2443.58 4.95 0.178363 0.157768 26914 176310 -1 1785 26 1499 2368 344873 98672 3.36747 3.36747 -127.407 -3.36747 0 0 926341. 3205.33 0.42 0.13 0.17 -1 -1 0.42 0.0339263 0.0303009 71 20 63 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 10.37 vpr 65.13 MiB 0.02 6988 -1 -1 1 0.04 -1 -1 33740 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 370 314 1 158 74 17 17 289 -1 unnamed_device 26.6 MiB 1.10 588 8754 3219 4446 1089 65.1 MiB 0.07 0.00 2.5393 -92.4485 -2.5393 2.5393 1.09 0.000511537 0.000466049 0.032262 0.0293398 46 1984 21 6.95648e+06 144757 828058. 2865.25 5.63 0.23358 0.202679 28066 200906 -1 1631 21 1325 1947 165320 36910 3.02262 3.02262 -118.819 -3.02262 0 0 1.01997e+06 3529.29 0.48 0.08 0.19 -1 -1 0.48 0.0313556 0.0281273 63 91 0 0 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 10.72 vpr 66.03 MiB 0.03 7364 -1 -1 1 0.04 -1 -1 34176 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67616 32 32 469 351 1 223 94 17 17 289 -1 unnamed_device 27.6 MiB 0.58 1063 13087 4539 7275 1273 66.0 MiB 0.11 0.00 3.77644 -134.137 -3.77644 3.77644 0.99 0.000629147 0.000577945 0.0423675 0.0386264 48 3134 27 6.95648e+06 434271 865456. 2994.66 6.49 0.305274 0.26641 28354 207349 -1 2519 26 2872 4540 417824 87994 5.50441 5.50441 -182.508 -5.50441 0 0 1.05005e+06 3633.38 0.45 0.14 0.21 -1 -1 0.45 0.040396 0.0358867 103 53 96 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 6.85 vpr 65.81 MiB 0.02 7336 -1 -1 1 0.04 -1 -1 34076 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67392 32 32 368 284 1 186 88 17 17 289 -1 unnamed_device 27.1 MiB 0.91 748 12178 4107 6527 1544 65.8 MiB 0.10 0.00 2.6445 -97.9601 -2.6445 2.6445 1.04 0.000585692 0.000533091 0.0376485 0.0343354 44 2081 27 6.95648e+06 347416 787024. 2723.27 2.39 0.172828 0.151222 27778 195446 -1 1597 21 1464 1866 130220 29190 3.00877 3.00877 -118.964 -3.00877 0 0 997811. 3452.63 0.44 0.07 0.19 -1 -1 0.44 0.0308115 0.0273968 83 31 92 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 8.43 vpr 65.22 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 33624 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 30 32 296 244 1 152 81 17 17 289 -1 unnamed_device 26.7 MiB 0.36 564 11631 4825 6255 551 65.2 MiB 0.08 0.00 2.6426 -90.0278 -2.6426 2.6426 1.00 0.000436111 0.000393352 0.0318796 0.0290578 40 2105 26 6.95648e+06 275038 706193. 2443.58 4.65 0.196336 0.170468 26914 176310 -1 1738 20 1267 1786 169824 37570 3.34357 3.34357 -119.487 -3.34357 0 0 926341. 3205.33 0.41 0.07 0.18 -1 -1 0.41 0.0250517 0.0223741 65 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 10.84 vpr 65.86 MiB 0.03 7532 -1 -1 1 0.04 -1 -1 34580 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67444 32 32 531 413 1 225 95 17 17 289 -1 unnamed_device 27.5 MiB 1.81 1039 14351 3487 9050 1814 65.9 MiB 0.13 0.00 3.74344 -131.999 -3.74344 3.74344 1.03 0.000679284 0.000611699 0.0507723 0.0460925 46 3011 43 6.95648e+06 448746 828058. 2865.25 5.32 0.246455 0.216063 28066 200906 -1 2329 23 2691 4022 286590 59355 4.76651 4.76651 -170.846 -4.76651 0 0 1.01997e+06 3529.29 0.44 0.12 0.20 -1 -1 0.44 0.0419406 0.0372244 103 109 32 32 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 8.12 vpr 65.79 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 34080 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 32 32 376 288 1 187 92 17 17 289 -1 unnamed_device 27.1 MiB 1.07 840 15617 4919 8071 2627 65.8 MiB 0.11 0.00 3.18389 -115.688 -3.18389 3.18389 1.03 0.00056164 0.000509606 0.0416607 0.0380443 40 2540 41 6.95648e+06 405319 706193. 2443.58 3.57 0.201299 0.177965 26914 176310 -1 2106 20 1923 2654 260397 55904 4.10426 4.10426 -153.139 -4.10426 0 0 926341. 3205.33 0.39 0.10 0.17 -1 -1 0.39 0.0301407 0.0269725 86 31 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 10.67 vpr 65.04 MiB 0.02 6992 -1 -1 1 0.03 -1 -1 33936 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 26.4 MiB 0.31 588 14128 4609 6783 2736 65.0 MiB 0.10 0.00 2.45795 -91.5353 -2.45795 2.45795 1.08 0.00048448 0.000441849 0.036058 0.0327715 54 1782 37 6.95648e+06 347416 949917. 3286.91 6.64 0.242796 0.211859 29506 232905 -1 1384 21 1397 2159 203020 59417 3.00252 3.00252 -114.09 -3.00252 0 0 1.17392e+06 4061.99 0.52 0.08 0.23 -1 -1 0.52 0.0238728 0.0211768 70 -1 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 7.70 vpr 65.67 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34468 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 438 320 1 225 95 17 17 289 -1 unnamed_device 27.3 MiB 0.49 952 14999 3951 8768 2280 65.7 MiB 0.14 0.00 3.77644 -131.905 -3.77644 3.77644 1.09 0.000722819 0.000658285 0.0510565 0.0466377 46 3165 31 6.95648e+06 448746 828058. 2865.25 3.47 0.196289 0.174052 28066 200906 -1 2489 23 2666 4249 374005 75777 5.68161 5.68161 -182.324 -5.68161 0 0 1.01997e+06 3529.29 0.43 0.13 0.19 -1 -1 0.43 0.0367982 0.0329925 105 26 128 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 8.69 vpr 65.17 MiB 0.02 7144 -1 -1 1 0.03 -1 -1 33700 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 26.7 MiB 0.38 773 9994 4157 5636 201 65.2 MiB 0.08 0.00 2.42065 -97.1473 -2.42065 2.42065 1.10 0.000480644 0.000441418 0.033547 0.0306182 40 2119 21 6.95648e+06 144757 706193. 2443.58 4.78 0.198145 0.173313 26914 176310 -1 1864 19 1429 1972 186612 40695 3.37972 3.37972 -130.49 -3.37972 0 0 926341. 3205.33 0.39 0.07 0.17 -1 -1 0.39 0.0223212 0.0199262 62 -1 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 6.84 vpr 64.97 MiB 0.03 7236 -1 -1 1 0.03 -1 -1 34004 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 30 32 296 244 1 151 83 17 17 289 -1 unnamed_device 26.4 MiB 0.81 699 13043 5450 7041 552 65.0 MiB 0.09 0.00 2.5833 -90.0474 -2.5833 2.5833 1.02 0.000447709 0.000407287 0.0353067 0.0321338 44 1984 50 6.95648e+06 303989 787024. 2723.27 2.59 0.144599 0.126637 27778 195446 -1 1470 18 999 1513 114973 25730 3.14982 3.14982 -115.49 -3.14982 0 0 997811. 3452.63 0.41 0.06 0.19 -1 -1 0.41 0.0208959 0.0186547 65 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 9.97 vpr 65.73 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 33832 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67304 29 32 393 319 1 174 81 17 17 289 -1 unnamed_device 27.0 MiB 1.39 782 13206 5571 7050 585 65.7 MiB 0.11 0.00 2.81496 -90.9115 -2.81496 2.81496 1.04 0.000534216 0.000485486 0.0453048 0.0413214 40 2625 27 6.95648e+06 289514 706193. 2443.58 5.05 0.25451 0.221511 26914 176310 -1 2198 20 1647 2611 263584 60144 3.92492 3.92492 -121.64 -3.92492 0 0 926341. 3205.33 0.40 0.10 0.17 -1 -1 0.40 0.030163 0.0270584 77 81 29 29 85 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 7.76 vpr 65.92 MiB 0.02 7364 -1 -1 1 0.04 -1 -1 34216 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67500 32 32 407 319 1 186 77 17 17 289 -1 unnamed_device 27.2 MiB 0.94 711 12139 5145 6560 434 65.9 MiB 0.10 0.00 3.15569 -115.348 -3.15569 3.15569 1.06 0.000619607 0.000559457 0.0459775 0.0418639 44 2597 44 6.95648e+06 188184 787024. 2723.27 3.12 0.210991 0.184229 27778 195446 -1 2006 65 3642 5280 620131 134047 4.65056 4.65056 -167.607 -4.65056 0 0 997811. 3452.63 0.42 0.24 0.19 -1 -1 0.42 0.0779485 0.0680837 78 53 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 12.34 vpr 65.77 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34308 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 32 32 407 319 1 190 89 17 17 289 -1 unnamed_device 27.1 MiB 1.50 793 15335 6381 8326 628 65.8 MiB 0.13 0.00 3.12869 -112.99 -3.12869 3.12869 1.05 0.000535477 0.000480847 0.0512728 0.0462774 56 2323 27 6.95648e+06 361892 973134. 3367.25 7.04 0.333939 0.292228 29794 239141 -1 2016 20 1792 2781 321147 67854 4.07906 4.07906 -151.477 -4.07906 0 0 1.19926e+06 4149.71 0.53 0.11 0.24 -1 -1 0.53 0.0304747 0.0273337 85 55 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 7.86 vpr 65.48 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34208 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 32 32 345 287 1 155 88 17 17 289 -1 unnamed_device 26.9 MiB 1.05 569 10423 3224 5113 2086 65.5 MiB 0.07 0.00 2.50195 -92.4518 -2.50195 2.50195 1.01 0.000474118 0.000433761 0.0288922 0.0262592 56 1624 26 6.95648e+06 347416 973134. 3367.25 3.24 0.155266 0.13641 29794 239141 -1 1436 26 1447 2234 285733 84961 3.22192 3.22192 -117.216 -3.22192 0 0 1.19926e+06 4149.71 0.49 0.12 0.22 -1 -1 0.49 0.0340491 0.0301926 69 55 32 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 7.64 vpr 65.28 MiB 0.02 7384 -1 -1 1 0.04 -1 -1 33808 -1 -1 10 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 31 32 353 302 1 147 73 17 17 289 -1 unnamed_device 26.8 MiB 1.54 555 10865 4566 5787 512 65.3 MiB 0.08 0.00 2.80096 -90.6898 -2.80096 2.80096 1.09 0.000475155 0.000432842 0.0391936 0.0356643 42 2098 48 6.95648e+06 144757 744469. 2576.02 2.54 0.180042 0.157889 27202 183097 -1 1406 30 1593 2455 193405 46001 3.09327 3.09327 -113.851 -3.09327 0 0 949917. 3286.91 0.40 0.09 0.18 -1 -1 0.40 0.0336457 0.029522 59 82 0 0 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 10.10 vpr 65.40 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 34124 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 30 32 374 297 1 178 84 17 17 289 -1 unnamed_device 27.0 MiB 1.01 748 12711 5302 6912 497 65.4 MiB 0.11 0.00 2.6866 -93.5828 -2.6866 2.6866 1.05 0.0005527 0.000501421 0.0411185 0.0374468 44 2108 22 6.95648e+06 318465 787024. 2723.27 5.47 0.275975 0.240443 27778 195446 -1 1752 22 1532 2288 178645 39058 2.97277 2.97277 -112.971 -2.97277 0 0 997811. 3452.63 0.44 0.08 0.19 -1 -1 0.44 0.0286665 0.0254087 79 52 60 30 57 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 9.80 vpr 65.30 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 33912 -1 -1 16 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 28 32 332 260 1 172 76 17 17 289 -1 unnamed_device 26.7 MiB 0.97 688 10156 4307 5315 534 65.3 MiB 0.08 0.00 3.68925 -107.014 -3.68925 3.68925 1.05 0.000504682 0.000458725 0.0348082 0.0318145 38 2705 33 6.95648e+06 231611 678818. 2348.85 5.40 0.166742 0.145614 26626 170182 -1 1955 22 1809 2648 219345 47320 4.13356 4.13356 -136.539 -4.13356 0 0 902133. 3121.57 0.39 0.09 0.17 -1 -1 0.39 0.029943 0.0268264 74 20 84 28 28 28 -fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 7.07 vpr 65.36 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34188 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 30 32 325 273 1 151 74 17 17 289 -1 unnamed_device 26.8 MiB 0.85 595 9994 4251 5320 423 65.4 MiB 0.08 0.00 2.5905 -90.2125 -2.5905 2.5905 1.04 0.000479757 0.000435138 0.0338888 0.0309095 44 1958 46 6.95648e+06 173708 787024. 2723.27 2.74 0.145669 0.127509 27778 195446 -1 1325 21 1325 1775 143647 32202 3.22147 3.22147 -111.661 -3.22147 0 0 997811. 3452.63 0.42 0.07 0.19 -1 -1 0.42 0.0252643 0.0223687 61 58 30 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 10.25 vpr 65.57 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 33600 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 32 32 361 308 1 152 74 17 17 289 -1 unnamed_device 26.6 MiB 1.26 647 10149 4231 5612 306 65.6 MiB 0.08 0.00 2.5753 -88.177 -2.5753 2.5753 1.03 0.000484351 0.000435447 0.0367797 0.0334374 46 2123 39 6.95648e+06 144757 828058. 2865.25 5.52 0.239797 0.208147 28066 200906 -1 1678 21 1194 1864 158075 36900 3.37852 3.37852 -120.79 -3.37852 0 0 1.01997e+06 3529.29 0.43 0.07 0.19 -1 -1 0.43 0.0253892 0.0224404 60 88 0 0 91 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 7.17 vpr 65.14 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 34160 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 26.8 MiB 0.17 774 10228 3094 4923 2211 65.1 MiB 0.08 0.00 3.37335 -115.517 -3.37335 3.37335 1.07 0.000510933 0.000467666 0.0302481 0.0276413 56 2213 26 6.95648e+06 361892 973134. 3367.25 3.32 0.161848 0.142027 29794 239141 -1 1922 23 1821 2754 260744 61348 4.16842 4.16842 -148.657 -4.16842 0 0 1.19926e+06 4149.71 0.51 0.10 0.24 -1 -1 0.51 0.0301187 0.0268625 86 -1 124 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 12.94 vpr 65.97 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34276 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67556 32 32 407 319 1 189 91 17 17 289 -1 unnamed_device 27.2 MiB 1.23 961 16819 4927 9815 2077 66.0 MiB 0.14 0.00 3.15569 -119.151 -3.15569 3.15569 1.04 0.000541475 0.000490249 0.0519406 0.0471458 38 3441 45 6.95648e+06 390843 678818. 2348.85 8.16 0.218779 0.191441 26626 170182 -1 2469 21 1899 3168 295090 59311 4.35786 4.35786 -157.826 -4.35786 0 0 902133. 3121.57 0.40 0.11 0.17 -1 -1 0.40 0.033316 0.0298987 86 57 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 10.59 vpr 65.84 MiB 0.02 7408 -1 -1 1 0.04 -1 -1 34040 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67424 32 32 407 319 1 187 90 17 17 289 -1 unnamed_device 27.1 MiB 1.47 851 10542 3742 5215 1585 65.8 MiB 0.08 0.00 3.18689 -113.996 -3.18689 3.18689 0.99 0.000569406 0.000513714 0.031537 0.0288129 54 2502 23 6.95648e+06 376368 949917. 3286.91 5.51 0.249631 0.219797 29506 232905 -1 1924 22 1796 2845 237279 50005 4.06506 4.06506 -148.979 -4.06506 0 0 1.17392e+06 4061.99 0.50 0.10 0.23 -1 -1 0.50 0.0339817 0.0304862 85 62 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 9.30 vpr 65.91 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34124 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 32 32 399 315 1 188 91 17 17 289 -1 unnamed_device 27.2 MiB 1.00 810 15187 5773 7385 2029 65.9 MiB 0.11 0.00 3.23634 -109.476 -3.23634 3.23634 1.04 0.000549359 0.000498299 0.0449251 0.0408531 48 2565 42 6.95648e+06 390843 865456. 2994.66 4.68 0.201953 0.176777 28354 207349 -1 2137 24 1796 2972 316507 71861 4.07146 4.07146 -145.248 -4.07146 0 0 1.05005e+06 3633.38 0.45 0.11 0.20 -1 -1 0.45 0.0333783 0.0296499 86 62 60 30 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 7.52 vpr 64.90 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 33760 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 30 32 296 244 1 150 74 17 17 289 -1 unnamed_device 26.4 MiB 0.77 601 8134 2712 3908 1514 64.9 MiB 0.06 0.00 2.79296 -91.0963 -2.79296 2.79296 1.02 0.000464823 0.000422942 0.0264621 0.0241812 52 1829 41 6.95648e+06 173708 926341. 3205.33 3.17 0.152393 0.132827 29218 227130 -1 1253 21 1151 1808 138074 33918 3.01162 3.01162 -104.236 -3.01162 0 0 1.14541e+06 3963.36 0.50 0.07 0.22 -1 -1 0.50 0.0251753 0.0225632 62 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 9.44 vpr 65.89 MiB 0.02 7428 -1 -1 1 0.04 -1 -1 33776 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67476 30 32 383 303 1 183 77 17 17 289 -1 unnamed_device 27.2 MiB 0.78 700 11650 4869 6257 524 65.9 MiB 0.10 0.00 3.3885 -110.958 -3.3885 3.3885 1.07 0.000524996 0.000477235 0.0432039 0.0393803 40 2471 26 6.95648e+06 217135 706193. 2443.58 5.13 0.267433 0.233809 26914 176310 -1 2034 21 1963 2694 228996 52216 4.29372 4.29372 -155.149 -4.29372 0 0 926341. 3205.33 0.38 0.09 0.17 -1 -1 0.38 0.0307772 0.027569 78 58 60 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 8.56 vpr 66.10 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34244 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67688 32 32 469 381 1 190 95 17 17 289 -1 unnamed_device 27.5 MiB 1.45 903 14783 5997 8201 585 66.1 MiB 0.12 0.00 3.17289 -116.806 -3.17289 3.17289 1.07 0.000603258 0.000546286 0.0455695 0.0413509 42 3015 45 6.95648e+06 448746 744469. 2576.02 3.41 0.207083 0.181156 27202 183097 -1 2240 28 2399 3820 466313 117974 3.95196 3.95196 -153.607 -3.95196 0 0 949917. 3286.91 0.42 0.16 0.17 -1 -1 0.42 0.0421781 0.0375588 88 106 0 0 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 8.01 vpr 65.88 MiB 0.02 7320 -1 -1 1 0.04 -1 -1 34032 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67456 31 32 425 341 1 182 85 17 17 289 -1 unnamed_device 27.1 MiB 1.03 792 13291 5546 7076 669 65.9 MiB 0.11 0.00 3.3683 -112.062 -3.3683 3.3683 1.06 0.000669853 0.000595314 0.0466343 0.0425786 46 2514 38 6.95648e+06 318465 828058. 2865.25 3.35 0.229945 0.203928 28066 200906 -1 1835 30 2098 3158 309149 89348 3.98312 3.98312 -143.446 -3.98312 0 0 1.01997e+06 3529.29 0.44 0.13 0.18 -1 -1 0.44 0.043776 0.0390023 81 79 31 31 93 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 9.40 vpr 65.91 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 34148 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67488 30 32 404 328 1 173 80 17 17 289 -1 unnamed_device 27.2 MiB 1.74 832 14184 6082 7610 492 65.9 MiB 0.12 0.00 2.82586 -96.8802 -2.82586 2.82586 1.08 0.00059012 0.000538677 0.0532913 0.0487149 38 2627 48 6.95648e+06 260562 678818. 2348.85 4.13 0.220954 0.193831 26626 170182 -1 2100 19 1552 2321 214080 43859 3.47687 3.47687 -126.49 -3.47687 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0281766 0.0251864 75 83 26 26 90 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 12.36 vpr 65.56 MiB 0.03 7092 -1 -1 1 0.04 -1 -1 34132 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 26.8 MiB 1.47 790 12954 4592 6250 2112 65.6 MiB 0.11 0.00 3.03339 -110.592 -3.03339 3.03339 1.04 0.000561163 0.000510825 0.0483537 0.0441273 64 2096 22 6.95648e+06 188184 1.08113e+06 3740.92 7.09 0.273284 0.240171 31522 276338 -1 1632 22 1701 2816 221501 51732 3.49906 3.49906 -131.146 -3.49906 0 0 1.36325e+06 4717.13 0.56 0.09 0.27 -1 -1 0.56 0.0333156 0.0299392 81 58 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 8.70 vpr 65.73 MiB 0.03 7440 -1 -1 1 0.04 -1 -1 34036 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 29 32 387 316 1 171 83 17 17 289 -1 unnamed_device 27.1 MiB 1.16 679 10703 3715 4883 2105 65.7 MiB 0.09 0.00 2.6676 -86.1022 -2.6676 2.6676 1.06 0.000570408 0.000518397 0.0350515 0.0319458 38 2352 50 6.95648e+06 318465 678818. 2348.85 4.07 0.190896 0.166401 26626 170182 -1 1603 21 1594 2370 153080 35099 3.06662 3.06662 -110.611 -3.06662 0 0 902133. 3121.57 0.37 0.07 0.16 -1 -1 0.37 0.0277749 0.0246676 77 81 26 26 85 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 7.15 vpr 65.10 MiB 0.02 7040 -1 -1 1 0.03 -1 -1 34072 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 283 225 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 1.05 566 10614 4394 5789 431 65.1 MiB 0.08 0.00 2.43165 -92.5942 -2.43165 2.43165 1.02 0.000430722 0.000392202 0.0335164 0.0305701 44 2320 30 6.95648e+06 144757 787024. 2723.27 2.60 0.151327 0.132667 27778 195446 -1 1598 21 1332 2036 173341 40080 3.88442 3.88442 -138.149 -3.88442 0 0 997811. 3452.63 0.45 0.08 0.19 -1 -1 0.45 0.0256662 0.0230459 61 -1 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 11.27 vpr 65.73 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 33784 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 32 32 407 319 1 187 88 17 17 289 -1 unnamed_device 27.2 MiB 3.41 1019 14518 6066 8240 212 65.7 MiB 0.13 0.00 3.14769 -120.356 -3.14769 3.14769 1.03 0.000636364 0.000577831 0.0505244 0.0462114 44 2785 31 6.95648e+06 347416 787024. 2723.27 4.19 0.20898 0.184182 27778 195446 -1 2266 23 1968 2979 270429 52244 3.98196 3.98196 -151.285 -3.98196 0 0 997811. 3452.63 0.46 0.10 0.19 -1 -1 0.46 0.034982 0.0314182 84 62 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 7.08 vpr 65.88 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 33804 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67464 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 27.2 MiB 0.61 811 14095 6003 7526 566 65.9 MiB 0.12 0.00 3.05859 -113.458 -3.05859 3.05859 1.08 0.000614212 0.000560906 0.0555727 0.0506553 62 2080 34 6.95648e+06 188184 1.05005e+06 3633.38 2.58 0.202006 0.177243 30946 263737 -1 1652 22 1695 2349 178268 40122 4.02116 4.02116 -144.183 -4.02116 0 0 1.30136e+06 4502.97 0.55 0.08 0.27 -1 -1 0.55 0.0300044 0.0266324 81 62 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 7.96 vpr 65.04 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 33868 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 315 267 1 152 75 17 17 289 -1 unnamed_device 26.6 MiB 1.18 621 8765 3043 4406 1316 65.0 MiB 0.07 0.00 2.75376 -89.6353 -2.75376 2.75376 1.07 0.0004788 0.000435623 0.0292779 0.0267705 40 1950 26 6.95648e+06 159232 706193. 2443.58 3.18 0.151141 0.131923 26914 176310 -1 1762 21 1224 1718 184154 45426 3.16523 3.16523 -117.349 -3.16523 0 0 926341. 3205.33 0.40 0.08 0.17 -1 -1 0.40 0.02621 0.0234524 60 47 32 32 54 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 7.54 vpr 65.00 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 33904 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 26.5 MiB 0.30 784 8289 3011 3915 1363 65.0 MiB 0.07 0.00 2.6756 -103.137 -2.6756 2.6756 1.11 0.000417732 0.000380103 0.0269709 0.0246474 36 2288 26 6.95648e+06 159232 648988. 2245.63 3.68 0.144575 0.127406 26050 158493 -1 1937 22 1475 2071 215243 42076 3.13102 3.13102 -129.485 -3.13102 0 0 828058. 2865.25 0.37 0.08 0.15 -1 -1 0.37 0.025897 0.0231239 63 -1 93 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 7.72 vpr 65.61 MiB 0.02 7400 -1 -1 1 0.04 -1 -1 33640 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67188 32 32 381 303 1 180 83 17 17 289 -1 unnamed_device 27.2 MiB 1.31 731 14303 6027 7760 516 65.6 MiB 0.12 0.00 3.07684 -104.371 -3.07684 3.07684 1.09 0.00057661 0.000528493 0.04946 0.0450842 62 1808 29 6.95648e+06 275038 1.05005e+06 3633.38 2.55 0.184622 0.161955 30946 263737 -1 1406 20 1375 1825 107510 25583 3.53522 3.53522 -122.775 -3.53522 0 0 1.30136e+06 4502.97 0.53 0.06 0.27 -1 -1 0.53 0.0281284 0.0250633 78 56 60 32 58 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 24.27 vpr 65.75 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 33832 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67332 32 32 406 330 1 182 82 17 17 289 -1 unnamed_device 27.1 MiB 0.89 805 13254 5121 6485 1648 65.8 MiB 0.11 0.00 3.18505 -105.843 -3.18505 3.18505 1.08 0.000565358 0.000518408 0.0478446 0.0436242 50 2745 40 6.95648e+06 260562 902133. 3121.57 19.63 0.357995 0.312099 28642 213929 -1 2043 29 2028 2933 294097 79430 3.81266 3.81266 -137.322 -3.81266 0 0 1.08113e+06 3740.92 0.47 0.12 0.21 -1 -1 0.47 0.0402937 0.0359617 78 81 28 28 88 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 8.60 vpr 65.85 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 33664 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67428 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 27.3 MiB 0.48 1080 6415 1297 4942 176 65.8 MiB 0.07 0.00 3.73059 -130.235 -3.73059 3.73059 1.08 0.000621191 0.00056572 0.0228523 0.02094 46 3180 23 6.95648e+06 390843 828058. 2865.25 4.37 0.179885 0.158051 28066 200906 -1 2425 24 2060 3395 287049 62118 4.63496 4.63496 -164.809 -4.63496 0 0 1.01997e+06 3529.29 0.46 0.11 0.20 -1 -1 0.46 0.0361948 0.0324808 100 -1 156 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 11.38 vpr 65.76 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34172 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67336 30 32 371 295 1 176 80 17 17 289 -1 unnamed_device 27.1 MiB 1.07 723 14872 6482 7565 825 65.8 MiB 0.12 0.00 2.88706 -94.8461 -2.88706 2.88706 1.09 0.000527416 0.000482671 0.0513193 0.0468151 54 1864 27 6.95648e+06 260562 949917. 3286.91 6.53 0.312944 0.275912 29506 232905 -1 1521 23 1483 2095 175431 43661 3.33933 3.33933 -119.369 -3.33933 0 0 1.17392e+06 4061.99 0.51 0.09 0.22 -1 -1 0.51 0.0340594 0.0302721 77 47 60 30 56 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 6.28 vpr 65.03 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 34260 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 27 32 269 226 1 137 74 17 17 289 -1 unnamed_device 26.6 MiB 0.71 623 9219 3786 4950 483 65.0 MiB 0.07 0.00 2.6742 -84.6424 -2.6742 2.6742 1.11 0.000406002 0.000369628 0.0283107 0.0258618 34 1779 32 6.95648e+06 217135 618332. 2139.56 2.06 0.140618 0.12267 25762 151098 -1 1422 24 1232 1512 147459 30702 3.08562 3.08562 -110.421 -3.08562 0 0 787024. 2723.27 0.36 0.07 0.15 -1 -1 0.36 0.0253458 0.0224499 57 26 54 27 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 8.33 vpr 65.66 MiB 0.03 7496 -1 -1 1 0.04 -1 -1 34212 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67240 32 32 493 378 1 222 94 17 17 289 -1 unnamed_device 27.3 MiB 0.75 1081 14791 4931 7536 2324 65.7 MiB 0.14 0.00 3.4105 -116.886 -3.4105 3.4105 1.10 0.000686961 0.000628225 0.0526629 0.0479343 48 3234 32 6.95648e+06 434271 865456. 2994.66 3.63 0.238379 0.21035 28354 207349 -1 2736 29 2719 4707 555096 129534 4.60046 4.60046 -154.534 -4.60046 0 0 1.05005e+06 3633.38 0.48 0.18 0.21 -1 -1 0.48 0.0469503 0.0416876 103 85 62 31 95 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 12.11 vpr 65.85 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 34304 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67428 31 32 455 371 1 187 77 17 17 289 -1 unnamed_device 27.6 MiB 4.51 686 8716 3535 4672 509 65.8 MiB 0.08 0.00 3.95134 -124.725 -3.95134 3.95134 1.09 0.000641645 0.000587079 0.0374988 0.0341137 50 2150 43 6.95648e+06 202660 902133. 3121.57 3.88 0.210895 0.184193 28642 213929 -1 1704 25 1691 2460 184555 45113 5.19271 5.19271 -160.78 -5.19271 0 0 1.08113e+06 3740.92 0.46 0.09 0.21 -1 -1 0.46 0.0346228 0.0306512 79 105 0 0 124 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 10.49 vpr 65.27 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 33604 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 355 304 1 147 74 17 17 289 -1 unnamed_device 26.5 MiB 3.05 576 11389 4673 6198 518 65.3 MiB 0.09 0.00 2.5155 -87.9453 -2.5155 2.5155 1.09 0.000530187 0.000484283 0.0421556 0.0384071 38 2066 38 6.95648e+06 144757 678818. 2348.85 3.82 0.190593 0.167559 26626 170182 -1 1547 29 1358 2099 203813 58555 3.22022 3.22022 -121.692 -3.22022 0 0 902133. 3121.57 0.38 0.10 0.17 -1 -1 0.38 0.0375374 0.0332318 58 86 0 0 89 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 7.94 vpr 65.53 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 33716 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 364 282 1 188 86 17 17 289 -1 unnamed_device 27.1 MiB 0.46 755 11804 3744 5542 2518 65.5 MiB 0.09 0.00 3.4405 -113.598 -3.4405 3.4405 1.06 0.000617399 0.00055572 0.0380027 0.034646 56 2354 42 6.95648e+06 318465 973134. 3367.25 3.70 0.20072 0.176436 29794 239141 -1 1672 22 1713 2531 202771 48499 3.93802 3.93802 -139.284 -3.93802 0 0 1.19926e+06 4149.71 0.54 0.09 0.24 -1 -1 0.54 0.0328611 0.0294505 83 31 90 30 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 7.29 vpr 66.04 MiB 0.03 7560 -1 -1 1 0.04 -1 -1 34308 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67620 31 32 443 336 1 210 86 17 17 289 -1 unnamed_device 27.5 MiB 0.94 970 14261 5148 6994 2119 66.0 MiB 0.12 0.00 3.4515 -119.337 -3.4515 3.4515 1.09 0.000596381 0.000535099 0.051648 0.0470964 38 3279 36 6.95648e+06 332941 678818. 2348.85 2.67 0.214988 0.190929 26626 170182 -1 2244 24 2097 2878 237031 57400 4.44222 4.44222 -152.399 -4.44222 0 0 902133. 3121.57 0.40 0.12 0.16 -1 -1 0.40 0.0421019 0.0377838 95 50 87 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 7.96 vpr 65.38 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33892 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 30 32 373 297 1 178 82 17 17 289 -1 unnamed_device 26.9 MiB 1.07 829 11830 4278 5421 2131 65.4 MiB 0.10 0.00 2.77276 -89.8261 -2.77276 2.77276 1.09 0.000561556 0.000512641 0.0412705 0.0377051 44 2693 27 6.95648e+06 289514 787024. 2723.27 3.18 0.186557 0.163748 27778 195446 -1 1902 24 1416 2340 174882 39399 3.11197 3.11197 -115.183 -3.11197 0 0 997811. 3452.63 0.44 0.09 0.20 -1 -1 0.44 0.035695 0.0318755 78 50 58 30 58 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 9.00 vpr 65.86 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34004 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67436 32 32 407 319 1 193 98 17 17 289 -1 unnamed_device 27.2 MiB 0.50 875 18548 7349 9049 2150 65.9 MiB 0.15 0.00 3.16669 -115.498 -3.16669 3.16669 1.12 0.000617186 0.000561078 0.0555669 0.0506661 40 2487 40 6.95648e+06 492173 706193. 2443.58 4.67 0.231739 0.204796 26914 176310 -1 2164 21 2019 2936 271886 56177 4.11646 4.11646 -152.115 -4.11646 0 0 926341. 3205.33 0.42 0.11 0.18 -1 -1 0.42 0.0349894 0.0314502 91 61 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 9.27 vpr 65.83 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34032 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67408 32 32 405 318 1 192 95 17 17 289 -1 unnamed_device 27.0 MiB 0.51 929 17375 5846 8775 2754 65.8 MiB 0.14 0.00 2.5393 -97.1379 -2.5393 2.5393 1.09 0.000558393 0.000505146 0.05226 0.0474813 38 2468 26 6.95648e+06 448746 678818. 2348.85 5.10 0.288863 0.250974 26626 170182 -1 1960 23 1591 2169 168115 35867 3.23592 3.23592 -127.334 -3.23592 0 0 902133. 3121.57 0.39 0.08 0.17 -1 -1 0.39 0.032999 0.0293396 90 61 63 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 9.80 vpr 65.12 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33736 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 29 32 287 238 1 136 74 17 17 289 -1 unnamed_device 26.7 MiB 4.47 545 8599 3140 3474 1985 65.1 MiB 0.06 0.00 2.67856 -84.8689 -2.67856 2.67856 1.08 0.000404731 0.000367689 0.0274276 0.0250408 34 1575 49 6.95648e+06 188184 618332. 2139.56 1.86 0.161173 0.140759 25762 151098 -1 1361 19 1053 1300 109177 24008 3.08397 3.08397 -113.035 -3.08397 0 0 787024. 2723.27 0.36 0.06 0.15 -1 -1 0.36 0.0238542 0.0213023 56 28 58 29 29 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 7.06 vpr 65.42 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 33868 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66992 32 32 334 290 1 148 74 17 17 289 -1 unnamed_device 26.7 MiB 0.91 609 10459 4536 5667 256 65.4 MiB 0.08 0.00 2.4623 -84.442 -2.4623 2.4623 1.08 0.000451957 0.000409014 0.0375623 0.0341726 38 1826 28 6.95648e+06 144757 678818. 2348.85 2.62 0.16911 0.147945 26626 170182 -1 1367 24 1149 1450 126186 27944 3.13412 3.13412 -107.905 -3.13412 0 0 902133. 3121.57 0.40 0.07 0.17 -1 -1 0.40 0.0261035 0.0230743 58 79 0 0 82 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 9.80 vpr 65.75 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 34112 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67324 31 32 365 281 1 188 91 17 17 289 -1 unnamed_device 27.1 MiB 0.46 815 13963 4937 6975 2051 65.7 MiB 0.11 0.00 3.61895 -118.026 -3.61895 3.61895 1.05 0.000532163 0.000482199 0.043434 0.0396158 48 2251 32 6.95648e+06 405319 865456. 2994.66 5.67 0.252538 0.220945 28354 207349 -1 1870 22 1732 2439 215925 47899 4.10726 4.10726 -151.089 -4.10726 0 0 1.05005e+06 3633.38 0.47 0.09 0.20 -1 -1 0.47 0.0320439 0.0285679 86 29 93 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 7.85 vpr 65.09 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33920 -1 -1 14 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 29 32 297 254 1 144 75 17 17 289 -1 unnamed_device 26.6 MiB 1.27 508 9081 2822 4954 1305 65.1 MiB 0.07 0.00 2.76175 -82.4444 -2.76175 2.76175 1.09 0.000424678 0.00038652 0.0285874 0.0260326 46 1463 33 6.95648e+06 202660 828058. 2865.25 2.99 0.150086 0.130972 28066 200906 -1 1024 19 888 1243 76970 19018 2.82402 2.82402 -97.1374 -2.82402 0 0 1.01997e+06 3529.29 0.43 0.05 0.20 -1 -1 0.43 0.0210175 0.0185542 59 48 29 29 52 26 -fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 20.13 vpr 65.22 MiB 0.02 7192 -1 -1 1 0.03 -1 -1 33780 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 314 256 1 154 74 17 17 289 -1 unnamed_device 26.8 MiB 1.07 664 10149 4250 5705 194 65.2 MiB 0.08 0.00 2.55695 -96.556 -2.55695 2.55695 1.07 0.000469869 0.000426018 0.0361623 0.03282 40 1973 43 6.95648e+06 144757 706193. 2443.58 14.93 0.315114 0.274538 26914 176310 -1 1810 61 2474 3867 1955238 903430 3.83572 3.83572 -135.932 -3.83572 0 0 926341. 3205.33 0.41 0.68 0.18 -1 -1 0.41 0.064582 0.0568137 61 31 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 10.13 vpr 65.38 MiB 0.02 7360 -1 -1 1 0.04 -1 -1 34104 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 31 32 387 307 1 181 87 17 17 289 -1 unnamed_device 26.7 MiB 0.96 707 10839 4390 6036 413 65.4 MiB 0.09 0.00 2.6976 -94.8878 -2.6976 2.6976 1.10 0.000534967 0.000485762 0.0366341 0.0334256 42 2319 50 6.95648e+06 347416 744469. 2576.02 5.53 0.274478 0.238302 27202 183097 -1 1711 20 1710 2265 169420 37740 3.11497 3.11497 -121.214 -3.11497 0 0 949917. 3286.91 0.41 0.08 0.19 -1 -1 0.41 0.0317254 0.0284781 82 60 58 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 11.11 vpr 65.03 MiB 0.02 7284 -1 -1 1 0.03 -1 -1 33980 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 31 32 308 262 1 143 74 17 17 289 -1 unnamed_device 26.5 MiB 2.57 652 12474 3896 7177 1401 65.0 MiB 0.09 0.00 2.71585 -85.7297 -2.71585 2.71585 1.14 0.000446877 0.000404833 0.0418004 0.0381056 38 1818 24 6.95648e+06 159232 678818. 2348.85 4.91 0.24502 0.213908 26626 170182 -1 1492 20 972 1446 101428 23916 2.89452 2.89452 -112.081 -2.89452 0 0 902133. 3121.57 0.37 0.06 0.17 -1 -1 0.37 0.0238752 0.0210857 57 49 31 31 53 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 8.49 vpr 65.66 MiB 0.02 7068 -1 -1 1 0.04 -1 -1 34100 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67240 32 32 383 307 1 176 83 17 17 289 -1 unnamed_device 27.0 MiB 1.56 668 12863 4041 6004 2818 65.7 MiB 0.10 0.00 2.5143 -86.2247 -2.5143 2.5143 1.06 0.000527237 0.00047481 0.0439117 0.0399401 48 2095 25 6.95648e+06 275038 865456. 2994.66 3.19 0.18181 0.159566 28354 207349 -1 1727 30 1733 2647 402759 148368 3.04317 3.04317 -113.417 -3.04317 0 0 1.05005e+06 3633.38 0.47 0.16 0.21 -1 -1 0.47 0.040199 0.0357196 76 56 52 26 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 10.47 vpr 65.91 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34104 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 31 32 422 339 1 187 88 17 17 289 -1 unnamed_device 27.2 MiB 1.35 715 15688 5472 7576 2640 65.9 MiB 0.13 0.00 2.98426 -101.115 -2.98426 2.98426 1.05 0.000571558 0.000517969 0.0517206 0.0471272 44 2193 34 6.95648e+06 361892 787024. 2723.27 5.48 0.280013 0.245019 27778 195446 -1 1632 19 1635 2262 158318 35838 3.06667 3.06667 -117.763 -3.06667 0 0 997811. 3452.63 0.43 0.07 0.20 -1 -1 0.43 0.0273051 0.0242934 85 88 31 31 92 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 19.72 vpr 65.10 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 34056 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 333 279 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 0.85 588 9374 3855 5206 313 65.1 MiB 0.07 0.00 2.4011 -83.9523 -2.4011 2.4011 1.02 0.000594748 0.0005366 0.0326059 0.0296977 48 1980 47 6.95648e+06 144757 865456. 2994.66 15.31 0.310365 0.26783 28354 207349 -1 1588 29 1618 2467 267898 92164 3.02602 3.02602 -116.572 -3.02602 0 0 1.05005e+06 3633.38 0.47 0.12 0.21 -1 -1 0.47 0.0343433 0.0303531 61 54 32 32 60 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 7.85 vpr 65.16 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 33896 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 339 283 1 158 74 17 17 289 -1 unnamed_device 26.6 MiB 1.02 684 8599 3572 4859 168 65.2 MiB 0.07 0.00 2.5503 -94.2523 -2.5503 2.5503 1.10 0.000505638 0.000465049 0.0316096 0.0289832 46 2129 35 6.95648e+06 144757 828058. 2865.25 3.21 0.165671 0.145209 28066 200906 -1 1708 22 1370 1997 159274 35254 3.29047 3.29047 -123.202 -3.29047 0 0 1.01997e+06 3529.29 0.42 0.07 0.19 -1 -1 0.42 0.0270223 0.0239964 63 60 32 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 9.38 vpr 65.66 MiB 0.02 7452 -1 -1 1 0.04 -1 -1 34348 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 32 32 407 319 1 190 93 17 17 289 -1 unnamed_device 27.0 MiB 0.87 829 11223 3241 6212 1770 65.7 MiB 0.09 0.00 3.12269 -114.052 -3.12269 3.12269 1.03 0.000603353 0.000550251 0.0340548 0.0310334 38 2914 29 6.95648e+06 419795 678818. 2348.85 5.01 0.185684 0.162768 26626 170182 -1 2146 25 2158 3134 273632 55985 4.07146 4.07146 -156.16 -4.07146 0 0 902133. 3121.57 0.39 0.11 0.16 -1 -1 0.39 0.0354011 0.0314331 88 49 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 14.74 vpr 65.55 MiB 0.03 7484 -1 -1 1 0.04 -1 -1 34152 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 29 32 367 293 1 175 80 17 17 289 -1 unnamed_device 26.9 MiB 0.99 707 6960 2780 3856 324 65.5 MiB 0.06 0.00 2.7306 -90.9635 -2.7306 2.7306 1.04 0.000604666 0.000547194 0.0256117 0.0232111 40 2174 25 6.95648e+06 275038 706193. 2443.58 10.26 0.327463 0.286379 26914 176310 -1 1741 20 1478 2033 172009 40424 3.35157 3.35157 -117.059 -3.35157 0 0 926341. 3205.33 0.41 0.08 0.17 -1 -1 0.41 0.0320846 0.0287221 77 54 56 29 58 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 8.37 vpr 66.05 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34292 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67636 32 32 469 381 1 192 93 17 17 289 -1 unnamed_device 27.4 MiB 1.52 984 16683 4965 9340 2378 66.1 MiB 0.14 0.00 3.08969 -116.839 -3.08969 3.08969 1.07 0.00062788 0.00056843 0.0566325 0.0512084 38 2899 43 6.95648e+06 419795 678818. 2348.85 3.19 0.21623 0.190521 26626 170182 -1 2481 25 2254 3367 309750 60156 4.26076 4.26076 -158.195 -4.26076 0 0 902133. 3121.57 0.38 0.12 0.17 -1 -1 0.38 0.038851 0.034518 89 117 0 0 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 10.99 vpr 64.73 MiB 0.02 7092 -1 -1 1 0.03 -1 -1 33968 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 31 32 259 212 1 144 74 17 17 289 -1 unnamed_device 26.3 MiB 1.63 617 9529 3920 5263 346 64.7 MiB 0.07 0.00 2.4703 -81.6138 -2.4703 2.4703 1.09 0.000442324 0.000400976 0.0285937 0.0260724 48 1733 41 6.95648e+06 159232 865456. 2994.66 5.75 0.198771 0.173689 28354 207349 -1 1440 20 1053 1537 138092 32135 3.01062 3.01062 -111.005 -3.01062 0 0 1.05005e+06 3633.38 0.48 0.06 0.20 -1 -1 0.48 0.0222488 0.0199162 58 -1 85 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 7.69 vpr 65.91 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34036 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67496 32 32 418 338 1 182 87 17 17 289 -1 unnamed_device 27.2 MiB 1.03 756 14679 5714 6385 2580 65.9 MiB 0.10 0.00 3.10505 -105.698 -3.10505 3.10505 1.00 0.000563751 0.000511926 0.0462449 0.0419937 50 2227 31 6.95648e+06 332941 902133. 3121.57 3.08 0.193904 0.169595 28642 213929 -1 1785 29 2064 2713 344437 126996 3.84521 3.84521 -137.995 -3.84521 0 0 1.08113e+06 3740.92 0.46 0.14 0.19 -1 -1 0.46 0.0387069 0.0345363 81 89 28 28 92 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 11.80 vpr 65.48 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34100 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 26.7 MiB 3.19 580 11079 4717 6073 289 65.5 MiB 0.10 0.00 2.45985 -93.1734 -2.45985 2.45985 1.02 0.000519463 0.000472054 0.0432154 0.0392965 40 2005 28 6.95648e+06 144757 706193. 2443.58 5.16 0.261546 0.227929 26914 176310 -1 1744 22 1574 2206 273613 57594 3.41462 3.41462 -134.035 -3.41462 0 0 926341. 3205.33 0.41 0.10 0.17 -1 -1 0.41 0.0291047 0.0257851 61 93 0 0 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 10.32 vpr 65.96 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33736 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67540 32 32 401 316 1 188 88 17 17 289 -1 unnamed_device 27.2 MiB 0.99 850 12373 5087 6994 292 66.0 MiB 0.10 0.00 2.5393 -95.813 -2.5393 2.5393 1.05 0.000614083 0.000557013 0.0403015 0.0366293 44 2324 24 6.95648e+06 347416 787024. 2723.27 5.74 0.289556 0.252792 27778 195446 -1 1710 22 1669 2447 166579 36291 2.98967 2.98967 -117.101 -2.98967 0 0 997811. 3452.63 0.43 0.08 0.18 -1 -1 0.43 0.0303224 0.0268919 84 59 61 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 8.81 vpr 65.60 MiB 0.02 7368 -1 -1 1 0.04 -1 -1 34440 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 500 382 1 222 97 17 17 289 -1 unnamed_device 27.2 MiB 1.21 1069 18745 6601 9822 2322 65.6 MiB 0.16 0.00 3.74344 -134.628 -3.74344 3.74344 1.10 0.000765448 0.000687906 0.0634081 0.0576829 44 2968 37 6.95648e+06 477698 787024. 2723.27 3.81 0.24951 0.219599 27778 195446 -1 2411 24 2655 3914 315636 63430 4.95151 4.95151 -176.309 -4.95151 0 0 997811. 3452.63 0.43 0.12 0.18 -1 -1 0.43 0.0409253 0.0366538 104 81 64 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 7.82 vpr 64.75 MiB 0.02 7168 -1 -1 1 0.03 -1 -1 33496 -1 -1 10 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 30 32 246 229 1 117 72 17 17 289 -1 unnamed_device 26.4 MiB 0.51 426 8714 3565 4722 427 64.8 MiB 0.06 0.00 1.83056 -63.1332 -1.83056 1.83056 1.03 0.000373127 0.000338245 0.0242195 0.0220656 38 999 23 6.95648e+06 144757 678818. 2348.85 4.04 0.165697 0.142574 26626 170182 -1 825 22 641 812 47255 13472 2.15168 2.15168 -78.4256 -2.15168 0 0 902133. 3121.57 0.36 0.04 0.16 -1 -1 0.36 0.0196516 0.017352 45 51 0 0 53 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 17.62 vpr 65.20 MiB 0.02 7092 -1 -1 1 0.03 -1 -1 33772 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 30 32 296 244 1 141 74 17 17 289 -1 unnamed_device 26.7 MiB 2.02 457 10304 4299 5478 527 65.2 MiB 0.07 0.00 2.68956 -87.7818 -2.68956 2.68956 1.07 0.000521808 0.000477121 0.0328073 0.0298934 42 1898 47 6.95648e+06 173708 744469. 2576.02 12.16 0.273056 0.235765 27202 183097 -1 1313 25 1301 1858 178006 42557 3.05997 3.05997 -111.584 -3.05997 0 0 949917. 3286.91 0.41 0.08 0.18 -1 -1 0.41 0.0271116 0.0240108 58 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 7.23 vpr 65.54 MiB 0.02 6912 -1 -1 1 0.03 -1 -1 33552 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 314 256 1 161 74 17 17 289 -1 unnamed_device 26.7 MiB 0.25 592 10459 4383 5742 334 65.5 MiB 0.08 0.00 2.43165 -93.329 -2.43165 2.43165 1.05 0.000531424 0.00048335 0.0342963 0.0312739 56 1971 32 6.95648e+06 144757 973134. 3367.25 3.36 0.157981 0.138281 29794 239141 -1 1511 21 1475 2415 205539 49201 3.14492 3.14492 -120.717 -3.14492 0 0 1.19926e+06 4149.71 0.48 0.08 0.24 -1 -1 0.48 0.0234564 0.0208237 65 31 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 8.79 vpr 65.06 MiB 0.02 7068 -1 -1 1 0.03 -1 -1 34020 -1 -1 15 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 25 32 251 214 1 132 72 17 17 289 -1 unnamed_device 26.7 MiB 0.59 456 8714 3676 4307 731 65.1 MiB 0.06 0.00 2.73975 -73.8006 -2.73975 2.73975 1.05 0.000379669 0.000343451 0.0243894 0.022198 40 1679 27 6.95648e+06 217135 706193. 2443.58 4.76 0.172333 0.149872 26914 176310 -1 1279 19 1001 1362 99677 25545 3.03682 3.03682 -97.8877 -3.03682 0 0 926341. 3205.33 0.42 0.05 0.17 -1 -1 0.42 0.0194398 0.017225 56 19 50 25 25 25 -fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 11.12 vpr 65.64 MiB 0.02 7364 -1 -1 1 0.04 -1 -1 33748 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67212 32 32 432 346 1 185 77 17 17 289 -1 unnamed_device 26.9 MiB 1.17 777 9368 3861 5200 307 65.6 MiB 0.09 0.00 3.24434 -109.955 -3.24434 3.24434 1.08 0.000576626 0.000522417 0.0385413 0.0349388 46 2554 29 6.95648e+06 188184 828058. 2865.25 6.32 0.295202 0.257507 28066 200906 -1 1942 23 1860 3223 229696 49925 4.05862 4.05862 -143.874 -4.05862 0 0 1.01997e+06 3529.29 0.41 0.09 0.20 -1 -1 0.41 0.0325681 0.0288534 77 84 32 32 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 9.85 vpr 65.97 MiB 0.02 7512 -1 -1 1 0.04 -1 -1 33840 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67556 31 32 421 339 1 185 92 17 17 289 -1 unnamed_device 27.1 MiB 0.92 775 14168 4766 7049 2353 66.0 MiB 0.11 0.00 2.7178 -94.9795 -2.7178 2.7178 1.10 0.000743515 0.000606052 0.0436878 0.0396094 40 2135 29 6.95648e+06 419795 706193. 2443.58 5.33 0.30737 0.268552 26914 176310 -1 1840 25 1910 2539 249090 54258 3.58207 3.58207 -125.662 -3.58207 0 0 926341. 3205.33 0.37 0.10 0.17 -1 -1 0.37 0.0356457 0.0316127 87 88 29 29 93 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 9.78 vpr 65.56 MiB 0.02 7516 -1 -1 1 0.04 -1 -1 34068 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 438 350 1 287 86 17 17 289 -1 unnamed_device 27.2 MiB 0.82 1162 16718 7118 9209 391 65.6 MiB 0.13 0.00 3.54004 -127.096 -3.54004 3.54004 1.00 0.000625459 0.000572321 0.054686 0.0498233 46 3141 25 6.99608e+06 323745 828058. 2865.25 5.39 0.262758 0.229609 28066 200906 -1 2386 21 2170 2560 180818 39216 4.35531 4.35531 -162.106 -4.35531 0 0 1.01997e+06 3529.29 0.42 0.09 0.20 -1 -1 0.42 0.0330727 0.0296602 130 80 32 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 8.84 vpr 65.72 MiB 0.03 7576 -1 -1 1 0.04 -1 -1 34000 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67296 30 32 409 330 1 259 82 17 17 289 -1 unnamed_device 27.2 MiB 1.64 1064 16814 6965 8056 1793 65.7 MiB 0.14 0.00 3.52832 -116.536 -3.52832 3.52832 1.07 0.000622241 0.0005076 0.0585104 0.0533612 56 2786 32 6.99608e+06 294314 973134. 3367.25 3.34 0.2127 0.187497 29794 239141 -1 2291 24 2445 3425 314860 68852 4.7491 4.7491 -158.649 -4.7491 0 0 1.19926e+06 4149.71 0.54 0.11 0.24 -1 -1 0.54 0.035757 0.0320829 117 78 30 30 89 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 10.03 vpr 65.54 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34172 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 387 309 1 241 82 17 17 289 -1 unnamed_device 26.7 MiB 0.99 1164 16458 6490 7573 2395 65.5 MiB 0.13 0.00 2.96629 -109.899 -2.96629 2.96629 1.02 0.000522964 0.000475305 0.0543706 0.049546 42 3358 47 6.99608e+06 264882 744469. 2576.02 5.54 0.305275 0.267922 27202 183097 -1 2377 21 1729 2080 185197 38003 4.01956 4.01956 -139.878 -4.01956 0 0 949917. 3286.91 0.42 0.08 0.18 -1 -1 0.42 0.0296408 0.0263052 106 50 54 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 8.60 vpr 65.23 MiB 0.02 7432 -1 -1 1 0.04 -1 -1 34140 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 29 32 343 267 1 201 79 17 17 289 -1 unnamed_device 26.9 MiB 0.79 831 13430 5751 7055 624 65.2 MiB 0.11 0.00 3.16965 -103.759 -3.16965 3.16965 1.08 0.000501486 0.000454691 0.0436274 0.0397735 40 2637 47 6.99608e+06 264882 706193. 2443.58 4.24 0.194916 0.170808 26914 176310 -1 2206 23 2077 3076 275158 58893 4.08536 4.08536 -141.609 -4.08536 0 0 926341. 3205.33 0.40 0.10 0.17 -1 -1 0.40 0.030809 0.027532 89 25 87 29 29 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 10.98 vpr 65.61 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 33996 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 32 32 376 288 1 218 79 17 17 289 -1 unnamed_device 26.9 MiB 0.52 1101 13261 4464 7688 1109 65.6 MiB 0.12 0.00 3.52464 -134.12 -3.52464 3.52464 1.01 0.000583455 0.000535362 0.0454402 0.0414239 46 3391 31 6.99608e+06 220735 828058. 2865.25 6.95 0.279795 0.242807 28066 200906 -1 2666 22 2526 4031 346808 67781 4.48375 4.48375 -168.768 -4.48375 0 0 1.01997e+06 3529.29 0.41 0.11 0.19 -1 -1 0.41 0.0299561 0.0266739 93 31 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 8.94 vpr 65.80 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 33972 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67376 32 32 402 316 1 251 94 17 17 289 -1 unnamed_device 27.3 MiB 0.55 1232 16708 5429 8645 2634 65.8 MiB 0.14 0.00 3.08859 -112.1 -3.08859 3.08859 1.12 0.000613034 0.000558782 0.0488219 0.0442533 40 3542 26 6.99608e+06 441471 706193. 2443.58 4.61 0.198687 0.174929 26914 176310 -1 3083 30 2633 3718 514919 157902 3.70341 3.70341 -146.829 -3.70341 0 0 926341. 3205.33 0.40 0.19 0.18 -1 -1 0.40 0.0435245 0.0389291 117 61 63 32 63 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 7.60 vpr 64.92 MiB 0.02 7232 -1 -1 1 0.03 -1 -1 34252 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 27 32 269 226 1 158 74 17 17 289 -1 unnamed_device 26.5 MiB 1.59 604 7204 2688 3554 962 64.9 MiB 0.06 0.00 2.64844 -84.8254 -2.64844 2.64844 1.08 0.000413233 0.000374279 0.0214744 0.0195577 36 1903 25 6.99608e+06 220735 648988. 2245.63 2.55 0.133224 0.117166 26050 158493 -1 1572 22 1550 2209 190837 40653 3.35342 3.35342 -112.446 -3.35342 0 0 828058. 2865.25 0.36 0.08 0.15 -1 -1 0.36 0.0237628 0.0211563 68 26 54 27 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 7.49 vpr 64.86 MiB 0.02 7264 -1 -1 1 0.03 -1 -1 34228 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 26.4 MiB 0.57 714 11776 4925 6222 629 64.9 MiB 0.08 0.00 2.49105 -83.6689 -2.49105 2.49105 1.01 0.000487968 0.000431497 0.0352799 0.0321035 62 1745 22 6.99608e+06 250167 1.05005e+06 3633.38 3.35 0.183734 0.160051 30946 263737 -1 1374 20 1286 1961 126578 30257 2.73802 2.73802 -98.2467 -2.73802 0 0 1.30136e+06 4502.97 0.52 0.06 0.26 -1 -1 0.52 0.0233326 0.0206468 77 -1 115 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 9.88 vpr 65.25 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33800 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 31 32 338 292 1 222 78 17 17 289 -1 unnamed_device 26.8 MiB 3.36 1012 12030 4994 6504 532 65.2 MiB 0.10 0.00 2.62059 -97.5399 -2.62059 2.62059 1.06 0.000454348 0.000412652 0.039204 0.0356973 40 2776 27 6.99608e+06 220735 706193. 2443.58 3.02 0.181877 0.161057 26914 176310 -1 2323 22 1897 2322 214172 45659 3.59812 3.59812 -135.593 -3.59812 0 0 926341. 3205.33 0.40 0.09 0.16 -1 -1 0.40 0.0291358 0.0261115 96 81 0 0 84 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 7.57 vpr 65.00 MiB 0.02 6952 -1 -1 1 0.04 -1 -1 33804 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 314 256 1 187 77 17 17 289 -1 unnamed_device 26.4 MiB 0.88 677 8879 3611 5037 231 65.0 MiB 0.07 0.00 2.95409 -110.115 -2.95409 2.95409 1.04 0.000459698 0.000414212 0.0289851 0.0264803 40 2402 43 6.99608e+06 191304 706193. 2443.58 3.21 0.167735 0.147014 26914 176310 -1 1927 20 1701 2097 188017 42122 3.85076 3.85076 -143.507 -3.85076 0 0 926341. 3205.33 0.40 0.08 0.17 -1 -1 0.40 0.0253593 0.0227211 79 31 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 9.04 vpr 65.15 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 33640 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 30 32 325 273 1 199 77 17 17 289 -1 unnamed_device 26.6 MiB 2.99 761 12791 5202 6282 1307 65.2 MiB 0.10 0.00 3.05483 -105 -3.05483 3.05483 1.06 0.000492157 0.000449201 0.0418917 0.0381966 44 2608 32 6.99608e+06 220735 787024. 2723.27 2.49 0.14195 0.1249 27778 195446 -1 1884 22 1869 2503 217963 45046 3.68841 3.68841 -135.611 -3.68841 0 0 997811. 3452.63 0.43 0.08 0.19 -1 -1 0.43 0.0260328 0.0232139 88 58 30 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 8.72 vpr 65.25 MiB 0.02 7292 -1 -1 1 0.03 -1 -1 34092 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 331 280 1 210 78 17 17 289 -1 unnamed_device 26.6 MiB 0.95 1019 13192 5109 6222 1861 65.2 MiB 0.10 0.00 2.5521 -98.3165 -2.5521 2.5521 1.04 0.000477148 0.000435013 0.0408627 0.0372421 38 2715 39 6.99608e+06 206020 678818. 2348.85 4.31 0.174323 0.152706 26626 170182 -1 2164 20 1461 1559 148353 29683 3.06197 3.06197 -125.202 -3.06197 0 0 902133. 3121.57 0.40 0.07 0.17 -1 -1 0.40 0.0260206 0.0232764 91 57 25 25 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 9.64 vpr 65.77 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34060 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67352 32 32 386 305 1 231 80 17 17 289 -1 unnamed_device 27.0 MiB 1.11 951 11260 4298 6104 858 65.8 MiB 0.10 0.00 3.00239 -108.813 -3.00239 3.00239 1.09 0.00055183 0.000502245 0.0404622 0.036967 46 2946 46 6.99608e+06 235451 828058. 2865.25 4.85 0.224819 0.198613 28066 200906 -1 2206 21 1888 2579 221164 49953 3.65646 3.65646 -137.025 -3.65646 0 0 1.01997e+06 3529.29 0.44 0.09 0.18 -1 -1 0.44 0.0291862 0.0259542 101 55 64 32 57 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 7.44 vpr 65.79 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34060 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67364 32 32 407 319 1 254 83 17 17 289 -1 unnamed_device 27.3 MiB 1.00 1154 14663 4397 8300 1966 65.8 MiB 0.13 0.00 3.53814 -127.421 -3.53814 3.53814 1.01 0.0005604 0.000507494 0.0507206 0.0459658 46 3136 24 6.99608e+06 279598 828058. 2865.25 2.86 0.18891 0.16519 28066 200906 -1 2632 24 2830 3685 306578 61887 4.80641 4.80641 -175.744 -4.80641 0 0 1.01997e+06 3529.29 0.42 0.11 0.20 -1 -1 0.42 0.034173 0.0303738 112 60 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 8.93 vpr 64.72 MiB 0.02 7000 -1 -1 1 0.04 -1 -1 33872 -1 -1 14 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 29 32 272 228 1 161 75 17 17 289 -1 unnamed_device 26.3 MiB 2.87 584 11293 4689 6010 594 64.7 MiB 0.08 0.00 2.42075 -79.1279 -2.42075 2.42075 1.09 0.000431273 0.000394668 0.0337493 0.0307446 48 1560 27 6.99608e+06 206020 865456. 2994.66 2.45 0.147896 0.13043 28354 207349 -1 1242 19 1041 1459 96855 25132 3.03562 3.03562 -101.92 -3.03562 0 0 1.05005e+06 3633.38 0.47 0.05 0.21 -1 -1 0.47 0.0204459 0.0181038 67 21 58 29 24 24 -fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 11.28 vpr 65.81 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 33960 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 32 32 401 315 1 243 80 17 17 289 -1 unnamed_device 26.9 MiB 2.33 1284 15388 6230 7387 1771 65.8 MiB 0.14 0.00 3.01639 -115.687 -3.01639 3.01639 1.09 0.000555418 0.000505334 0.0561211 0.0512162 44 3419 24 6.99608e+06 235451 787024. 2723.27 5.21 0.273155 0.239729 27778 195446 -1 2616 19 2393 3281 270166 54412 3.67241 3.67241 -147.086 -3.67241 0 0 997811. 3452.63 0.44 0.10 0.19 -1 -1 0.44 0.0307061 0.0275333 106 60 64 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 8.43 vpr 65.60 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 33928 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 383 303 1 230 81 17 17 289 -1 unnamed_device 26.9 MiB 1.44 1172 13381 4472 7405 1504 65.6 MiB 0.11 0.00 2.74074 -110.372 -2.74074 2.74074 1.05 0.000580859 0.000528394 0.0453391 0.0414241 40 2953 44 6.99608e+06 250167 706193. 2443.58 3.41 0.230864 0.205605 26914 176310 -1 2581 23 2182 2768 269526 53121 3.23221 3.23221 -136.69 -3.23221 0 0 926341. 3205.33 0.41 0.11 0.17 -1 -1 0.41 0.0362881 0.0326255 99 54 64 32 56 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 7.96 vpr 65.53 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 33820 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 339 284 1 218 78 17 17 289 -1 unnamed_device 26.9 MiB 0.91 923 6884 1566 4436 882 65.5 MiB 0.06 0.00 2.74594 -102.452 -2.74594 2.74594 1.08 0.000493439 0.000447218 0.0240064 0.0219393 46 2424 24 6.99608e+06 206020 828058. 2865.25 3.41 0.148602 0.129622 28066 200906 -1 1742 25 1722 2088 177192 48403 3.28271 3.28271 -125.524 -3.28271 0 0 1.01997e+06 3529.29 0.45 0.09 0.20 -1 -1 0.45 0.0313268 0.027769 91 62 29 29 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 9.19 vpr 64.58 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 33788 -1 -1 11 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 30 32 226 208 1 139 73 17 17 289 -1 unnamed_device 26.2 MiB 2.92 493 10105 4189 5487 429 64.6 MiB 0.06 0.00 1.97056 -70.6311 -1.97056 1.97056 1.09 0.0003742 0.000331197 0.0268183 0.0244909 40 1592 34 6.99608e+06 161872 706193. 2443.58 2.79 0.132376 0.115751 26914 176310 -1 1217 23 906 993 107638 29566 2.34583 2.34583 -90.0722 -2.34583 0 0 926341. 3205.33 0.40 0.06 0.17 -1 -1 0.40 0.0213674 0.0189731 56 29 24 24 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 11.99 vpr 65.60 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 34060 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 31 32 335 280 1 209 78 17 17 289 -1 unnamed_device 27.0 MiB 2.57 1019 12030 4753 6737 540 65.6 MiB 0.10 0.00 3.17469 -113.444 -3.17469 3.17469 1.08 0.000475431 0.000430461 0.0396387 0.0361549 44 2419 20 6.99608e+06 220735 787024. 2723.27 5.76 0.265277 0.233655 27778 195446 -1 2035 21 1418 1739 143211 29930 3.39781 3.39781 -133.507 -3.39781 0 0 997811. 3452.63 0.45 0.07 0.19 -1 -1 0.45 0.0264775 0.0237107 91 55 31 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 7.79 vpr 65.67 MiB 0.03 7144 -1 -1 1 0.04 -1 -1 33868 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 366 283 1 215 87 17 17 289 -1 unnamed_device 26.9 MiB 0.47 875 12759 4780 6107 1872 65.7 MiB 0.11 0.00 3.29568 -115.809 -3.29568 3.29568 1.10 0.000660496 0.00060256 0.0422135 0.0386462 40 3189 26 6.99608e+06 338461 706193. 2443.58 3.73 0.208772 0.185961 26914 176310 -1 2604 23 2462 3383 370951 78677 4.78765 4.78765 -171.31 -4.78765 0 0 926341. 3205.33 0.38 0.12 0.17 -1 -1 0.38 0.0307565 0.0272747 97 31 91 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 9.76 vpr 65.55 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 34216 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 32 32 460 375 1 303 86 17 17 289 -1 unnamed_device 27.3 MiB 1.55 1256 16529 6962 9041 526 65.5 MiB 0.14 0.00 3.26728 -115.334 -3.26728 3.26728 1.05 0.00065306 0.00059431 0.0581856 0.0529192 46 3848 42 6.99608e+06 323745 828058. 2865.25 4.58 0.234614 0.205754 28066 200906 -1 2550 22 2378 2715 192306 43634 4.51762 4.51762 -151.355 -4.51762 0 0 1.01997e+06 3529.29 0.41 0.09 0.19 -1 -1 0.41 0.0337484 0.0300237 138 108 0 0 125 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 6.80 vpr 64.61 MiB 0.02 7100 -1 -1 1 0.03 -1 -1 34284 -1 -1 15 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 26 32 198 186 1 125 73 17 17 289 -1 unnamed_device 26.2 MiB 0.89 399 10713 3973 5519 1221 64.6 MiB 0.06 0.00 2.1814 -62.1378 -2.1814 2.1814 1.08 0.000291662 0.000264382 0.0240818 0.0219434 38 1042 38 6.99608e+06 220735 678818. 2348.85 2.51 0.128663 0.113469 26626 170182 -1 855 17 608 707 47098 12218 2.66797 2.66797 -78.8596 -2.66797 0 0 902133. 3121.57 0.39 0.04 0.16 -1 -1 0.39 0.0156577 0.0139813 52 21 26 26 22 22 -fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 9.26 vpr 65.25 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 34108 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 333 251 1 181 76 17 17 289 -1 unnamed_device 26.7 MiB 0.95 761 7596 3084 4118 394 65.2 MiB 0.07 0.00 3.40945 -111.783 -3.40945 3.40945 1.11 0.000560105 0.00050878 0.028654 0.0262825 48 2468 45 6.99608e+06 176588 865456. 2994.66 4.61 0.196692 0.173389 28354 207349 -1 2073 20 1697 2653 268089 66414 4.14956 4.14956 -147.02 -4.14956 0 0 1.05005e+06 3633.38 0.47 0.10 0.21 -1 -1 0.47 0.0270001 0.0240512 75 -1 122 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 5.60 vpr 64.51 MiB 0.02 6736 -1 -1 1 0.03 -1 -1 33968 -1 -1 8 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 26.0 MiB 0.32 753 9608 3553 5125 930 64.5 MiB 0.06 0.00 1.68521 -70.2395 -1.68521 1.68521 1.05 0.00038193 0.000345291 0.0253083 0.0231196 34 1681 44 6.99608e+06 117725 618332. 2139.56 1.98 0.120177 0.104378 25762 151098 -1 1491 22 810 1089 101047 20364 1.94702 1.94702 -89.2217 -1.94702 0 0 787024. 2723.27 0.36 0.05 0.14 -1 -1 0.36 0.0172965 0.0153161 44 -1 53 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 11.35 vpr 65.54 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34300 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 376 288 1 218 81 17 17 289 -1 unnamed_device 26.8 MiB 1.42 802 12156 4811 5800 1545 65.5 MiB 0.11 0.00 3.25275 -116.923 -3.25275 3.25275 1.08 0.000538549 0.000489201 0.0439088 0.0401559 52 2897 24 6.99608e+06 250167 926341. 3205.33 6.20 0.28275 0.246638 29218 227130 -1 2109 22 2024 2855 257453 56569 4.43602 4.43602 -158.588 -4.43602 0 0 1.14541e+06 3963.36 0.49 0.09 0.23 -1 -1 0.49 0.029261 0.0259632 95 21 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 9.19 vpr 65.01 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34076 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 26.4 MiB 0.29 1027 13547 4004 7709 1834 65.0 MiB 0.10 0.00 2.43175 -96.8894 -2.43175 2.43175 1.12 0.000503 0.000457611 0.0376499 0.0343486 36 2621 42 6.99608e+06 412039 648988. 2245.63 5.29 0.200259 0.176765 26050 158493 -1 2290 20 1609 2355 191627 38876 2.93732 2.93732 -121.875 -2.93732 0 0 828058. 2865.25 0.39 0.09 0.16 -1 -1 0.39 0.0290877 0.0261839 87 -1 124 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 10.17 vpr 65.43 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 34188 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 407 319 1 256 85 17 17 289 -1 unnamed_device 26.9 MiB 0.88 1331 13477 5685 7428 364 65.4 MiB 0.11 0.00 3.14945 -119.832 -3.14945 3.14945 1.06 0.000650355 0.00057826 0.0439991 0.040022 40 3454 28 6.99608e+06 309029 706193. 2443.58 5.60 0.323955 0.285609 26914 176310 -1 3118 23 2607 3686 410448 79857 4.66161 4.66161 -170.808 -4.66161 0 0 926341. 3205.33 0.41 0.13 0.17 -1 -1 0.41 0.0346365 0.0307611 115 54 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 9.46 vpr 65.06 MiB 0.02 6980 -1 -1 1 0.03 -1 -1 34060 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 294 246 1 175 75 17 17 289 -1 unnamed_device 26.6 MiB 1.27 731 9555 3937 5379 239 65.1 MiB 0.07 0.00 2.4829 -87.8794 -2.4829 2.4829 1.09 0.000525945 0.00047772 0.0306364 0.0279953 44 2220 22 6.99608e+06 161872 787024. 2723.27 4.57 0.205575 0.17956 27778 195446 -1 1646 22 1374 1907 158586 34515 2.88082 2.88082 -115.461 -2.88082 0 0 997811. 3452.63 0.44 0.07 0.20 -1 -1 0.44 0.0251197 0.02239 72 31 54 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 16.00 vpr 65.00 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33808 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 30 32 296 244 1 173 75 17 17 289 -1 unnamed_device 26.5 MiB 9.85 687 8923 3650 4937 336 65.0 MiB 0.07 0.00 2.93029 -98.4514 -2.93029 2.93029 1.04 0.000416189 0.000377812 0.0283091 0.0258192 44 2236 29 6.99608e+06 191304 787024. 2723.27 2.68 0.145995 0.12724 27778 195446 -1 1623 23 1564 2272 174264 39285 3.46701 3.46701 -130.705 -3.46701 0 0 997811. 3452.63 0.41 0.08 0.19 -1 -1 0.41 0.0263171 0.0233165 73 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 8.83 vpr 64.93 MiB 0.02 7252 -1 -1 1 0.03 -1 -1 33824 -1 -1 15 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 28 32 278 232 1 163 75 17 17 289 -1 unnamed_device 26.4 MiB 1.35 631 8607 3745 4367 495 64.9 MiB 0.06 0.00 3.06475 -93.3824 -3.06475 3.06475 1.06 0.000550584 0.000499478 0.026043 0.0237697 40 2416 45 6.99608e+06 220735 706193. 2443.58 4.02 0.152788 0.133021 26914 176310 -1 1856 21 1426 2146 234725 57487 3.47616 3.47616 -124.197 -3.47616 0 0 926341. 3205.33 0.38 0.09 0.17 -1 -1 0.38 0.024152 0.0213743 72 27 56 28 28 28 -fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 8.78 vpr 64.93 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 33748 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 26.5 MiB 0.20 871 7204 1745 4616 843 64.9 MiB 0.06 0.00 2.36125 -100.739 -2.36125 2.36125 1.14 0.000436861 0.000397215 0.0247285 0.0224443 44 2237 23 6.99608e+06 147157 787024. 2723.27 4.89 0.204668 0.179161 27778 195446 -1 1929 21 1615 2461 216751 42063 2.75802 2.75802 -124.897 -2.75802 0 0 997811. 3452.63 0.46 0.08 0.19 -1 -1 0.46 0.0249572 0.0223837 64 -1 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 17.64 vpr 65.22 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 33848 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 31 32 303 249 1 185 78 17 17 289 -1 unnamed_device 26.6 MiB 0.82 963 9042 2168 5909 965 65.2 MiB 0.08 0.00 2.44275 -95.2161 -2.44275 2.44275 1.14 0.000487916 0.000442837 0.0297888 0.0271568 40 2491 49 6.99608e+06 220735 706193. 2443.58 13.16 0.301671 0.262608 26914 176310 -1 2180 21 1446 1950 199538 39978 3.29722 3.29722 -127.19 -3.29722 0 0 926341. 3205.33 0.42 0.08 0.17 -1 -1 0.42 0.0287075 0.0257601 77 26 61 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 10.55 vpr 65.04 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 34052 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 29 32 312 264 1 197 77 17 17 289 -1 unnamed_device 26.6 MiB 3.38 935 12465 4979 5026 2460 65.0 MiB 0.09 0.00 2.46925 -85.9119 -2.46925 2.46925 1.00 0.000449617 0.000409921 0.0366369 0.0334469 36 2591 48 6.99608e+06 235451 648988. 2245.63 3.85 0.177209 0.154602 26050 158493 -1 2129 18 1441 1747 156903 33178 2.85732 2.85732 -111.361 -2.85732 0 0 828058. 2865.25 0.35 0.07 0.15 -1 -1 0.35 0.0221239 0.019831 86 55 29 29 57 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 11.25 vpr 65.70 MiB 0.02 7400 -1 -1 1 0.04 -1 -1 33952 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 423 310 1 243 84 17 17 289 -1 unnamed_device 27.2 MiB 1.22 1105 15822 6658 8485 679 65.7 MiB 0.15 0.00 3.13845 -116.82 -3.13845 3.13845 1.09 0.000765934 0.000696652 0.0592537 0.0539886 48 3325 28 6.99608e+06 294314 865456. 2994.66 6.16 0.288751 0.253227 28354 207349 -1 2741 26 2574 3805 336230 69202 4.24971 4.24971 -154.033 -4.24971 0 0 1.05005e+06 3633.38 0.48 0.13 0.19 -1 -1 0.48 0.0438045 0.0392084 106 26 128 32 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 7.95 vpr 65.72 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 34160 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 32 32 403 317 1 252 82 17 17 289 -1 unnamed_device 26.9 MiB 1.21 1152 11474 3353 6473 1648 65.7 MiB 0.10 0.00 3.57808 -120.336 -3.57808 3.57808 0.99 0.000682351 0.00058846 0.040547 0.0368535 40 3234 36 6.99608e+06 264882 706193. 2443.58 3.21 0.199972 0.174654 26914 176310 -1 2910 21 2404 3141 363523 82217 4.31825 4.31825 -166.164 -4.31825 0 0 926341. 3205.33 0.39 0.13 0.18 -1 -1 0.39 0.0319982 0.0285159 110 62 62 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 8.27 vpr 65.48 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 33996 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 31 32 353 302 1 224 79 17 17 289 -1 unnamed_device 26.8 MiB 0.94 1071 7684 1846 5129 709 65.5 MiB 0.07 0.00 2.82209 -104.377 -2.82209 2.82209 1.07 0.000502384 0.000458767 0.0261681 0.0239059 38 2765 27 6.99608e+06 235451 678818. 2348.85 3.84 0.168867 0.14871 26626 170182 -1 2231 20 1555 1618 147730 30225 3.10026 3.10026 -124.754 -3.10026 0 0 902133. 3121.57 0.37 0.07 0.17 -1 -1 0.37 0.0260461 0.0231754 99 77 0 0 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 10.24 vpr 65.72 MiB 0.02 7424 -1 -1 1 0.04 -1 -1 34032 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 31 32 391 309 1 242 81 17 17 289 -1 unnamed_device 27.0 MiB 0.93 1232 9356 2590 6089 677 65.7 MiB 0.09 0.00 2.97859 -111.89 -2.97859 2.97859 1.01 0.000530125 0.000482919 0.032185 0.0293992 46 3007 28 6.99608e+06 264882 828058. 2865.25 5.79 0.236302 0.205952 28066 200906 -1 2539 22 1932 2621 219265 44441 3.52316 3.52316 -138.052 -3.52316 0 0 1.01997e+06 3529.29 0.44 0.09 0.20 -1 -1 0.44 0.0315322 0.0279362 105 59 60 30 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 7.10 vpr 65.82 MiB 0.02 7384 -1 -1 1 0.04 -1 -1 34224 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 31 32 455 371 1 302 86 17 17 289 -1 unnamed_device 27.6 MiB 1.12 1347 16151 6311 8218 1622 65.8 MiB 0.13 0.00 3.66267 -129.515 -3.66267 3.66267 0.97 0.000560825 0.000509202 0.0539669 0.0491487 46 3354 34 6.99608e+06 338461 828058. 2865.25 2.57 0.189841 0.1692 28066 200906 -1 2620 21 2380 2727 206297 43292 4.62714 4.62714 -167.002 -4.62714 0 0 1.01997e+06 3529.29 0.41 0.09 0.17 -1 -1 0.41 0.0329572 0.029601 138 111 0 0 124 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 13.04 vpr 65.66 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34024 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 31 32 413 333 1 258 82 17 17 289 -1 unnamed_device 27.1 MiB 3.84 1256 12542 4495 6190 1857 65.7 MiB 0.12 0.00 3.95733 -132.442 -3.95733 3.95733 1.06 0.000618243 0.000560351 0.0456875 0.041417 44 3553 31 6.99608e+06 279598 787024. 2723.27 5.52 0.304768 0.26724 27778 195446 -1 2680 21 2126 2826 217200 45754 4.94004 4.94004 -169.312 -4.94004 0 0 997811. 3452.63 0.47 0.10 0.19 -1 -1 0.47 0.0366792 0.0330363 117 86 31 31 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 9.74 vpr 65.76 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34060 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67336 31 32 391 309 1 241 83 17 17 289 -1 unnamed_device 26.9 MiB 2.78 1035 14483 5447 6863 2173 65.8 MiB 0.12 0.00 2.94309 -104.399 -2.94309 2.94309 1.00 0.000540236 0.000492269 0.0468751 0.0427041 48 2996 48 6.99608e+06 294314 865456. 2994.66 3.45 0.204056 0.178876 28354 207349 -1 2519 21 2017 2653 224389 48499 3.59716 3.59716 -139.049 -3.59716 0 0 1.05005e+06 3633.38 0.43 0.10 0.18 -1 -1 0.43 0.0337387 0.0303545 107 58 60 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 12.16 vpr 66.00 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 34088 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67580 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 27.2 MiB 1.00 973 7781 2704 3826 1251 66.0 MiB 0.07 0.00 3.16475 -113.697 -3.16475 3.16475 1.07 0.000550018 0.00050116 0.0285848 0.0261292 64 2354 30 6.99608e+06 250167 1.08113e+06 3740.92 7.35 0.306865 0.270499 31522 276338 -1 1952 19 1823 2223 158427 37423 3.93182 3.93182 -141.261 -3.93182 0 0 1.36325e+06 4717.13 0.58 0.08 0.28 -1 -1 0.58 0.0281135 0.0251209 110 42 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 13.29 vpr 65.98 MiB 0.03 7616 -1 -1 1 0.04 -1 -1 33944 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67568 32 32 496 380 1 313 86 17 17 289 -1 unnamed_device 27.6 MiB 2.41 1461 16340 5665 8438 2237 66.0 MiB 0.16 0.00 3.89803 -142.659 -3.89803 3.89803 1.10 0.000689412 0.000619193 0.0632999 0.0575107 44 4611 38 6.99608e+06 323745 787024. 2723.27 6.92 0.396944 0.348444 27778 195446 -1 3475 24 3498 4835 404322 82931 5.1314 5.1314 -184.53 -5.1314 0 0 997811. 3452.63 0.48 0.16 0.20 -1 -1 0.48 0.0476566 0.0429827 139 91 62 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 6.83 vpr 64.92 MiB 0.02 7236 -1 -1 1 0.03 -1 -1 33800 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 31 32 305 250 1 181 76 17 17 289 -1 unnamed_device 26.4 MiB 0.93 643 9676 3964 5417 295 64.9 MiB 0.07 0.00 2.6383 -95.3164 -2.6383 2.6383 1.08 0.000463007 0.000422852 0.0294049 0.026878 40 2065 39 6.99608e+06 191304 706193. 2443.58 2.39 0.182591 0.161396 26914 176310 -1 1607 24 1534 1902 187716 54404 3.24217 3.24217 -124.658 -3.24217 0 0 926341. 3205.33 0.42 0.09 0.16 -1 -1 0.42 0.0285906 0.0254607 75 24 62 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 11.14 vpr 65.95 MiB 0.02 7420 -1 -1 1 0.04 -1 -1 34072 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67528 31 32 395 311 1 243 81 17 17 289 -1 unnamed_device 27.1 MiB 0.80 1057 14606 6252 7894 460 65.9 MiB 0.12 0.00 3.56194 -124.493 -3.56194 3.56194 1.07 0.000518431 0.000470039 0.0500991 0.0456868 44 3300 47 6.99608e+06 264882 787024. 2723.27 6.74 0.335632 0.294632 27778 195446 -1 2466 20 2093 2574 202591 44850 4.34131 4.34131 -156.898 -4.34131 0 0 997811. 3452.63 0.44 0.09 0.18 -1 -1 0.44 0.03164 0.0284638 106 59 62 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 10.32 vpr 65.84 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34088 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67416 32 32 397 313 1 246 84 17 17 289 -1 unnamed_device 26.9 MiB 1.28 1286 16554 5588 8812 2154 65.8 MiB 0.13 0.00 2.98659 -112.128 -2.98659 2.98659 1.01 0.00062892 0.000576853 0.0535134 0.0488296 44 3387 28 6.99608e+06 294314 787024. 2723.27 5.50 0.266687 0.232629 27778 195446 -1 2735 21 1994 2857 232652 47426 3.57336 3.57336 -139.796 -3.57336 0 0 997811. 3452.63 0.46 0.10 0.18 -1 -1 0.46 0.03413 0.0306739 108 54 62 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 8.38 vpr 65.25 MiB 0.02 7060 -1 -1 1 0.04 -1 -1 33708 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 345 257 1 186 77 17 17 289 -1 unnamed_device 26.6 MiB 0.87 838 8716 3616 4807 293 65.3 MiB 0.07 0.00 2.92079 -108.122 -2.92079 2.92079 0.96 0.000465523 0.000424497 0.0286109 0.0261774 48 2993 42 6.99608e+06 191304 865456. 2994.66 4.17 0.185543 0.16418 28354 207349 -1 2416 23 2001 3458 361645 78058 4.42516 4.42516 -167.046 -4.42516 0 0 1.05005e+06 3633.38 0.44 0.12 0.18 -1 -1 0.44 0.0305859 0.0273988 77 -1 128 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 10.60 vpr 65.74 MiB 0.02 7516 -1 -1 1 0.04 -1 -1 34060 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 32 32 424 343 1 266 83 17 17 289 -1 unnamed_device 27.2 MiB 1.51 1140 12863 3244 9166 453 65.7 MiB 0.11 0.00 2.82204 -105.252 -2.82204 2.82204 1.06 0.000666632 0.000613879 0.0459863 0.04203 46 3271 31 6.99608e+06 279598 828058. 2865.25 5.50 0.279163 0.24404 28066 200906 -1 2450 21 2146 2624 209445 44293 3.59341 3.59341 -135.28 -3.59341 0 0 1.01997e+06 3529.29 0.42 0.09 0.20 -1 -1 0.42 0.0316881 0.028182 120 81 25 25 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 8.05 vpr 65.54 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33632 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 395 311 1 242 84 17 17 289 -1 unnamed_device 26.8 MiB 1.08 1242 14358 4248 8279 1831 65.5 MiB 0.12 0.00 2.95229 -111.693 -2.95229 2.95229 1.06 0.000552241 0.000502056 0.0473726 0.0432934 40 3382 29 6.99608e+06 294314 706193. 2443.58 3.37 0.215797 0.19186 26914 176310 -1 2891 23 2392 3323 345513 71442 4.07636 4.07636 -155.527 -4.07636 0 0 926341. 3205.33 0.41 0.12 0.16 -1 -1 0.41 0.0362414 0.0325394 106 58 64 32 60 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 8.21 vpr 65.75 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 33752 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67328 32 32 405 318 1 253 81 17 17 289 -1 unnamed_device 27.0 MiB 0.82 1139 14431 5158 6729 2544 65.8 MiB 0.12 0.00 2.95409 -111.977 -2.95409 2.95409 1.04 0.000555931 0.000503159 0.0504037 0.0458216 40 3512 35 6.99608e+06 250167 706193. 2443.58 3.82 0.197211 0.172914 26914 176310 -1 3072 31 2965 3949 589035 156112 3.60016 3.60016 -141.863 -3.60016 0 0 926341. 3205.33 0.37 0.18 0.17 -1 -1 0.37 0.0400162 0.0353839 108 61 63 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 7.54 vpr 65.68 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 34116 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 376 288 1 218 80 17 17 289 -1 unnamed_device 27.0 MiB 1.11 820 12636 5342 6778 516 65.7 MiB 0.11 0.00 3.25275 -116.146 -3.25275 3.25275 1.02 0.000530782 0.000482835 0.0441011 0.0402255 48 2699 26 6.99608e+06 235451 865456. 2994.66 2.92 0.179608 0.157357 28354 207349 -1 2314 23 2099 3015 286727 65265 4.46426 4.46426 -155.583 -4.46426 0 0 1.05005e+06 3633.38 0.44 0.11 0.20 -1 -1 0.44 0.0318336 0.0283913 94 21 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 7.16 vpr 65.50 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 34276 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 26.7 MiB 0.95 996 15390 5720 7350 2320 65.5 MiB 0.11 0.00 3.20395 -115.16 -3.20395 3.20395 0.97 0.000504775 0.000461059 0.0482828 0.0441156 46 2697 37 6.99608e+06 264882 828058. 2865.25 2.90 0.207654 0.183974 28066 200906 -1 1871 28 2540 3128 200512 48308 4.31872 4.31872 -160.552 -4.31872 0 0 1.01997e+06 3529.29 0.41 0.09 0.17 -1 -1 0.41 0.0364451 0.0325259 110 50 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 9.65 vpr 65.77 MiB 0.03 7580 -1 -1 1 0.04 -1 -1 34248 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67344 31 32 449 367 1 290 85 17 17 289 -1 unnamed_device 27.6 MiB 1.79 1480 17197 6380 8322 2495 65.8 MiB 0.15 0.00 3.20798 -117.116 -3.20798 3.20798 1.04 0.000625772 0.000570266 0.0598684 0.0544924 38 4321 47 6.99608e+06 323745 678818. 2348.85 4.32 0.213824 0.18769 26626 170182 -1 3352 23 2422 2896 266672 53170 4.22995 4.22995 -155.578 -4.22995 0 0 902133. 3121.57 0.37 0.10 0.16 -1 -1 0.37 0.0332991 0.0295341 132 110 0 0 122 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 8.69 vpr 65.73 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 33888 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67308 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 27.3 MiB 1.17 1498 13809 4316 7356 2137 65.7 MiB 0.12 0.00 3.10545 -118.488 -3.10545 3.10545 1.05 0.00058686 0.000534693 0.048706 0.0443876 48 3714 50 6.99608e+06 294314 865456. 2994.66 3.83 0.229091 0.202035 28354 207349 -1 3165 24 2858 4076 389772 78409 3.99012 3.99012 -154.538 -3.99012 0 0 1.05005e+06 3633.38 0.47 0.13 0.20 -1 -1 0.47 0.0368768 0.0331361 126 86 32 32 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 18.17 vpr 65.07 MiB 0.02 6916 -1 -1 1 0.04 -1 -1 34116 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 312 255 1 191 78 17 17 289 -1 unnamed_device 26.6 MiB 0.61 779 10204 4217 5723 264 65.1 MiB 0.08 0.00 2.59005 -96.7251 -2.59005 2.59005 1.06 0.000498635 0.000449009 0.0318717 0.0289725 40 2341 49 6.99608e+06 206020 706193. 2443.58 14.04 0.293736 0.254408 26914 176310 -1 1973 31 1972 2657 372778 138793 3.27222 3.27222 -126.894 -3.27222 0 0 926341. 3205.33 0.37 0.16 0.18 -1 -1 0.37 0.0355313 0.0314866 80 20 63 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 8.07 vpr 65.68 MiB 0.02 7088 -1 -1 1 0.03 -1 -1 33452 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 370 314 1 244 80 17 17 289 -1 unnamed_device 27.0 MiB 1.01 1028 12292 5144 6910 238 65.7 MiB 0.10 0.00 3.05483 -110.957 -3.05483 3.05483 1.00 0.000510953 0.000463005 0.0395947 0.0359803 46 3280 32 6.99608e+06 235451 828058. 2865.25 3.59 0.175861 0.153599 28066 200906 -1 2378 25 2263 2676 285166 57362 4.30411 4.30411 -156.019 -4.30411 0 0 1.01997e+06 3529.29 0.44 0.11 0.18 -1 -1 0.44 0.0368908 0.0329217 108 91 0 0 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 9.29 vpr 65.75 MiB 0.03 7424 -1 -1 1 0.04 -1 -1 34204 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67328 32 32 469 351 1 285 84 17 17 289 -1 unnamed_device 27.5 MiB 0.99 1266 14358 5546 6703 2109 65.8 MiB 0.13 0.00 3.74629 -132.006 -3.74629 3.74629 0.99 0.000634064 0.000577439 0.0529759 0.0482342 50 4592 45 6.99608e+06 294314 902133. 3121.57 4.71 0.229742 0.201844 28642 213929 -1 3106 24 2844 3871 356406 74220 5.20516 5.20516 -182.909 -5.20516 0 0 1.08113e+06 3740.92 0.44 0.13 0.21 -1 -1 0.44 0.0389058 0.0345008 126 53 96 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 8.80 vpr 65.56 MiB 0.02 7072 -1 -1 1 0.03 -1 -1 34144 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 368 284 1 217 80 17 17 289 -1 unnamed_device 26.8 MiB 0.72 1085 11776 3392 7101 1283 65.6 MiB 0.10 0.00 2.95409 -117.598 -2.95409 2.95409 1.02 0.000535321 0.000486152 0.0398658 0.0362766 38 3000 39 6.99608e+06 235451 678818. 2348.85 4.65 0.189082 0.165413 26626 170182 -1 2565 22 1901 2489 224024 45475 3.48286 3.48286 -143.144 -3.48286 0 0 902133. 3121.57 0.37 0.09 0.17 -1 -1 0.37 0.0309808 0.0275563 93 31 92 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.02 vpr 65.06 MiB 0.02 7000 -1 -1 1 0.03 -1 -1 33552 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 30 32 296 244 1 177 86 17 17 289 -1 unnamed_device 26.5 MiB 0.80 680 13694 4954 6724 2016 65.1 MiB 0.10 0.00 3.12594 -100.045 -3.12594 3.12594 1.02 0.000512409 0.000465169 0.0357536 0.0325356 46 2388 42 6.99608e+06 353176 828058. 2865.25 2.76 0.142406 0.124537 28066 200906 -1 1624 22 1457 2131 170633 38017 3.58616 3.58616 -128.623 -3.58616 0 0 1.01997e+06 3529.29 0.42 0.07 0.19 -1 -1 0.42 0.0235489 0.0207948 80 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 9.18 vpr 65.96 MiB 0.02 7524 -1 -1 1 0.04 -1 -1 34176 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67548 32 32 531 413 1 346 88 17 17 289 -1 unnamed_device 27.9 MiB 0.91 1577 16078 6408 7943 1727 66.0 MiB 0.14 0.00 4.47287 -159.339 -4.47287 4.47287 0.94 0.000642246 0.00056662 0.0576946 0.0525729 46 4872 28 6.99608e+06 353176 828058. 2865.25 4.86 0.241174 0.214352 28066 200906 -1 3398 25 3580 4519 404493 80576 5.73009 5.73009 -206.988 -5.73009 0 0 1.01997e+06 3529.29 0.41 0.14 0.17 -1 -1 0.41 0.0440479 0.0396024 159 109 32 32 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 8.06 vpr 65.81 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 33892 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 32 32 376 288 1 217 80 17 17 289 -1 unnamed_device 27.0 MiB 0.88 866 14700 5360 7136 2204 65.8 MiB 0.12 0.00 3.52464 -126.298 -3.52464 3.52464 1.02 0.000533276 0.000485656 0.0504701 0.0459279 38 3132 36 6.99608e+06 235451 678818. 2348.85 3.62 0.189334 0.16698 26626 170182 -1 2356 25 2485 3199 258308 58077 4.54661 4.54661 -170.872 -4.54661 0 0 902133. 3121.57 0.39 0.10 0.16 -1 -1 0.39 0.0345935 0.0308348 92 31 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 6.26 vpr 64.96 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 33972 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 26.5 MiB 0.28 655 12763 5266 7184 313 65.0 MiB 0.09 0.00 2.48655 -92.9547 -2.48655 2.48655 1.00 0.000428762 0.000388712 0.0319228 0.0291432 48 1874 22 6.99608e+06 353176 865456. 2994.66 2.56 0.138315 0.120939 28354 207349 -1 1599 22 1539 2403 201370 44420 3.00732 3.00732 -120.09 -3.00732 0 0 1.05005e+06 3633.38 0.42 0.08 0.20 -1 -1 0.42 0.0241224 0.0213585 70 -1 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 8.35 vpr 65.78 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 34496 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67360 32 32 438 320 1 256 82 17 17 289 -1 unnamed_device 27.1 MiB 0.88 1146 10940 4472 6065 403 65.8 MiB 0.10 0.00 3.69799 -132.667 -3.69799 3.69799 1.05 0.000602215 0.000541318 0.0433055 0.0394394 50 3497 32 6.99608e+06 264882 902133. 3121.57 3.73 0.212321 0.187301 28642 213929 -1 2762 23 2687 3794 353138 83664 5.02986 5.02986 -183.346 -5.02986 0 0 1.08113e+06 3740.92 0.47 0.13 0.21 -1 -1 0.47 0.0370293 0.0332701 112 26 128 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 8.76 vpr 64.91 MiB 0.02 6836 -1 -1 1 0.04 -1 -1 33760 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 26.5 MiB 0.34 585 10149 4277 5628 244 64.9 MiB 0.08 0.00 2.35025 -91.33 -2.35025 2.35025 1.06 0.000440176 0.000399391 0.0331446 0.0302079 44 1903 25 6.99608e+06 147157 787024. 2723.27 4.93 0.197123 0.172016 27778 195446 -1 1401 23 1493 2229 148049 34635 3.05562 3.05562 -116.589 -3.05562 0 0 997811. 3452.63 0.42 0.07 0.18 -1 -1 0.42 0.0253029 0.0223739 62 -1 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 6.73 vpr 64.84 MiB 0.02 7264 -1 -1 1 0.03 -1 -1 33844 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 30 32 296 244 1 179 77 17 17 289 -1 unnamed_device 26.3 MiB 0.83 651 11161 4650 5974 537 64.8 MiB 0.08 0.00 2.68144 -93.3928 -2.68144 2.68144 0.97 0.000391944 0.000355596 0.0306212 0.0279405 52 2145 50 6.99608e+06 220735 926341. 3205.33 2.58 0.167149 0.147355 29218 227130 -1 1615 21 1510 1958 176924 51419 3.58517 3.58517 -124.552 -3.58517 0 0 1.14541e+06 3963.36 0.47 0.08 0.19 -1 -1 0.47 0.0250939 0.0225066 74 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 10.93 vpr 65.59 MiB 0.02 7360 -1 -1 1 0.04 -1 -1 34136 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 29 32 393 319 1 245 81 17 17 289 -1 unnamed_device 27.1 MiB 1.97 1114 16706 6522 7409 2775 65.6 MiB 0.13 0.00 3.08733 -101.959 -3.08733 3.08733 0.99 0.000535781 0.00048458 0.055645 0.0505597 46 3110 27 6.99608e+06 294314 828058. 2865.25 5.43 0.244304 0.21284 28066 200906 -1 2320 19 1881 2460 179885 38399 3.69 3.69 -128.952 -3.69 0 0 1.01997e+06 3529.29 0.44 0.08 0.19 -1 -1 0.44 0.0296355 0.0263609 113 81 29 29 85 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 7.66 vpr 65.91 MiB 0.03 7172 -1 -1 1 0.04 -1 -1 34196 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67496 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 27.1 MiB 1.16 1185 10050 3468 4807 1775 65.9 MiB 0.10 0.00 3.54484 -133.077 -3.54484 3.54484 1.10 0.000573988 0.00052083 0.0372124 0.0338498 42 3575 49 6.99608e+06 264882 744469. 2576.02 2.78 0.212363 0.186857 27202 183097 -1 2883 23 2725 3578 370055 73025 4.65291 4.65291 -178.011 -4.65291 0 0 949917. 3286.91 0.43 0.13 0.18 -1 -1 0.43 0.0354299 0.0318243 109 53 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 10.93 vpr 65.91 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34216 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 27.1 MiB 1.22 1114 14500 4614 8523 1363 65.9 MiB 0.13 0.00 3.53384 -127.384 -3.53384 3.53384 1.10 0.000585863 0.00053444 0.0511871 0.0466489 48 2987 23 6.99608e+06 264882 865456. 2994.66 5.92 0.281341 0.244837 28354 207349 -1 2605 22 2703 3614 345310 70178 4.51061 4.51061 -168.398 -4.51061 0 0 1.05005e+06 3633.38 0.46 0.11 0.21 -1 -1 0.46 0.0319123 0.0283886 110 55 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 6.95 vpr 65.35 MiB 0.02 7268 -1 -1 1 0.03 -1 -1 34192 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 32 32 345 287 1 212 79 17 17 289 -1 unnamed_device 26.9 MiB 0.74 872 11909 4956 6693 260 65.4 MiB 0.09 0.00 2.70344 -102.131 -2.70344 2.70344 1.01 0.000487108 0.000445727 0.0354613 0.0323794 38 2802 47 6.99608e+06 220735 678818. 2348.85 2.92 0.172468 0.153071 26626 170182 -1 2021 22 1897 2164 184172 40011 3.34951 3.34951 -130.674 -3.34951 0 0 902133. 3121.57 0.36 0.08 0.14 -1 -1 0.36 0.0297368 0.0268117 92 55 32 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 11.36 vpr 65.33 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 34080 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 31 32 353 302 1 230 80 17 17 289 -1 unnamed_device 26.9 MiB 3.07 1062 11948 4657 6135 1156 65.3 MiB 0.10 0.00 2.64844 -100.934 -2.64844 2.64844 1.03 0.000497459 0.000452224 0.038198 0.034798 44 2935 26 6.99608e+06 250167 787024. 2723.27 4.81 0.220912 0.192067 27778 195446 -1 2352 19 1776 2180 190180 39106 3.27451 3.27451 -128.754 -3.27451 0 0 997811. 3452.63 0.39 0.08 0.19 -1 -1 0.39 0.024206 0.021625 102 82 0 0 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 25.32 vpr 65.14 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 34164 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 30 32 374 297 1 226 81 17 17 289 -1 unnamed_device 26.6 MiB 1.29 934 10231 4137 5520 574 65.1 MiB 0.09 0.00 2.77704 -93.9132 -2.77704 2.77704 1.06 0.000561301 0.00051163 0.0382142 0.0347238 44 3390 38 6.99608e+06 279598 787024. 2723.27 20.52 0.316228 0.274704 27778 195446 -1 2218 19 2015 2787 214209 49007 3.47252 3.47252 -122.864 -3.47252 0 0 997811. 3452.63 0.41 0.08 0.19 -1 -1 0.41 0.0258608 0.0231021 101 52 60 30 57 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 8.26 vpr 65.19 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 34036 -1 -1 18 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 28 32 332 260 1 197 78 17 17 289 -1 unnamed_device 26.6 MiB 0.79 828 7548 3007 4138 403 65.2 MiB 0.07 0.00 3.17575 -100.321 -3.17575 3.17575 1.14 0.000490904 0.000436184 0.0262015 0.0240386 40 2612 26 6.99608e+06 264882 706193. 2443.58 3.79 0.166074 0.146309 26914 176310 -1 2129 20 1845 2634 225804 49242 4.23372 4.23372 -142.014 -4.23372 0 0 926341. 3205.33 0.42 0.09 0.17 -1 -1 0.42 0.0293557 0.0264528 87 20 84 28 28 28 -fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 11.98 vpr 65.59 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 33912 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 30 32 325 273 1 204 77 17 17 289 -1 unnamed_device 26.7 MiB 2.05 863 11161 4622 6074 465 65.6 MiB 0.09 0.00 3.64224 -118.696 -3.64224 3.64224 1.05 0.0004186 0.000381209 0.0358011 0.0325793 50 2461 41 6.99608e+06 220735 902133. 3121.57 6.34 0.268506 0.236442 28642 213929 -1 1933 26 1689 2272 201362 43150 4.04571 4.04571 -139.684 -4.04571 0 0 1.08113e+06 3740.92 0.48 0.09 0.20 -1 -1 0.48 0.03051 0.0271878 88 58 30 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 13.03 vpr 65.66 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 33472 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 32 32 361 308 1 241 79 17 17 289 -1 unnamed_device 26.9 MiB 3.62 1136 13430 4903 6791 1736 65.7 MiB 0.12 0.00 3.21599 -114.074 -3.21599 3.21599 1.10 0.000563843 0.000512035 0.0460095 0.0418497 46 2819 35 6.99608e+06 220735 828058. 2865.25 5.69 0.244651 0.213237 28066 200906 -1 2272 21 1807 2209 198351 40349 3.25856 3.25856 -132.742 -3.25856 0 0 1.01997e+06 3529.29 0.45 0.08 0.20 -1 -1 0.45 0.0274714 0.0243012 104 88 0 0 91 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 9.77 vpr 65.12 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33652 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 26.7 MiB 0.16 859 15493 5878 7168 2447 65.1 MiB 0.11 0.00 3.13845 -110.277 -3.13845 3.13845 1.08 0.000496212 0.000453619 0.0445616 0.0405313 54 2167 28 6.99608e+06 367892 949917. 3286.91 5.83 0.243337 0.212582 29506 232905 -1 1724 25 1888 2873 205106 44544 3.90982 3.90982 -140.626 -3.90982 0 0 1.17392e+06 4061.99 0.53 0.09 0.24 -1 -1 0.53 0.0312166 0.0277502 86 -1 124 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 8.66 vpr 65.45 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34040 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 32 32 407 319 1 249 81 17 17 289 -1 unnamed_device 26.7 MiB 0.81 1269 14081 4102 8553 1426 65.5 MiB 0.13 0.00 3.55094 -133.482 -3.55094 3.55094 1.11 0.000624341 0.000560322 0.0522866 0.0475969 44 3652 33 6.99608e+06 250167 787024. 2723.27 4.17 0.189579 0.167486 27778 195446 -1 2899 20 2043 2579 218040 42669 4.3303 4.3303 -160.781 -4.3303 0 0 997811. 3452.63 0.41 0.09 0.19 -1 -1 0.41 0.0296552 0.0264622 110 57 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 10.17 vpr 65.70 MiB 0.02 7420 -1 -1 1 0.03 -1 -1 34084 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67276 32 32 407 319 1 248 82 17 17 289 -1 unnamed_device 27.1 MiB 0.68 1082 13788 5263 6408 2117 65.7 MiB 0.12 0.00 4.23178 -141.686 -4.23178 4.23178 1.00 0.000544739 0.000499822 0.0478986 0.0438734 48 3490 35 6.99608e+06 264882 865456. 2994.66 5.95 0.212512 0.188901 28354 207349 -1 2638 23 2577 3550 394973 88798 4.88579 4.88579 -179.792 -4.88579 0 0 1.05005e+06 3633.38 0.45 0.14 0.18 -1 -1 0.45 0.0366495 0.0331202 108 62 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 8.55 vpr 65.98 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 33996 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67564 32 32 399 315 1 250 82 17 17 289 -1 unnamed_device 27.1 MiB 0.78 1204 15212 6244 6660 2308 66.0 MiB 0.13 0.00 3.36648 -124.354 -3.36648 3.36648 1.04 0.000533963 0.000485512 0.052082 0.0474037 44 3892 41 6.99608e+06 264882 787024. 2723.27 4.20 0.211843 0.185665 27778 195446 -1 2910 21 2263 3233 280941 57401 4.60785 4.60785 -161.062 -4.60785 0 0 997811. 3452.63 0.40 0.10 0.19 -1 -1 0.40 0.0301105 0.0267821 107 62 60 30 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 8.17 vpr 64.93 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33584 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 30 32 296 244 1 179 75 17 17 289 -1 unnamed_device 26.4 MiB 0.98 663 12557 5340 6750 467 64.9 MiB 0.10 0.00 2.92109 -101.256 -2.92109 2.92109 1.08 0.000430534 0.000392002 0.0409651 0.0373035 40 2483 26 6.99608e+06 191304 706193. 2443.58 3.62 0.158489 0.138681 26914 176310 -1 2025 17 1436 1969 181220 41147 3.70046 3.70046 -136.772 -3.70046 0 0 926341. 3205.33 0.40 0.07 0.16 -1 -1 0.40 0.022758 0.0203865 76 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 11.32 vpr 65.73 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 33984 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67304 30 32 383 303 1 237 80 17 17 289 -1 unnamed_device 27.0 MiB 2.88 929 14700 4062 8555 2083 65.7 MiB 0.13 0.00 3.81003 -124.877 -3.81003 3.81003 1.05 0.000557149 0.000510664 0.0520533 0.047623 40 3177 50 6.99608e+06 264882 706193. 2443.58 4.84 0.231837 0.204764 26914 176310 -1 2657 22 2517 3524 337781 74358 4.99204 4.99204 -175.627 -4.99204 0 0 926341. 3205.33 0.37 0.11 0.17 -1 -1 0.37 0.0305906 0.0271227 105 58 60 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 10.85 vpr 65.67 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 34236 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 27.4 MiB 0.91 1345 15017 6354 8239 424 65.7 MiB 0.14 0.00 3.42564 -127.072 -3.42564 3.42564 1.09 0.000592544 0.000536907 0.0556463 0.0507029 46 3840 47 6.99608e+06 323745 828058. 2865.25 6.17 0.33462 0.291944 28066 200906 -1 2840 24 2657 2726 254819 50257 4.38025 4.38025 -166.865 -4.38025 0 0 1.01997e+06 3529.29 0.43 0.11 0.20 -1 -1 0.43 0.0370364 0.0327555 139 106 0 0 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 11.23 vpr 65.59 MiB 0.03 7424 -1 -1 1 0.04 -1 -1 34032 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 31 32 425 341 1 275 85 17 17 289 -1 unnamed_device 27.3 MiB 1.74 1230 13105 5472 7246 387 65.6 MiB 0.12 0.00 3.52904 -124.805 -3.52904 3.52904 1.13 0.000770094 0.000709302 0.0478291 0.0436718 38 3458 41 6.99608e+06 323745 678818. 2348.85 5.86 0.228978 0.201859 26626 170182 -1 2603 21 2384 2843 215615 46282 4.64511 4.64511 -162.859 -4.64511 0 0 902133. 3121.57 0.37 0.10 0.15 -1 -1 0.37 0.0358148 0.0323338 125 79 31 31 93 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 27.11 vpr 65.73 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34244 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 30 32 404 328 1 249 84 17 17 289 -1 unnamed_device 27.2 MiB 3.36 1063 15090 5034 7228 2828 65.7 MiB 0.14 0.00 3.51688 -108.447 -3.51688 3.51688 1.11 0.000611141 0.000562379 0.0554908 0.0507939 38 4125 45 6.99608e+06 323745 678818. 2348.85 19.98 0.366229 0.32119 26626 170182 -1 2813 25 2735 3854 377245 78167 4.8054 4.8054 -169.877 -4.8054 0 0 902133. 3121.57 0.40 0.13 0.17 -1 -1 0.40 0.0383949 0.0344336 114 83 26 26 90 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 9.88 vpr 65.84 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 33948 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67424 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 27.3 MiB 1.08 1112 15212 5603 7637 1972 65.8 MiB 0.12 0.00 3.54484 -127.964 -3.54484 3.54484 0.96 0.000536751 0.000489439 0.0494838 0.0453031 48 3164 24 6.99608e+06 264882 865456. 2994.66 5.34 0.287493 0.253502 28354 207349 -1 2658 22 2691 3663 377033 79654 5.28741 5.28741 -179.862 -5.28741 0 0 1.05005e+06 3633.38 0.44 0.12 0.18 -1 -1 0.44 0.0337281 0.0302245 110 58 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 11.59 vpr 65.71 MiB 0.03 7484 -1 -1 1 0.03 -1 -1 34196 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 29 32 387 316 1 240 81 17 17 289 -1 unnamed_device 27.0 MiB 2.07 1035 11631 4213 5913 1505 65.7 MiB 0.09 0.00 2.90529 -95.1703 -2.90529 2.90529 1.00 0.000524644 0.000470505 0.0386341 0.0351279 54 2385 20 6.99608e+06 294314 949917. 3286.91 5.99 0.27392 0.238668 29506 232905 -1 1981 22 1830 2456 174454 38288 3.42781 3.42781 -119.347 -3.42781 0 0 1.17392e+06 4061.99 0.50 0.09 0.22 -1 -1 0.50 0.0339654 0.0302956 112 81 26 26 85 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 9.45 vpr 64.55 MiB 0.02 7144 -1 -1 1 0.03 -1 -1 33964 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 283 225 1 155 74 17 17 289 -1 unnamed_device 26.1 MiB 0.79 616 9684 4011 5353 320 64.5 MiB 0.07 0.00 2.36125 -91.4141 -2.36125 2.36125 0.98 0.000424823 0.000387889 0.0294173 0.0267367 46 2077 31 6.99608e+06 147157 828058. 2865.25 5.26 0.223487 0.195668 28066 200906 -1 1588 23 1515 2362 162461 37752 3.26222 3.26222 -126.442 -3.26222 0 0 1.01997e+06 3529.29 0.46 0.08 0.19 -1 -1 0.46 0.025414 0.022758 62 -1 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 11.39 vpr 65.83 MiB 0.02 7404 -1 -1 1 0.04 -1 -1 34128 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67408 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 27.3 MiB 0.82 1043 14678 6180 8072 426 65.8 MiB 0.13 0.00 4.01233 -140.857 -4.01233 4.01233 1.05 0.000617028 0.000544198 0.052063 0.0474123 46 3763 41 6.99608e+06 264882 828058. 2865.25 6.88 0.215473 0.189134 28066 200906 -1 2585 21 2404 3183 291217 61716 5.2457 5.2457 -182.3 -5.2457 0 0 1.01997e+06 3529.29 0.46 0.11 0.21 -1 -1 0.46 0.0322443 0.028861 110 62 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 7.94 vpr 65.74 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34068 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 32 32 407 319 1 255 81 17 17 289 -1 unnamed_device 27.2 MiB 0.96 1186 15306 4921 8633 1752 65.7 MiB 0.12 0.00 3.88697 -135.819 -3.88697 3.88697 0.99 0.000556345 0.000508561 0.0515678 0.0469319 50 3091 36 6.99608e+06 250167 902133. 3121.57 3.37 0.217522 0.192292 28642 213929 -1 2640 24 3022 4186 453611 90236 4.90894 4.90894 -178.316 -4.90894 0 0 1.08113e+06 3740.92 0.45 0.14 0.21 -1 -1 0.45 0.0341774 0.0302789 111 62 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 11.90 vpr 65.09 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 33816 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 315 267 1 202 77 17 17 289 -1 unnamed_device 26.5 MiB 2.55 862 12954 5493 7158 303 65.1 MiB 0.10 0.00 2.55629 -91.6388 -2.55629 2.55629 1.03 0.000459523 0.000411822 0.0405286 0.0369219 38 2827 40 6.99608e+06 191304 678818. 2348.85 5.84 0.171125 0.149701 26626 170182 -1 1908 27 1668 1965 202051 51428 3.38276 3.38276 -117.08 -3.38276 0 0 902133. 3121.57 0.40 0.10 0.17 -1 -1 0.40 0.0335575 0.0299516 85 47 32 32 54 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 7.10 vpr 64.96 MiB 0.02 7084 -1 -1 1 0.03 -1 -1 34020 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 0.24 830 9529 3700 4702 1127 65.0 MiB 0.08 0.00 2.6052 -100.797 -2.6052 2.6052 1.05 0.000433961 0.00039685 0.0293847 0.0269126 36 2307 34 6.99608e+06 161872 648988. 2245.63 3.57 0.151982 0.133959 26050 158493 -1 1916 20 1411 2093 191831 38259 3.25927 3.25927 -129.794 -3.25927 0 0 828058. 2865.25 0.34 0.07 0.14 -1 -1 0.34 0.0232423 0.0208554 63 -1 93 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 8.44 vpr 65.82 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 33628 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67404 32 32 381 303 1 235 81 17 17 289 -1 unnamed_device 27.0 MiB 1.01 900 15306 5732 7360 2214 65.8 MiB 0.12 0.00 3.28468 -109.777 -3.28468 3.28468 1.06 0.00057072 0.000509225 0.0488008 0.0442292 44 3366 48 6.99608e+06 250167 787024. 2723.27 3.84 0.205105 0.180702 27778 195446 -1 1965 20 1791 2125 156808 35106 3.92165 3.92165 -134.768 -3.92165 0 0 997811. 3452.63 0.45 0.07 0.18 -1 -1 0.45 0.0282748 0.0251127 102 56 60 32 58 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 10.21 vpr 65.88 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33888 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67464 32 32 406 330 1 255 83 17 17 289 -1 unnamed_device 27.4 MiB 1.63 1176 13943 5620 6610 1713 65.9 MiB 0.12 0.00 3.50704 -122.982 -3.50704 3.50704 1.02 0.000624075 0.000555072 0.0495402 0.0451042 38 3524 32 6.99608e+06 279598 678818. 2348.85 5.13 0.201172 0.176939 26626 170182 -1 2539 20 2034 2363 190278 40245 4.50581 4.50581 -155.841 -4.50581 0 0 902133. 3121.57 0.36 0.08 0.16 -1 -1 0.36 0.0290052 0.0259471 115 81 28 28 88 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 9.39 vpr 65.67 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 34076 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 27.0 MiB 0.42 1217 11719 2666 8203 850 65.7 MiB 0.10 0.00 3.52884 -132.013 -3.52884 3.52884 1.05 0.000629466 0.000571474 0.0383444 0.0350166 40 3229 36 6.99608e+06 397324 706193. 2443.58 5.00 0.201891 0.178594 26914 176310 -1 2936 64 5473 8758 1576828 660751 4.85635 4.85635 -178.808 -4.85635 0 0 926341. 3205.33 0.38 0.58 0.17 -1 -1 0.38 0.0836968 0.0740101 100 -1 156 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 8.44 vpr 65.55 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33964 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67128 30 32 371 295 1 227 81 17 17 289 -1 unnamed_device 26.9 MiB 1.06 948 15131 5887 6822 2422 65.6 MiB 0.12 0.00 2.98539 -97.6966 -2.98539 2.98539 0.98 0.000533243 0.000489388 0.0500936 0.0458717 40 2946 44 6.99608e+06 279598 706193. 2443.58 4.01 0.208829 0.184965 26914 176310 -1 2582 22 1883 2620 290991 65752 4.14061 4.14061 -142.167 -4.14061 0 0 926341. 3205.33 0.38 0.11 0.15 -1 -1 0.38 0.0317136 0.0282888 101 47 60 30 56 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 7.54 vpr 65.10 MiB 0.02 7152 -1 -1 1 0.03 -1 -1 34260 -1 -1 16 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 27 32 269 226 1 152 75 17 17 289 -1 unnamed_device 26.6 MiB 1.60 534 11925 5017 6125 783 65.1 MiB 0.08 0.00 3.14605 -88.3558 -3.14605 3.14605 1.04 0.000407796 0.000371008 0.0338986 0.0309197 40 1723 26 6.99608e+06 235451 706193. 2443.58 2.55 0.142917 0.125704 26914 176310 -1 1448 22 1307 1821 161591 38228 3.47916 3.47916 -114.036 -3.47916 0 0 926341. 3205.33 0.39 0.07 0.17 -1 -1 0.39 0.0234774 0.0209535 67 26 54 27 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 7.83 vpr 65.81 MiB 0.03 7400 -1 -1 1 0.04 -1 -1 34188 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 32 32 493 378 1 313 85 17 17 289 -1 unnamed_device 27.5 MiB 1.00 1569 16081 6200 7797 2084 65.8 MiB 0.15 0.00 3.70124 -132.966 -3.70124 3.70124 0.95 0.000611597 0.00055588 0.0597488 0.0545218 48 4577 24 6.99608e+06 309029 865456. 2994.66 3.20 0.227891 0.203093 28354 207349 -1 3903 24 3372 4634 516565 100668 5.2434 5.2434 -173.818 -5.2434 0 0 1.05005e+06 3633.38 0.48 0.16 0.20 -1 -1 0.48 0.0413587 0.0370487 141 85 62 31 95 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 10.73 vpr 65.86 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 34400 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67444 31 32 455 371 1 302 85 17 17 289 -1 unnamed_device 27.7 MiB 3.11 1359 10501 2566 6527 1408 65.9 MiB 0.11 0.00 4.12662 -140.084 -4.12662 4.12662 1.06 0.000640649 0.000581951 0.0411508 0.0374077 40 3643 29 6.99608e+06 323745 706193. 2443.58 3.93 0.199872 0.175763 26914 176310 -1 3187 28 3365 3818 590505 196791 4.64705 4.64705 -173.44 -4.64705 0 0 926341. 3205.33 0.40 0.22 0.18 -1 -1 0.40 0.0438518 0.0392574 138 105 0 0 124 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 11.41 vpr 65.55 MiB 0.02 7320 -1 -1 1 0.03 -1 -1 33840 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 32 32 355 304 1 233 79 17 17 289 -1 unnamed_device 26.8 MiB 3.70 992 11571 4040 5367 2164 65.5 MiB 0.09 0.00 2.99983 -108.221 -2.99983 2.99983 1.07 0.000485429 0.000441003 0.0372653 0.0338472 40 2939 29 6.99608e+06 220735 706193. 2443.58 4.23 0.167903 0.14703 26914 176310 -1 2584 21 1996 2415 291227 69738 4.3708 4.3708 -157.871 -4.3708 0 0 926341. 3205.33 0.37 0.10 0.17 -1 -1 0.37 0.0278327 0.0247655 102 86 0 0 89 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 10.56 vpr 65.51 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 33756 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67080 32 32 364 282 1 217 80 17 17 289 -1 unnamed_device 26.8 MiB 1.12 933 13496 4702 6629 2165 65.5 MiB 0.12 0.00 3.12745 -112.519 -3.12745 3.12745 1.04 0.000467618 0.000425868 0.0463949 0.0423763 54 2477 25 6.99608e+06 235451 949917. 3286.91 5.79 0.289906 0.253977 29506 232905 -1 2016 25 1939 2615 243886 52507 3.90682 3.90682 -139.567 -3.90682 0 0 1.17392e+06 4061.99 0.50 0.10 0.21 -1 -1 0.50 0.0344907 0.030763 92 31 90 30 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 7.40 vpr 65.96 MiB 0.02 7360 -1 -1 1 0.04 -1 -1 34076 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67540 31 32 443 336 1 261 83 17 17 289 -1 unnamed_device 27.4 MiB 1.71 1122 14123 4737 6599 2787 66.0 MiB 0.12 0.00 3.54405 -115.603 -3.54405 3.54405 0.98 0.000551364 0.000503314 0.0492886 0.0450176 46 3122 30 6.99608e+06 294314 828058. 2865.25 2.27 0.18155 0.161198 28066 200906 -1 2355 23 2318 3159 205061 46366 4.20262 4.20262 -149.811 -4.20262 0 0 1.01997e+06 3529.29 0.41 0.09 0.17 -1 -1 0.41 0.0365325 0.0328713 117 50 87 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 10.32 vpr 65.61 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34120 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67180 30 32 373 297 1 228 82 17 17 289 -1 unnamed_device 26.9 MiB 1.27 1155 12720 3812 7416 1492 65.6 MiB 0.11 0.00 2.91629 -97.4384 -2.91629 2.91629 1.05 0.000517474 0.000474591 0.040914 0.0373858 44 3213 24 6.99608e+06 294314 787024. 2723.27 5.49 0.260086 0.226983 27778 195446 -1 2329 19 1770 2504 173824 39999 3.57036 3.57036 -131.439 -3.57036 0 0 997811. 3452.63 0.42 0.08 0.19 -1 -1 0.42 0.02668 0.0238639 101 50 58 30 58 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 9.49 vpr 65.91 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 33980 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67496 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 27.0 MiB 0.78 1054 14081 5040 6629 2412 65.9 MiB 0.12 0.00 3.42564 -122.142 -3.42564 3.42564 1.07 0.000700976 0.000634955 0.050946 0.0463793 46 3729 45 6.99608e+06 250167 828058. 2865.25 5.16 0.223922 0.197788 28066 200906 -1 2787 24 2616 3229 299865 63487 4.45561 4.45561 -164.097 -4.45561 0 0 1.01997e+06 3529.29 0.41 0.11 0.17 -1 -1 0.41 0.034068 0.0305529 107 61 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 7.54 vpr 65.62 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34036 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 405 318 1 253 82 17 17 289 -1 unnamed_device 26.9 MiB 0.78 1165 8626 1928 6090 608 65.6 MiB 0.08 0.00 2.98529 -112.735 -2.98529 2.98529 0.96 0.000539098 0.00049222 0.0299331 0.0272612 40 3464 38 6.99608e+06 264882 706193. 2443.58 3.42 0.19262 0.169493 26914 176310 -1 2913 21 2314 2996 314485 74011 3.48286 3.48286 -145.7 -3.48286 0 0 926341. 3205.33 0.39 0.11 0.17 -1 -1 0.39 0.0314667 0.0281519 108 61 63 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 8.06 vpr 65.15 MiB 0.02 6984 -1 -1 1 0.03 -1 -1 33616 -1 -1 14 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 29 32 287 238 1 172 75 17 17 289 -1 unnamed_device 26.6 MiB 1.37 638 8923 3666 4824 433 65.1 MiB 0.07 0.00 2.67044 -90.526 -2.67044 2.67044 1.07 0.000411933 0.000374039 0.0276029 0.025184 38 2063 30 6.99608e+06 206020 678818. 2348.85 3.30 0.145642 0.127789 26626 170182 -1 1488 22 1668 2178 165665 35537 3.35701 3.35701 -119.714 -3.35701 0 0 902133. 3121.57 0.39 0.07 0.17 -1 -1 0.39 0.0238972 0.0213128 73 28 58 29 29 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 9.70 vpr 65.44 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 34048 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 334 290 1 207 78 17 17 289 -1 unnamed_device 26.8 MiB 3.03 797 13524 4800 6639 2085 65.4 MiB 0.10 0.00 2.99983 -99.3764 -2.99983 2.99983 1.06 0.000467141 0.000422391 0.0423229 0.0385082 48 2390 29 6.99608e+06 206020 865456. 2994.66 3.10 0.175743 0.155261 28354 207349 -1 1854 21 1639 1940 196134 46580 3.60541 3.60541 -130.573 -3.60541 0 0 1.05005e+06 3633.38 0.42 0.08 0.20 -1 -1 0.42 0.0252732 0.022357 91 79 0 0 82 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 6.78 vpr 65.68 MiB 0.02 7384 -1 -1 1 0.04 -1 -1 34220 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 31 32 365 281 1 217 80 17 17 289 -1 unnamed_device 27.0 MiB 0.67 1137 12292 4035 6815 1442 65.7 MiB 0.10 0.00 3.16964 -118.943 -3.16964 3.16964 1.02 0.000482221 0.000438877 0.0387382 0.0353775 40 2892 46 6.99608e+06 250167 706193. 2443.58 2.79 0.201619 0.178607 26914 176310 -1 2544 21 2177 2858 286406 56617 4.18536 4.18536 -161.335 -4.18536 0 0 926341. 3205.33 0.37 0.10 0.16 -1 -1 0.37 0.0296764 0.0265597 92 29 93 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 9.76 vpr 65.16 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33740 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 29 32 297 254 1 191 77 17 17 289 -1 unnamed_device 26.5 MiB 1.90 845 12628 4927 5370 2331 65.2 MiB 0.09 0.00 2.67859 -89.3377 -2.67859 2.67859 1.06 0.000365423 0.00032998 0.0370983 0.033465 44 2212 23 6.99608e+06 235451 787024. 2723.27 4.38 0.209215 0.182539 27778 195446 -1 1801 20 1220 1380 115522 23883 2.94876 2.94876 -106.607 -2.94876 0 0 997811. 3452.63 0.44 0.06 0.18 -1 -1 0.44 0.0216702 0.0192146 81 48 29 29 52 26 -fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 8.62 vpr 65.25 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33980 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 314 256 1 188 77 17 17 289 -1 unnamed_device 26.7 MiB 0.84 974 11487 4464 5917 1106 65.2 MiB 0.09 0.00 2.94309 -115.02 -2.94309 2.94309 1.07 0.000461283 0.000418401 0.0359288 0.0327926 38 2637 39 6.99608e+06 191304 678818. 2348.85 4.29 0.174014 0.153013 26626 170182 -1 2151 23 1910 2424 223753 44129 3.46386 3.46386 -141.946 -3.46386 0 0 902133. 3121.57 0.35 0.09 0.16 -1 -1 0.35 0.0281343 0.0249727 79 31 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 7.84 vpr 65.80 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 34124 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67380 31 32 387 307 1 239 82 17 17 289 -1 unnamed_device 26.9 MiB 1.41 992 12542 4894 6307 1341 65.8 MiB 0.11 0.00 3.32078 -116.501 -3.32078 3.32078 1.06 0.000550909 0.000496643 0.0456918 0.0416662 44 3070 50 6.99608e+06 279598 787024. 2723.27 2.83 0.206659 0.18221 27778 195446 -1 2235 21 2211 3032 254925 54970 4.05665 4.05665 -150.542 -4.05665 0 0 997811. 3452.63 0.41 0.09 0.19 -1 -1 0.41 0.0290066 0.0258229 105 60 58 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 10.07 vpr 65.18 MiB 0.02 7256 -1 -1 1 0.04 -1 -1 33856 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 31 32 308 262 1 192 76 17 17 289 -1 unnamed_device 26.5 MiB 2.95 716 11916 4411 5743 1762 65.2 MiB 0.08 0.00 2.73604 -87.9997 -2.73604 2.73604 1.04 0.000393418 0.000358761 0.0365101 0.0332039 46 2525 33 6.99608e+06 191304 828058. 2865.25 3.65 0.169279 0.148855 28066 200906 -1 1601 23 1425 1776 132559 33050 3.66791 3.66791 -118.36 -3.66791 0 0 1.01997e+06 3529.29 0.43 0.07 0.19 -1 -1 0.43 0.0269774 0.0239733 81 49 31 31 53 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 11.93 vpr 65.37 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33836 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 383 307 1 232 82 17 17 289 -1 unnamed_device 26.6 MiB 1.94 1008 15034 6370 8312 352 65.4 MiB 0.12 0.00 2.90049 -103.693 -2.90049 2.90049 1.08 0.000520164 0.000468429 0.0502763 0.0458071 46 3000 29 6.99608e+06 264882 828058. 2865.25 6.34 0.298705 0.261612 28066 200906 -1 2161 21 1631 2194 160745 35137 3.31196 3.31196 -128.61 -3.31196 0 0 1.01997e+06 3529.29 0.45 0.08 0.20 -1 -1 0.45 0.0303765 0.0272556 103 56 52 26 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 8.70 vpr 65.64 MiB 0.03 7432 -1 -1 1 0.04 -1 -1 33676 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 31 32 422 339 1 272 85 17 17 289 -1 unnamed_device 27.3 MiB 0.93 1260 14407 3894 8646 1867 65.6 MiB 0.12 0.00 3.87927 -135.357 -3.87927 3.87927 1.12 0.000516671 0.000467094 0.048176 0.04372 40 3491 37 6.99608e+06 323745 706193. 2443.58 4.01 0.222885 0.196157 26914 176310 -1 3180 20 2532 3455 382457 76638 4.71974 4.71974 -174.295 -4.71974 0 0 926341. 3205.33 0.41 0.13 0.16 -1 -1 0.41 0.0339214 0.0304495 123 88 31 31 92 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 11.63 vpr 65.43 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 34104 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 333 279 1 208 79 17 17 289 -1 unnamed_device 26.8 MiB 2.86 1202 9036 2501 5408 1127 65.4 MiB 0.07 0.00 2.96354 -111.7 -2.96354 2.96354 0.99 0.000436896 0.000398229 0.0277833 0.0253956 44 2824 35 6.99608e+06 220735 787024. 2723.27 5.36 0.229274 0.202098 27778 195446 -1 2360 21 1599 2222 198318 39746 3.35781 3.35781 -133.841 -3.35781 0 0 997811. 3452.63 0.42 0.08 0.17 -1 -1 0.42 0.0271467 0.0243902 88 54 32 32 60 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 18.22 vpr 65.43 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 33656 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 339 283 1 212 78 17 17 289 -1 unnamed_device 27.0 MiB 0.83 782 11034 3077 6506 1451 65.4 MiB 0.09 0.00 2.68144 -96.9417 -2.68144 2.68144 1.02 0.00059626 0.000535939 0.0361048 0.0328568 46 2531 42 6.99608e+06 206020 828058. 2865.25 13.99 0.319064 0.279893 28066 200906 -1 1592 21 1693 2070 144700 33562 3.40042 3.40042 -121.847 -3.40042 0 0 1.01997e+06 3529.29 0.41 0.07 0.17 -1 -1 0.41 0.0260861 0.0232533 91 60 32 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 10.52 vpr 65.88 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34068 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67456 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 27.1 MiB 1.07 882 9516 3546 4737 1233 65.9 MiB 0.10 0.00 3.18865 -115.614 -3.18865 3.18865 1.12 0.000677967 0.000620842 0.0380251 0.0346307 48 2580 23 6.99608e+06 264882 865456. 2994.66 5.65 0.273584 0.238772 28354 207349 -1 2288 22 2241 2759 237741 54920 4.88722 4.88722 -164.737 -4.88722 0 0 1.05005e+06 3633.38 0.43 0.10 0.20 -1 -1 0.43 0.0332446 0.0294816 110 49 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 10.98 vpr 65.64 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 34228 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67212 29 32 367 293 1 222 82 17 17 289 -1 unnamed_device 26.9 MiB 1.88 997 12720 5109 5317 2294 65.6 MiB 0.11 0.00 2.77374 -97.0688 -2.77374 2.77374 1.09 0.000565037 0.000514109 0.0436882 0.039666 38 2919 28 6.99608e+06 309029 678818. 2348.85 5.46 0.188826 0.166196 26626 170182 -1 2402 22 2036 2785 243197 49881 3.33981 3.33981 -123.152 -3.33981 0 0 902133. 3121.57 0.40 0.09 0.16 -1 -1 0.40 0.0307405 0.0275315 101 54 56 29 58 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 8.13 vpr 65.68 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 34272 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67252 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 27.4 MiB 0.90 1271 15773 4421 8851 2501 65.7 MiB 0.15 0.00 3.68467 -132.727 -3.68467 3.68467 1.04 0.000872125 0.000792733 0.061612 0.0560195 44 3920 34 6.99608e+06 323745 787024. 2723.27 3.48 0.214056 0.188916 27778 195446 -1 2987 25 3240 3790 354870 72238 4.75185 4.75185 -174.986 -4.75185 0 0 997811. 3452.63 0.45 0.14 0.19 -1 -1 0.45 0.0428794 0.0385416 140 117 0 0 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 7.60 vpr 64.75 MiB 0.02 7100 -1 -1 1 0.03 -1 -1 33672 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 31 32 259 212 1 143 74 17 17 289 -1 unnamed_device 26.2 MiB 1.13 558 10459 4349 5630 480 64.8 MiB 0.08 0.00 2.29975 -77.3164 -2.29975 2.29975 1.14 0.00042157 0.000383715 0.0345947 0.0315412 46 1699 23 6.99608e+06 161872 828058. 2865.25 2.77 0.158003 0.139366 28066 200906 -1 1349 18 1073 1608 113142 28557 3.12987 3.12987 -109.221 -3.12987 0 0 1.01997e+06 3529.29 0.49 0.06 0.19 -1 -1 0.49 0.0225042 0.0200866 57 -1 85 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 10.56 vpr 65.65 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33852 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67224 32 32 418 338 1 263 83 17 17 289 -1 unnamed_device 27.0 MiB 3.33 1237 13043 4202 6747 2094 65.6 MiB 0.12 0.00 3.96833 -134.688 -3.96833 3.96833 1.16 0.000612781 0.000554609 0.0498955 0.045388 44 3531 44 6.99608e+06 279598 787024. 2723.27 3.41 0.233052 0.205394 27778 195446 -1 2737 23 2559 3229 289051 59483 4.9511 4.9511 -175.196 -4.9511 0 0 997811. 3452.63 0.45 0.12 0.20 -1 -1 0.45 0.0381546 0.0343639 118 89 28 28 92 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 27.73 vpr 65.71 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34028 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67288 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 27.0 MiB 1.03 1323 10744 2996 6405 1343 65.7 MiB 0.10 0.00 3.76377 -142.716 -3.76377 3.76377 1.09 0.000521389 0.00047228 0.0382651 0.0349122 44 3443 34 6.99608e+06 235451 787024. 2723.27 23.07 0.325059 0.281818 27778 195446 -1 2796 20 2310 2917 286120 55102 4.54314 4.54314 -172.866 -4.54314 0 0 997811. 3452.63 0.43 0.09 0.19 -1 -1 0.43 0.0263299 0.023522 110 93 0 0 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 7.96 vpr 65.62 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 34052 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 401 316 1 247 83 17 17 289 -1 unnamed_device 26.8 MiB 0.84 1233 5843 1328 4093 422 65.6 MiB 0.07 0.00 2.94304 -113.73 -2.94304 2.94304 1.02 0.000556605 0.000509245 0.0231169 0.0210359 40 3088 24 6.99608e+06 279598 706193. 2443.58 3.67 0.183085 0.162125 26914 176310 -1 2828 23 2138 2761 328215 63535 3.71441 3.71441 -145.846 -3.71441 0 0 926341. 3205.33 0.39 0.13 0.16 -1 -1 0.39 0.0377658 0.0338762 106 59 61 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 8.00 vpr 65.82 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 34292 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 500 382 1 312 86 17 17 289 -1 unnamed_device 27.5 MiB 0.89 1499 16529 6227 8360 1942 65.8 MiB 0.16 0.00 4.13248 -150.491 -4.13248 4.13248 1.07 0.000633308 0.000574859 0.0665945 0.0604382 40 3929 23 6.99608e+06 323745 706193. 2443.58 3.32 0.232955 0.204982 26914 176310 -1 3378 28 3562 4152 482822 119698 5.64765 5.64765 -202.124 -5.64765 0 0 926341. 3205.33 0.39 0.17 0.18 -1 -1 0.39 0.0455283 0.040402 140 81 64 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 8.42 vpr 64.90 MiB 0.02 7012 -1 -1 1 0.03 -1 -1 33880 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 30 32 246 229 1 154 75 17 17 289 -1 unnamed_device 26.6 MiB 2.66 555 9081 3769 4952 360 64.9 MiB 0.06 0.00 2.25155 -75.007 -2.25155 2.25155 1.07 0.00037255 0.000338038 0.0243901 0.0221982 42 1938 46 6.99608e+06 191304 744469. 2576.02 2.28 0.142689 0.12502 27202 183097 -1 1307 22 905 929 94996 22289 2.41242 2.41242 -91.6687 -2.41242 0 0 949917. 3286.91 0.42 0.06 0.18 -1 -1 0.42 0.0211941 0.0188434 65 51 0 0 53 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 10.92 vpr 65.05 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 34152 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 30 32 296 244 1 167 76 17 17 289 -1 unnamed_device 26.6 MiB 3.52 873 9036 3566 4539 931 65.1 MiB 0.07 0.00 2.78909 -100.953 -2.78909 2.78909 0.98 0.000462593 0.000421721 0.0271404 0.024843 36 2193 21 6.99608e+06 206020 648988. 2245.63 4.21 0.197298 0.173165 26050 158493 -1 1958 20 1504 2153 193118 39336 3.38681 3.38681 -133.817 -3.38681 0 0 828058. 2865.25 0.34 0.08 0.14 -1 -1 0.34 0.0253453 0.0226504 72 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 10.25 vpr 65.11 MiB 0.02 6940 -1 -1 1 0.04 -1 -1 33740 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 314 256 1 192 76 17 17 289 -1 unnamed_device 26.6 MiB 0.22 819 9836 4075 5610 151 65.1 MiB 0.08 0.00 2.73464 -106.1 -2.73464 2.73464 1.05 0.000510411 0.000466981 0.0330515 0.0301692 42 3413 50 6.99608e+06 176588 744469. 2576.02 6.49 0.248697 0.217149 27202 183097 -1 2379 22 1861 2946 306514 63755 3.88801 3.88801 -145.921 -3.88801 0 0 949917. 3286.91 0.41 0.10 0.18 -1 -1 0.41 0.0271741 0.0239529 80 31 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 7.07 vpr 64.60 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 34040 -1 -1 18 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 25 32 251 214 1 151 75 17 17 289 -1 unnamed_device 26.2 MiB 0.78 557 11767 5032 5858 877 64.6 MiB 0.08 0.00 2.79475 -75.6465 -2.79475 2.79475 1.05 0.000367476 0.000334425 0.0314683 0.0286635 36 1852 30 6.99608e+06 264882 648988. 2245.63 2.99 0.144629 0.12724 26050 158493 -1 1423 20 1041 1357 103508 24736 3.57407 3.57407 -104.553 -3.57407 0 0 828058. 2865.25 0.35 0.05 0.14 -1 -1 0.35 0.0203741 0.0180568 68 19 50 25 25 25 -fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 12.09 vpr 65.41 MiB 0.02 7480 -1 -1 1 0.04 -1 -1 34220 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 27.0 MiB 1.02 1474 15273 5152 8434 1687 65.4 MiB 0.13 0.00 3.11645 -119.592 -3.11645 3.11645 1.12 0.000738237 0.000667623 0.0550189 0.0500456 44 3919 32 6.99608e+06 294314 787024. 2723.27 7.24 0.346624 0.302073 27778 195446 -1 3039 21 2593 3730 296378 59435 4.01312 4.01312 -154.313 -4.01312 0 0 997811. 3452.63 0.46 0.11 0.18 -1 -1 0.46 0.0348733 0.031376 125 84 32 32 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 11.50 vpr 65.72 MiB 0.02 7572 -1 -1 1 0.04 -1 -1 33980 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 31 32 421 339 1 270 85 17 17 289 -1 unnamed_device 27.1 MiB 1.08 1175 11245 3996 5507 1742 65.7 MiB 0.11 0.00 3.44908 -119.437 -3.44908 3.44908 1.11 0.000564714 0.000511686 0.0419478 0.0382853 44 3539 45 6.99608e+06 323745 787024. 2723.27 6.65 0.326107 0.28368 27778 195446 -1 2577 21 2426 3212 264282 56245 4.29145 4.29145 -155.45 -4.29145 0 0 997811. 3452.63 0.44 0.10 0.20 -1 -1 0.44 0.0313957 0.0280684 121 88 29 29 93 31 -fixed_k6_frac_N8_22nm.xml mult_001.v common 12.71 vpr 64.76 MiB 0.02 7140 -1 -1 14 0.35 -1 -1 36420 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 32 32 280 312 1 207 83 17 17 289 -1 unnamed_device 26.3 MiB 2.70 1171 12323 3404 6298 2621 64.8 MiB 0.12 0.00 7.1786 -141.837 -7.1786 7.1786 1.08 0.000753815 0.000685481 0.0539557 0.048927 48 2882 18 6.79088e+06 255968 865456. 2994.66 5.91 0.31203 0.275137 27694 206865 -1 2498 18 1255 3373 206019 46248 7.3431 7.3431 -157.943 -7.3431 0 0 1.05005e+06 3633.38 0.46 0.09 0.20 -1 -1 0.46 0.0352191 0.0319092 134 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_002.v common 10.24 vpr 64.82 MiB 0.02 7252 -1 -1 14 0.38 -1 -1 36668 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 30 32 277 309 1 214 82 17 17 289 -1 unnamed_device 26.3 MiB 2.06 1287 9160 2397 5537 1226 64.8 MiB 0.09 0.00 6.84273 -137.13 -6.84273 6.84273 1.08 0.000654814 0.000590532 0.041153 0.0372752 38 3299 17 6.79088e+06 269440 678818. 2348.85 4.30 0.218023 0.192893 25966 169698 -1 2615 15 1228 3245 165561 37550 7.34393 7.34393 -155.305 -7.34393 0 0 902133. 3121.57 0.41 0.08 0.17 -1 -1 0.41 0.0311077 0.0281976 132 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_003.v common 9.71 vpr 64.88 MiB 0.02 7012 -1 -1 11 0.26 -1 -1 36368 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 274 306 1 200 84 17 17 289 -1 unnamed_device 26.4 MiB 2.00 1057 12162 3360 7052 1750 64.9 MiB 0.11 0.00 5.91503 -114.436 -5.91503 5.91503 0.98 0.000809072 0.000747244 0.0461514 0.0419516 38 3486 45 6.79088e+06 269440 678818. 2348.85 4.05 0.247594 0.219332 25966 169698 -1 2520 25 1281 4021 344390 130781 6.00113 6.00113 -133.489 -6.00113 0 0 902133. 3121.57 0.38 0.16 0.15 -1 -1 0.38 0.0490174 0.0444486 138 179 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_004.v common 10.90 vpr 65.03 MiB 0.02 7068 -1 -1 12 0.45 -1 -1 36712 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 29 32 269 301 1 191 83 17 17 289 -1 unnamed_device 26.5 MiB 1.84 1073 9443 2539 5189 1715 65.0 MiB 0.09 0.00 6.07188 -117.783 -6.07188 6.07188 1.05 0.000760716 0.000688115 0.0390533 0.0354186 44 2817 32 6.79088e+06 296384 787024. 2723.27 5.06 0.322126 0.284691 27118 194962 -1 2245 17 1119 3497 170212 39432 6.32248 6.32248 -131.319 -6.32248 0 0 997811. 3452.63 0.46 0.08 0.19 -1 -1 0.46 0.0339115 0.0306012 136 180 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_005.v common 10.84 vpr 65.38 MiB 0.02 7016 -1 -1 13 0.41 -1 -1 36804 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 317 349 1 251 88 17 17 289 -1 unnamed_device 26.8 MiB 2.76 1433 11788 2599 7883 1306 65.4 MiB 0.12 0.00 6.54861 -138.074 -6.54861 6.54861 1.05 0.00075059 0.000679005 0.0529692 0.0481425 38 3800 45 6.79088e+06 323328 678818. 2348.85 4.11 0.29106 0.258347 25966 169698 -1 3148 16 1663 4462 222256 51465 6.99942 6.99942 -161.931 -6.99942 0 0 902133. 3121.57 0.39 0.10 0.17 -1 -1 0.39 0.0405964 0.0369831 160 222 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_006.v common 11.36 vpr 64.92 MiB 0.02 7008 -1 -1 12 0.33 -1 -1 36344 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 299 331 1 221 88 17 17 289 -1 unnamed_device 26.4 MiB 2.68 1311 5158 978 3976 204 64.9 MiB 0.06 0.00 6.25532 -135.367 -6.25532 6.25532 0.95 0.000660502 0.000600906 0.0232204 0.0212837 38 3780 23 6.79088e+06 323328 678818. 2348.85 5.14 0.221166 0.196408 25966 169698 -1 3004 17 1482 4315 229945 51713 6.83487 6.83487 -156.714 -6.83487 0 0 902133. 3121.57 0.36 0.10 0.15 -1 -1 0.36 0.0367923 0.0336348 150 204 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_007.v common 8.86 vpr 64.44 MiB 0.02 6996 -1 -1 12 0.24 -1 -1 36080 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 27 32 210 242 1 166 79 17 17 289 -1 unnamed_device 25.9 MiB 1.84 972 6332 1567 4234 531 64.4 MiB 0.06 0.00 5.95433 -114.661 -5.95433 5.95433 1.05 0.000542261 0.000492035 0.0233074 0.0213588 36 2813 22 6.79088e+06 269440 648988. 2245.63 3.47 0.157343 0.138081 25390 158009 -1 2344 16 1131 2898 183908 40583 6.4016 6.4016 -131.785 -6.4016 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0239289 0.021592 101 125 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_008.v common 10.59 vpr 64.73 MiB 0.02 7108 -1 -1 11 0.23 -1 -1 36520 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 31 32 264 296 1 191 81 17 17 289 -1 unnamed_device 26.4 MiB 1.57 1181 12331 3573 7109 1649 64.7 MiB 0.10 0.00 5.36687 -116.355 -5.36687 5.36687 1.07 0.000667427 0.000607443 0.046314 0.0418491 38 3186 24 6.79088e+06 242496 678818. 2348.85 5.34 0.211195 0.18649 25966 169698 -1 2543 18 1209 3681 191974 42449 5.52788 5.52788 -131.717 -5.52788 0 0 902133. 3121.57 0.39 0.08 0.15 -1 -1 0.39 0.0328533 0.0297946 118 171 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_009.v common 11.43 vpr 64.55 MiB 0.02 7084 -1 -1 12 0.22 -1 -1 36240 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 31 32 234 266 1 191 81 17 17 289 -1 unnamed_device 26.0 MiB 3.29 1126 12681 3896 6610 2175 64.6 MiB 0.11 0.00 5.49223 -117.258 -5.49223 5.49223 1.05 0.000601211 0.00054549 0.0464435 0.0420235 38 2615 21 6.79088e+06 242496 678818. 2348.85 4.51 0.21746 0.190399 25966 169698 -1 2220 15 1028 2381 129338 29291 5.86813 5.86813 -131.941 -5.86813 0 0 902133. 3121.57 0.37 0.06 0.16 -1 -1 0.37 0.025867 0.0233924 111 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_010.v common 9.22 vpr 64.67 MiB 0.02 7020 -1 -1 13 0.25 -1 -1 36208 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 253 285 1 183 80 17 17 289 -1 unnamed_device 26.1 MiB 1.93 1052 5240 987 4120 133 64.7 MiB 0.06 0.00 5.99697 -135.029 -5.99697 5.99697 1.01 0.000623131 0.000542983 0.0225388 0.0205285 36 3183 50 6.79088e+06 215552 648988. 2245.63 3.76 0.182467 0.160779 25390 158009 -1 2533 14 1109 2700 167205 37799 6.49817 6.49817 -163.727 -6.49817 0 0 828058. 2865.25 0.36 0.07 0.15 -1 -1 0.36 0.027392 0.0250102 107 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_011.v common 7.56 vpr 64.56 MiB 0.02 7104 -1 -1 12 0.23 -1 -1 36668 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 30 32 217 249 1 159 78 17 17 289 -1 unnamed_device 26.1 MiB 1.77 828 4892 1016 3711 165 64.6 MiB 0.05 0.00 5.99697 -122.038 -5.99697 5.99697 1.05 0.000506474 0.000455052 0.0189688 0.0173214 38 2340 26 6.79088e+06 215552 678818. 2348.85 2.17 0.139874 0.123195 25966 169698 -1 1901 18 938 2456 141158 33095 6.24757 6.24757 -137.45 -6.24757 0 0 902133. 3121.57 0.40 0.07 0.16 -1 -1 0.40 0.0266616 0.0241618 93 126 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_012.v common 9.46 vpr 64.72 MiB 0.02 7092 -1 -1 12 0.17 -1 -1 36096 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 227 259 1 170 78 17 17 289 -1 unnamed_device 26.3 MiB 2.22 1053 6552 1504 4379 669 64.7 MiB 0.06 0.00 5.6029 -133.233 -5.6029 5.6029 0.98 0.000538068 0.000487789 0.0238168 0.0216383 38 2791 29 6.79088e+06 188608 678818. 2348.85 3.88 0.172908 0.152705 25966 169698 -1 2413 16 996 2561 149682 32693 5.8535 5.8535 -151.162 -5.8535 0 0 902133. 3121.57 0.37 0.07 0.15 -1 -1 0.37 0.0264287 0.0241184 94 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_013.v common 9.75 vpr 65.12 MiB 0.02 7024 -1 -1 13 0.32 -1 -1 36688 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 306 338 1 226 85 17 17 289 -1 unnamed_device 26.6 MiB 1.68 1240 14965 4802 7701 2462 65.1 MiB 0.13 0.00 6.71306 -141.158 -6.71306 6.71306 0.97 0.000664091 0.00059687 0.060147 0.0543672 44 3042 21 6.79088e+06 282912 787024. 2723.27 4.44 0.314964 0.279498 27118 194962 -1 2575 17 1240 3597 179990 41377 6.83836 6.83836 -154.705 -6.83836 0 0 997811. 3452.63 0.40 0.08 0.17 -1 -1 0.40 0.0371674 0.0340192 148 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_014.v common 11.23 vpr 65.12 MiB 0.02 7176 -1 -1 14 0.42 -1 -1 36768 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 302 334 1 227 85 17 17 289 -1 unnamed_device 26.5 MiB 2.21 1378 14407 4698 7749 1960 65.1 MiB 0.13 0.00 7.55456 -155.259 -7.55456 7.55456 1.02 0.000705975 0.000635708 0.0594012 0.0537108 44 3389 32 6.79088e+06 282912 787024. 2723.27 5.02 0.352517 0.311117 27118 194962 -1 2815 18 1397 3707 204852 46291 7.67985 7.67985 -168.616 -7.67985 0 0 997811. 3452.63 0.46 0.09 0.19 -1 -1 0.46 0.0364964 0.0330203 149 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_015.v common 10.60 vpr 64.55 MiB 0.02 6880 -1 -1 11 0.22 -1 -1 36232 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 29 32 238 270 1 181 81 17 17 289 -1 unnamed_device 26.0 MiB 1.86 994 11981 3577 6276 2128 64.6 MiB 0.10 0.00 5.70368 -111.95 -5.70368 5.70368 1.05 0.000549 0.000499436 0.0416847 0.0379145 36 2967 24 6.79088e+06 269440 648988. 2245.63 5.17 0.18755 0.164641 25390 158009 -1 2344 18 1133 2714 160584 36469 6.16568 6.16568 -128.404 -6.16568 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0292471 0.026272 111 149 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_016.v common 10.12 vpr 65.17 MiB 0.02 7208 -1 -1 12 0.35 -1 -1 36644 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 306 338 1 232 84 17 17 289 -1 unnamed_device 26.8 MiB 3.00 1315 15639 5438 7836 2365 65.2 MiB 0.15 0.00 6.17261 -133.634 -6.17261 6.17261 1.08 0.000635494 0.000570609 0.0696892 0.0631131 46 4069 24 6.79088e+06 269440 828058. 2865.25 3.08 0.233283 0.207127 27406 200422 -1 3091 19 1776 5610 293916 65162 6.29791 6.29791 -150.722 -6.29791 0 0 1.01997e+06 3529.29 0.45 0.12 0.19 -1 -1 0.45 0.0433241 0.0394554 146 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_017.v common 11.44 vpr 65.52 MiB 0.02 7124 -1 -1 13 0.34 -1 -1 36848 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67096 32 32 311 343 1 226 85 17 17 289 -1 unnamed_device 26.7 MiB 2.00 1342 12733 4211 6185 2337 65.5 MiB 0.13 0.00 6.92025 -144.778 -6.92025 6.92025 1.06 0.000780892 0.000700963 0.0588783 0.0536581 38 3496 33 6.79088e+06 282912 678818. 2348.85 5.60 0.353014 0.310207 25966 169698 -1 2898 17 1348 3871 205831 46111 7.42145 7.42145 -164.991 -7.42145 0 0 902133. 3121.57 0.36 0.09 0.16 -1 -1 0.36 0.0381584 0.0347267 144 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_018.v common 10.06 vpr 64.72 MiB 0.02 7056 -1 -1 12 0.19 -1 -1 36292 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 230 262 1 172 80 17 17 289 -1 unnamed_device 26.2 MiB 2.36 897 7992 1874 4598 1520 64.7 MiB 0.07 0.00 5.57833 -123.346 -5.57833 5.57833 1.01 0.000545527 0.000496572 0.0274805 0.0250615 34 2982 30 6.79088e+06 215552 618332. 2139.56 4.37 0.237169 0.208727 25102 150614 -1 2269 18 1127 2966 185033 45728 5.95423 5.95423 -146.111 -5.95423 0 0 787024. 2723.27 0.33 0.08 0.13 -1 -1 0.33 0.0293743 0.0265521 104 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_019.v common 9.28 vpr 63.88 MiB 0.02 6796 -1 -1 10 0.12 -1 -1 36264 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65408 30 32 176 208 1 138 74 17 17 289 -1 unnamed_device 25.4 MiB 2.94 726 10459 2751 7298 410 63.9 MiB 0.07 0.00 4.41351 -104.197 -4.41351 4.41351 0.96 0.000407659 0.000366686 0.0279867 0.0252799 36 2288 45 6.79088e+06 161664 648988. 2245.63 3.22 0.154925 0.136415 25390 158009 -1 1798 17 813 1838 133043 29160 4.58571 4.58571 -122.678 -4.58571 0 0 828058. 2865.25 0.33 0.06 0.13 -1 -1 0.33 0.0204697 0.0183874 67 85 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_020.v common 16.29 vpr 64.68 MiB 0.02 6932 -1 -1 13 0.22 -1 -1 36084 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 31 32 226 258 1 173 79 17 17 289 -1 unnamed_device 26.2 MiB 2.54 981 7346 1830 5059 457 64.7 MiB 0.07 0.00 6.53742 -143.365 -6.53742 6.53742 1.05 0.000521988 0.000473588 0.0271946 0.0247832 30 2737 24 6.79088e+06 215552 556674. 1926.21 10.23 0.214527 0.186958 24526 138013 -1 2282 27 1020 2438 248208 101216 6.53742 6.53742 -157.534 -6.53742 0 0 706193. 2443.58 0.31 0.11 0.13 -1 -1 0.31 0.0353368 0.0315264 99 133 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_021.v common 12.06 vpr 65.17 MiB 0.02 7200 -1 -1 13 0.39 -1 -1 36976 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 302 334 1 222 86 17 17 289 -1 unnamed_device 26.6 MiB 1.56 1291 8213 2024 5481 708 65.2 MiB 0.08 0.00 6.19723 -135.08 -6.19723 6.19723 1.03 0.000686255 0.000618823 0.0349352 0.031623 36 3756 46 6.79088e+06 296384 648988. 2245.63 6.66 0.247239 0.217218 25390 158009 -1 2975 22 1713 4887 289551 64430 6.65923 6.65923 -155.781 -6.65923 0 0 828058. 2865.25 0.35 0.12 0.15 -1 -1 0.35 0.0438281 0.0395262 143 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_022.v common 11.11 vpr 65.07 MiB 0.02 7140 -1 -1 13 0.39 -1 -1 36560 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 299 331 1 221 83 17 17 289 -1 unnamed_device 26.5 MiB 2.53 1427 11243 3192 5864 2187 65.1 MiB 0.11 0.00 6.55321 -141.386 -6.55321 6.55321 1.06 0.000730885 0.000659171 0.0500352 0.0454434 36 4097 35 6.79088e+06 255968 648988. 2245.63 4.73 0.225539 0.200596 25390 158009 -1 3215 17 1487 3976 272480 58399 7.18741 7.18741 -165.315 -7.18741 0 0 828058. 2865.25 0.36 0.10 0.15 -1 -1 0.36 0.0372882 0.0339299 141 204 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_023.v common 6.05 vpr 63.45 MiB 0.02 6716 -1 -1 9 0.11 -1 -1 36000 -1 -1 16 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64976 26 32 149 181 1 119 74 17 17 289 -1 unnamed_device 25.1 MiB 1.89 609 10614 3184 5729 1701 63.5 MiB 0.06 0.00 3.9703 -76.7287 -3.9703 3.9703 0.96 0.000336968 0.000307356 0.025146 0.0228618 30 1777 32 6.79088e+06 215552 556674. 1926.21 1.07 0.0918933 0.0814708 24526 138013 -1 1379 16 618 1338 75193 18278 4.7221 4.7221 -96.9409 -4.7221 0 0 706193. 2443.58 0.30 0.04 0.11 -1 -1 0.30 0.0144066 0.0128708 64 66 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_024.v common 21.07 vpr 64.88 MiB 0.02 6984 -1 -1 13 0.41 -1 -1 36692 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 304 336 1 222 86 17 17 289 -1 unnamed_device 26.3 MiB 2.88 1392 8591 2186 5720 685 64.9 MiB 0.09 0.00 7.1002 -146.855 -7.1002 7.1002 1.02 0.000752817 0.000683458 0.0371614 0.0337838 36 3969 41 6.79088e+06 296384 648988. 2245.63 14.32 0.364283 0.319799 25390 158009 -1 3161 21 1654 4602 340203 97790 7.55101 7.55101 -168.187 -7.55101 0 0 828058. 2865.25 0.36 0.14 0.15 -1 -1 0.36 0.0440268 0.0399465 137 209 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_025.v common 8.85 vpr 63.59 MiB 0.02 6628 -1 -1 8 0.11 -1 -1 36000 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65116 32 32 155 187 1 128 81 17 17 289 -1 unnamed_device 25.2 MiB 3.23 577 11456 4026 5365 2065 63.6 MiB 0.07 0.00 3.9703 -81.1298 -3.9703 3.9703 1.03 0.000349472 0.00031645 0.0262324 0.0238286 34 2057 38 6.79088e+06 229024 618332. 2139.56 2.26 0.117834 0.103429 25102 150614 -1 1554 18 736 1655 123744 35968 4.43224 4.43224 -103.73 -4.43224 0 0 787024. 2723.27 0.35 0.06 0.14 -1 -1 0.35 0.0192391 0.0173061 64 60 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_026.v common 9.48 vpr 64.80 MiB 0.02 7220 -1 -1 15 0.28 -1 -1 36548 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 253 285 1 192 81 17 17 289 -1 unnamed_device 26.4 MiB 2.18 1179 11631 3583 5915 2133 64.8 MiB 0.10 0.00 7.29713 -149.008 -7.29713 7.29713 0.98 0.000625873 0.000567252 0.0441708 0.0402031 38 3338 45 6.79088e+06 229024 678818. 2348.85 3.76 0.239596 0.213069 25966 169698 -1 2839 30 1347 3597 382768 148143 7.67303 7.67303 -167.573 -7.67303 0 0 902133. 3121.57 0.35 0.16 0.14 -1 -1 0.35 0.0453338 0.0407347 118 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_027.v common 9.02 vpr 65.39 MiB 0.02 7000 -1 -1 12 0.34 -1 -1 36648 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 309 341 1 218 86 17 17 289 -1 unnamed_device 26.6 MiB 2.11 1276 11993 2910 7652 1431 65.4 MiB 0.11 0.00 6.08302 -130.979 -6.08302 6.08302 1.04 0.000766547 0.000695004 0.050505 0.0456826 38 3216 21 6.79088e+06 296384 678818. 2348.85 3.10 0.227493 0.200364 25966 169698 -1 2663 16 1204 3717 176397 40799 6.08302 6.08302 -142.256 -6.08302 0 0 902133. 3121.57 0.36 0.08 0.16 -1 -1 0.36 0.0333929 0.0303137 145 214 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_028.v common 9.32 vpr 65.01 MiB 0.02 7056 -1 -1 13 0.37 -1 -1 36732 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 289 321 1 212 84 17 17 289 -1 unnamed_device 26.5 MiB 1.81 1201 5025 880 3885 260 65.0 MiB 0.05 0.00 6.84955 -138.036 -6.84955 6.84955 1.05 0.00074362 0.000689188 0.0223577 0.0204101 36 3429 48 6.79088e+06 269440 648988. 2245.63 3.69 0.220335 0.193053 25390 158009 -1 2821 20 1481 4257 276751 68119 7.31155 7.31155 -162.07 -7.31155 0 0 828058. 2865.25 0.38 0.12 0.15 -1 -1 0.38 0.0409548 0.037128 136 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_029.v common 9.05 vpr 64.30 MiB 0.02 7024 -1 -1 12 0.21 -1 -1 36456 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 32 32 239 271 1 179 83 17 17 289 -1 unnamed_device 25.8 MiB 2.56 1099 8363 1933 5634 796 64.3 MiB 0.08 0.00 5.40269 -124.362 -5.40269 5.40269 1.00 0.000565896 0.000516483 0.0324435 0.029539 36 2844 20 6.79088e+06 255968 648988. 2245.63 3.06 0.182197 0.161113 25390 158009 -1 2419 18 1042 2737 160232 35695 5.48874 5.48874 -139.192 -5.48874 0 0 828058. 2865.25 0.34 0.07 0.13 -1 -1 0.34 0.028255 0.0256018 106 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_030.v common 11.46 vpr 64.50 MiB 0.02 6912 -1 -1 11 0.21 -1 -1 36356 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 30 32 213 245 1 166 82 17 17 289 -1 unnamed_device 26.1 MiB 2.68 976 9694 2441 6317 936 64.5 MiB 0.09 0.00 5.19894 -112.905 -5.19894 5.19894 1.09 0.000515664 0.000468738 0.0350658 0.0320143 38 2447 44 6.79088e+06 269440 678818. 2348.85 5.09 0.256823 0.224799 25966 169698 -1 2110 18 1073 2633 171724 51239 5.32424 5.32424 -127.417 -5.32424 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0258255 0.0232357 97 122 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_031.v common 9.72 vpr 64.46 MiB 0.02 7000 -1 -1 11 0.22 -1 -1 36652 -1 -1 19 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 28 32 221 253 1 179 79 17 17 289 -1 unnamed_device 25.9 MiB 1.62 998 9374 2550 6233 591 64.5 MiB 0.08 0.00 5.52794 -112.188 -5.52794 5.52794 1.12 0.000900265 0.000848211 0.0353967 0.0322494 36 2943 46 6.79088e+06 255968 648988. 2245.63 4.43 0.210815 0.186285 25390 158009 -1 2263 15 1015 2545 157371 35459 5.74283 5.74283 -126.364 -5.74283 0 0 828058. 2865.25 0.37 0.07 0.15 -1 -1 0.37 0.0275922 0.0251897 107 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_032.v common 9.53 vpr 64.80 MiB 0.02 6840 -1 -1 12 0.24 -1 -1 35960 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 273 305 1 207 83 17 17 289 -1 unnamed_device 26.4 MiB 2.41 1284 8903 2302 5113 1488 64.8 MiB 0.09 0.00 5.78978 -138.424 -5.78978 5.78978 0.99 0.000672474 0.000618222 0.0352337 0.0321931 38 3314 23 6.79088e+06 255968 678818. 2348.85 3.62 0.210148 0.187211 25966 169698 -1 2689 17 1355 3290 175065 39228 6.29098 6.29098 -160.622 -6.29098 0 0 902133. 3121.57 0.36 0.08 0.14 -1 -1 0.36 0.031545 0.0287806 119 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_033.v common 8.63 vpr 64.65 MiB 0.02 6868 -1 -1 11 0.23 -1 -1 36276 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 31 32 238 270 1 181 80 17 17 289 -1 unnamed_device 26.1 MiB 2.16 933 10228 3104 5099 2025 64.6 MiB 0.09 0.00 5.15968 -117.446 -5.15968 5.15968 1.08 0.000657388 0.000594061 0.0397307 0.0361067 38 2626 19 6.79088e+06 229024 678818. 2348.85 2.75 0.18236 0.160068 25966 169698 -1 2163 16 1081 2908 148229 35178 5.56365 5.56365 -136.265 -5.56365 0 0 902133. 3121.57 0.38 0.07 0.17 -1 -1 0.38 0.0267266 0.0241305 107 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_034.v common 7.05 vpr 64.61 MiB 0.02 6940 -1 -1 10 0.20 -1 -1 36692 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 29 32 221 253 1 160 79 17 17 289 -1 unnamed_device 26.1 MiB 1.91 957 10219 2846 5746 1627 64.6 MiB 0.08 0.00 4.95172 -107.836 -4.95172 4.95172 1.07 0.000622179 0.000562067 0.035881 0.032544 30 2382 22 6.79088e+06 242496 556674. 1926.21 1.55 0.125735 0.111976 24526 138013 -1 1957 18 796 2065 106464 24923 5.28493 5.28493 -123.539 -5.28493 0 0 706193. 2443.58 0.33 0.06 0.13 -1 -1 0.33 0.0281427 0.0254158 103 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_035.v common 26.07 vpr 65.34 MiB 0.02 7240 -1 -1 13 0.44 -1 -1 37068 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 32 32 333 365 1 236 86 17 17 289 -1 unnamed_device 26.9 MiB 2.03 1470 6323 1367 4581 375 65.3 MiB 0.08 0.00 6.50941 -142.111 -6.50941 6.50941 1.10 0.00111806 0.000909627 0.0342933 0.0311242 36 4505 46 6.79088e+06 296384 648988. 2245.63 19.96 0.426996 0.375962 25390 158009 -1 3495 16 1750 5437 336089 73437 6.79921 6.79921 -161.47 -6.79921 0 0 828058. 2865.25 0.37 0.12 0.15 -1 -1 0.37 0.0401146 0.0365428 162 238 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_036.v common 13.85 vpr 65.07 MiB 0.02 6976 -1 -1 13 0.42 -1 -1 36772 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 297 329 1 231 85 17 17 289 -1 unnamed_device 26.7 MiB 2.35 1307 14593 5126 7256 2211 65.1 MiB 0.16 0.00 6.38406 -137.253 -6.38406 6.38406 1.11 0.000721925 0.000651845 0.069464 0.0629225 38 4083 47 6.79088e+06 282912 678818. 2348.85 7.45 0.298592 0.263243 25966 169698 -1 3078 19 1636 4646 254148 57852 6.92097 6.92097 -162.411 -6.92097 0 0 902133. 3121.57 0.39 0.10 0.16 -1 -1 0.39 0.04048 0.0368639 152 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_037.v common 9.55 vpr 64.55 MiB 0.02 6880 -1 -1 12 0.18 -1 -1 36584 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 31 32 234 266 1 169 81 17 17 289 -1 unnamed_device 26.1 MiB 1.58 946 9356 3043 4641 1672 64.6 MiB 0.08 0.00 5.78978 -125.721 -5.78978 5.78978 1.03 0.000724326 0.000614699 0.0321703 0.0292663 44 2454 19 6.79088e+06 242496 787024. 2723.27 4.42 0.239823 0.211612 27118 194962 -1 2065 15 938 2610 146602 32739 6.16563 6.16563 -142.122 -6.16563 0 0 997811. 3452.63 0.44 0.07 0.17 -1 -1 0.44 0.0281089 0.0255988 102 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_038.v common 9.07 vpr 64.84 MiB 0.02 7188 -1 -1 12 0.32 -1 -1 36852 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 31 32 310 342 1 217 86 17 17 289 -1 unnamed_device 26.2 MiB 1.49 1373 13694 4387 6924 2383 64.8 MiB 0.13 0.00 6.29452 -134.455 -6.29452 6.29452 1.00 0.000795499 0.000726348 0.0595205 0.0540572 36 4456 43 6.79088e+06 309856 648988. 2245.63 3.77 0.249684 0.222845 25390 158009 -1 3369 35 2037 6933 703998 248727 6.96022 6.96022 -157.527 -6.96022 0 0 828058. 2865.25 0.34 0.28 0.14 -1 -1 0.34 0.0656126 0.0591996 148 217 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_039.v common 11.15 vpr 64.75 MiB 0.02 7084 -1 -1 14 0.47 -1 -1 36752 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 31 32 284 316 1 219 84 17 17 289 -1 unnamed_device 26.2 MiB 1.58 1374 6855 1635 4548 672 64.8 MiB 0.08 0.00 6.92457 -144.114 -6.92457 6.92457 1.11 0.000830236 0.000751445 0.035329 0.032046 44 3360 23 6.79088e+06 282912 787024. 2723.27 5.38 0.306798 0.271654 27118 194962 -1 2872 15 1303 3699 196251 44533 7.34737 7.34737 -163.516 -7.34737 0 0 997811. 3452.63 0.47 0.09 0.20 -1 -1 0.47 0.0369507 0.033881 146 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_040.v common 9.88 vpr 65.09 MiB 0.02 7172 -1 -1 13 0.36 -1 -1 37244 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 271 303 1 211 84 17 17 289 -1 unnamed_device 26.6 MiB 3.08 1281 12345 3910 6076 2359 65.1 MiB 0.11 0.00 6.45902 -136.076 -6.45902 6.45902 1.08 0.000620502 0.000561732 0.0481607 0.0436965 38 3663 33 6.79088e+06 282912 678818. 2348.85 2.87 0.200496 0.176502 25966 169698 -1 2794 18 1436 3798 225092 50471 6.95679 6.95679 -156.19 -6.95679 0 0 902133. 3121.57 0.39 0.10 0.17 -1 -1 0.39 0.0346955 0.0312171 126 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_041.v common 7.58 vpr 65.04 MiB 0.02 7148 -1 -1 12 0.33 -1 -1 36628 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 31 32 280 312 1 206 86 17 17 289 -1 unnamed_device 26.6 MiB 1.27 1334 10103 2806 6088 1209 65.0 MiB 0.10 0.00 6.29447 -134.048 -6.29447 6.29447 1.08 0.000771157 0.000705796 0.0429565 0.0391 38 3380 23 6.79088e+06 309856 678818. 2348.85 2.42 0.18016 0.160386 25966 169698 -1 2799 19 1200 3583 197278 43359 6.67037 6.67037 -151.273 -6.67037 0 0 902133. 3121.57 0.39 0.09 0.16 -1 -1 0.39 0.0375715 0.0340959 135 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_042.v common 10.12 vpr 64.87 MiB 0.02 7208 -1 -1 12 0.25 -1 -1 36508 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 264 296 1 188 81 17 17 289 -1 unnamed_device 26.5 MiB 1.49 1085 11106 4075 5060 1971 64.9 MiB 0.10 0.00 6.03612 -122.551 -6.03612 6.03612 1.08 0.000592845 0.000537094 0.0441057 0.0400613 36 3009 41 6.79088e+06 229024 648988. 2245.63 4.90 0.228452 0.201175 25390 158009 -1 2455 17 1147 3013 186024 42116 6.31473 6.31473 -140.05 -6.31473 0 0 828058. 2865.25 0.34 0.08 0.16 -1 -1 0.34 0.0304149 0.0273322 113 169 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_043.v common 15.00 vpr 65.57 MiB 0.03 7316 -1 -1 14 0.63 -1 -1 36828 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 339 371 1 247 89 17 17 289 -1 unnamed_device 26.8 MiB 1.80 1630 10187 2514 6182 1491 65.6 MiB 0.11 0.00 6.99643 -149.016 -6.99643 6.99643 1.07 0.00081889 0.000737769 0.0474948 0.0428936 44 4356 41 6.79088e+06 336800 787024. 2723.27 8.78 0.391131 0.344563 27118 194962 -1 3341 19 1594 4681 255181 56256 7.28623 7.28623 -167.312 -7.28623 0 0 997811. 3452.63 0.48 0.12 0.20 -1 -1 0.48 0.0451037 0.0408351 169 244 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_044.v common 12.21 vpr 64.65 MiB 0.02 6860 -1 -1 11 0.27 -1 -1 36096 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 31 32 246 278 1 185 81 17 17 289 -1 unnamed_device 26.1 MiB 2.27 1108 9531 2615 5195 1721 64.7 MiB 0.09 0.00 5.57057 -117.295 -5.57057 5.57057 1.16 0.000751254 0.000688786 0.0409103 0.0371845 36 3053 28 6.79088e+06 242496 648988. 2245.63 6.01 0.326082 0.287285 25390 158009 -1 2539 29 1255 3390 314969 113766 5.69587 5.69587 -135.619 -5.69587 0 0 828058. 2865.25 0.38 0.15 0.15 -1 -1 0.38 0.0474752 0.0426197 113 153 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_045.v common 9.55 vpr 64.99 MiB 0.02 7312 -1 -1 13 0.35 -1 -1 36984 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 31 32 268 300 1 191 82 17 17 289 -1 unnamed_device 26.5 MiB 1.95 1202 5422 1141 3981 300 65.0 MiB 0.06 0.00 6.34486 -127.069 -6.34486 6.34486 1.02 0.000604004 0.000548498 0.024624 0.0224264 36 3312 48 6.79088e+06 255968 648988. 2245.63 3.94 0.223923 0.199299 25390 158009 -1 2642 17 1208 3875 236564 51704 6.54507 6.54507 -143.228 -6.54507 0 0 828058. 2865.25 0.35 0.10 0.13 -1 -1 0.35 0.0376353 0.0343633 132 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_046.v common 11.10 vpr 65.19 MiB 0.02 7000 -1 -1 12 0.31 -1 -1 36404 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 32 32 318 350 1 227 85 17 17 289 -1 unnamed_device 26.8 MiB 1.64 1296 14965 4146 8867 1952 65.2 MiB 0.13 0.00 6.04038 -128.677 -6.04038 6.04038 0.97 0.000649556 0.000586338 0.0606491 0.0548657 38 3781 38 6.79088e+06 282912 678818. 2348.85 5.87 0.288094 0.255728 25966 169698 -1 2812 18 1529 4541 228826 53870 6.29098 6.29098 -145.421 -6.29098 0 0 902133. 3121.57 0.36 0.10 0.14 -1 -1 0.36 0.0389683 0.0354839 153 223 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_047.v common 10.26 vpr 64.93 MiB 0.02 7204 -1 -1 13 0.32 -1 -1 36608 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 273 305 1 198 83 17 17 289 -1 unnamed_device 26.5 MiB 1.67 1205 13763 4977 6597 2189 64.9 MiB 0.12 0.00 5.99697 -128.982 -5.99697 5.99697 1.03 0.00064217 0.000581387 0.0555413 0.0502857 38 3440 31 6.79088e+06 255968 678818. 2348.85 4.79 0.242715 0.214386 25966 169698 -1 2606 19 1302 3707 192722 44432 6.45897 6.45897 -146.747 -6.45897 0 0 902133. 3121.57 0.38 0.09 0.17 -1 -1 0.38 0.0349366 0.0315853 131 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_048.v common 11.06 vpr 65.03 MiB 0.02 7192 -1 -1 13 0.30 -1 -1 36372 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 26.6 MiB 2.56 1142 9706 2833 4964 1909 65.0 MiB 0.09 0.00 6.79572 -137.321 -6.79572 6.79572 1.04 0.000620777 0.000568008 0.0406576 0.0367213 38 2958 19 6.79088e+06 229024 678818. 2348.85 4.80 0.259991 0.229273 25966 169698 -1 2335 15 1082 2890 149421 34304 7.00712 7.00712 -154.104 -7.00712 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.0281748 0.025566 118 174 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_049.v common 12.86 vpr 65.35 MiB 0.02 7188 -1 -1 12 0.37 -1 -1 37020 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 32 32 298 330 1 217 87 17 17 289 -1 unnamed_device 26.6 MiB 2.56 1451 6039 1220 4218 601 65.3 MiB 0.07 0.00 6.49047 -143.269 -6.49047 6.49047 1.06 0.00074075 0.000672929 0.0282874 0.0257838 38 3768 49 6.79088e+06 309856 678818. 2348.85 6.37 0.353367 0.309854 25966 169698 -1 3119 18 1371 4362 252936 53546 6.62347 6.62347 -157.81 -6.62347 0 0 902133. 3121.57 0.40 0.11 0.17 -1 -1 0.40 0.0415318 0.0377511 150 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_050.v common 11.84 vpr 65.16 MiB 0.02 7088 -1 -1 13 0.37 -1 -1 36940 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 299 331 1 229 84 17 17 289 -1 unnamed_device 26.7 MiB 2.76 1376 11613 2958 6676 1979 65.2 MiB 0.12 0.00 6.71306 -143.577 -6.71306 6.71306 1.04 0.000670516 0.00060062 0.0510536 0.0463275 44 3361 18 6.79088e+06 269440 787024. 2723.27 5.13 0.30595 0.268756 27118 194962 -1 2762 17 1255 3418 176067 40541 6.96366 6.96366 -160.542 -6.96366 0 0 997811. 3452.63 0.43 0.08 0.19 -1 -1 0.43 0.0355764 0.0322824 143 204 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_051.v common 10.19 vpr 64.98 MiB 0.02 7052 -1 -1 14 0.33 -1 -1 36600 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 32 32 259 291 1 195 82 17 17 289 -1 unnamed_device 26.6 MiB 2.53 1167 8092 1853 5907 332 65.0 MiB 0.08 0.00 6.96377 -144.578 -6.96377 6.96377 0.99 0.000625918 0.000572692 0.0312953 0.0284667 38 3331 22 6.79088e+06 242496 678818. 2348.85 4.07 0.202103 0.179489 25966 169698 -1 2739 17 1294 3690 203166 45436 7.29349 7.29349 -164.451 -7.29349 0 0 902133. 3121.57 0.36 0.09 0.15 -1 -1 0.36 0.0345597 0.0315805 123 164 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_052.v common 12.19 vpr 65.29 MiB 0.02 7048 -1 -1 13 0.34 -1 -1 36672 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 32 32 293 325 1 216 84 17 17 289 -1 unnamed_device 26.6 MiB 3.98 1273 8502 2009 5793 700 65.3 MiB 0.08 0.00 6.91681 -139.809 -6.91681 6.91681 1.02 0.000696157 0.000631733 0.0359437 0.0323866 34 3530 26 6.79088e+06 269440 618332. 2139.56 4.41 0.295635 0.259594 25102 150614 -1 3085 19 1543 4243 264572 60017 7.04976 7.04976 -163.526 -7.04976 0 0 787024. 2723.27 0.37 0.12 0.15 -1 -1 0.37 0.0431359 0.0391581 134 198 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_053.v common 10.49 vpr 65.46 MiB 0.02 7172 -1 -1 13 0.36 -1 -1 36408 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67028 31 32 311 343 1 236 86 17 17 289 -1 unnamed_device 26.9 MiB 1.48 1315 9347 2397 6636 314 65.5 MiB 0.10 0.00 6.76001 -146.752 -6.76001 6.76001 1.00 0.000731062 0.000664403 0.0418816 0.0379613 44 3453 38 6.79088e+06 309856 787024. 2723.27 5.24 0.349789 0.30978 27118 194962 -1 2816 20 1630 4713 241902 55499 7.04638 7.04638 -161.745 -7.04638 0 0 997811. 3452.63 0.41 0.10 0.17 -1 -1 0.41 0.041509 0.0377213 154 218 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_054.v common 10.40 vpr 65.47 MiB 0.02 7256 -1 -1 12 0.39 -1 -1 36708 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 32 32 324 356 1 230 88 17 17 289 -1 unnamed_device 26.9 MiB 1.70 1348 11203 2729 6471 2003 65.5 MiB 0.12 0.00 6.37282 -138.756 -6.37282 6.37282 1.04 0.000768653 0.000700785 0.0509765 0.0460848 38 4044 30 6.79088e+06 323328 678818. 2348.85 4.76 0.255269 0.224711 25966 169698 -1 3025 20 1790 5021 261696 61077 6.99937 6.99937 -169.641 -6.99937 0 0 902133. 3121.57 0.37 0.11 0.16 -1 -1 0.37 0.041365 0.0373346 157 229 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_055.v common 11.09 vpr 64.18 MiB 0.02 6868 -1 -1 11 0.17 -1 -1 36568 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 32 32 216 248 1 162 77 17 17 289 -1 unnamed_device 25.8 MiB 1.84 905 10183 2784 6110 1289 64.2 MiB 0.08 0.00 5.1486 -113.282 -5.1486 5.1486 1.10 0.000513922 0.00046708 0.0360558 0.0327706 44 2210 21 6.79088e+06 175136 787024. 2723.27 5.59 0.246402 0.216621 27118 194962 -1 1785 14 908 2242 124200 30189 5.59941 5.59941 -133.018 -5.59941 0 0 997811. 3452.63 0.43 0.06 0.19 -1 -1 0.43 0.0240241 0.0219395 90 121 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_056.v common 12.04 vpr 64.60 MiB 0.02 6880 -1 -1 13 0.25 -1 -1 36188 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 245 277 1 192 81 17 17 289 -1 unnamed_device 26.3 MiB 3.21 1100 10581 3170 5156 2255 64.6 MiB 0.10 0.00 6.38411 -139.812 -6.38411 6.38411 1.11 0.000599397 0.000546551 0.0419062 0.0381124 40 2477 15 6.79088e+06 229024 706193. 2443.58 4.97 0.250029 0.221408 26254 175826 -1 2518 16 1116 2774 201576 45090 7.13591 7.13591 -162.483 -7.13591 0 0 926341. 3205.33 0.40 0.08 0.17 -1 -1 0.40 0.0304133 0.0277839 113 150 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_057.v common 12.76 vpr 65.71 MiB 0.02 7308 -1 -1 14 0.61 -1 -1 36592 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 32 32 361 393 1 262 88 17 17 289 -1 unnamed_device 27.0 MiB 1.44 1451 15103 4235 8170 2698 65.7 MiB 0.17 0.00 7.1786 -148.537 -7.1786 7.1786 1.08 0.000921536 0.000833555 0.0759948 0.0688436 46 4592 39 6.79088e+06 323328 828058. 2865.25 6.91 0.410727 0.359777 27406 200422 -1 3408 22 2257 6882 361495 81743 7.6798 7.6798 -176.216 -7.6798 0 0 1.01997e+06 3529.29 0.43 0.14 0.20 -1 -1 0.43 0.0488906 0.044063 180 266 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_058.v common 12.95 vpr 65.42 MiB 0.02 6960 -1 -1 13 0.42 -1 -1 36960 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66988 32 32 318 350 1 242 85 17 17 289 -1 unnamed_device 26.8 MiB 2.95 1494 10129 2614 6422 1093 65.4 MiB 0.11 0.00 6.72087 -147.435 -6.72087 6.72087 1.07 0.00122655 0.00114373 0.0505377 0.0455899 38 3571 22 6.79088e+06 282912 678818. 2348.85 6.04 0.380627 0.3349 25966 169698 -1 2964 15 1369 3751 192500 43651 7.1863 7.1863 -167.298 -7.1863 0 0 902133. 3121.57 0.37 0.09 0.16 -1 -1 0.37 0.0384899 0.0348553 154 223 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_059.v common 9.89 vpr 64.46 MiB 0.02 7072 -1 -1 11 0.20 -1 -1 36364 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 30 32 223 255 1 164 79 17 17 289 -1 unnamed_device 26.0 MiB 0.82 862 13768 5629 6168 1971 64.5 MiB 0.11 0.00 5.53143 -117.9 -5.53143 5.53143 1.02 0.000476823 0.000432964 0.0458648 0.0415977 34 2921 46 6.79088e+06 229024 618332. 2139.56 5.60 0.277218 0.244279 25102 150614 -1 2288 16 1083 3033 189211 43265 5.65673 5.65673 -134.585 -5.65673 0 0 787024. 2723.27 0.35 0.08 0.13 -1 -1 0.35 0.0281284 0.0255024 99 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_060.v common 27.44 vpr 65.48 MiB 0.03 7492 -1 -1 15 0.59 -1 -1 37548 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 335 367 1 254 88 17 17 289 -1 unnamed_device 27.0 MiB 1.67 1646 7693 1869 5001 823 65.5 MiB 0.09 0.00 7.5189 -157.368 -7.5189 7.5189 1.07 0.000809334 0.000731519 0.0393029 0.0357235 36 4613 46 6.79088e+06 323328 648988. 2245.63 21.55 0.41654 0.366832 25390 158009 -1 3851 20 1971 5801 398443 87439 8.30292 8.30292 -194.228 -8.30292 0 0 828058. 2865.25 0.40 0.15 0.16 -1 -1 0.40 0.0503289 0.0457341 172 240 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_061.v common 16.15 vpr 65.27 MiB 0.02 7228 -1 -1 13 0.42 -1 -1 36496 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 301 333 1 229 86 17 17 289 -1 unnamed_device 26.6 MiB 1.38 1396 10103 2895 6092 1116 65.3 MiB 0.10 0.00 6.61551 -143.991 -6.61551 6.61551 0.97 0.000743313 0.000677276 0.0422389 0.0384738 34 4155 30 6.79088e+06 296384 618332. 2139.56 11.12 0.380806 0.338268 25102 150614 -1 3267 20 1490 4102 250685 55939 6.96017 6.96017 -169.513 -6.96017 0 0 787024. 2723.27 0.34 0.11 0.13 -1 -1 0.34 0.0429383 0.0390536 149 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_062.v common 10.91 vpr 64.44 MiB 0.02 7048 -1 -1 11 0.17 -1 -1 36460 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 32 32 238 270 1 173 80 17 17 289 -1 unnamed_device 25.9 MiB 2.10 1004 11432 3918 5353 2161 64.4 MiB 0.09 0.00 5.82549 -130.589 -5.82549 5.82549 1.02 0.000514838 0.000465447 0.0386936 0.0350768 34 2943 29 6.79088e+06 215552 618332. 2139.56 5.23 0.240646 0.210574 25102 150614 -1 2405 30 1076 2725 295684 111421 5.95079 5.95079 -145.374 -5.95079 0 0 787024. 2723.27 0.36 0.14 0.15 -1 -1 0.36 0.0417797 0.0373274 97 143 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_063.v common 12.21 vpr 65.39 MiB 0.03 7440 -1 -1 12 0.38 -1 -1 36380 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 308 340 1 226 85 17 17 289 -1 unnamed_device 26.6 MiB 2.03 1356 13663 3509 8297 1857 65.4 MiB 0.13 0.00 6.32253 -138.894 -6.32253 6.32253 1.07 0.000735055 0.000659227 0.059245 0.0533364 44 3287 29 6.79088e+06 282912 787024. 2723.27 6.18 0.328949 0.289533 27118 194962 -1 2834 15 1285 3883 210856 46826 6.67037 6.67037 -155.086 -6.67037 0 0 997811. 3452.63 0.40 0.08 0.19 -1 -1 0.40 0.0330823 0.0300538 152 213 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_064.v common 12.00 vpr 64.73 MiB 0.02 7012 -1 -1 12 0.28 -1 -1 36012 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 32 32 253 285 1 190 80 17 17 289 -1 unnamed_device 26.4 MiB 2.36 1037 7820 2590 3887 1343 64.7 MiB 0.08 0.00 6.04387 -130.269 -6.04387 6.04387 1.08 0.000604512 0.000543205 0.0339362 0.0308274 44 3041 47 6.79088e+06 215552 787024. 2723.27 5.77 0.283542 0.247884 27118 194962 -1 2442 16 1190 3201 208965 47109 6.29447 6.29447 -153.193 -6.29447 0 0 997811. 3452.63 0.44 0.09 0.19 -1 -1 0.44 0.0306798 0.0279428 115 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_065.v common 6.63 vpr 64.56 MiB 0.02 6888 -1 -1 12 0.25 -1 -1 36244 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66108 30 32 227 259 1 163 81 17 17 289 -1 unnamed_device 26.1 MiB 1.73 881 12856 3743 7194 1919 64.6 MiB 0.09 0.00 6.34486 -126.195 -6.34486 6.34486 0.96 0.000478791 0.00043365 0.0408075 0.0370593 30 2208 25 6.79088e+06 255968 556674. 1926.21 1.42 0.136742 0.121978 24526 138013 -1 1868 17 868 2338 113709 28546 6.59546 6.59546 -141.505 -6.59546 0 0 706193. 2443.58 0.31 0.06 0.13 -1 -1 0.31 0.0284039 0.025638 105 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_066.v common 9.66 vpr 65.10 MiB 0.03 7112 -1 -1 12 0.38 -1 -1 36836 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 29 32 292 324 1 202 85 17 17 289 -1 unnamed_device 26.6 MiB 1.73 1153 8269 2129 5014 1126 65.1 MiB 0.09 0.00 6.55742 -124.037 -6.55742 6.55742 1.08 0.000846732 0.000764016 0.0373553 0.0338391 34 3508 41 6.79088e+06 323328 618332. 2139.56 4.00 0.219175 0.193812 25102 150614 -1 2892 18 1343 4193 271108 59741 6.83487 6.83487 -144.049 -6.83487 0 0 787024. 2723.27 0.36 0.10 0.14 -1 -1 0.36 0.0375365 0.0341156 144 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_067.v common 13.84 vpr 65.30 MiB 0.02 7232 -1 -1 14 0.44 -1 -1 36768 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66868 32 32 316 348 1 248 86 17 17 289 -1 unnamed_device 26.6 MiB 3.19 1427 8780 2238 5534 1008 65.3 MiB 0.09 0.00 6.92451 -144.913 -6.92451 6.92451 1.03 0.000721747 0.000652002 0.0401866 0.0364574 44 3901 41 6.79088e+06 296384 787024. 2723.27 6.59 0.389988 0.341694 27118 194962 -1 3021 18 1619 4099 228995 50978 7.30041 7.30041 -165.868 -7.30041 0 0 997811. 3452.63 0.46 0.11 0.19 -1 -1 0.46 0.0421151 0.0384025 155 221 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_068.v common 10.40 vpr 65.14 MiB 0.02 7032 -1 -1 12 0.31 -1 -1 36876 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 32 32 286 318 1 212 83 17 17 289 -1 unnamed_device 26.6 MiB 1.79 1248 11243 3116 6113 2014 65.1 MiB 0.12 0.00 6.20837 -138.563 -6.20837 6.20837 1.06 0.000764302 0.000701544 0.0532668 0.0488244 38 3532 22 6.79088e+06 255968 678818. 2348.85 4.78 0.248782 0.222184 25966 169698 -1 2850 17 1400 3971 222581 48958 6.45897 6.45897 -155.894 -6.45897 0 0 902133. 3121.57 0.37 0.10 0.14 -1 -1 0.37 0.0374552 0.0340187 137 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_069.v common 7.26 vpr 64.36 MiB 0.02 7040 -1 -1 12 0.20 -1 -1 36524 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 32 32 221 253 1 164 79 17 17 289 -1 unnamed_device 25.9 MiB 1.74 985 9036 2218 6308 510 64.4 MiB 0.08 0.00 5.91857 -125.482 -5.91857 5.91857 1.08 0.000506301 0.000459355 0.0320123 0.0290485 34 2664 23 6.79088e+06 202080 618332. 2139.56 1.88 0.166099 0.145517 25102 150614 -1 2220 15 857 2300 142338 31335 6.04387 6.04387 -139.173 -6.04387 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0230346 0.020771 95 126 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_070.v common 8.76 vpr 64.81 MiB 0.02 7128 -1 -1 12 0.29 -1 -1 36076 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 261 293 1 187 81 17 17 289 -1 unnamed_device 26.4 MiB 2.58 1080 7606 1876 4477 1253 64.8 MiB 0.07 0.00 6.07958 -129.05 -6.07958 6.07958 1.07 0.000675103 0.000608626 0.0306552 0.0278124 36 3111 35 6.79088e+06 242496 648988. 2245.63 2.43 0.173902 0.152867 25390 158009 -1 2495 16 1162 3118 191500 42883 6.36938 6.36938 -148.809 -6.36938 0 0 828058. 2865.25 0.35 0.08 0.15 -1 -1 0.35 0.0320187 0.0291587 114 168 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_071.v common 11.36 vpr 64.82 MiB 0.02 7052 -1 -1 11 0.25 -1 -1 36628 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 30 32 277 309 1 200 84 17 17 289 -1 unnamed_device 26.2 MiB 3.37 1171 10149 2747 5514 1888 64.8 MiB 0.09 0.00 5.61753 -118.579 -5.61753 5.61753 1.01 0.000727388 0.000661608 0.0409086 0.0370549 36 3708 36 6.79088e+06 296384 648988. 2245.63 4.34 0.201739 0.18001 25390 158009 -1 2900 24 1556 5189 417848 125249 6.07947 6.07947 -141.27 -6.07947 0 0 828058. 2865.25 0.34 0.15 0.13 -1 -1 0.34 0.0419033 0.0377116 129 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_072.v common 9.57 vpr 64.60 MiB 0.02 7132 -1 -1 11 0.28 -1 -1 36468 -1 -1 21 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 28 32 251 283 1 191 81 17 17 289 -1 unnamed_device 26.2 MiB 1.80 968 12331 5121 6767 443 64.6 MiB 0.11 0.00 5.70363 -105.841 -5.70363 5.70363 1.15 0.000709429 0.000645705 0.0497125 0.0450911 44 2924 27 6.79088e+06 282912 787024. 2723.27 3.71 0.221772 0.195201 27118 194962 -1 2219 20 1182 3266 176346 41413 5.95074 5.95074 -120.892 -5.95074 0 0 997811. 3452.63 0.45 0.08 0.20 -1 -1 0.45 0.0334512 0.0299447 125 164 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_073.v common 10.97 vpr 64.61 MiB 0.02 7084 -1 -1 13 0.25 -1 -1 36348 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 30 32 223 255 1 173 78 17 17 289 -1 unnamed_device 26.0 MiB 3.81 1091 11532 3532 6500 1500 64.6 MiB 0.10 0.00 6.25532 -124.609 -6.25532 6.25532 1.12 0.000722578 0.000663705 0.0438179 0.039551 36 2771 44 6.79088e+06 215552 648988. 2245.63 3.34 0.209234 0.183752 25390 158009 -1 2325 16 1051 2617 149329 34154 6.50592 6.50592 -141.87 -6.50592 0 0 828058. 2865.25 0.36 0.07 0.16 -1 -1 0.36 0.0277817 0.0252788 104 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_074.v common 10.21 vpr 64.95 MiB 0.02 6892 -1 -1 12 0.24 -1 -1 36200 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 269 301 1 197 84 17 17 289 -1 unnamed_device 26.5 MiB 2.32 1227 4659 829 3322 508 65.0 MiB 0.05 0.00 6.07958 -132.59 -6.07958 6.07958 1.08 0.000640903 0.000585379 0.0217817 0.0199631 36 3027 38 6.79088e+06 269440 648988. 2245.63 4.23 0.225427 0.200079 25390 158009 -1 2569 17 1111 2857 175508 38939 6.33018 6.33018 -151.646 -6.33018 0 0 828058. 2865.25 0.37 0.09 0.14 -1 -1 0.37 0.0355728 0.0324239 125 174 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_075.v common 11.97 vpr 65.02 MiB 0.03 7224 -1 -1 13 0.39 -1 -1 36428 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 31 32 283 315 1 193 83 17 17 289 -1 unnamed_device 26.5 MiB 2.57 1211 9983 2563 5846 1574 65.0 MiB 0.10 0.00 6.54518 -134.181 -6.54518 6.54518 1.12 0.000815746 0.000743962 0.0454751 0.041238 36 3127 34 6.79088e+06 269440 648988. 2245.63 5.24 0.23935 0.210111 25390 158009 -1 2817 30 1298 3870 393068 160512 7.00718 7.00718 -153.673 -7.00718 0 0 828058. 2865.25 0.38 0.19 0.16 -1 -1 0.38 0.0535743 0.047733 137 190 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_076.v common 9.95 vpr 65.43 MiB 0.02 7184 -1 -1 14 0.35 -1 -1 36612 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 308 340 1 224 85 17 17 289 -1 unnamed_device 26.6 MiB 1.79 1335 13849 3841 7381 2627 65.4 MiB 0.14 0.00 7.22905 -148.195 -7.22905 7.22905 1.13 0.000772026 0.000700328 0.0627158 0.0567611 36 3519 23 6.79088e+06 282912 648988. 2245.63 4.12 0.259545 0.229145 25390 158009 -1 2969 19 1466 3928 222434 49949 7.73024 7.73024 -174.874 -7.73024 0 0 828058. 2865.25 0.38 0.11 0.16 -1 -1 0.38 0.0430126 0.0390934 149 213 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_077.v common 10.34 vpr 64.90 MiB 0.02 7052 -1 -1 14 0.33 -1 -1 36472 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 277 309 1 209 84 17 17 289 -1 unnamed_device 26.4 MiB 2.92 1267 13260 4128 6827 2305 64.9 MiB 0.12 0.00 6.79583 -138.47 -6.79583 6.79583 1.04 0.000644809 0.000586902 0.055469 0.0504537 36 3821 36 6.79088e+06 269440 648988. 2245.63 3.49 0.224877 0.20118 25390 158009 -1 3131 22 1386 4022 332419 89996 7.17173 7.17173 -157.966 -7.17173 0 0 828058. 2865.25 0.41 0.14 0.15 -1 -1 0.41 0.0439564 0.0397965 136 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_078.v common 10.23 vpr 65.10 MiB 0.02 7220 -1 -1 13 0.46 -1 -1 36964 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 288 320 1 210 83 17 17 289 -1 unnamed_device 26.6 MiB 2.40 1211 9803 2713 5326 1764 65.1 MiB 0.11 0.00 6.67391 -137.428 -6.67391 6.67391 1.09 0.00064743 0.000581079 0.0454543 0.0412424 40 2953 19 6.79088e+06 255968 706193. 2443.58 3.72 0.235522 0.208569 26254 175826 -1 2865 19 1463 4308 281698 61460 7.14705 7.14705 -156.84 -7.14705 0 0 926341. 3205.33 0.42 0.12 0.17 -1 -1 0.42 0.0428972 0.039093 139 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_079.v common 8.29 vpr 64.64 MiB 0.02 6940 -1 -1 13 0.25 -1 -1 36480 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 30 32 230 262 1 176 78 17 17 289 -1 unnamed_device 26.1 MiB 2.14 1056 10204 2997 6469 738 64.6 MiB 0.09 0.00 5.84133 -125.224 -5.84133 5.84133 1.13 0.000820604 0.000751288 0.0414194 0.0376702 36 2747 49 6.79088e+06 215552 648988. 2245.63 2.31 0.197596 0.173626 25390 158009 -1 2310 14 989 2388 141454 31706 6.47553 6.47553 -144.68 -6.47553 0 0 828058. 2865.25 0.38 0.07 0.16 -1 -1 0.38 0.0256142 0.0232594 106 139 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_080.v common 10.78 vpr 65.20 MiB 0.02 7084 -1 -1 13 0.56 -1 -1 36564 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 30 32 294 326 1 222 85 17 17 289 -1 unnamed_device 26.6 MiB 1.70 1353 11989 3177 6595 2217 65.2 MiB 0.11 0.00 6.80265 -142.99 -6.80265 6.80265 1.02 0.000701943 0.000636994 0.0521128 0.0475257 34 3865 49 6.79088e+06 309856 618332. 2139.56 5.16 0.284324 0.25412 25102 150614 -1 3240 21 1670 4279 337255 86329 7.71551 7.71551 -171.108 -7.71551 0 0 787024. 2723.27 0.33 0.14 0.13 -1 -1 0.33 0.0457464 0.0417537 144 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_081.v common 10.39 vpr 65.10 MiB 0.02 7268 -1 -1 14 0.40 -1 -1 36580 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 276 308 1 206 84 17 17 289 -1 unnamed_device 26.6 MiB 2.23 1338 11979 3434 6428 2117 65.1 MiB 0.12 0.00 6.68167 -146.217 -6.68167 6.68167 1.10 0.000631491 0.000572223 0.051574 0.0467794 38 3324 18 6.79088e+06 269440 678818. 2348.85 4.12 0.221877 0.195459 25966 169698 -1 2701 18 1293 3740 197175 43907 7.21858 7.21858 -169.126 -7.21858 0 0 902133. 3121.57 0.40 0.09 0.17 -1 -1 0.40 0.0358857 0.0322767 133 181 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_082.v common 10.64 vpr 65.11 MiB 0.03 7076 -1 -1 12 0.35 -1 -1 36760 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 31 32 293 325 1 212 84 17 17 289 -1 unnamed_device 26.6 MiB 2.30 1193 11979 3443 6000 2536 65.1 MiB 0.12 0.00 6.54856 -132.625 -6.54856 6.54856 1.10 0.000730604 0.000664396 0.0562795 0.0511177 30 3990 35 6.79088e+06 282912 556674. 1926.21 4.33 0.192786 0.171107 24526 138013 -1 2829 31 1472 4206 420790 164301 6.67386 6.67386 -151.863 -6.67386 0 0 706193. 2443.58 0.33 0.20 0.14 -1 -1 0.33 0.058757 0.052991 143 200 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_083.v common 11.41 vpr 64.97 MiB 0.03 7320 -1 -1 13 0.32 -1 -1 36388 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 30 32 273 305 1 208 83 17 17 289 -1 unnamed_device 26.5 MiB 2.60 1262 12323 3382 7149 1792 65.0 MiB 0.12 0.00 6.93338 -129.368 -6.93338 6.93338 1.09 0.000738629 0.000667957 0.0530132 0.0482631 38 3285 18 6.79088e+06 282912 678818. 2348.85 4.93 0.270382 0.239631 25966 169698 -1 2785 15 1200 3386 176822 39439 7.12477 7.12477 -146.079 -7.12477 0 0 902133. 3121.57 0.41 0.08 0.16 -1 -1 0.41 0.032961 0.0300106 126 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_084.v common 9.41 vpr 65.50 MiB 0.02 7032 -1 -1 14 0.44 -1 -1 37148 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 310 342 1 235 85 17 17 289 -1 unnamed_device 26.7 MiB 1.80 1496 5851 1160 4193 498 65.5 MiB 0.07 0.00 6.83847 -145.508 -6.83847 6.83847 1.01 0.000870168 0.000804044 0.0317976 0.0290648 40 3511 30 6.79088e+06 282912 706193. 2443.58 3.51 0.260327 0.232279 26254 175826 -1 3454 38 2357 7011 787946 317034 7.29703 7.29703 -171.5 -7.29703 0 0 926341. 3205.33 0.39 0.35 0.15 -1 -1 0.39 0.0810511 0.0734559 154 215 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_085.v common 11.89 vpr 65.07 MiB 0.02 7156 -1 -1 11 0.36 -1 -1 36492 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 29 32 259 291 1 194 83 17 17 289 -1 unnamed_device 26.6 MiB 1.55 1099 13763 4218 7150 2395 65.1 MiB 0.12 0.00 5.74283 -113.79 -5.74283 5.74283 1.04 0.000809394 0.000726129 0.0549642 0.0498166 36 3311 35 6.79088e+06 296384 648988. 2245.63 6.56 0.256164 0.227573 25390 158009 -1 2589 20 1206 3669 216009 47704 6.11175 6.11175 -131.949 -6.11175 0 0 828058. 2865.25 0.38 0.10 0.14 -1 -1 0.38 0.038527 0.0350472 130 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_086.v common 12.37 vpr 64.62 MiB 0.02 6864 -1 -1 13 0.21 -1 -1 36576 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 225 257 1 182 78 17 17 289 -1 unnamed_device 26.1 MiB 3.85 956 3896 751 3064 81 64.6 MiB 0.05 0.00 5.77864 -135.969 -5.77864 5.77864 1.12 0.00055984 0.000500514 0.0191674 0.0173332 36 3043 31 6.79088e+06 188608 648988. 2245.63 4.73 0.188681 0.16616 25390 158009 -1 2317 19 1150 2615 160726 37934 6.11534 6.11534 -155.122 -6.11534 0 0 828058. 2865.25 0.39 0.08 0.15 -1 -1 0.39 0.0324961 0.029403 99 130 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_087.v common 12.14 vpr 64.88 MiB 0.02 7164 -1 -1 14 0.31 -1 -1 36492 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 273 305 1 212 83 17 17 289 -1 unnamed_device 26.4 MiB 2.47 1305 5123 1053 3790 280 64.9 MiB 0.06 0.00 7.04217 -146.535 -7.04217 7.04217 1.10 0.000633698 0.000573326 0.025294 0.0231141 36 3524 22 6.79088e+06 255968 648988. 2245.63 5.82 0.195644 0.171408 25390 158009 -1 2913 15 1343 3450 213179 47025 7.84435 7.84435 -172.205 -7.84435 0 0 828058. 2865.25 0.37 0.09 0.16 -1 -1 0.37 0.0307107 0.0278387 129 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_088.v common 9.76 vpr 65.60 MiB 0.02 7036 -1 -1 15 0.45 -1 -1 36932 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 32 32 322 354 1 240 86 17 17 289 -1 unnamed_device 26.9 MiB 2.19 1452 14828 4097 8696 2035 65.6 MiB 0.15 0.00 7.3152 -155.529 -7.3152 7.3152 1.02 0.000762541 0.00068769 0.0691615 0.0629925 36 4203 41 6.79088e+06 296384 648988. 2245.63 3.75 0.284373 0.254204 25390 158009 -1 3324 18 1795 4742 281237 62610 7.84862 7.84862 -179.446 -7.84862 0 0 828058. 2865.25 0.35 0.12 0.14 -1 -1 0.35 0.0413579 0.0376834 153 227 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_089.v common 9.85 vpr 64.61 MiB 0.02 6896 -1 -1 11 0.22 -1 -1 36372 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 218 250 1 160 78 17 17 289 -1 unnamed_device 26.2 MiB 2.65 1007 7714 2045 5188 481 64.6 MiB 0.07 0.00 5.37463 -117.408 -5.37463 5.37463 1.11 0.000507906 0.000461617 0.0283961 0.0258323 34 2626 46 6.79088e+06 188608 618332. 2139.56 3.59 0.19574 0.172003 25102 150614 -1 2279 16 946 2442 161948 35384 5.82544 5.82544 -137.184 -5.82544 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0266636 0.0241263 91 123 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_090.v common 10.53 vpr 64.62 MiB 0.02 6884 -1 -1 12 0.25 -1 -1 36400 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 31 32 244 276 1 185 79 17 17 289 -1 unnamed_device 26.0 MiB 1.99 1069 11402 3699 5509 2194 64.6 MiB 0.10 0.00 5.82898 -130.331 -5.82898 5.82898 1.02 0.000565339 0.000511705 0.0431243 0.0391175 36 3108 50 6.79088e+06 215552 648988. 2245.63 4.93 0.300945 0.264521 25390 158009 -1 2531 19 1170 2978 175560 40360 6.20488 6.20488 -150.218 -6.20488 0 0 828058. 2865.25 0.37 0.08 0.15 -1 -1 0.37 0.0326038 0.0295221 111 151 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_091.v common 8.55 vpr 65.16 MiB 0.02 7028 -1 -1 12 0.38 -1 -1 36568 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 301 333 1 214 84 17 17 289 -1 unnamed_device 26.6 MiB 1.65 1333 7770 1879 4830 1061 65.2 MiB 0.08 0.00 6.42321 -133.875 -6.42321 6.42321 0.99 0.000713497 0.000650692 0.037328 0.0340617 36 3576 34 6.79088e+06 269440 648988. 2245.63 3.26 0.230144 0.205304 25390 158009 -1 2881 15 1292 3519 202549 46714 6.54851 6.54851 -153.254 -6.54851 0 0 828058. 2865.25 0.34 0.09 0.14 -1 -1 0.34 0.0359955 0.0330917 145 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_092.v common 10.75 vpr 64.94 MiB 0.02 7260 -1 -1 12 0.29 -1 -1 36460 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 278 310 1 207 83 17 17 289 -1 unnamed_device 26.5 MiB 1.94 1323 13043 3771 7206 2066 64.9 MiB 0.11 0.00 6.47021 -137.3 -6.47021 6.47021 0.97 0.000588593 0.000536225 0.0495388 0.0450738 44 3202 22 6.79088e+06 255968 787024. 2723.27 5.13 0.310625 0.277131 27118 194962 -1 2706 17 1184 3456 200664 43933 6.93221 6.93221 -155.193 -6.93221 0 0 997811. 3452.63 0.43 0.09 0.17 -1 -1 0.43 0.0357134 0.0325672 133 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_093.v common 10.76 vpr 65.35 MiB 0.02 7204 -1 -1 14 0.60 -1 -1 36844 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 32 32 333 365 1 242 87 17 17 289 -1 unnamed_device 26.9 MiB 1.69 1389 5271 1002 4135 134 65.3 MiB 0.07 0.00 7.34316 -151.316 -7.34316 7.34316 1.08 0.000814078 0.000725888 0.0302201 0.0275261 38 3992 33 6.79088e+06 309856 678818. 2348.85 4.84 0.269707 0.238938 25966 169698 -1 3178 19 1619 4903 246728 56660 7.46846 7.46846 -167.758 -7.46846 0 0 902133. 3121.57 0.42 0.13 0.16 -1 -1 0.42 0.0514052 0.0466011 170 238 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_094.v common 8.84 vpr 64.95 MiB 0.02 7268 -1 -1 11 0.29 -1 -1 36436 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 30 32 261 293 1 195 83 17 17 289 -1 unnamed_device 26.5 MiB 2.26 1141 13583 4534 6898 2151 65.0 MiB 0.11 0.00 5.74632 -117.652 -5.74632 5.74632 0.98 0.000554994 0.000500023 0.0485254 0.0439737 36 3367 35 6.79088e+06 282912 648988. 2245.63 3.08 0.206977 0.18412 25390 158009 -1 2753 19 1376 4024 242405 52504 6.08296 6.08296 -138.485 -6.08296 0 0 828058. 2865.25 0.33 0.10 0.13 -1 -1 0.33 0.0347786 0.0315074 128 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_095.v common 6.08 vpr 64.52 MiB 0.02 7092 -1 -1 11 0.22 -1 -1 36616 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 27 32 217 249 1 154 78 17 17 289 -1 unnamed_device 26.1 MiB 1.37 905 9706 2487 6569 650 64.5 MiB 0.07 0.00 5.56719 -104.624 -5.56719 5.56719 0.97 0.000463498 0.00042049 0.0314541 0.0286756 30 2647 32 6.79088e+06 255968 556674. 1926.21 1.44 0.136007 0.121071 24526 138013 -1 2013 16 933 2520 135822 31296 5.94309 5.94309 -123.755 -5.94309 0 0 706193. 2443.58 0.30 0.06 0.12 -1 -1 0.30 0.026786 0.0242392 101 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_096.v common 12.15 vpr 65.84 MiB 0.02 7208 -1 -1 13 0.58 -1 -1 36616 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67424 32 32 373 405 1 276 93 17 17 289 -1 unnamed_device 27.2 MiB 2.34 1666 15003 4055 7926 3022 65.8 MiB 0.17 0.00 6.72081 -139.329 -6.72081 6.72081 1.05 0.000886035 0.000790588 0.0719426 0.0645874 40 4247 23 6.79088e+06 390688 706193. 2443.58 5.49 0.292978 0.258161 26254 175826 -1 4044 29 2125 6347 592785 186198 7.22196 7.22196 -161.184 -7.22196 0 0 926341. 3205.33 0.39 0.23 0.17 -1 -1 0.39 0.0641365 0.0576752 191 278 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_097.v common 20.76 vpr 64.80 MiB 0.02 7324 -1 -1 14 0.37 -1 -1 36824 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 31 32 269 301 1 201 83 17 17 289 -1 unnamed_device 26.4 MiB 1.81 1146 8903 2281 5745 877 64.8 MiB 0.09 0.00 7.18979 -146.393 -7.18979 7.18979 1.03 0.000655339 0.000594382 0.03767 0.0341398 30 3316 24 6.79088e+06 269440 556674. 1926.21 15.18 0.263995 0.231146 24526 138013 -1 2807 21 1428 3869 256174 67221 7.51186 7.51186 -167.43 -7.51186 0 0 706193. 2443.58 0.32 0.11 0.14 -1 -1 0.32 0.0385606 0.0346406 128 176 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_098.v common 9.22 vpr 64.60 MiB 0.02 6860 -1 -1 12 0.19 -1 -1 36472 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 32 32 228 260 1 188 83 17 17 289 -1 unnamed_device 26.1 MiB 2.68 1175 8183 2029 5543 611 64.6 MiB 0.07 0.00 5.79327 -138.237 -5.79327 5.79327 0.98 0.000533376 0.000488291 0.0272337 0.0248206 46 2675 20 6.79088e+06 255968 828058. 2865.25 3.03 0.176017 0.156587 27406 200422 -1 2468 16 1065 2638 153037 32561 6.38057 6.38057 -157.994 -6.38057 0 0 1.01997e+06 3529.29 0.43 0.07 0.17 -1 -1 0.43 0.0271938 0.0246579 109 133 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_099.v common 13.73 vpr 64.94 MiB 0.02 6996 -1 -1 13 0.38 -1 -1 36500 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 265 297 1 195 82 17 17 289 -1 unnamed_device 26.5 MiB 3.56 1222 6312 1404 4514 394 64.9 MiB 0.07 0.00 6.79927 -139.987 -6.79927 6.79927 1.08 0.000657746 0.000594586 0.027525 0.0250488 44 3204 32 6.79088e+06 242496 787024. 2723.27 6.30 0.287987 0.252964 27118 194962 -1 2541 16 1078 3098 176661 38736 6.79927 6.79927 -154.777 -6.79927 0 0 997811. 3452.63 0.40 0.08 0.19 -1 -1 0.40 0.0305642 0.027736 125 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_100.v common 12.26 vpr 64.99 MiB 0.02 7292 -1 -1 13 0.41 -1 -1 37068 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 31 32 325 357 1 249 88 17 17 289 -1 unnamed_device 26.6 MiB 2.53 1512 12568 3220 7578 1770 65.0 MiB 0.13 0.00 6.12997 -135.199 -6.12997 6.12997 1.04 0.000757751 0.000666814 0.054114 0.0486388 38 4352 25 6.79088e+06 336800 678818. 2348.85 5.70 0.267341 0.236162 25966 169698 -1 3412 28 1989 5890 509840 178791 6.50233 6.50233 -155.387 -6.50233 0 0 902133. 3121.57 0.39 0.22 0.16 -1 -1 0.39 0.0591776 0.0532803 159 232 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_101.v common 9.80 vpr 65.04 MiB 0.03 7124 -1 -1 11 0.29 -1 -1 36792 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 30 32 287 319 1 197 85 17 17 289 -1 unnamed_device 26.5 MiB 1.97 1073 12175 3171 6460 2544 65.0 MiB 0.12 0.00 5.83242 -116.072 -5.83242 5.83242 1.06 0.000757204 0.000677517 0.0528282 0.0475565 38 3286 40 6.79088e+06 309856 678818. 2348.85 4.03 0.258145 0.227357 25966 169698 -1 2550 17 1256 3970 205924 48451 6.33362 6.33362 -136.242 -6.33362 0 0 902133. 3121.57 0.40 0.09 0.15 -1 -1 0.40 0.034646 0.0313804 140 196 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_102.v common 8.26 vpr 65.20 MiB 0.02 7052 -1 -1 15 0.39 -1 -1 36660 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 297 329 1 220 83 17 17 289 -1 unnamed_device 26.6 MiB 1.75 1286 11243 3039 6558 1646 65.2 MiB 0.10 0.00 7.46856 -150.693 -7.46856 7.46856 0.97 0.000626623 0.000566593 0.0472258 0.0426227 40 2940 29 6.79088e+06 255968 706193. 2443.58 2.83 0.264144 0.236067 26254 175826 -1 2796 20 1376 3779 228983 52879 7.84441 7.84441 -168.32 -7.84441 0 0 926341. 3205.33 0.38 0.10 0.15 -1 -1 0.38 0.040819 0.0371241 142 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_103.v common 9.53 vpr 65.38 MiB 0.02 6968 -1 -1 13 0.38 -1 -1 36668 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 311 343 1 230 87 17 17 289 -1 unnamed_device 26.8 MiB 2.32 1370 8151 1826 5570 755 65.4 MiB 0.08 0.00 6.80265 -145.399 -6.80265 6.80265 0.95 0.000863911 0.000789583 0.0361818 0.0328996 38 3611 32 6.79088e+06 309856 678818. 2348.85 3.62 0.255246 0.227564 25966 169698 -1 2942 16 1363 4076 210389 47111 7.42915 7.42915 -167.096 -7.42915 0 0 902133. 3121.57 0.35 0.09 0.14 -1 -1 0.35 0.037101 0.0339079 154 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_104.v common 7.64 vpr 64.64 MiB 0.02 6980 -1 -1 12 0.27 -1 -1 36276 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 29 32 236 268 1 182 79 17 17 289 -1 unnamed_device 26.1 MiB 2.59 964 11909 4050 5724 2135 64.6 MiB 0.10 0.00 6.04736 -125.367 -6.04736 6.04736 1.05 0.000554159 0.00050456 0.0430904 0.0389873 30 2783 23 6.79088e+06 242496 556674. 1926.21 1.47 0.134916 0.119022 24526 138013 -1 2079 14 1031 2381 113792 27913 6.54856 6.54856 -148.372 -6.54856 0 0 706193. 2443.58 0.31 0.06 0.13 -1 -1 0.31 0.0245156 0.0221835 109 147 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_105.v common 8.65 vpr 64.59 MiB 0.02 7068 -1 -1 11 0.18 -1 -1 36412 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 231 263 1 184 78 17 17 289 -1 unnamed_device 26.1 MiB 1.68 1145 7216 1861 4799 556 64.6 MiB 0.06 0.00 5.71482 -126.252 -5.71482 5.71482 0.98 0.000527797 0.000481567 0.0255312 0.0232053 36 3704 50 6.79088e+06 188608 648988. 2245.63 3.61 0.180624 0.159985 25390 158009 -1 2719 18 1225 3147 209786 45796 6.09066 6.09066 -152.673 -6.09066 0 0 828058. 2865.25 0.36 0.08 0.14 -1 -1 0.36 0.0301351 0.0274166 98 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_106.v common 8.59 vpr 65.27 MiB 0.02 6984 -1 -1 13 0.41 -1 -1 36712 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 31 32 294 326 1 214 85 17 17 289 -1 unnamed_device 26.6 MiB 1.47 1146 13105 4549 6597 1959 65.3 MiB 0.12 0.00 6.58427 -131.594 -6.58427 6.58427 1.09 0.00065373 0.000592265 0.0545773 0.0492693 38 3533 30 6.79088e+06 296384 678818. 2348.85 3.11 0.219324 0.194762 25966 169698 -1 2790 22 1828 5120 283085 64346 7.53628 7.53628 -159.043 -7.53628 0 0 902133. 3121.57 0.37 0.11 0.17 -1 -1 0.37 0.0418123 0.0375281 144 201 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_107.v common 8.29 vpr 64.64 MiB 0.02 7092 -1 -1 10 0.23 -1 -1 36208 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 29 32 221 253 1 164 78 17 17 289 -1 unnamed_device 26.2 MiB 2.24 866 7216 1729 5173 314 64.6 MiB 0.07 0.00 4.98748 -104.487 -4.98748 4.98748 1.09 0.000601199 0.00053649 0.0270835 0.0245861 30 2848 39 6.79088e+06 229024 556674. 1926.21 2.42 0.130511 0.115332 24526 138013 -1 2140 25 1021 2862 249179 91155 5.23808 5.23808 -123.204 -5.23808 0 0 706193. 2443.58 0.32 0.11 0.13 -1 -1 0.32 0.0335754 0.0298261 98 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_108.v common 15.12 vpr 64.43 MiB 0.02 6856 -1 -1 14 0.25 -1 -1 36692 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 240 272 1 188 82 17 17 289 -1 unnamed_device 25.9 MiB 3.65 1120 5778 1200 4327 251 64.4 MiB 0.06 0.00 6.37298 -133.274 -6.37298 6.37298 1.05 0.000544935 0.000492697 0.0221739 0.0202061 36 3081 26 6.79088e+06 242496 648988. 2245.63 7.87 0.243098 0.211757 25390 158009 -1 2591 19 1153 3032 196294 42821 7.12467 7.12467 -159.681 -7.12467 0 0 828058. 2865.25 0.35 0.08 0.15 -1 -1 0.35 0.0315528 0.028393 110 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_109.v common 10.36 vpr 64.92 MiB 0.02 7008 -1 -1 12 0.40 -1 -1 36552 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 31 32 292 324 1 210 85 17 17 289 -1 unnamed_device 26.4 MiB 1.44 1228 12175 3322 6427 2426 64.9 MiB 0.12 0.00 6.13341 -132.612 -6.13341 6.13341 1.06 0.000730775 0.00065989 0.0515272 0.0463398 36 3486 49 6.79088e+06 296384 648988. 2245.63 5.01 0.275812 0.24354 25390 158009 -1 2807 19 1413 4228 241784 53579 6.54851 6.54851 -153.579 -6.54851 0 0 828058. 2865.25 0.36 0.10 0.14 -1 -1 0.36 0.040056 0.0362883 143 199 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_110.v common 8.59 vpr 64.59 MiB 0.02 6916 -1 -1 12 0.18 -1 -1 36076 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 31 32 229 261 1 179 79 17 17 289 -1 unnamed_device 26.1 MiB 2.42 1035 7684 2025 5265 394 64.6 MiB 0.06 0.00 5.4976 -121.005 -5.4976 5.4976 0.95 0.000483557 0.000439705 0.0262575 0.0238798 34 2731 34 6.79088e+06 215552 618332. 2139.56 2.96 0.15773 0.139887 25102 150614 -1 2460 15 1030 2439 158519 35835 6.11529 6.11529 -143.123 -6.11529 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0243023 0.0220607 101 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_111.v common 10.17 vpr 64.86 MiB 0.02 7160 -1 -1 12 0.24 -1 -1 36688 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 282 314 1 202 82 17 17 289 -1 unnamed_device 26.4 MiB 1.75 1236 7914 1783 5757 374 64.9 MiB 0.08 0.00 6.25876 -129.091 -6.25876 6.25876 1.05 0.000696304 0.00063353 0.0351782 0.0319914 36 3628 48 6.79088e+06 242496 648988. 2245.63 4.81 0.215256 0.191606 25390 158009 -1 2974 20 1380 4153 266676 56862 6.54507 6.54507 -152.855 -6.54507 0 0 828058. 2865.25 0.34 0.10 0.13 -1 -1 0.34 0.038696 0.0350869 123 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_112.v common 10.07 vpr 64.92 MiB 0.02 7248 -1 -1 13 0.38 -1 -1 36508 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 31 32 269 301 1 204 82 17 17 289 -1 unnamed_device 26.4 MiB 1.99 1279 10050 2777 5979 1294 64.9 MiB 0.10 0.00 6.33367 -133.326 -6.33367 6.33367 1.05 0.000680687 0.000618022 0.0415119 0.0375934 38 3331 38 6.79088e+06 255968 678818. 2348.85 4.23 0.22513 0.19681 25966 169698 -1 2731 17 1298 3748 206916 45902 6.54507 6.54507 -152.641 -6.54507 0 0 902133. 3121.57 0.37 0.08 0.16 -1 -1 0.37 0.0320413 0.0289055 134 176 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_113.v common 8.26 vpr 64.73 MiB 0.02 6948 -1 -1 11 0.22 -1 -1 36352 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 32 32 237 269 1 188 79 17 17 289 -1 unnamed_device 26.1 MiB 1.74 1170 5825 1263 4080 482 64.7 MiB 0.06 0.00 5.66792 -123.968 -5.66792 5.66792 1.07 0.000553518 0.0005028 0.0239207 0.021767 38 2980 30 6.79088e+06 202080 678818. 2348.85 2.91 0.172651 0.151255 25966 169698 -1 2509 17 1120 2990 165940 37112 6.16912 6.16912 -144.524 -6.16912 0 0 902133. 3121.57 0.37 0.07 0.16 -1 -1 0.37 0.0274094 0.0246314 105 142 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_114.v common 11.22 vpr 64.91 MiB 0.02 6868 -1 -1 13 0.27 -1 -1 36372 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 259 291 1 191 81 17 17 289 -1 unnamed_device 26.3 MiB 2.45 1063 13556 4573 7043 1940 64.9 MiB 0.12 0.00 6.03617 -131.152 -6.03617 6.03617 1.09 0.000686787 0.00062947 0.0545016 0.0493072 38 2744 28 6.79088e+06 229024 678818. 2348.85 5.00 0.301244 0.265358 25966 169698 -1 2282 18 1121 3098 154638 36298 6.24757 6.24757 -145.565 -6.24757 0 0 902133. 3121.57 0.37 0.07 0.16 -1 -1 0.37 0.0296248 0.0266575 116 164 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_115.v common 12.55 vpr 64.93 MiB 0.02 7060 -1 -1 13 0.34 -1 -1 36224 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 277 309 1 213 82 17 17 289 -1 unnamed_device 26.4 MiB 2.07 1354 12720 3433 7838 1449 64.9 MiB 0.12 0.00 6.11878 -133.886 -6.11878 6.11878 1.05 0.000693693 0.000625182 0.0537241 0.0487872 54 2946 20 6.79088e+06 242496 949917. 3286.91 6.48 0.334429 0.294774 28846 232421 -1 2556 17 1370 3762 201310 43900 6.28328 6.28328 -147.289 -6.28328 0 0 1.17392e+06 4061.99 0.49 0.09 0.23 -1 -1 0.49 0.0354543 0.0321915 130 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_116.v common 9.35 vpr 64.40 MiB 0.02 7292 -1 -1 11 0.23 -1 -1 35920 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 29 32 245 277 1 176 83 17 17 289 -1 unnamed_device 25.8 MiB 1.83 964 11243 3400 5698 2145 64.4 MiB 0.09 0.00 5.53486 -106.345 -5.53486 5.53486 1.01 0.000510505 0.000461776 0.0386224 0.0350997 36 2780 44 6.79088e+06 296384 648988. 2245.63 4.08 0.220798 0.195681 25390 158009 -1 2224 19 1034 3013 185421 40571 5.66016 5.66016 -121.842 -5.66016 0 0 828058. 2865.25 0.34 0.08 0.13 -1 -1 0.34 0.0311137 0.0281661 115 156 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_117.v common 9.77 vpr 65.28 MiB 0.02 7060 -1 -1 14 0.44 -1 -1 37424 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 316 348 1 232 86 17 17 289 -1 unnamed_device 26.7 MiB 1.99 1491 8213 2026 5627 560 65.3 MiB 0.09 0.00 7.40125 -159.232 -7.40125 7.40125 1.07 0.000737778 0.000664956 0.040604 0.0367278 36 3844 48 6.79088e+06 296384 648988. 2245.63 3.72 0.222206 0.195366 25390 158009 -1 3332 22 1597 4429 395529 137199 8.02774 8.02774 -183.413 -8.02774 0 0 828058. 2865.25 0.36 0.16 0.16 -1 -1 0.36 0.0454787 0.0410929 160 221 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_118.v common 12.42 vpr 64.60 MiB 0.02 7036 -1 -1 12 0.22 -1 -1 36176 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 31 32 230 262 1 188 81 17 17 289 -1 unnamed_device 26.1 MiB 3.60 1073 12856 3930 7113 1813 64.6 MiB 0.11 0.00 5.82893 -123.636 -5.82893 5.82893 1.11 0.000546279 0.000469888 0.0461443 0.0416163 38 2740 24 6.79088e+06 242496 678818. 2348.85 5.04 0.243481 0.214955 25966 169698 -1 2279 15 1035 2499 132791 30162 5.82893 5.82893 -135.892 -5.82893 0 0 902133. 3121.57 0.39 0.07 0.16 -1 -1 0.39 0.0266322 0.0242481 108 137 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_119.v common 11.65 vpr 65.07 MiB 0.02 7036 -1 -1 13 0.36 -1 -1 36864 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 282 314 1 208 83 17 17 289 -1 unnamed_device 26.6 MiB 2.45 1246 13223 4375 6451 2397 65.1 MiB 0.13 0.00 6.37287 -130.265 -6.37287 6.37287 1.09 0.000661254 0.000599964 0.0572928 0.0518713 38 3717 38 6.79088e+06 255968 678818. 2348.85 5.22 0.292051 0.260334 25966 169698 -1 2805 19 1455 4093 231455 52130 6.70957 6.70957 -152.409 -6.70957 0 0 902133. 3121.57 0.40 0.10 0.16 -1 -1 0.40 0.0407262 0.0371728 132 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_120.v common 11.05 vpr 64.62 MiB 0.02 7024 -1 -1 13 0.25 -1 -1 36128 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 32 32 235 267 1 182 80 17 17 289 -1 unnamed_device 26.1 MiB 2.82 1106 12292 3626 6609 2057 64.6 MiB 0.11 0.00 6.20842 -140.032 -6.20842 6.20842 1.09 0.000553562 0.000499428 0.0455427 0.0412151 36 2910 20 6.79088e+06 215552 648988. 2245.63 4.45 0.187746 0.164887 25390 158009 -1 2393 21 1101 2767 161053 36624 6.70613 6.70613 -164.31 -6.70613 0 0 828058. 2865.25 0.36 0.08 0.16 -1 -1 0.36 0.0318762 0.0284506 104 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_121.v common 8.44 vpr 65.17 MiB 0.02 7088 -1 -1 12 0.26 -1 -1 36340 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 265 297 1 189 83 17 17 289 -1 unnamed_device 26.5 MiB 2.33 1222 10343 2693 5983 1667 65.2 MiB 0.09 0.00 5.83242 -130.968 -5.83242 5.83242 1.03 0.000572872 0.000519395 0.0405515 0.0368366 36 3244 45 6.79088e+06 255968 648988. 2245.63 2.51 0.223415 0.199365 25390 158009 -1 2640 15 1047 3239 191366 41946 6.08302 6.08302 -145.739 -6.08302 0 0 828058. 2865.25 0.36 0.08 0.14 -1 -1 0.36 0.0311656 0.0284335 121 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_122.v common 10.85 vpr 65.54 MiB 0.03 7300 -1 -1 15 0.65 -1 -1 36504 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 344 376 1 260 88 17 17 289 -1 unnamed_device 27.1 MiB 2.67 1464 11788 3306 6614 1868 65.5 MiB 0.14 0.00 8.1062 -160.068 -8.1062 8.1062 1.12 0.00088977 0.000801386 0.060566 0.0547921 46 4175 40 6.79088e+06 323328 828058. 2865.25 3.71 0.27433 0.243105 27406 200422 -1 3311 18 1815 5507 289346 66058 8.3568 8.3568 -180.662 -8.3568 0 0 1.01997e+06 3529.29 0.42 0.11 0.20 -1 -1 0.42 0.0423513 0.0384364 176 249 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_123.v common 7.72 vpr 64.04 MiB 0.02 6764 -1 -1 10 0.13 -1 -1 35704 -1 -1 11 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 30 32 173 205 1 129 73 17 17 289 -1 unnamed_device 25.6 MiB 2.14 720 9801 3395 4774 1632 64.0 MiB 0.07 0.00 4.44354 -100.588 -4.44354 4.44354 1.09 0.000377119 0.000342562 0.0300548 0.0273659 34 1737 25 6.79088e+06 148192 618332. 2139.56 2.08 0.140463 0.122923 25102 150614 -1 1592 14 645 1545 91058 21380 4.44354 4.44354 -112.141 -4.44354 0 0 787024. 2723.27 0.35 0.05 0.15 -1 -1 0.35 0.0173062 0.0156251 63 82 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_124.v common 11.24 vpr 64.34 MiB 0.02 6896 -1 -1 13 0.24 -1 -1 36544 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 30 32 229 261 1 179 81 17 17 289 -1 unnamed_device 25.8 MiB 2.31 1009 10581 3564 5329 1688 64.3 MiB 0.09 0.00 6.07969 -127.299 -6.07969 6.07969 1.04 0.000650138 0.000589953 0.0373168 0.0338936 38 2567 23 6.79088e+06 255968 678818. 2348.85 5.26 0.302405 0.265797 25966 169698 -1 2110 17 1057 2568 125843 30360 6.07969 6.07969 -140.018 -6.07969 0 0 902133. 3121.57 0.39 0.07 0.17 -1 -1 0.39 0.0299972 0.0271951 105 138 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_125.v common 27.92 vpr 64.69 MiB 0.02 6888 -1 -1 12 0.23 -1 -1 36568 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 261 293 1 204 81 17 17 289 -1 unnamed_device 26.1 MiB 2.30 1055 12506 5150 7144 212 64.7 MiB 0.11 0.00 6.40514 -135.594 -6.40514 6.40514 1.00 0.000580417 0.000526867 0.0479008 0.0436402 40 2952 26 6.79088e+06 229024 706193. 2443.58 21.98 0.395774 0.349281 26254 175826 -1 2862 28 1548 3896 382677 135840 6.65574 6.65574 -155.293 -6.65574 0 0 926341. 3205.33 0.39 0.16 0.15 -1 -1 0.39 0.046057 0.0416096 115 166 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_126.v common 6.55 vpr 64.25 MiB 0.02 7080 -1 -1 9 0.18 -1 -1 36256 -1 -1 20 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 25 32 184 216 1 138 77 17 17 289 -1 unnamed_device 25.7 MiB 1.51 775 9368 2461 5476 1431 64.3 MiB 0.07 0.00 4.29134 -83.4176 -4.29134 4.29134 1.08 0.000420056 0.000382299 0.0282769 0.025764 28 2274 48 6.79088e+06 269440 531479. 1839.03 1.56 0.12405 0.108776 23950 126010 -1 1887 17 803 2086 134547 30286 4.75781 4.75781 -102.218 -4.75781 0 0 648988. 2245.63 0.29 0.06 0.12 -1 -1 0.29 0.021491 0.0192046 86 103 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_127.v common 12.02 vpr 65.28 MiB 0.02 7024 -1 -1 12 0.35 -1 -1 36240 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 302 334 1 236 87 17 17 289 -1 unnamed_device 26.5 MiB 3.06 1469 12375 3106 7573 1696 65.3 MiB 0.13 0.00 6.34142 -146.405 -6.34142 6.34142 1.11 0.000957427 0.000860379 0.0562646 0.0505874 40 3510 41 6.79088e+06 309856 706193. 2443.58 4.74 0.291565 0.258052 26254 175826 -1 3463 31 1672 4447 447127 145803 6.71732 6.71732 -167.061 -6.71732 0 0 926341. 3205.33 0.45 0.21 0.17 -1 -1 0.45 0.0612438 0.0551646 146 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_128.v common 11.60 vpr 65.14 MiB 0.02 7144 -1 -1 14 0.42 -1 -1 36988 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 295 327 1 217 85 17 17 289 -1 unnamed_device 26.3 MiB 1.58 1254 11989 3109 6424 2456 65.1 MiB 0.12 0.00 7.22905 -149.343 -7.22905 7.22905 1.09 0.000756266 0.00068726 0.0546765 0.0496846 38 3428 50 6.79088e+06 296384 678818. 2348.85 5.93 0.45338 0.404249 25966 169698 -1 2840 17 1361 4017 219577 49336 7.47965 7.47965 -167.982 -7.47965 0 0 902133. 3121.57 0.41 0.11 0.16 -1 -1 0.41 0.0438708 0.0401859 151 202 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 6.80 vpr 65.68 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 34188 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 438 350 1 202 101 17 17 289 -1 unnamed_device 26.9 MiB 1.69 944 12556 3244 8430 882 65.7 MiB 0.14 0.00 3.32249 -114.905 -3.32249 3.32249 1.07 0.000710376 0.00064743 0.041501 0.0378139 30 2943 36 6.87369e+06 517032 556674. 1926.21 1.60 0.14774 0.130057 25186 138497 -1 2003 20 1605 2585 128443 34218 3.6718 3.6718 -144.311 -3.6718 0 0 706193. 2443.58 0.31 0.07 0.14 -1 -1 0.31 0.0272035 0.023998 155 80 32 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 8.74 vpr 65.28 MiB 0.02 7528 -1 -1 1 0.04 -1 -1 34088 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 30 32 409 330 1 192 85 17 17 289 -1 unnamed_device 26.6 MiB 4.19 820 11803 2959 7058 1786 65.3 MiB 0.11 0.00 3.28949 -104.618 -3.28949 3.28949 0.99 0.000621126 0.000571098 0.0375713 0.0343854 32 2881 39 6.87369e+06 321398 586450. 2029.24 1.29 0.146806 0.13053 25474 144626 -1 2099 18 1709 2824 237521 56440 4.2133 4.2133 -142.017 -4.2133 0 0 744469. 2576.02 0.32 0.09 0.12 -1 -1 0.32 0.0289369 0.0260461 141 78 30 30 89 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 7.32 vpr 65.62 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 34164 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 387 309 1 191 100 17 17 289 -1 unnamed_device 27.0 MiB 2.50 1048 14716 4083 9435 1198 65.6 MiB 0.14 0.00 3.09176 -110.61 -3.09176 3.09176 1.07 0.000566362 0.000515249 0.0420017 0.0381239 28 2693 22 6.87369e+06 503058 531479. 1839.03 1.35 0.125596 0.111052 24610 126494 -1 2469 23 1644 2556 220862 49672 3.7734 3.7734 -143.739 -3.7734 0 0 648988. 2245.63 0.30 0.09 0.12 -1 -1 0.30 0.0297209 0.0260773 145 50 54 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 7.97 vpr 65.37 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34064 -1 -1 23 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 29 32 343 267 1 184 84 17 17 289 -1 unnamed_device 26.5 MiB 1.79 811 14724 5436 6558 2730 65.4 MiB 0.13 0.00 3.28949 -101.39 -3.28949 3.28949 1.06 0.000528311 0.000481124 0.0463778 0.0422987 36 2239 33 6.87369e+06 321398 648988. 2245.63 2.76 0.187689 0.164774 26050 158493 -1 1808 19 1591 2673 181877 44404 3.8484 3.8484 -134.443 -3.8484 0 0 828058. 2865.25 0.38 0.07 0.16 -1 -1 0.38 0.0244636 0.0216574 136 25 87 29 29 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 8.66 vpr 65.55 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 33868 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 32 32 376 288 1 202 85 17 17 289 -1 unnamed_device 26.9 MiB 2.10 988 14779 5494 6432 2853 65.5 MiB 0.14 0.00 3.44779 -122.107 -3.44779 3.44779 1.03 0.00060487 0.000554371 0.0478805 0.043857 34 3681 32 6.87369e+06 293451 618332. 2139.56 3.14 0.211008 0.187046 25762 151098 -1 2611 22 2288 4162 339766 77152 4.121 4.121 -157.425 -4.121 0 0 787024. 2723.27 0.33 0.12 0.13 -1 -1 0.33 0.0329488 0.0295071 147 31 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 6.38 vpr 65.74 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34124 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67316 32 32 402 316 1 200 103 17 17 289 -1 unnamed_device 27.0 MiB 1.57 1085 13840 4071 8630 1139 65.7 MiB 0.13 0.00 2.83325 -102.585 -2.83325 2.83325 1.07 0.000594754 0.000539452 0.0382735 0.0347025 28 2449 23 6.87369e+06 544980 531479. 1839.03 1.31 0.124634 0.109737 24610 126494 -1 2238 20 1642 2549 186181 43390 2.88996 2.88996 -121.499 -2.88996 0 0 648988. 2245.63 0.29 0.08 0.12 -1 -1 0.29 0.0279734 0.0247365 154 61 63 32 63 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 8.15 vpr 64.46 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 34408 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 27 32 269 226 1 146 79 17 17 289 -1 unnamed_device 26.1 MiB 2.94 819 13261 4441 7277 1543 64.5 MiB 0.11 0.00 2.9476 -92.9982 -2.9476 2.9476 1.09 0.000429719 0.000392934 0.0361304 0.0328172 34 1909 37 6.87369e+06 279477 618332. 2139.56 1.73 0.147183 0.128142 25762 151098 -1 1621 21 1144 1877 131979 30947 2.88796 2.88796 -107.356 -2.88796 0 0 787024. 2723.27 0.35 0.06 0.15 -1 -1 0.35 0.0213352 0.0188043 102 26 54 27 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 5.33 vpr 65.25 MiB 0.02 7340 -1 -1 1 0.03 -1 -1 33856 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 26.4 MiB 1.07 1029 12023 3097 7616 1310 65.2 MiB 0.10 0.00 2.67795 -92.7667 -2.67795 2.67795 0.99 0.000459045 0.00041899 0.0296243 0.0269476 28 2576 21 6.87369e+06 489084 531479. 1839.03 1.14 0.10977 0.0975373 24610 126494 -1 2274 23 1402 2204 170544 39683 2.97426 2.97426 -117.37 -2.97426 0 0 648988. 2245.63 0.28 0.08 0.11 -1 -1 0.28 0.0283975 0.025094 141 -1 115 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 8.26 vpr 65.12 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 33680 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 31 32 338 292 1 153 79 17 17 289 -1 unnamed_device 26.5 MiB 3.63 728 10219 2495 6935 789 65.1 MiB 0.09 0.00 2.60257 -87.8304 -2.60257 2.60257 1.13 0.000500788 0.000451302 0.0329911 0.029948 30 1803 21 6.87369e+06 223581 556674. 1926.21 1.04 0.105825 0.0933479 25186 138497 -1 1552 21 875 1413 89257 22726 2.90831 2.90831 -107.88 -2.90831 0 0 706193. 2443.58 0.33 0.06 0.14 -1 -1 0.33 0.0260101 0.0230565 103 81 0 0 84 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 10.18 vpr 64.80 MiB 0.02 7008 -1 -1 1 0.03 -1 -1 33732 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.4 MiB 4.80 754 14528 4419 8161 1948 64.8 MiB 0.12 0.00 3.0558 -108.546 -3.0558 3.0558 1.11 0.000466995 0.000424656 0.0439318 0.0400627 34 2333 21 6.87369e+06 223581 618332. 2139.56 1.82 0.178734 0.158747 25762 151098 -1 1800 20 1482 2301 164555 38978 3.15451 3.15451 -129.443 -3.15451 0 0 787024. 2723.27 0.36 0.08 0.14 -1 -1 0.36 0.0273818 0.0243765 114 31 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 8.69 vpr 64.94 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33836 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 30 32 325 273 1 160 80 17 17 289 -1 unnamed_device 26.4 MiB 4.19 858 14528 4543 7906 2079 64.9 MiB 0.12 0.00 2.9678 -101.964 -2.9678 2.9678 1.11 0.000496323 0.000453659 0.0447694 0.0408097 30 1955 49 6.87369e+06 251529 556674. 1926.21 1.13 0.136771 0.120219 25186 138497 -1 1569 23 1135 1750 103964 24549 2.81591 2.81591 -116.213 -2.81591 0 0 706193. 2443.58 0.30 0.06 0.12 -1 -1 0.30 0.024695 0.0218322 109 58 30 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 6.94 vpr 65.32 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 34108 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 32 32 331 280 1 161 96 17 17 289 -1 unnamed_device 26.6 MiB 1.84 954 15426 4475 9001 1950 65.3 MiB 0.12 0.00 2.77825 -99.3641 -2.77825 2.77825 1.05 0.000489862 0.000444006 0.037338 0.0338652 34 2244 29 6.87369e+06 447163 618332. 2139.56 1.72 0.159334 0.139102 25762 151098 -1 1920 20 1141 1902 135812 31458 2.73166 2.73166 -114.811 -2.73166 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0232954 0.0204746 116 57 25 25 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 10.62 vpr 65.54 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 33588 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 386 305 1 195 99 17 17 289 -1 unnamed_device 26.8 MiB 5.98 857 14691 3897 9448 1346 65.5 MiB 0.14 0.00 2.84425 -98.8761 -2.84425 2.84425 1.03 0.000601385 0.000548199 0.0397153 0.0359295 30 2530 24 6.87369e+06 489084 556674. 1926.21 1.17 0.123914 0.108961 25186 138497 -1 1865 17 1330 2347 115375 30435 2.96496 2.96496 -121.831 -2.96496 0 0 706193. 2443.58 0.35 0.07 0.14 -1 -1 0.35 0.0272879 0.0244121 147 55 64 32 57 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 8.24 vpr 65.75 MiB 0.02 7396 -1 -1 1 0.04 -1 -1 33948 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67324 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 27.0 MiB 2.66 954 21016 6899 11253 2864 65.7 MiB 0.18 0.00 3.42579 -119.662 -3.42579 3.42579 1.02 0.000529691 0.000482765 0.0557751 0.0508058 34 2813 27 6.87369e+06 517032 618332. 2139.56 2.20 0.21206 0.187824 25762 151098 -1 2255 24 2278 3438 257164 61115 4.0193 4.0193 -147.746 -4.0193 0 0 787024. 2723.27 0.33 0.10 0.13 -1 -1 0.33 0.0332399 0.0295451 155 60 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.99 vpr 64.72 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33788 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 29 32 272 228 1 148 80 17 17 289 -1 unnamed_device 26.4 MiB 2.47 728 7992 2202 5388 402 64.7 MiB 0.07 0.00 3.0099 -92.6559 -3.0099 3.0099 1.08 0.000413421 0.000375582 0.0217783 0.0197838 30 2050 37 6.87369e+06 265503 556674. 1926.21 1.17 0.0954904 0.0831723 25186 138497 -1 1674 20 1011 1768 123818 28351 2.85801 2.85801 -105.893 -2.85801 0 0 706193. 2443.58 0.32 0.06 0.13 -1 -1 0.32 0.0205301 0.0181821 102 21 58 29 24 24 -fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 8.55 vpr 65.48 MiB 0.02 7236 -1 -1 1 0.03 -1 -1 33992 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 401 315 1 200 85 17 17 289 -1 unnamed_device 26.8 MiB 3.00 1087 16639 6456 7976 2207 65.5 MiB 0.15 0.00 2.77395 -102.972 -2.77395 2.77395 0.98 0.000591275 0.000489552 0.0532644 0.0486179 34 3105 29 6.87369e+06 293451 618332. 2139.56 2.29 0.206977 0.18336 25762 151098 -1 2452 22 2114 3615 272837 63173 3.53646 3.53646 -136.299 -3.53646 0 0 787024. 2723.27 0.33 0.10 0.13 -1 -1 0.33 0.0308925 0.0275808 145 60 64 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 10.42 vpr 65.54 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 33948 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67116 32 32 383 303 1 193 102 17 17 289 -1 unnamed_device 26.9 MiB 5.79 1059 15096 3849 9673 1574 65.5 MiB 0.13 0.00 2.91945 -107.862 -2.91945 2.91945 1.08 0.000596045 0.00054552 0.0441782 0.0402096 28 2522 22 6.87369e+06 531006 531479. 1839.03 1.18 0.132204 0.117073 24610 126494 -1 2232 20 1603 2351 167132 38343 3.10426 3.10426 -130.094 -3.10426 0 0 648988. 2245.63 0.31 0.08 0.11 -1 -1 0.31 0.0284375 0.0252814 148 54 64 32 56 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 8.32 vpr 65.25 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33936 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 339 284 1 165 93 17 17 289 -1 unnamed_device 26.5 MiB 3.20 877 16893 4766 9816 2311 65.2 MiB 0.14 0.00 2.46506 -92.5133 -2.46506 2.46506 1.05 0.000479286 0.00043295 0.042051 0.0381921 34 2148 23 6.87369e+06 405241 618332. 2139.56 1.65 0.158633 0.138376 25762 151098 -1 1760 21 1222 1737 127970 30763 2.31317 2.31317 -105.704 -2.31317 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0235495 0.02065 117 62 29 29 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 5.00 vpr 64.46 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 33820 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 226 208 1 119 76 17 17 289 -1 unnamed_device 26.0 MiB 0.73 712 11276 3881 6002 1393 64.5 MiB 0.08 0.00 2.31406 -79.4466 -2.31406 2.31406 1.04 0.000532839 0.000476046 0.0279173 0.0253756 32 1609 17 6.87369e+06 195634 586450. 2029.24 0.97 0.0734471 0.0643931 25474 144626 -1 1384 22 696 1012 91647 19365 2.03287 2.03287 -88.5401 -2.03287 0 0 744469. 2576.02 0.32 0.05 0.14 -1 -1 0.32 0.0173832 0.0152733 73 29 24 24 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 6.01 vpr 64.94 MiB 0.02 7196 -1 -1 1 0.04 -1 -1 34140 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 31 32 335 280 1 165 80 17 17 289 -1 unnamed_device 26.5 MiB 1.53 903 11260 2984 6988 1288 64.9 MiB 0.10 0.00 3.53045 -113.667 -3.53045 3.53045 1.04 0.000476347 0.000435434 0.0358239 0.0326851 32 2318 25 6.87369e+06 237555 586450. 2029.24 1.08 0.110278 0.0974792 25474 144626 -1 1912 19 1072 1615 130798 30116 3.4005 3.4005 -129.403 -3.4005 0 0 744469. 2576.02 0.31 0.06 0.14 -1 -1 0.31 0.0220781 0.0194514 113 55 31 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 5.90 vpr 65.50 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 33828 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 366 283 1 197 100 17 17 289 -1 unnamed_device 26.8 MiB 1.24 1128 19124 5915 10897 2312 65.5 MiB 0.15 0.00 3.42399 -119.998 -3.42399 3.42399 1.10 0.000505482 0.000453704 0.0469601 0.0425472 30 2404 30 6.87369e+06 503058 556674. 1926.21 1.17 0.139584 0.123597 25186 138497 -1 2005 20 1477 2118 116901 28917 3.5027 3.5027 -136.5 -3.5027 0 0 706193. 2443.58 0.32 0.07 0.14 -1 -1 0.32 0.0257276 0.022825 150 31 91 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 11.12 vpr 65.86 MiB 0.02 7424 -1 -1 1 0.04 -1 -1 34120 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67444 32 32 460 375 1 199 104 17 17 289 -1 unnamed_device 27.0 MiB 3.81 939 19380 5611 10123 3646 65.9 MiB 0.17 0.00 3.04776 -103.548 -3.04776 3.04776 1.08 0.00057946 0.000526442 0.0577671 0.0527041 36 2664 27 6.87369e+06 558954 648988. 2245.63 3.76 0.244439 0.216712 26050 158493 -1 1947 21 1516 2294 156510 37560 3.523 3.523 -126.016 -3.523 0 0 828058. 2865.25 0.38 0.09 0.14 -1 -1 0.38 0.035751 0.0318824 154 108 0 0 125 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 6.84 vpr 64.22 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 34224 -1 -1 16 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 26 32 198 186 1 109 74 17 17 289 -1 unnamed_device 25.7 MiB 2.30 459 11544 5029 5637 878 64.2 MiB 0.06 0.00 2.29206 -62.1231 -2.29206 2.29206 1.01 0.000290736 0.000264043 0.0237998 0.0215483 32 1373 47 6.87369e+06 223581 586450. 2029.24 1.45 0.0985552 0.0861165 25474 144626 -1 994 14 587 904 63433 16866 2.27347 2.27347 -74.2691 -2.27347 0 0 744469. 2576.02 0.32 0.03 0.13 -1 -1 0.32 0.0122228 0.0108963 69 21 26 26 22 22 -fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 6.27 vpr 65.30 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 33832 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 26.5 MiB 1.57 1069 15895 5601 7736 2558 65.3 MiB 0.14 0.00 3.28949 -115.813 -3.28949 3.28949 0.98 0.000464726 0.000425076 0.0444294 0.0405513 28 3078 26 6.87369e+06 293451 531479. 1839.03 1.54 0.129899 0.115595 24610 126494 -1 2561 20 1983 3225 257467 59868 4.1383 4.1383 -157.609 -4.1383 0 0 648988. 2245.63 0.27 0.09 0.11 -1 -1 0.27 0.0264371 0.0236535 141 -1 122 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 5.36 vpr 64.39 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 33716 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 25.7 MiB 0.54 773 12076 4224 6363 1489 64.4 MiB 0.07 0.00 2.05403 -78.601 -2.05403 2.05403 1.07 0.000285959 0.000259325 0.0272458 0.024736 34 1556 17 6.87369e+06 167686 618332. 2139.56 1.49 0.101824 0.0886852 25762 151098 -1 1375 20 624 860 64891 15350 2.06102 2.06102 -90.6169 -2.06102 0 0 787024. 2723.27 0.35 0.04 0.14 -1 -1 0.35 0.0159981 0.0141446 71 -1 53 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 5.68 vpr 65.65 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 33960 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67224 32 32 376 288 1 202 100 17 17 289 -1 unnamed_device 27.0 MiB 1.05 1107 18428 5086 11458 1884 65.6 MiB 0.16 0.00 3.42579 -123.797 -3.42579 3.42579 1.05 0.000564464 0.000514736 0.0479333 0.0435103 30 2779 26 6.87369e+06 503058 556674. 1926.21 1.16 0.130081 0.114832 25186 138497 -1 2215 22 1691 2556 152573 36676 3.7751 3.7751 -148.694 -3.7751 0 0 706193. 2443.58 0.32 0.08 0.13 -1 -1 0.32 0.0290369 0.0256134 155 21 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 6.15 vpr 65.12 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 33980 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 26.7 MiB 1.17 1070 14716 3923 9340 1453 65.1 MiB 0.13 0.00 2.87545 -103.34 -2.87545 2.87545 1.03 0.000513268 0.000469377 0.0355536 0.0323477 26 2877 42 6.87369e+06 503058 503264. 1741.40 1.61 0.130975 0.11525 24322 120374 -1 2464 25 1876 2979 260245 63575 3.45246 3.45246 -135.688 -3.45246 0 0 618332. 2139.56 0.27 0.10 0.12 -1 -1 0.27 0.028867 0.0253394 151 -1 124 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 6.20 vpr 65.32 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34200 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 32 32 407 319 1 202 103 17 17 289 -1 unnamed_device 26.6 MiB 1.28 1138 17455 4899 10679 1877 65.3 MiB 0.16 0.00 3.42579 -122.881 -3.42579 3.42579 1.05 0.000644338 0.000589916 0.0477949 0.0435864 28 3088 27 6.87369e+06 544980 531479. 1839.03 1.42 0.141155 0.125193 24610 126494 -1 2682 24 2087 3605 309064 69660 4.2603 4.2603 -158.268 -4.2603 0 0 648988. 2245.63 0.28 0.11 0.12 -1 -1 0.28 0.0316546 0.0278473 156 54 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 6.32 vpr 64.90 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33784 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 294 246 1 157 79 17 17 289 -1 unnamed_device 26.5 MiB 1.25 804 12585 4341 6355 1889 64.9 MiB 0.09 0.00 2.42892 -87.9121 -2.42892 2.42892 1.11 0.000444869 0.000405878 0.0348163 0.0316976 34 2092 22 6.87369e+06 209608 618332. 2139.56 1.65 0.140982 0.12334 25762 151098 -1 1807 24 1279 2137 156356 36625 2.85696 2.85696 -113.166 -2.85696 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0251858 0.0222557 104 31 54 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.52 vpr 64.76 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 33844 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 30 32 296 244 1 160 80 17 17 289 -1 unnamed_device 26.3 MiB 1.25 712 9368 2717 5200 1451 64.8 MiB 0.07 0.00 3.0418 -101.17 -3.0418 3.0418 1.01 0.00041677 0.000381342 0.0256231 0.0234955 32 2132 34 6.87369e+06 251529 586450. 2029.24 1.09 0.103492 0.0915983 25474 144626 -1 1578 21 1328 1942 152701 36993 3.04926 3.04926 -118.575 -3.04926 0 0 744469. 2576.02 0.32 0.07 0.12 -1 -1 0.32 0.0237772 0.0211244 109 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 5.92 vpr 64.43 MiB 0.02 7252 -1 -1 1 0.03 -1 -1 33852 -1 -1 19 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 28 32 278 232 1 150 79 17 17 289 -1 unnamed_device 26.1 MiB 1.23 828 13430 4279 7534 1617 64.4 MiB 0.10 0.00 2.72995 -88.9979 -2.72995 2.72995 0.97 0.000382601 0.000348576 0.0345234 0.0315338 34 2011 23 6.87369e+06 265503 618332. 2139.56 1.58 0.142194 0.125392 25762 151098 -1 1777 23 1337 2259 176340 41257 3.07126 3.07126 -113.931 -3.07126 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0222292 0.0197159 104 27 56 28 28 28 -fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 6.90 vpr 64.61 MiB 0.02 7164 -1 -1 1 0.03 -1 -1 33900 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 1.56 885 15216 6085 7141 1990 64.6 MiB 0.12 0.00 2.77395 -103.31 -2.77395 2.77395 1.07 0.000428276 0.00038836 0.0424103 0.0385743 34 2243 21 6.87369e+06 223581 618332. 2139.56 1.92 0.156924 0.137354 25762 151098 -1 1944 21 1553 2545 195266 45012 3.22956 3.22956 -131.785 -3.22956 0 0 787024. 2723.27 0.36 0.08 0.14 -1 -1 0.36 0.0242259 0.0216303 114 -1 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.43 vpr 64.95 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 33868 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 31 32 303 249 1 163 95 17 17 289 -1 unnamed_device 26.4 MiB 1.05 869 16295 4659 9171 2465 65.0 MiB 0.13 0.00 2.81125 -98.524 -2.81125 2.81125 1.05 0.000471334 0.000427898 0.0387796 0.0351916 30 2036 23 6.87369e+06 447163 556674. 1926.21 1.05 0.102815 0.0905714 25186 138497 -1 1700 17 964 1677 90551 22799 2.96796 2.96796 -116.789 -2.96796 0 0 706193. 2443.58 0.31 0.05 0.13 -1 -1 0.31 0.019091 0.0168899 119 26 61 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 8.02 vpr 64.80 MiB 0.02 7188 -1 -1 1 0.03 -1 -1 33780 -1 -1 32 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 29 32 312 264 1 155 93 17 17 289 -1 unnamed_device 26.3 MiB 3.68 809 13743 3545 7817 2381 64.8 MiB 0.11 0.00 2.30671 -78.6912 -2.30671 2.30671 1.05 0.000467359 0.000424121 0.0331928 0.0299774 28 1855 18 6.87369e+06 447163 531479. 1839.03 1.03 0.0959928 0.0842108 24610 126494 -1 1611 22 1243 2158 145956 34909 2.12182 2.12182 -90.2292 -2.12182 0 0 648988. 2245.63 0.29 0.07 0.12 -1 -1 0.29 0.0232751 0.0204456 113 55 29 29 57 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 13.58 vpr 65.84 MiB 0.03 7476 -1 -1 1 0.04 -1 -1 33984 -1 -1 44 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67416 32 32 423 310 1 231 108 17 17 289 -1 unnamed_device 27.2 MiB 4.91 1315 21696 6540 12127 3029 65.8 MiB 0.22 0.00 3.55109 -125.266 -3.55109 3.55109 1.06 0.000867883 0.000800851 0.0649243 0.0592826 34 3529 27 6.87369e+06 614849 618332. 2139.56 5.08 0.33213 0.2942 25762 151098 -1 2721 23 2389 4111 304769 71144 3.9097 3.9097 -149.014 -3.9097 0 0 787024. 2723.27 0.35 0.12 0.14 -1 -1 0.35 0.0366633 0.0327315 184 26 128 32 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 8.26 vpr 65.79 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 34184 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67364 32 32 403 317 1 200 103 17 17 289 -1 unnamed_device 27.0 MiB 3.46 918 18901 5881 10046 2974 65.8 MiB 0.15 0.00 2.91945 -102.635 -2.91945 2.91945 0.96 0.000551065 0.000503667 0.0485596 0.0443787 28 2836 33 6.87369e+06 544980 531479. 1839.03 1.66 0.150508 0.133778 24610 126494 -1 2164 24 2014 3075 246402 56498 3.15156 3.15156 -128.277 -3.15156 0 0 648988. 2245.63 0.27 0.09 0.10 -1 -1 0.27 0.0310254 0.0275758 154 62 62 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 11.27 vpr 65.24 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 34228 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 31 32 353 302 1 160 94 17 17 289 -1 unnamed_device 26.5 MiB 4.54 677 13939 4058 6341 3540 65.2 MiB 0.10 0.00 2.71895 -90.254 -2.71895 2.71895 1.07 0.000520263 0.000471164 0.0355174 0.0322588 36 2015 29 6.87369e+06 433189 648988. 2245.63 3.26 0.168619 0.147923 26050 158493 -1 1549 35 1572 2532 176772 44017 2.91296 2.91296 -110.326 -2.91296 0 0 828058. 2865.25 0.35 0.09 0.15 -1 -1 0.35 0.0360721 0.0312503 116 77 0 0 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 7.91 vpr 65.36 MiB 0.02 7348 -1 -1 1 0.03 -1 -1 34112 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66928 31 32 391 309 1 195 85 17 17 289 -1 unnamed_device 26.7 MiB 2.56 1087 14035 4790 7047 2198 65.4 MiB 0.13 0.00 2.78315 -101.221 -2.78315 2.78315 1.05 0.00059705 0.000544021 0.0459517 0.0417637 34 2665 20 6.87369e+06 307425 618332. 2139.56 1.88 0.192272 0.169444 25762 151098 -1 2210 20 1849 2995 212584 49219 3.23086 3.23086 -123.935 -3.23086 0 0 787024. 2723.27 0.36 0.08 0.14 -1 -1 0.36 0.0280023 0.0248993 141 59 60 30 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 12.33 vpr 65.60 MiB 0.03 7516 -1 -1 1 0.04 -1 -1 34320 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 31 32 455 371 1 198 85 17 17 289 -1 unnamed_device 26.8 MiB 6.54 1028 16081 5039 8732 2310 65.6 MiB 0.15 0.00 3.93354 -120.546 -3.93354 3.93354 1.07 0.00059623 0.000542951 0.0569337 0.0517012 34 2740 21 6.87369e+06 307425 618332. 2139.56 2.26 0.202322 0.17809 25762 151098 -1 2163 20 1692 2879 217887 50565 3.97435 3.97435 -143.798 -3.97435 0 0 787024. 2723.27 0.34 0.09 0.15 -1 -1 0.34 0.0298266 0.0264883 145 111 0 0 124 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 7.94 vpr 65.58 MiB 0.03 7552 -1 -1 1 0.04 -1 -1 33888 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 31 32 413 333 1 195 85 17 17 289 -1 unnamed_device 26.9 MiB 2.48 924 16639 6047 8343 2249 65.6 MiB 0.15 0.00 3.73124 -110.708 -3.73124 3.73124 1.06 0.000559864 0.000507298 0.0553507 0.0502061 34 2780 23 6.87369e+06 307425 618332. 2139.56 1.94 0.191499 0.168034 25762 151098 -1 2147 23 1785 2863 230314 54518 4.13536 4.13536 -140.771 -4.13536 0 0 787024. 2723.27 0.34 0.09 0.15 -1 -1 0.34 0.0304595 0.0269009 141 86 31 31 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 8.52 vpr 65.46 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33764 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67028 31 32 391 309 1 195 99 17 17 289 -1 unnamed_device 26.8 MiB 3.15 1072 16971 5085 10146 1740 65.5 MiB 0.15 0.00 2.86625 -102.62 -2.86625 2.86625 1.05 0.000719819 0.000647874 0.0490789 0.0443491 34 2590 25 6.87369e+06 503058 618332. 2139.56 1.89 0.207813 0.18368 25762 151098 -1 2192 25 1999 3444 231874 55867 2.89296 2.89296 -118.7 -2.89296 0 0 787024. 2723.27 0.35 0.10 0.14 -1 -1 0.35 0.036664 0.0324321 148 58 60 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 6.44 vpr 65.68 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34512 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 27.0 MiB 2.10 1119 16286 4870 10211 1205 65.7 MiB 0.14 0.00 3.43679 -123.815 -3.43679 3.43679 0.95 0.000637247 0.000583948 0.044434 0.0404875 28 2869 21 6.87369e+06 531006 531479. 1839.03 1.22 0.130673 0.116594 24610 126494 -1 2650 21 2041 3414 305093 67719 4.1683 4.1683 -160.236 -4.1683 0 0 648988. 2245.63 0.27 0.10 0.10 -1 -1 0.27 0.0297419 0.0265165 156 42 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 12.70 vpr 65.53 MiB 0.03 7632 -1 -1 1 0.04 -1 -1 33996 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 496 380 1 234 106 17 17 289 -1 unnamed_device 27.1 MiB 4.47 1059 16106 4216 8768 3122 65.5 MiB 0.16 0.00 3.42399 -117.379 -3.42399 3.42399 1.04 0.000695462 0.000629099 0.0489989 0.0444332 34 3381 43 6.87369e+06 586901 618332. 2139.56 4.68 0.284082 0.247463 25762 151098 -1 2325 21 2140 3576 230251 56910 3.9847 3.9847 -150.371 -3.9847 0 0 787024. 2723.27 0.33 0.10 0.15 -1 -1 0.33 0.034439 0.0305463 186 91 62 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 7.13 vpr 64.81 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33720 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 305 250 1 164 80 17 17 289 -1 unnamed_device 26.4 MiB 2.60 821 12292 4621 5787 1884 64.8 MiB 0.10 0.00 3.0136 -106.719 -3.0136 3.0136 1.08 0.000468816 0.000426027 0.0353882 0.0321955 32 2394 27 6.87369e+06 237555 586450. 2029.24 1.10 0.108915 0.0962153 25474 144626 -1 1813 22 1487 2330 171639 39884 3.11231 3.11231 -126.53 -3.11231 0 0 744469. 2576.02 0.33 0.08 0.14 -1 -1 0.33 0.0260127 0.0230953 112 24 62 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 8.75 vpr 65.64 MiB 0.03 7280 -1 -1 1 0.04 -1 -1 33768 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 31 32 395 311 1 198 100 17 17 289 -1 unnamed_device 26.9 MiB 3.53 1111 16804 4758 10546 1500 65.6 MiB 0.16 0.00 3.52909 -121.169 -3.52909 3.52909 1.04 0.000668625 0.000617873 0.0457568 0.0413739 28 2952 38 6.87369e+06 517032 531479. 1839.03 1.77 0.14583 0.128343 24610 126494 -1 2559 24 2143 3464 294626 67085 3.991 3.991 -149.361 -3.991 0 0 648988. 2245.63 0.27 0.11 0.12 -1 -1 0.27 0.0306632 0.0269433 152 59 62 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 7.72 vpr 65.43 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 34092 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 397 313 1 198 99 17 17 289 -1 unnamed_device 26.7 MiB 2.56 1115 14007 3900 9140 967 65.4 MiB 0.14 0.00 2.75195 -102.728 -2.75195 2.75195 1.10 0.000760882 0.000697678 0.0428157 0.0388817 28 2999 29 6.87369e+06 489084 531479. 1839.03 1.56 0.143172 0.127194 24610 126494 -1 2692 21 1816 3233 274101 61259 3.33286 3.33286 -133.608 -3.33286 0 0 648988. 2245.63 0.29 0.09 0.12 -1 -1 0.29 0.0280665 0.0247783 150 54 62 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 7.96 vpr 65.52 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33440 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67092 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 26.9 MiB 2.07 1010 12175 3600 7903 672 65.5 MiB 0.13 0.00 3.32249 -118.267 -3.32249 3.32249 1.09 0.000523779 0.000474325 0.0391688 0.0357003 34 2979 24 6.87369e+06 293451 618332. 2139.56 2.35 0.169991 0.149198 25762 151098 -1 2516 21 2125 3708 276128 67013 4.057 4.057 -156.106 -4.057 0 0 787024. 2723.27 0.34 0.09 0.15 -1 -1 0.34 0.026064 0.0230464 147 -1 128 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 9.75 vpr 65.57 MiB 0.02 7476 -1 -1 1 0.04 -1 -1 34140 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 424 343 1 195 100 17 17 289 -1 unnamed_device 26.9 MiB 4.59 986 18892 5672 10706 2514 65.6 MiB 0.16 0.00 2.84425 -101.991 -2.84425 2.84425 1.00 0.000617131 0.000566588 0.0536377 0.0488169 34 2645 22 6.87369e+06 503058 618332. 2139.56 1.80 0.203588 0.180216 25762 151098 -1 2233 20 1733 2778 207770 49313 3.13586 3.13586 -125.794 -3.13586 0 0 787024. 2723.27 0.33 0.08 0.13 -1 -1 0.33 0.0295158 0.0262378 148 81 25 25 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 10.87 vpr 65.50 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33764 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 32 32 395 311 1 198 103 17 17 289 -1 unnamed_device 26.9 MiB 4.80 959 9984 2079 6911 994 65.5 MiB 0.11 0.00 2.85525 -99.3334 -2.85525 2.85525 1.10 0.000776356 0.000710538 0.0328714 0.0300324 26 3128 41 6.87369e+06 544980 503264. 1741.40 2.53 0.159953 0.142168 24322 120374 -1 2580 26 1841 3329 395591 111362 3.31886 3.31886 -133.756 -3.31886 0 0 618332. 2139.56 0.29 0.16 0.11 -1 -1 0.29 0.0428766 0.0382251 152 58 64 32 60 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 8.94 vpr 65.60 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33748 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 405 318 1 201 104 17 17 289 -1 unnamed_device 26.9 MiB 4.03 1140 20112 6106 11491 2515 65.6 MiB 0.19 0.00 2.83325 -103.321 -2.83325 2.83325 1.12 0.000557256 0.000505888 0.0563857 0.0510087 32 3028 25 6.87369e+06 558954 586450. 2029.24 1.22 0.143976 0.126564 25474 144626 -1 2506 22 1967 3327 299573 65139 2.95396 2.95396 -125.237 -2.95396 0 0 744469. 2576.02 0.34 0.11 0.14 -1 -1 0.34 0.0310341 0.027267 156 61 63 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 7.11 vpr 65.66 MiB 0.02 7228 -1 -1 1 0.04 -1 -1 34064 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67232 32 32 376 288 1 202 103 17 17 289 -1 unnamed_device 26.9 MiB 1.15 1142 20588 6044 12140 2404 65.7 MiB 0.19 0.00 3.32249 -120.378 -3.32249 3.32249 1.09 0.000586606 0.000534483 0.0565255 0.0514133 34 2675 23 6.87369e+06 544980 618332. 2139.56 2.29 0.195706 0.172134 25762 151098 -1 2323 24 2220 3501 245698 58765 4.0287 4.0287 -154.958 -4.0287 0 0 787024. 2723.27 0.36 0.10 0.15 -1 -1 0.36 0.0315478 0.0276523 156 21 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 9.05 vpr 65.52 MiB 0.02 7192 -1 -1 1 0.04 -1 -1 34292 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67092 32 32 407 319 1 202 105 17 17 289 -1 unnamed_device 26.8 MiB 3.83 1053 19124 5219 11243 2662 65.5 MiB 0.18 0.00 3.41479 -122.555 -3.41479 3.41479 1.11 0.00063773 0.000584313 0.0577483 0.0524243 28 2801 27 6.87369e+06 572927 531479. 1839.03 1.62 0.153197 0.135777 24610 126494 -1 2500 23 2210 3618 291994 65670 4.0317 4.0317 -157.783 -4.0317 0 0 648988. 2245.63 0.30 0.11 0.12 -1 -1 0.30 0.0322615 0.0284926 157 50 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 11.18 vpr 65.62 MiB 0.03 7480 -1 -1 1 0.04 -1 -1 34208 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67200 31 32 449 367 1 195 100 17 17 289 -1 unnamed_device 26.8 MiB 5.43 1020 19124 5956 9970 3198 65.6 MiB 0.19 0.00 3.32679 -109.604 -3.32679 3.32679 1.11 0.000694677 0.000624756 0.0600476 0.0541833 28 3090 29 6.87369e+06 517032 531479. 1839.03 2.16 0.161594 0.142512 24610 126494 -1 2514 22 1882 3323 292840 70820 4.049 4.049 -144.786 -4.049 0 0 648988. 2245.63 0.28 0.11 0.12 -1 -1 0.28 0.0310346 0.0272012 150 110 0 0 122 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 12.77 vpr 65.72 MiB 0.02 7480 -1 -1 1 0.04 -1 -1 34136 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 26.9 MiB 3.75 1062 15523 6293 6771 2459 65.7 MiB 0.17 0.00 3.38179 -118.928 -3.38179 3.38179 1.11 0.000658664 0.000593701 0.0603979 0.0548442 38 2652 21 6.87369e+06 293451 678818. 2348.85 5.27 0.340505 0.298724 26626 170182 -1 2131 22 1638 2962 196374 44061 3.5821 3.5821 -138.838 -3.5821 0 0 902133. 3121.57 0.41 0.10 0.16 -1 -1 0.41 0.0339006 0.0300543 145 86 32 32 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 5.91 vpr 65.25 MiB 0.02 7076 -1 -1 1 0.03 -1 -1 34124 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 312 255 1 167 96 17 17 289 -1 unnamed_device 26.5 MiB 1.24 910 15207 4131 9370 1706 65.3 MiB 0.12 0.00 2.82225 -103.613 -2.82225 2.82225 1.07 0.000452099 0.000412322 0.0372266 0.0339483 32 2470 24 6.87369e+06 447163 586450. 2029.24 1.18 0.107536 0.0949512 25474 144626 -1 2058 22 1544 2451 213954 48511 2.95396 2.95396 -124.273 -2.95396 0 0 744469. 2576.02 0.33 0.08 0.14 -1 -1 0.33 0.0246203 0.021793 121 20 63 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 8.89 vpr 65.34 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 33732 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 370 314 1 166 80 17 17 289 -1 unnamed_device 26.6 MiB 4.30 913 14700 5558 7061 2081 65.3 MiB 0.13 0.00 2.9366 -106.699 -2.9366 2.9366 1.07 0.000507185 0.000461504 0.0487568 0.0442692 30 2402 23 6.87369e+06 223581 556674. 1926.21 1.12 0.125624 0.110963 25186 138497 -1 1873 20 1213 1900 126519 28825 2.82396 2.82396 -121.994 -2.82396 0 0 706193. 2443.58 0.32 0.06 0.13 -1 -1 0.32 0.0257541 0.0228443 112 91 0 0 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 10.11 vpr 65.77 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 34176 -1 -1 44 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 32 32 469 351 1 236 108 17 17 289 -1 unnamed_device 27.4 MiB 2.67 1287 19383 5417 10764 3202 65.8 MiB 0.19 0.00 3.99454 -135.36 -3.99454 3.99454 1.07 0.000637123 0.000576912 0.0567083 0.0511123 34 3892 25 6.87369e+06 614849 618332. 2139.56 3.76 0.244585 0.216463 25762 151098 -1 2842 24 2539 4430 391447 85714 4.79785 4.79785 -170.04 -4.79785 0 0 787024. 2723.27 0.35 0.14 0.14 -1 -1 0.35 0.0428431 0.0383542 189 53 96 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 9.17 vpr 65.44 MiB 0.03 7064 -1 -1 1 0.04 -1 -1 34088 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67012 32 32 368 284 1 198 99 17 17 289 -1 unnamed_device 26.8 MiB 3.77 988 18795 5684 10177 2934 65.4 MiB 0.17 0.00 2.87545 -103.568 -2.87545 2.87545 1.12 0.000612168 0.000558423 0.0515807 0.046763 34 2373 22 6.87369e+06 489084 618332. 2139.56 1.77 0.184395 0.161652 25762 151098 -1 1923 20 1552 2286 147733 35707 2.88986 2.88986 -121.495 -2.88986 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0274636 0.0244144 150 31 92 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.54 vpr 65.16 MiB 0.02 6976 -1 -1 1 0.03 -1 -1 33476 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 30 32 296 244 1 160 93 17 17 289 -1 unnamed_device 26.4 MiB 0.95 856 16473 5224 8613 2636 65.2 MiB 0.14 0.00 2.84425 -97.6974 -2.84425 2.84425 1.08 0.000542045 0.000487424 0.0413674 0.0373893 28 1970 21 6.87369e+06 433189 531479. 1839.03 1.15 0.113338 0.100173 24610 126494 -1 1838 22 1313 1995 164486 37229 2.94596 2.94596 -119.042 -2.94596 0 0 648988. 2245.63 0.30 0.07 0.13 -1 -1 0.30 0.0224267 0.0196344 116 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 12.51 vpr 65.83 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 34388 -1 -1 47 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67412 32 32 531 413 1 236 111 17 17 289 -1 unnamed_device 27.4 MiB 6.97 1066 12879 3218 8459 1202 65.8 MiB 0.14 0.00 3.99154 -133.476 -3.99154 3.99154 0.99 0.000998171 0.000926683 0.0450276 0.0412162 30 3262 28 6.87369e+06 656770 556674. 1926.21 2.25 0.163233 0.145317 25186 138497 -1 2231 23 2177 3599 204693 51051 4.60555 4.60555 -161.794 -4.60555 0 0 706193. 2443.58 0.29 0.10 0.12 -1 -1 0.29 0.0396492 0.0352637 190 109 32 32 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 9.06 vpr 65.61 MiB 0.02 7344 -1 -1 1 0.04 -1 -1 34088 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 32 32 376 288 1 202 104 17 17 289 -1 unnamed_device 26.9 MiB 4.18 1006 19136 5460 10723 2953 65.6 MiB 0.17 0.00 3.43499 -121.273 -3.43499 3.43499 1.12 0.000625582 0.00057788 0.0536141 0.0489885 32 2720 27 6.87369e+06 558954 586450. 2029.24 1.27 0.14842 0.131948 25474 144626 -1 2085 20 1829 2795 211164 47973 3.7341 3.7341 -146.877 -3.7341 0 0 744469. 2576.02 0.34 0.09 0.15 -1 -1 0.34 0.0284195 0.0253088 156 31 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 5.47 vpr 64.65 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 33676 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 26.1 MiB 0.87 798 9865 2406 6573 886 64.6 MiB 0.09 0.00 2.85525 -100.353 -2.85525 2.85525 1.05 0.00054053 0.000491884 0.0250041 0.0228503 28 2256 23 6.87369e+06 461137 531479. 1839.03 1.24 0.0966819 0.0848947 24610 126494 -1 2068 21 1515 2550 209536 48352 2.98696 2.98696 -125.705 -2.98696 0 0 648988. 2245.63 0.31 0.08 0.13 -1 -1 0.31 0.0224468 0.0196693 123 -1 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 10.71 vpr 65.72 MiB 0.02 7484 -1 -1 1 0.04 -1 -1 34272 -1 -1 45 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67296 32 32 438 320 1 236 109 17 17 289 -1 unnamed_device 27.1 MiB 3.32 1173 21429 5899 12551 2979 65.7 MiB 0.22 0.00 3.97434 -135.836 -3.97434 3.97434 1.14 0.00078455 0.000717015 0.0678018 0.061828 28 3784 42 6.87369e+06 628823 531479. 1839.03 3.53 0.203565 0.180769 24610 126494 -1 3038 25 2772 4594 536328 131415 4.57255 4.57255 -170.535 -4.57255 0 0 648988. 2245.63 0.32 0.19 0.12 -1 -1 0.32 0.0421752 0.0373947 189 26 128 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 6.39 vpr 64.65 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 34112 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.2 MiB 1.27 777 10400 3077 6518 805 64.6 MiB 0.10 0.00 3.0246 -107.986 -3.0246 3.0246 1.06 0.000444304 0.000405015 0.0300447 0.0273921 34 2273 21 6.87369e+06 223581 618332. 2139.56 1.71 0.136983 0.119541 25762 151098 -1 1850 22 1612 2636 192363 44799 3.09326 3.09326 -126.231 -3.09326 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0237087 0.0207866 114 -1 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 7.42 vpr 64.94 MiB 0.02 7120 -1 -1 1 0.03 -1 -1 33904 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 30 32 296 244 1 162 95 17 17 289 -1 unnamed_device 26.4 MiB 2.72 847 14999 4547 8104 2348 64.9 MiB 0.12 0.00 2.75195 -95.6698 -2.75195 2.75195 0.97 0.00044236 0.000403491 0.0343495 0.0312587 26 2352 36 6.87369e+06 461137 503264. 1741.40 1.61 0.123283 0.10934 24322 120374 -1 2113 21 1513 2558 243200 53611 3.10291 3.10291 -123.155 -3.10291 0 0 618332. 2139.56 0.26 0.08 0.11 -1 -1 0.26 0.0236569 0.0211595 118 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 9.03 vpr 65.54 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 33864 -1 -1 35 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 29 32 393 319 1 186 96 17 17 289 -1 unnamed_device 26.9 MiB 4.41 959 10170 2412 6830 928 65.5 MiB 0.11 0.00 2.81125 -89.2201 -2.81125 2.81125 1.10 0.00067392 0.00061361 0.0318078 0.0288114 30 2444 20 6.87369e+06 489084 556674. 1926.21 1.14 0.113602 0.0998705 25186 138497 -1 1933 21 1113 2112 122274 29357 2.88526 2.88526 -109.522 -2.88526 0 0 706193. 2443.58 0.31 0.07 0.13 -1 -1 0.31 0.0286884 0.0252856 141 81 29 29 85 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 8.52 vpr 65.61 MiB 0.03 7140 -1 -1 1 0.04 -1 -1 34012 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 27.0 MiB 2.87 899 11617 2607 8397 613 65.6 MiB 0.12 0.00 3.36169 -116.932 -3.36169 3.36169 1.06 0.0006491 0.00059011 0.0431821 0.039119 32 3358 40 6.87369e+06 293451 586450. 2029.24 2.13 0.188279 0.165599 25474 144626 -1 2119 25 2328 3558 266454 64533 4.046 4.046 -154.808 -4.046 0 0 744469. 2576.02 0.35 0.11 0.14 -1 -1 0.35 0.0349924 0.0308822 147 53 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 10.92 vpr 65.68 MiB 0.02 7404 -1 -1 1 0.04 -1 -1 34360 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.9 MiB 4.99 906 18666 6095 9245 3326 65.7 MiB 0.16 0.00 3.40379 -116.982 -3.40379 3.40379 1.05 0.000589225 0.000533522 0.0507364 0.0460428 34 2994 36 6.87369e+06 517032 618332. 2139.56 2.41 0.173859 0.152854 25762 151098 -1 2255 23 2157 3644 275369 70218 4.2383 4.2383 -154.318 -4.2383 0 0 787024. 2723.27 0.33 0.11 0.15 -1 -1 0.33 0.0310609 0.0273149 155 55 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 8.48 vpr 65.30 MiB 0.02 6996 -1 -1 1 0.03 -1 -1 33652 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 345 287 1 168 97 17 17 289 -1 unnamed_device 26.5 MiB 3.83 820 18079 6173 9267 2639 65.3 MiB 0.15 0.00 2.88825 -103.968 -2.88825 2.88825 1.07 0.000544961 0.000499706 0.046623 0.0420934 30 2319 30 6.87369e+06 461137 556674. 1926.21 1.20 0.130841 0.115457 25186 138497 -1 1750 22 1261 2024 118722 29120 2.94596 2.94596 -122.689 -2.94596 0 0 706193. 2443.58 0.32 0.07 0.13 -1 -1 0.32 0.0269567 0.0237293 123 55 32 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 10.22 vpr 65.24 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34116 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 31 32 353 302 1 160 81 17 17 289 -1 unnamed_device 26.5 MiB 5.05 919 15131 5160 8055 1916 65.2 MiB 0.13 0.00 2.9476 -103.694 -2.9476 2.9476 1.06 0.000483973 0.000437075 0.0468542 0.0426096 34 2179 23 6.87369e+06 251529 618332. 2139.56 1.74 0.165983 0.144991 25762 151098 -1 1851 19 1212 2182 156383 37130 3.03831 3.03831 -119.603 -3.03831 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0218183 0.0192474 108 82 0 0 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 8.45 vpr 65.18 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34216 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 30 32 374 297 1 189 96 17 17 289 -1 unnamed_device 26.5 MiB 3.95 930 11484 3144 7338 1002 65.2 MiB 0.11 0.00 2.83325 -94.1383 -2.83325 2.83325 1.04 0.000661943 0.000599547 0.0319371 0.0290004 28 2375 24 6.87369e+06 475111 531479. 1839.03 1.15 0.112415 0.0986479 24610 126494 -1 2059 20 1425 2262 160645 39236 2.94596 2.94596 -117.141 -2.94596 0 0 648988. 2245.63 0.29 0.07 0.12 -1 -1 0.29 0.0261214 0.0231176 143 52 60 30 57 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 6.72 vpr 65.07 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 34080 -1 -1 35 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 28 32 332 260 1 180 95 17 17 289 -1 unnamed_device 26.5 MiB 2.07 922 15431 4411 8454 2566 65.1 MiB 0.13 0.00 3.34879 -104.314 -3.34879 3.34879 1.02 0.00050112 0.000457357 0.0387368 0.0352089 32 2454 35 6.87369e+06 489084 586450. 2029.24 1.27 0.120702 0.106079 25474 144626 -1 1931 24 1626 2636 222369 49563 3.9327 3.9327 -130.56 -3.9327 0 0 744469. 2576.02 0.32 0.08 0.14 -1 -1 0.32 0.0264079 0.0231945 139 20 84 28 28 28 -fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 9.94 vpr 65.10 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 34188 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 30 32 325 273 1 161 80 17 17 289 -1 unnamed_device 26.7 MiB 3.26 831 11260 4335 5899 1026 65.1 MiB 0.10 0.00 2.9806 -103.125 -2.9806 2.9806 1.05 0.000454182 0.000412504 0.0334557 0.030461 30 2130 21 6.87369e+06 251529 556674. 1926.21 3.36 0.1847 0.160307 25186 138497 -1 1732 19 1098 1813 110828 26010 2.97426 2.97426 -118.336 -2.97426 0 0 706193. 2443.58 0.31 0.06 0.13 -1 -1 0.31 0.0218678 0.0193296 110 58 30 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 9.32 vpr 65.29 MiB 0.02 7220 -1 -1 1 0.03 -1 -1 33800 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 32 32 361 308 1 163 81 17 17 289 -1 unnamed_device 26.5 MiB 4.22 993 14256 4532 8202 1522 65.3 MiB 0.12 0.00 2.8626 -100.833 -2.8626 2.8626 1.05 0.000492846 0.000446591 0.0448055 0.040712 34 2314 22 6.87369e+06 237555 618332. 2139.56 1.70 0.163587 0.143216 25762 151098 -1 2008 20 1225 2014 153840 35478 2.96326 2.96326 -120.6 -2.96326 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0248269 0.0217833 110 88 0 0 91 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 5.82 vpr 65.16 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33856 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 26.7 MiB 1.12 1122 12860 3357 8527 976 65.2 MiB 0.13 0.00 3.31149 -115.679 -3.31149 3.31149 1.06 0.00060902 0.000554512 0.0335035 0.0304181 28 2729 22 6.87369e+06 517032 531479. 1839.03 1.30 0.111831 0.0986203 24610 126494 -1 2516 19 1748 2774 204996 48441 3.9034 3.9034 -151.718 -3.9034 0 0 648988. 2245.63 0.29 0.09 0.12 -1 -1 0.29 0.0259965 0.0229638 151 -1 124 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 13.22 vpr 65.59 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 33944 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67160 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 26.9 MiB 5.22 906 17476 5430 9168 2878 65.6 MiB 0.16 0.00 3.41479 -117.207 -3.41479 3.41479 1.03 0.00057918 0.000525114 0.0473216 0.0429364 36 2753 21 6.87369e+06 531006 648988. 2245.63 4.50 0.258978 0.226449 26050 158493 -1 2083 23 2074 3494 213342 54187 3.9287 3.9287 -144.611 -3.9287 0 0 828058. 2865.25 0.35 0.09 0.15 -1 -1 0.35 0.0316734 0.0279152 156 57 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 10.44 vpr 65.54 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33928 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.9 MiB 4.84 1142 14671 4032 9052 1587 65.5 MiB 0.15 0.00 3.40379 -123.122 -3.40379 3.40379 1.09 0.000595999 0.000545044 0.0436351 0.0397286 28 3012 25 6.87369e+06 517032 531479. 1839.03 2.08 0.135226 0.119669 24610 126494 -1 2554 23 2207 3685 316337 71453 3.8734 3.8734 -153.779 -3.8734 0 0 648988. 2245.63 0.29 0.11 0.12 -1 -1 0.29 0.0319934 0.0283346 155 62 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 9.57 vpr 65.46 MiB 0.02 7304 -1 -1 1 0.04 -1 -1 34092 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 32 32 399 315 1 198 103 17 17 289 -1 unnamed_device 26.8 MiB 3.97 1153 19865 5993 11336 2536 65.5 MiB 0.18 0.00 3.33779 -116.467 -3.33779 3.33779 1.10 0.000568971 0.000513948 0.0533123 0.0482024 28 2940 25 6.87369e+06 544980 531479. 1839.03 2.05 0.145023 0.12829 24610 126494 -1 2531 22 1896 3361 278319 63932 4.1733 4.1733 -154.185 -4.1733 0 0 648988. 2245.63 0.28 0.10 0.12 -1 -1 0.28 0.0296826 0.0261407 152 62 60 30 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.80 vpr 64.57 MiB 0.02 7120 -1 -1 1 0.04 -1 -1 33628 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 30 32 296 244 1 160 81 17 17 289 -1 unnamed_device 26.2 MiB 3.31 732 15131 5119 7935 2077 64.6 MiB 0.12 0.00 2.9806 -100.661 -2.9806 2.9806 1.07 0.000415141 0.00037698 0.0432724 0.0393251 32 2230 32 6.87369e+06 265503 586450. 2029.24 1.07 0.115437 0.101886 25474 144626 -1 1756 22 1349 2160 177577 42126 3.19661 3.19661 -120.849 -3.19661 0 0 744469. 2576.02 0.32 0.07 0.15 -1 -1 0.32 0.0230749 0.020239 110 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 9.56 vpr 65.38 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 33672 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66952 30 32 383 303 1 192 85 17 17 289 -1 unnamed_device 26.7 MiB 4.26 1002 14407 4631 7512 2264 65.4 MiB 0.13 0.00 3.36289 -115.283 -3.36289 3.36289 1.05 0.000587638 0.000541863 0.0469213 0.0426866 34 2422 22 6.87369e+06 321398 618332. 2139.56 1.86 0.183371 0.160925 25762 151098 -1 2011 23 1997 3095 240309 52911 3.8114 3.8114 -144.994 -3.8114 0 0 787024. 2723.27 0.32 0.09 0.14 -1 -1 0.32 0.0294908 0.0259217 140 58 60 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 12.30 vpr 65.44 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 33860 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67012 32 32 469 381 1 202 107 17 17 289 -1 unnamed_device 26.8 MiB 6.80 1147 19335 4886 12439 2010 65.4 MiB 0.19 0.00 3.55109 -125.705 -3.55109 3.55109 1.07 0.000762303 0.000704964 0.0565585 0.0514784 32 3189 50 6.87369e+06 600875 586450. 2029.24 1.92 0.177954 0.156976 25474 144626 -1 2584 22 2042 3392 315241 68603 4.2823 4.2823 -155.241 -4.2823 0 0 744469. 2576.02 0.32 0.11 0.14 -1 -1 0.32 0.0323437 0.0283309 158 106 0 0 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 6.66 vpr 65.33 MiB 0.02 7568 -1 -1 1 0.04 -1 -1 33720 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 31 32 425 341 1 197 96 17 17 289 -1 unnamed_device 26.7 MiB 1.55 973 11046 2535 7785 726 65.3 MiB 0.11 0.00 3.40379 -115.856 -3.40379 3.40379 1.07 0.000660834 0.000610697 0.0364321 0.0331075 28 2769 47 6.87369e+06 461137 531479. 1839.03 1.68 0.170324 0.15137 24610 126494 -1 2371 22 2218 3713 278539 69368 4.113 4.113 -156.631 -4.113 0 0 648988. 2245.63 0.29 0.10 0.12 -1 -1 0.29 0.0310094 0.0273228 149 79 31 31 93 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 7.91 vpr 65.34 MiB 0.03 7444 -1 -1 1 0.04 -1 -1 34192 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 30 32 404 328 1 188 94 17 17 289 -1 unnamed_device 26.7 MiB 2.94 860 17560 5576 8756 3228 65.3 MiB 0.16 0.00 2.82225 -92.1598 -2.82225 2.82225 1.07 0.000581245 0.000522156 0.0521881 0.0474027 30 2345 44 6.87369e+06 447163 556674. 1926.21 1.55 0.15845 0.139705 25186 138497 -1 1644 22 1360 2148 116582 29871 2.85796 2.85796 -109.455 -2.85796 0 0 706193. 2443.58 0.31 0.07 0.13 -1 -1 0.31 0.0281507 0.0247455 141 83 26 26 90 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 10.93 vpr 65.32 MiB 0.03 7140 -1 -1 1 0.04 -1 -1 34232 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 26.6 MiB 5.49 1083 14965 4487 8867 1611 65.3 MiB 0.15 0.00 3.32249 -123.566 -3.32249 3.32249 1.09 0.000573007 0.000521303 0.0527767 0.0480349 34 3105 22 6.87369e+06 293451 618332. 2139.56 1.89 0.189398 0.165865 25762 151098 -1 2492 22 2239 3900 313092 71029 3.8957 3.8957 -154.506 -3.8957 0 0 787024. 2723.27 0.33 0.11 0.15 -1 -1 0.33 0.0318514 0.0281348 147 58 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 7.62 vpr 65.46 MiB 0.02 7452 -1 -1 1 0.04 -1 -1 33924 -1 -1 36 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 29 32 387 316 1 181 97 17 17 289 -1 unnamed_device 26.8 MiB 2.96 933 18745 5615 10170 2960 65.5 MiB 0.17 0.00 2.83325 -92.5557 -2.83325 2.83325 1.05 0.000620057 0.000566358 0.0532254 0.0482756 32 2494 19 6.87369e+06 503058 586450. 2029.24 1.13 0.127805 0.112856 25474 144626 -1 2047 23 1811 3002 257725 59223 2.98856 2.98856 -112.021 -2.98856 0 0 744469. 2576.02 0.32 0.10 0.14 -1 -1 0.32 0.029344 0.025714 138 81 26 26 85 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 6.01 vpr 64.86 MiB 0.02 6816 -1 -1 1 0.04 -1 -1 33888 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 0.85 884 12980 3741 7931 1308 64.9 MiB 0.11 0.00 2.9586 -110.092 -2.9586 2.9586 1.06 0.000476404 0.000434098 0.0391629 0.0357387 34 2348 20 6.87369e+06 223581 618332. 2139.56 1.75 0.153299 0.135066 25762 151098 -1 1924 22 1412 2139 176170 38670 3.05731 3.05731 -129.315 -3.05731 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0248053 0.0220519 114 -1 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 13.29 vpr 65.48 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 33980 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.7 MiB 5.92 1193 12086 2795 8192 1099 65.5 MiB 0.11 0.00 3.41479 -123.887 -3.41479 3.41479 1.04 0.000657139 0.000598419 0.0335357 0.0304811 34 2878 22 6.87369e+06 517032 618332. 2139.56 3.95 0.215051 0.187961 25762 151098 -1 2367 22 2110 3284 253922 59342 3.78611 3.78611 -151.918 -3.78611 0 0 787024. 2723.27 0.35 0.10 0.14 -1 -1 0.35 0.0316988 0.0281139 155 62 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 11.01 vpr 65.70 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34044 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67272 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 27.0 MiB 5.11 1115 15337 4738 8799 1800 65.7 MiB 0.15 0.00 3.36169 -124.074 -3.36169 3.36169 1.06 0.000592039 0.000538737 0.0529619 0.0482023 34 2869 32 6.87369e+06 293451 618332. 2139.56 2.36 0.233713 0.207534 25762 151098 -1 2390 21 1940 3121 247193 53582 3.9397 3.9397 -153.955 -3.9397 0 0 787024. 2723.27 0.37 0.10 0.15 -1 -1 0.37 0.0318572 0.0284095 147 62 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 9.51 vpr 65.05 MiB 0.02 7160 -1 -1 1 0.03 -1 -1 34104 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 26.4 MiB 4.61 882 16921 5326 9162 2433 65.0 MiB 0.13 0.00 2.71895 -96.9431 -2.71895 2.71895 1.03 0.000515106 0.000471197 0.038805 0.0352393 34 1998 23 6.87369e+06 419215 618332. 2139.56 1.58 0.144494 0.126179 25762 151098 -1 1774 17 1131 1839 128217 31080 2.94296 2.94296 -114.339 -2.94296 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0205658 0.0182202 112 47 32 32 54 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 5.61 vpr 64.71 MiB 0.02 7036 -1 -1 1 0.03 -1 -1 33900 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 26.4 MiB 1.07 869 12636 4520 6006 2110 64.7 MiB 0.11 0.00 2.9916 -107.452 -2.9916 2.9916 1.11 0.0004658 0.000423541 0.0360767 0.0329309 32 2300 25 6.87369e+06 237555 586450. 2029.24 1.11 0.105484 0.0934793 25474 144626 -1 1918 21 1468 2332 166759 38817 3.13261 3.13261 -127.081 -3.13261 0 0 744469. 2576.02 0.32 0.07 0.13 -1 -1 0.32 0.0231275 0.0206038 112 -1 93 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 8.65 vpr 65.50 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33884 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 381 303 1 194 99 17 17 289 -1 unnamed_device 26.7 MiB 3.82 987 19251 5929 10746 2576 65.5 MiB 0.17 0.00 3.41299 -116.064 -3.41299 3.41299 1.04 0.000543686 0.000490921 0.0508456 0.04608 32 2853 35 6.87369e+06 489084 586450. 2029.24 1.33 0.142112 0.124915 25474 144626 -1 2188 23 1819 2686 217956 49811 3.8484 3.8484 -140.812 -3.8484 0 0 744469. 2576.02 0.32 0.09 0.14 -1 -1 0.32 0.0285721 0.0251409 144 56 60 32 58 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 6.53 vpr 65.55 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 33988 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67128 32 32 406 330 1 191 97 17 17 289 -1 unnamed_device 26.9 MiB 1.61 922 10975 2473 6923 1579 65.6 MiB 0.09 0.00 3.40379 -111.965 -3.40379 3.40379 1.09 0.000649333 0.000594419 0.0334176 0.0305124 30 2739 37 6.87369e+06 461137 556674. 1926.21 1.52 0.139489 0.122915 25186 138497 -1 1913 19 1389 2294 120936 32119 3.62936 3.62936 -134.335 -3.62936 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.0250486 0.0221559 142 81 28 28 88 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 7.71 vpr 65.50 MiB 0.02 7464 -1 -1 1 0.04 -1 -1 34124 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 26.7 MiB 1.19 1232 12455 3138 8098 1219 65.5 MiB 0.14 0.00 3.96154 -133.42 -3.96154 3.96154 1.11 0.000785918 0.000728565 0.0408451 0.0374417 34 3277 24 6.87369e+06 572927 618332. 2139.56 2.89 0.218315 0.194566 25762 151098 -1 2533 22 2151 3371 253008 57224 4.52425 4.52425 -163.632 -4.52425 0 0 787024. 2723.27 0.36 0.10 0.14 -1 -1 0.36 0.0350335 0.0312224 183 -1 156 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 8.60 vpr 65.21 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34084 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 30 32 371 295 1 188 94 17 17 289 -1 unnamed_device 26.7 MiB 3.27 974 17560 5334 9519 2707 65.2 MiB 0.16 0.00 2.83325 -97.4951 -2.83325 2.83325 1.10 0.000514183 0.000465725 0.0485543 0.0439962 34 2420 23 6.87369e+06 447163 618332. 2139.56 1.75 0.178881 0.156884 25762 151098 -1 1963 23 1987 3244 210096 52042 2.97596 2.97596 -115.317 -2.97596 0 0 787024. 2723.27 0.34 0.09 0.15 -1 -1 0.34 0.0292533 0.0257736 141 47 60 30 56 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 5.73 vpr 64.66 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33884 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 27 32 269 226 1 145 79 17 17 289 -1 unnamed_device 26.1 MiB 1.04 655 12585 5280 6438 867 64.7 MiB 0.10 0.00 3.0601 -89.8127 -3.0601 3.0601 1.10 0.000461448 0.000421189 0.0349047 0.0317822 32 1788 35 6.87369e+06 279477 586450. 2029.24 1.15 0.111399 0.0984163 25474 144626 -1 1456 16 1052 1487 118054 27131 3.11961 3.11961 -103.84 -3.11961 0 0 744469. 2576.02 0.37 0.06 0.15 -1 -1 0.37 0.020941 0.0187637 102 26 54 27 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 10.06 vpr 65.94 MiB 0.02 7420 -1 -1 1 0.04 -1 -1 34232 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67520 32 32 493 378 1 233 106 17 17 289 -1 unnamed_device 27.2 MiB 3.47 1373 12356 2983 8475 898 65.9 MiB 0.15 0.00 3.39279 -120.472 -3.39279 3.39279 1.14 0.000813005 0.000744988 0.0454839 0.0416144 28 4134 28 6.87369e+06 586901 531479. 1839.03 2.89 0.159014 0.140924 24610 126494 -1 3316 21 2326 4107 407119 86911 4.2603 4.2603 -155.284 -4.2603 0 0 648988. 2245.63 0.29 0.14 0.12 -1 -1 0.29 0.0366473 0.0326501 184 85 62 31 95 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 9.73 vpr 65.62 MiB 0.02 7440 -1 -1 1 0.04 -1 -1 33848 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67192 31 32 455 371 1 199 86 17 17 289 -1 unnamed_device 26.8 MiB 4.20 1041 15962 6227 8261 1474 65.6 MiB 0.17 0.00 3.97274 -128.634 -3.97274 3.97274 1.15 0.00061608 0.000559424 0.0612624 0.0555442 34 2691 24 6.87369e+06 321398 618332. 2139.56 1.92 0.21595 0.190457 25762 151098 -1 2188 20 1609 2479 180389 43117 4.43935 4.43935 -158.015 -4.43935 0 0 787024. 2723.27 0.34 0.09 0.13 -1 -1 0.34 0.0313408 0.0279611 144 105 0 0 124 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 9.84 vpr 65.25 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 33536 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 355 304 1 162 80 17 17 289 -1 unnamed_device 26.5 MiB 4.44 877 14356 5084 7335 1937 65.2 MiB 0.13 0.00 3.8283 -108.62 -3.8283 3.8283 1.15 0.000604968 0.000547745 0.0470932 0.042512 34 2326 24 6.87369e+06 223581 618332. 2139.56 1.83 0.17606 0.154371 25762 151098 -1 1890 16 799 1196 103453 23717 3.18321 3.18321 -122.882 -3.18321 0 0 787024. 2723.27 0.33 0.05 0.15 -1 -1 0.33 0.0201286 0.0178913 107 86 0 0 89 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 6.62 vpr 65.31 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34144 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 364 282 1 196 98 17 17 289 -1 unnamed_device 26.7 MiB 1.33 1109 14273 4195 8974 1104 65.3 MiB 0.14 0.00 3.30669 -118.161 -3.30669 3.30669 1.12 0.000528198 0.000477258 0.0414781 0.037684 28 2951 33 6.87369e+06 475111 531479. 1839.03 1.62 0.138528 0.122342 24610 126494 -1 2630 29 2212 3173 451469 160384 3.8924 3.8924 -151.975 -3.8924 0 0 648988. 2245.63 0.31 0.19 0.13 -1 -1 0.31 0.038926 0.0343405 147 31 90 30 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 7.51 vpr 65.57 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34368 -1 -1 40 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 31 32 443 336 1 224 103 17 17 289 -1 unnamed_device 27.0 MiB 2.68 1157 19142 5402 10918 2822 65.6 MiB 0.19 0.00 3.42399 -118.311 -3.42399 3.42399 1.10 0.000850102 0.00077213 0.0572932 0.0522055 32 3252 22 6.87369e+06 558954 586450. 2029.24 1.20 0.150734 0.133773 25474 144626 -1 2553 22 1972 2927 228086 52401 3.7781 3.7781 -144.882 -3.7781 0 0 744469. 2576.02 0.34 0.10 0.15 -1 -1 0.34 0.0338349 0.0300379 176 50 87 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 7.43 vpr 65.34 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 34160 -1 -1 36 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 30 32 373 297 1 188 98 17 17 289 -1 unnamed_device 26.7 MiB 2.40 1022 17423 5214 9375 2834 65.3 MiB 0.16 0.00 2.78925 -94.8725 -2.78925 2.78925 1.10 0.000659279 0.000598957 0.0496429 0.045338 28 2652 32 6.87369e+06 503058 531479. 1839.03 1.49 0.158691 0.141786 24610 126494 -1 2348 20 1579 2739 220755 50154 3.04026 3.04026 -120.35 -3.04026 0 0 648988. 2245.63 0.31 0.10 0.12 -1 -1 0.31 0.0346511 0.0311085 144 50 58 30 58 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 9.56 vpr 65.57 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 34060 -1 -1 46 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 32 32 407 319 1 202 110 17 17 289 -1 unnamed_device 26.8 MiB 3.58 986 11682 2804 7883 995 65.6 MiB 0.12 0.00 3.32249 -113.143 -3.32249 3.32249 1.08 0.000707403 0.000644846 0.0324898 0.029629 28 3011 47 6.87369e+06 642796 531479. 1839.03 2.47 0.152408 0.134076 24610 126494 -1 2455 24 2107 3448 330632 70236 4.3526 4.3526 -157.236 -4.3526 0 0 648988. 2245.63 0.32 0.13 0.12 -1 -1 0.32 0.038218 0.034057 160 61 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 11.53 vpr 65.57 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 34028 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67140 32 32 405 318 1 201 106 17 17 289 -1 unnamed_device 26.8 MiB 3.98 1072 19606 5850 10926 2830 65.6 MiB 0.18 0.00 2.89925 -105.07 -2.89925 2.89925 1.07 0.000590492 0.0005348 0.0539603 0.0487628 34 2636 24 6.87369e+06 586901 618332. 2139.56 3.91 0.242657 0.212768 25762 151098 -1 2196 19 1553 2421 171201 39866 3.11526 3.11526 -125.378 -3.11526 0 0 787024. 2723.27 0.37 0.08 0.15 -1 -1 0.37 0.0276244 0.0245035 157 61 63 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 7.42 vpr 64.75 MiB 0.02 7200 -1 -1 1 0.03 -1 -1 33656 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 29 32 287 238 1 155 80 17 17 289 -1 unnamed_device 26.4 MiB 2.33 752 13668 5257 6397 2014 64.8 MiB 0.12 0.00 2.9256 -97.8367 -2.9256 2.9256 1.08 0.000454396 0.000414973 0.040542 0.0369309 34 1754 21 6.87369e+06 265503 618332. 2139.56 1.63 0.148646 0.130267 25762 151098 -1 1521 20 1178 1706 117481 26986 2.98526 2.98526 -113.844 -2.98526 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.0205827 0.0181127 107 28 58 29 29 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 7.74 vpr 64.79 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 34140 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 334 290 1 156 81 17 17 289 -1 unnamed_device 26.3 MiB 2.87 909 11631 2934 7259 1438 64.8 MiB 0.09 0.00 3.34714 -99.6011 -3.34714 3.34714 1.03 0.00044464 0.000404867 0.0336773 0.0307337 34 1980 23 6.87369e+06 237555 618332. 2139.56 1.59 0.155413 0.136645 25762 151098 -1 1719 20 768 1093 83553 19317 2.82735 2.82735 -113.658 -2.82735 0 0 787024. 2723.27 0.34 0.05 0.13 -1 -1 0.34 0.0219507 0.0193839 102 79 0 0 82 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 8.05 vpr 65.54 MiB 0.03 7436 -1 -1 1 0.04 -1 -1 34156 -1 -1 39 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 31 32 365 281 1 197 102 17 17 289 -1 unnamed_device 26.9 MiB 2.42 1154 20094 6021 11708 2365 65.5 MiB 0.18 0.00 3.31149 -115.219 -3.31149 3.31149 1.11 0.000603668 0.000552325 0.0525156 0.0478003 28 2884 30 6.87369e+06 544980 531479. 1839.03 2.07 0.147342 0.130768 24610 126494 -1 2576 21 1944 3235 284720 60827 3.6608 3.6608 -145.018 -3.6608 0 0 648988. 2245.63 0.29 0.10 0.12 -1 -1 0.29 0.0288542 0.0254371 152 29 93 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 9.20 vpr 65.02 MiB 0.02 7292 -1 -1 1 0.03 -1 -1 33788 -1 -1 32 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 29 32 297 254 1 148 93 17 17 289 -1 unnamed_device 26.5 MiB 4.16 774 17523 6697 8650 2176 65.0 MiB 0.14 0.00 2.76725 -88.0741 -2.76725 2.76725 1.08 0.000468625 0.000427705 0.0430115 0.0392672 26 2084 22 6.87369e+06 447163 503264. 1741.40 1.61 0.125417 0.112135 24322 120374 -1 1823 24 1336 2175 195430 44018 3.18886 3.18886 -114.49 -3.18886 0 0 618332. 2139.56 0.29 0.08 0.12 -1 -1 0.29 0.0284757 0.0250641 108 48 29 29 52 26 -fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 9.73 vpr 64.90 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 33688 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 4.35 917 13152 5075 7153 924 64.9 MiB 0.12 0.00 2.9586 -109.476 -2.9586 2.9586 1.07 0.000569401 0.000518822 0.0397035 0.0362284 34 2518 21 6.87369e+06 223581 618332. 2139.56 1.89 0.160389 0.141122 25762 151098 -1 2088 23 1603 2616 244454 53515 3.43616 3.43616 -132.557 -3.43616 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0254266 0.0223717 114 31 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 8.96 vpr 65.11 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 34116 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 31 32 387 307 1 193 98 17 17 289 -1 unnamed_device 26.5 MiB 3.48 969 16523 4993 8632 2898 65.1 MiB 0.15 0.00 2.88345 -102.538 -2.88345 2.88345 1.12 0.000795188 0.000727797 0.0484836 0.0439588 34 2261 19 6.87369e+06 489084 618332. 2139.56 1.79 0.183261 0.160488 25762 151098 -1 1928 21 1771 2682 175096 42278 2.94116 2.94116 -117.971 -2.94116 0 0 787024. 2723.27 0.38 0.09 0.15 -1 -1 0.38 0.0321253 0.0287714 146 60 58 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 8.32 vpr 64.89 MiB 0.02 7236 -1 -1 1 0.03 -1 -1 33848 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 31 32 308 262 1 154 79 17 17 289 -1 unnamed_device 26.5 MiB 3.88 875 9036 2508 5797 731 64.9 MiB 0.08 0.00 2.63557 -93.8447 -2.63557 2.63557 1.07 0.000675302 0.000602674 0.0280482 0.0255266 32 2307 31 6.87369e+06 223581 586450. 2029.24 1.11 0.102097 0.0896688 25474 144626 -1 1864 21 1267 2085 183464 40163 2.93826 2.93826 -115.503 -2.93826 0 0 744469. 2576.02 0.32 0.08 0.14 -1 -1 0.32 0.0256416 0.0229304 103 49 31 31 53 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 8.21 vpr 65.23 MiB 0.02 7280 -1 -1 1 0.03 -1 -1 34016 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 383 307 1 190 101 17 17 289 -1 unnamed_device 26.6 MiB 3.44 1006 13496 3495 8660 1341 65.2 MiB 0.13 0.00 2.77825 -97.8509 -2.77825 2.77825 1.02 0.000896845 0.000828697 0.0411096 0.0374469 26 2716 49 6.87369e+06 517032 503264. 1741.40 1.50 0.167766 0.149168 24322 120374 -1 2276 23 1380 2396 195126 43904 3.02626 3.02626 -122.604 -3.02626 0 0 618332. 2139.56 0.28 0.09 0.10 -1 -1 0.28 0.03134 0.0279048 143 56 52 26 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 10.06 vpr 65.77 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 33916 -1 -1 39 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67352 31 32 422 339 1 196 102 17 17 289 -1 unnamed_device 27.0 MiB 4.48 887 10812 2512 7033 1267 65.8 MiB 0.11 0.00 2.86625 -96.6486 -2.86625 2.86625 1.12 0.000698134 0.000637124 0.0343123 0.0310057 26 2853 26 6.87369e+06 544980 503264. 1741.40 1.95 0.140723 0.124213 24322 120374 -1 2360 53 3201 5005 510107 113662 3.46776 3.46776 -128.765 -3.46776 0 0 618332. 2139.56 0.30 0.22 0.12 -1 -1 0.30 0.068317 0.0597034 151 88 31 31 92 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 8.56 vpr 65.12 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 33988 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 333 279 1 164 81 17 17 289 -1 unnamed_device 26.4 MiB 3.44 954 13731 4500 7469 1762 65.1 MiB 0.12 0.00 2.62457 -96.4915 -2.62457 2.62457 1.02 0.000481953 0.000439253 0.041474 0.0377763 34 2328 23 6.87369e+06 237555 618332. 2139.56 1.71 0.159341 0.139731 25762 151098 -1 1912 25 1388 2215 164762 37810 2.99946 2.99946 -119.912 -2.99946 0 0 787024. 2723.27 0.34 0.08 0.15 -1 -1 0.34 0.0294634 0.0257596 110 54 32 32 60 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 8.79 vpr 65.25 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33800 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 339 283 1 166 80 17 17 289 -1 unnamed_device 26.5 MiB 4.21 941 14012 4418 8237 1357 65.3 MiB 0.08 0.00 2.9366 -107.678 -2.9366 2.9366 1.09 0.000489217 0.000443056 0.0310222 0.0282645 32 2582 24 6.87369e+06 223581 586450. 2029.24 1.13 0.107109 0.0941199 25474 144626 -1 2183 21 1468 2409 224691 49171 3.31086 3.31086 -133.803 -3.31086 0 0 744469. 2576.02 0.33 0.08 0.14 -1 -1 0.33 0.0254147 0.0225524 112 60 32 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 9.25 vpr 65.74 MiB 0.02 7304 -1 -1 1 0.04 -1 -1 34384 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 32 32 407 319 1 202 104 17 17 289 -1 unnamed_device 27.0 MiB 3.57 917 17428 4888 9797 2743 65.7 MiB 0.15 0.00 3.41299 -117.867 -3.41299 3.41299 1.05 0.000627284 0.00056973 0.0435694 0.0395542 34 2581 31 6.87369e+06 558954 618332. 2139.56 2.18 0.18986 0.166336 25762 151098 -1 2018 23 2095 3361 219250 53056 3.9017 3.9017 -142.83 -3.9017 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0291846 0.0257174 157 49 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 7.44 vpr 65.20 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34116 -1 -1 34 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 29 32 367 293 1 185 95 17 17 289 -1 unnamed_device 26.6 MiB 3.06 1018 12191 3396 7605 1190 65.2 MiB 0.11 0.00 2.84425 -93.4612 -2.84425 2.84425 1.05 0.000534745 0.000485508 0.0325711 0.0296366 30 2282 22 6.87369e+06 475111 556674. 1926.21 1.03 0.106747 0.0938724 25186 138497 -1 1887 22 992 1686 106314 24088 2.91926 2.91926 -112.202 -2.91926 0 0 706193. 2443.58 0.30 0.07 0.13 -1 -1 0.30 0.030212 0.0271693 140 54 56 29 58 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 11.75 vpr 65.86 MiB 0.03 7560 -1 -1 1 0.04 -1 -1 34268 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67436 32 32 469 381 1 202 104 17 17 289 -1 unnamed_device 27.0 MiB 6.82 985 13036 3570 8061 1405 65.9 MiB 0.14 0.00 3.48699 -122.681 -3.48699 3.48699 1.08 0.000806322 0.000730885 0.0409839 0.0371146 30 2459 23 6.87369e+06 558954 556674. 1926.21 1.37 0.138948 0.122467 25186 138497 -1 2003 19 1592 2572 152101 35976 3.5697 3.5697 -142.948 -3.5697 0 0 706193. 2443.58 0.34 0.07 0.13 -1 -1 0.34 0.0281993 0.0249441 157 117 0 0 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.16 vpr 64.77 MiB 0.02 6984 -1 -1 1 0.04 -1 -1 33992 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 26.2 MiB 0.91 795 11909 3535 6603 1771 64.8 MiB 0.09 0.00 2.44612 -88.9453 -2.44612 2.44612 1.02 0.000400975 0.000365881 0.0313555 0.0285729 30 2030 36 6.87369e+06 223581 556674. 1926.21 1.06 0.100995 0.0885185 25186 138497 -1 1607 16 1078 1719 98078 23292 2.77096 2.77096 -110.843 -2.77096 0 0 706193. 2443.58 0.30 0.05 0.13 -1 -1 0.30 0.0189354 0.0170236 104 -1 85 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 6.45 vpr 65.70 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 33756 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67276 32 32 418 338 1 194 101 17 17 289 -1 unnamed_device 27.0 MiB 2.00 1136 17961 4753 11487 1721 65.7 MiB 0.15 0.00 3.49609 -119.341 -3.49609 3.49609 0.97 0.000721589 0.000669879 0.0466707 0.042417 28 2583 39 6.87369e+06 517032 531479. 1839.03 1.21 0.156011 0.13823 24610 126494 -1 2211 21 1689 2353 206718 46321 3.7011 3.7011 -140.572 -3.7011 0 0 648988. 2245.63 0.29 0.09 0.12 -1 -1 0.29 0.0315038 0.0282286 147 89 28 28 92 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 10.39 vpr 65.31 MiB 0.02 7060 -1 -1 1 0.03 -1 -1 34020 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 5.48 908 11260 3414 6310 1536 65.3 MiB 0.10 0.00 2.9898 -110.534 -2.9898 2.9898 1.02 0.000518362 0.000471716 0.0371502 0.033809 34 2267 23 6.87369e+06 223581 618332. 2139.56 1.62 0.157509 0.137289 25762 151098 -1 1893 21 1486 2159 163289 37546 3.16246 3.16246 -131.465 -3.16246 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0257232 0.022773 114 93 0 0 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 8.53 vpr 65.66 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 34008 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67240 32 32 401 316 1 199 103 17 17 289 -1 unnamed_device 27.0 MiB 3.85 1083 18419 4857 11116 2446 65.7 MiB 0.16 0.00 2.83325 -103.36 -2.83325 2.83325 1.04 0.000577785 0.000527112 0.0478536 0.0433834 28 2576 21 6.87369e+06 544980 531479. 1839.03 1.31 0.131109 0.11577 24610 126494 -1 2234 18 1491 2223 165716 38663 3.14356 3.14356 -129.908 -3.14356 0 0 648988. 2245.63 0.29 0.08 0.13 -1 -1 0.29 0.0268519 0.0238514 153 59 61 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 11.76 vpr 65.56 MiB 0.03 7432 -1 -1 1 0.04 -1 -1 34472 -1 -1 47 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 500 382 1 236 111 17 17 289 -1 unnamed_device 27.1 MiB 5.57 1063 20327 5487 12070 2770 65.6 MiB 0.20 0.00 4.00554 -134.214 -4.00554 4.00554 1.02 0.000808906 0.000736004 0.0591573 0.0535454 34 3410 25 6.87369e+06 656770 618332. 2139.56 2.74 0.22536 0.197716 25762 151098 -1 2538 22 2625 4128 310455 73379 4.83085 4.83085 -170.88 -4.83085 0 0 787024. 2723.27 0.34 0.12 0.13 -1 -1 0.34 0.0378655 0.0338169 190 81 64 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 6.60 vpr 64.61 MiB 0.02 7180 -1 -1 1 0.03 -1 -1 33880 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 30 32 246 229 1 118 76 17 17 289 -1 unnamed_device 26.2 MiB 2.49 665 10476 2768 6457 1251 64.6 MiB 0.07 0.00 2.42836 -80.5119 -2.42836 2.42836 1.02 0.000374753 0.000339709 0.0264944 0.024151 32 1542 19 6.87369e+06 195634 586450. 2029.24 0.94 0.074781 0.065556 25474 144626 -1 1326 19 658 925 78925 18369 2.08882 2.08882 -91.2305 -2.08882 0 0 744469. 2576.02 0.31 0.04 0.14 -1 -1 0.31 0.0171007 0.0149989 72 51 0 0 53 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 5.44 vpr 64.55 MiB 0.02 6996 -1 -1 1 0.03 -1 -1 34096 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 30 32 296 244 1 158 80 17 17 289 -1 unnamed_device 26.2 MiB 1.18 715 12808 4470 6119 2219 64.6 MiB 0.10 0.00 2.9678 -98.692 -2.9678 2.9678 1.04 0.000454483 0.000411106 0.0364095 0.0331132 32 1866 19 6.87369e+06 251529 586450. 2029.24 1.01 0.0953956 0.08395 25474 144626 -1 1587 20 1282 1776 146909 33853 3.15261 3.15261 -120.311 -3.15261 0 0 744469. 2576.02 0.32 0.06 0.12 -1 -1 0.32 0.0229619 0.0204272 109 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 6.86 vpr 64.84 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33696 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.4 MiB 1.66 958 12808 4038 7184 1586 64.8 MiB 0.12 0.00 2.77395 -105.816 -2.77395 2.77395 1.05 0.000458617 0.000419387 0.0395359 0.0360107 34 2519 18 6.87369e+06 223581 618332. 2139.56 1.80 0.149332 0.131032 25762 151098 -1 2101 21 1588 2818 224755 51473 3.07926 3.07926 -130.671 -3.07926 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0232039 0.0204436 114 31 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 5.65 vpr 64.82 MiB 0.02 7024 -1 -1 1 0.03 -1 -1 34060 -1 -1 37 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 25 32 251 214 1 139 94 17 17 289 -1 unnamed_device 26.4 MiB 0.96 619 17560 6617 8075 2868 64.8 MiB 0.12 0.00 2.80025 -75.8402 -2.80025 2.80025 1.02 0.000387258 0.000351238 0.0350053 0.031882 26 1788 26 6.87369e+06 517032 503264. 1741.40 1.52 0.0965413 0.0851014 24322 120374 -1 1576 21 1194 2012 171080 39701 3.02726 3.02726 -97.6454 -3.02726 0 0 618332. 2139.56 0.25 0.07 0.12 -1 -1 0.25 0.0195845 0.0172775 105 19 50 25 25 25 -fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 8.21 vpr 65.74 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34076 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67316 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 27.0 MiB 3.51 1014 16267 5584 8739 1944 65.7 MiB 0.16 0.00 3.26749 -115.812 -3.26749 3.26749 1.03 0.000643875 0.000586697 0.056264 0.0512417 32 3127 28 6.87369e+06 293451 586450. 2029.24 1.25 0.152179 0.134913 25474 144626 -1 2485 24 2083 3802 310578 73239 3.8404 3.8404 -148.431 -3.8404 0 0 744469. 2576.02 0.32 0.10 0.14 -1 -1 0.32 0.0318128 0.0280838 145 84 32 32 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 7.56 vpr 65.64 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 33980 -1 -1 40 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67212 31 32 421 339 1 195 103 17 17 289 -1 unnamed_device 26.9 MiB 3.18 1080 13358 3306 8771 1281 65.6 MiB 0.12 0.00 2.86625 -101.587 -2.86625 2.86625 0.98 0.000584279 0.000523756 0.0349752 0.0316075 28 2631 21 6.87369e+06 558954 531479. 1839.03 1.17 0.117282 0.102951 24610 126494 -1 2292 24 1968 3146 245528 54909 3.09026 3.09026 -126.273 -3.09026 0 0 648988. 2245.63 0.28 0.09 0.12 -1 -1 0.28 0.0308465 0.0271698 151 88 29 29 93 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 9.95 vpr 65.70 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33884 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 438 350 1 295 93 17 17 289 -1 unnamed_device 27.0 MiB 2.04 1397 19203 6712 10074 2417 65.7 MiB 0.18 0.00 4.08424 -140.969 -4.08424 4.08424 1.04 0.00100966 0.000935204 0.058699 0.0534027 36 3131 24 6.89349e+06 408721 648988. 2245.63 4.38 0.261978 0.228917 26050 158493 -1 2688 21 2203 2684 190705 42461 4.87215 4.87215 -174.998 -4.87215 0 0 828058. 2865.25 0.35 0.08 0.15 -1 -1 0.35 0.03049 0.0270871 192 80 32 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 7.66 vpr 65.64 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34092 -1 -1 29 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 30 32 409 330 1 262 91 17 17 289 -1 unnamed_device 26.9 MiB 1.88 1213 16615 5668 8299 2648 65.6 MiB 0.16 0.00 4.21067 -131.231 -4.21067 4.21067 1.01 0.000567306 0.000509856 0.0498719 0.0453228 36 3094 32 6.89349e+06 408721 648988. 2245.63 2.34 0.193257 0.169085 26050 158493 -1 2542 20 2055 2851 201270 45989 4.51278 4.51278 -155.651 -4.51278 0 0 828058. 2865.25 0.35 0.09 0.15 -1 -1 0.35 0.0296778 0.0265558 177 78 30 30 89 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 7.98 vpr 65.38 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 34200 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 387 309 1 253 89 17 17 289 -1 unnamed_device 26.7 MiB 2.46 1315 14543 3877 8711 1955 65.4 MiB 0.13 0.00 3.31466 -117.958 -3.31466 3.31466 1.03 0.000523965 0.000475591 0.0431322 0.039354 36 2904 23 6.89349e+06 352346 648988. 2245.63 2.12 0.173159 0.151694 26050 158493 -1 2500 21 1654 2076 147236 32418 3.6704 3.6704 -136.171 -3.6704 0 0 828058. 2865.25 0.34 0.07 0.16 -1 -1 0.34 0.0267041 0.0236278 167 50 54 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 7.87 vpr 65.34 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33840 -1 -1 25 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 29 32 343 267 1 209 86 17 17 289 -1 unnamed_device 26.6 MiB 2.59 981 14072 4129 8074 1869 65.3 MiB 0.13 0.00 3.65595 -113.669 -3.65595 3.65595 0.99 0.000497774 0.000453034 0.0400881 0.0364921 36 2236 18 6.89349e+06 352346 648988. 2245.63 2.00 0.154955 0.135709 26050 158493 -1 1989 19 1585 2397 164797 37087 3.78736 3.78736 -135.349 -3.78736 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0225685 0.0199924 148 25 87 29 29 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 9.01 vpr 65.27 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 33948 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 26.7 MiB 2.41 1248 15103 4997 7211 2895 65.3 MiB 0.15 0.00 4.13624 -140.197 -4.13624 4.13624 1.03 0.00057239 0.000517822 0.0456166 0.0415814 34 3858 38 6.89349e+06 338252 618332. 2139.56 3.13 0.169234 0.148597 25762 151098 -1 2939 21 2296 3976 312580 68493 4.89875 4.89875 -171.008 -4.89875 0 0 787024. 2723.27 0.35 0.11 0.14 -1 -1 0.35 0.0274709 0.0242765 163 31 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 8.03 vpr 65.26 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 34120 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 32 32 402 316 1 257 105 17 17 289 -1 unnamed_device 26.5 MiB 2.27 1445 21347 5976 12885 2486 65.3 MiB 0.20 0.00 3.63759 -120.124 -3.63759 3.63759 1.05 0.00057267 0.000521128 0.0541914 0.0492813 34 3630 25 6.89349e+06 577847 618332. 2139.56 2.20 0.190241 0.166267 25762 151098 -1 2830 20 1889 2991 212523 45449 3.4465 3.4465 -136.895 -3.4465 0 0 787024. 2723.27 0.35 0.09 0.14 -1 -1 0.35 0.0285306 0.0253979 179 61 63 32 63 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 6.85 vpr 64.36 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34280 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 27 32 269 226 1 164 80 17 17 289 -1 unnamed_device 26.0 MiB 1.92 764 11088 3271 6950 867 64.4 MiB 0.09 0.00 3.0242 -88.9126 -3.0242 3.0242 1.02 0.000434188 0.000393652 0.0290634 0.0264881 34 1852 21 6.89349e+06 295971 618332. 2139.56 1.66 0.128733 0.11261 25762 151098 -1 1637 17 1209 1738 119084 27948 3.09481 3.09481 -108.016 -3.09481 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.0194144 0.0173063 112 26 54 27 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 8.33 vpr 64.46 MiB 0.02 7252 -1 -1 1 0.04 -1 -1 33832 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 26.0 MiB 0.91 1065 17648 4880 10424 2344 64.5 MiB 0.14 0.00 2.8004 -95.1457 -2.8004 2.8004 1.04 0.000621783 0.000578099 0.0445314 0.0403764 36 2384 20 6.89349e+06 493284 648988. 2245.63 4.10 0.21302 0.187235 26050 158493 -1 2000 21 1218 1998 133693 30213 2.55621 2.55621 -105.909 -2.55621 0 0 828058. 2865.25 0.34 0.06 0.14 -1 -1 0.34 0.0239755 0.0213207 141 -1 115 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 7.10 vpr 64.94 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 33668 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 338 292 1 225 84 17 17 289 -1 unnamed_device 26.4 MiB 1.98 1166 9417 2368 5743 1306 64.9 MiB 0.09 0.00 2.93075 -99.672 -2.93075 2.93075 1.05 0.000495074 0.000449038 0.0284277 0.025887 34 2860 23 6.89349e+06 295971 618332. 2139.56 1.75 0.149613 0.130709 25762 151098 -1 2203 21 1554 1808 139695 31363 2.88531 2.88531 -115.468 -2.88531 0 0 787024. 2723.27 0.35 0.07 0.15 -1 -1 0.35 0.0238965 0.0211331 140 81 0 0 84 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 7.38 vpr 64.91 MiB 0.02 6944 -1 -1 1 0.03 -1 -1 33760 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 314 256 1 193 83 17 17 289 -1 unnamed_device 26.5 MiB 2.19 796 9623 2396 6316 911 64.9 MiB 0.09 0.00 2.96065 -102.82 -2.96065 2.96065 1.05 0.00049038 0.00044628 0.0292959 0.0267288 34 2408 27 6.89349e+06 267783 618332. 2139.56 1.81 0.145864 0.127379 25762 151098 -1 1857 21 1624 2113 130019 33448 3.26786 3.26786 -130.191 -3.26786 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0224096 0.0196891 127 31 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 7.53 vpr 64.89 MiB 0.02 7224 -1 -1 1 0.03 -1 -1 33584 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 30 32 325 273 1 203 83 17 17 289 -1 unnamed_device 26.4 MiB 2.55 1075 15563 5702 8120 1741 64.9 MiB 0.13 0.00 3.35114 -111.344 -3.35114 3.35114 1.02 0.000455412 0.000414783 0.043147 0.0392981 34 2423 24 6.89349e+06 295971 618332. 2139.56 1.64 0.155953 0.13624 25762 151098 -1 2085 21 1713 2276 153778 34990 3.57995 3.57995 -133.773 -3.57995 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0240181 0.0212202 135 58 30 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 7.25 vpr 64.75 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 34152 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 331 280 1 215 84 17 17 289 -1 unnamed_device 26.2 MiB 2.05 1041 16188 5960 7866 2362 64.7 MiB 0.13 0.00 3.0432 -103.308 -3.0432 3.0432 1.04 0.000499693 0.000455853 0.0463187 0.0420726 34 2556 45 6.89349e+06 281877 618332. 2139.56 1.80 0.181929 0.158931 25762 151098 -1 2091 19 1221 1416 107646 24666 3.03551 3.03551 -119.032 -3.03551 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.020915 0.018434 135 57 25 25 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 9.37 vpr 65.40 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 34112 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66968 32 32 386 305 1 239 89 17 17 289 -1 unnamed_device 26.7 MiB 1.66 998 17711 7423 8257 2031 65.4 MiB 0.14 0.00 3.35709 -113.566 -3.35709 3.35709 1.06 0.000551982 0.00050019 0.0528668 0.048191 38 2942 43 6.89349e+06 352346 678818. 2348.85 4.12 0.21533 0.189161 26626 170182 -1 2240 31 2036 2706 194462 47160 4.1212 4.1212 -141.01 -4.1212 0 0 902133. 3121.57 0.37 0.10 0.17 -1 -1 0.37 0.0374539 0.0326574 161 55 64 32 57 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 7.36 vpr 65.56 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33888 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 407 319 1 264 92 17 17 289 -1 unnamed_device 26.8 MiB 1.74 1384 17273 5494 9643 2136 65.6 MiB 0.17 0.00 3.99994 -138.165 -3.99994 3.99994 1.02 0.000664659 0.000615582 0.0560219 0.051351 34 3523 45 6.89349e+06 394628 618332. 2139.56 2.17 0.216457 0.191376 25762 151098 -1 2724 22 2278 2983 204651 47764 4.58055 4.58055 -167.862 -4.58055 0 0 787024. 2723.27 0.35 0.09 0.13 -1 -1 0.35 0.0316693 0.0280513 175 60 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 6.78 vpr 64.59 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 33820 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 29 32 272 228 1 171 82 17 17 289 -1 unnamed_device 26.2 MiB 1.78 880 13610 4182 7535 1893 64.6 MiB 0.10 0.00 2.86465 -93.7117 -2.86465 2.86465 1.04 0.000481741 0.000440078 0.0346319 0.0313774 34 2048 36 6.89349e+06 295971 618332. 2139.56 1.64 0.146194 0.12791 25762 151098 -1 1808 19 1092 1532 107089 24800 2.97326 2.97326 -108.543 -2.97326 0 0 787024. 2723.27 0.34 0.05 0.15 -1 -1 0.34 0.0196097 0.0173534 112 21 58 29 24 24 -fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 9.41 vpr 65.48 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34060 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 401 315 1 256 89 17 17 289 -1 unnamed_device 26.8 MiB 2.92 1374 17909 6202 9054 2653 65.5 MiB 0.18 0.00 3.54049 -121.753 -3.54049 3.54049 1.06 0.000647425 0.000594467 0.0578881 0.0528865 36 3357 37 6.89349e+06 352346 648988. 2245.63 2.89 0.219482 0.194013 26050 158493 -1 2698 21 2442 3948 305682 70151 4.12945 4.12945 -149.454 -4.12945 0 0 828058. 2865.25 0.37 0.12 0.15 -1 -1 0.37 0.032017 0.0285618 174 60 64 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 7.38 vpr 65.23 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 33704 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 383 303 1 236 89 17 17 289 -1 unnamed_device 26.6 MiB 1.58 1265 16325 5012 9411 1902 65.2 MiB 0.17 0.00 2.93865 -106.398 -2.93865 2.93865 1.09 0.000739236 0.000643541 0.0527961 0.0479246 34 2973 30 6.89349e+06 352346 618332. 2139.56 2.20 0.193585 0.169518 25762 151098 -1 2519 19 1788 2213 177873 39421 3.28621 3.28621 -132.382 -3.28621 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0254546 0.0224829 160 54 64 32 56 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 7.83 vpr 65.24 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33556 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 339 284 1 224 86 17 17 289 -1 unnamed_device 26.4 MiB 2.28 1165 15206 5123 7555 2528 65.2 MiB 0.14 0.00 2.80245 -101.976 -2.80245 2.80245 1.06 0.000492895 0.000448896 0.0435791 0.0395704 34 2851 27 6.89349e+06 310065 618332. 2139.56 2.06 0.169819 0.148458 25762 151098 -1 2357 22 1693 2214 167374 36422 2.80126 2.80126 -118.371 -2.80126 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0259191 0.0228857 139 62 29 29 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 5.33 vpr 64.35 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 33884 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 30 32 226 208 1 142 77 17 17 289 -1 unnamed_device 25.9 MiB 1.19 704 6760 1764 4399 597 64.3 MiB 0.05 0.00 2.41926 -78.0532 -2.41926 2.41926 1.05 0.000594084 0.00054766 0.017179 0.0157016 30 1500 20 6.89349e+06 211408 556674. 1926.21 0.93 0.0675169 0.0593569 25186 138497 -1 1348 19 668 795 47595 11907 2.09982 2.09982 -88.3596 -2.09982 0 0 706193. 2443.58 0.32 0.04 0.12 -1 -1 0.32 0.0157554 0.0138902 85 29 24 24 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 7.32 vpr 64.95 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34144 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 31 32 335 280 1 217 85 17 17 289 -1 unnamed_device 26.2 MiB 1.76 1106 13663 3627 8067 1969 65.0 MiB 0.12 0.00 3.39499 -118.19 -3.39499 3.39499 1.11 0.000507255 0.000464241 0.0399932 0.036452 34 2805 47 6.89349e+06 310065 618332. 2139.56 2.00 0.183987 0.16086 25762 151098 -1 2325 20 1609 2068 165510 36631 3.42445 3.42445 -137.985 -3.42445 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0238635 0.0210369 141 55 31 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.02 vpr 65.32 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33792 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 366 283 1 228 104 17 17 289 -1 unnamed_device 26.7 MiB 1.23 1228 17916 6304 9623 1989 65.3 MiB 0.16 0.00 3.85123 -132.257 -3.85123 3.85123 1.13 0.000543249 0.000493626 0.0443908 0.0401967 30 2885 29 6.89349e+06 563754 556674. 1926.21 1.21 0.13755 0.121812 25186 138497 -1 2363 20 1730 2414 164650 36157 4.07844 4.07844 -154.811 -4.07844 0 0 706193. 2443.58 0.33 0.08 0.13 -1 -1 0.33 0.028781 0.02583 166 31 91 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 7.80 vpr 65.67 MiB 0.02 7460 -1 -1 1 0.04 -1 -1 33812 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 460 375 1 309 95 17 17 289 -1 unnamed_device 27.0 MiB 1.62 1665 20399 7395 10620 2384 65.7 MiB 0.21 0.00 3.45522 -120.822 -3.45522 3.45522 1.12 0.000618845 0.00056192 0.0705882 0.0644058 36 3609 23 6.89349e+06 436909 648988. 2245.63 2.44 0.229408 0.202732 26050 158493 -1 2903 23 2373 2697 185880 42188 3.76066 3.76066 -143.651 -3.76066 0 0 828058. 2865.25 0.35 0.09 0.16 -1 -1 0.35 0.0351117 0.0311254 201 108 0 0 125 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 6.62 vpr 64.15 MiB 0.02 6836 -1 -1 1 0.03 -1 -1 34216 -1 -1 18 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 26 32 198 186 1 129 76 17 17 289 -1 unnamed_device 25.8 MiB 1.68 619 10316 4300 5417 599 64.1 MiB 0.07 0.00 2.21891 -63.9921 -2.21891 2.21891 1.10 0.000324781 0.000297187 0.0231079 0.0210326 34 1429 24 6.89349e+06 253689 618332. 2139.56 1.57 0.103787 0.0900629 25762 151098 -1 1204 20 707 913 71781 16258 2.07712 2.07712 -73.5157 -2.07712 0 0 787024. 2723.27 0.35 0.05 0.15 -1 -1 0.35 0.0171156 0.015178 77 21 26 26 22 22 -fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 7.07 vpr 64.86 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 34156 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 26.4 MiB 1.52 1058 15895 5598 7593 2704 64.9 MiB 0.15 0.00 3.37604 -118.553 -3.37604 3.37604 1.10 0.000518604 0.00047322 0.0481986 0.0439065 34 2601 23 6.89349e+06 295971 618332. 2139.56 1.96 0.177419 0.155603 25762 151098 -1 2247 21 1729 2984 225749 50032 3.73905 3.73905 -142.245 -3.73905 0 0 787024. 2723.27 0.35 0.09 0.15 -1 -1 0.35 0.0278608 0.0246518 141 -1 122 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 4.67 vpr 64.05 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 33828 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65592 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 25.6 MiB 0.45 694 11596 4819 6583 194 64.1 MiB 0.08 0.00 1.93068 -74.4222 -1.93068 1.93068 1.07 0.000344842 0.000314835 0.0272083 0.0248004 28 1475 24 6.89349e+06 169126 531479. 1839.03 0.94 0.0763703 0.067286 24610 126494 -1 1366 19 657 988 76148 17619 1.99382 1.99382 -88.3493 -1.99382 0 0 648988. 2245.63 0.29 0.04 0.12 -1 -1 0.29 0.0160042 0.0141252 71 -1 53 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 7.14 vpr 65.38 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34024 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 376 288 1 233 89 17 17 289 -1 unnamed_device 26.5 MiB 1.75 1003 10781 3091 7273 417 65.4 MiB 0.12 0.00 3.69075 -124.253 -3.69075 3.69075 1.04 0.000524542 0.000477183 0.0330403 0.0300098 34 3011 25 6.89349e+06 352346 618332. 2139.56 1.97 0.167245 0.146116 25762 151098 -1 2242 21 1862 2585 165379 41029 3.95366 3.95366 -154.028 -3.95366 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0285117 0.0250806 161 21 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 5.54 vpr 65.11 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 33832 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 26.4 MiB 0.93 1061 13092 3507 8346 1239 65.1 MiB 0.13 0.00 2.7803 -99.7348 -2.7803 2.7803 1.09 0.000576013 0.000528534 0.0330667 0.0300116 32 2605 26 6.89349e+06 507378 586450. 2029.24 1.13 0.114986 0.101579 25474 144626 -1 2078 20 1533 2423 154957 36761 2.82461 2.82461 -120.134 -2.82461 0 0 744469. 2576.02 0.32 0.07 0.14 -1 -1 0.32 0.0249683 0.022067 151 -1 124 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 7.59 vpr 65.48 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 34220 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.7 MiB 2.01 1298 13758 4399 6940 2419 65.5 MiB 0.14 0.00 3.69695 -129.07 -3.69695 3.69695 1.03 0.000601382 0.000541485 0.0438108 0.0401219 34 3820 27 6.89349e+06 366440 618332. 2139.56 2.15 0.207617 0.184684 25762 151098 -1 2813 21 2304 3387 225516 53158 4.08516 4.08516 -156.476 -4.08516 0 0 787024. 2723.27 0.35 0.10 0.14 -1 -1 0.35 0.031599 0.0282974 174 54 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 7.65 vpr 64.73 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 34068 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 32 32 294 246 1 188 81 17 17 289 -1 unnamed_device 26.3 MiB 2.39 1112 8306 2267 5442 597 64.7 MiB 0.08 0.00 2.94175 -107.545 -2.94175 2.94175 1.09 0.000470906 0.000429321 0.0255793 0.023325 34 2632 19 6.89349e+06 239595 618332. 2139.56 1.82 0.138174 0.120893 25762 151098 -1 2322 18 1496 2104 172983 37085 2.96946 2.96946 -127.29 -2.96946 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.020266 0.0179349 118 31 54 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 7.32 vpr 64.69 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 33852 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 30 32 296 244 1 182 81 17 17 289 -1 unnamed_device 26.3 MiB 2.09 1031 13381 4201 7573 1607 64.7 MiB 0.12 0.00 3.51049 -117.195 -3.51049 3.51049 1.07 0.000463242 0.000421593 0.0387156 0.035273 34 2534 26 6.89349e+06 267783 618332. 2139.56 1.79 0.16094 0.141294 25762 151098 -1 2191 21 1557 2389 185316 39931 3.567 3.567 -135.328 -3.567 0 0 787024. 2723.27 0.36 0.08 0.15 -1 -1 0.36 0.0251408 0.0223581 121 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 7.31 vpr 64.45 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 33860 -1 -1 21 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 28 32 278 232 1 173 81 17 17 289 -1 unnamed_device 26.1 MiB 2.74 978 13731 4039 8075 1617 64.5 MiB 0.12 0.00 3.45729 -108.263 -3.45729 3.45729 1.05 0.000409344 0.000372606 0.0368403 0.0334997 30 2271 44 6.89349e+06 295971 556674. 1926.21 1.16 0.117984 0.103402 25186 138497 -1 1882 21 1207 2031 137888 29980 3.6641 3.6641 -131.075 -3.6641 0 0 706193. 2443.58 0.31 0.07 0.14 -1 -1 0.31 0.0225058 0.0198622 115 27 56 28 28 28 -fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 6.62 vpr 64.58 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33992 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.0 MiB 1.39 933 15216 5574 7707 1935 64.6 MiB 0.12 0.00 2.85355 -104.926 -2.85355 2.85355 1.07 0.000489231 0.00044355 0.044451 0.0404812 34 2201 22 6.89349e+06 225501 618332. 2139.56 1.79 0.15228 0.133493 25762 151098 -1 1861 17 1313 2116 138188 32065 3.02916 3.02916 -124.55 -3.02916 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.021035 0.0188046 114 -1 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 6.43 vpr 64.72 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33940 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 31 32 303 249 1 191 82 17 17 289 -1 unnamed_device 26.3 MiB 1.81 870 10762 3001 6433 1328 64.7 MiB 0.11 0.00 2.93565 -101.776 -2.93565 2.93565 1.09 0.000522066 0.000478894 0.0320071 0.0292025 32 2746 39 6.89349e+06 267783 586450. 2029.24 1.19 0.116642 0.102925 25474 144626 -1 1969 21 1267 1742 132300 31066 2.98416 2.98416 -120.652 -2.98416 0 0 744469. 2576.02 0.32 0.07 0.14 -1 -1 0.32 0.0236772 0.0209642 121 26 61 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 6.92 vpr 64.84 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 33988 -1 -1 23 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 29 32 312 264 1 201 84 17 17 289 -1 unnamed_device 26.3 MiB 1.95 935 8868 2279 5871 718 64.8 MiB 0.09 0.00 2.91975 -90.5498 -2.91975 2.91975 1.07 0.000506963 0.000462082 0.0262348 0.0239482 34 2226 26 6.89349e+06 324158 618332. 2139.56 1.60 0.133695 0.11632 25762 151098 -1 1780 18 1199 1580 93908 23090 2.98451 2.98451 -106.557 -2.98451 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.0205524 0.0181595 130 55 29 29 57 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 8.21 vpr 65.31 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34036 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 423 310 1 254 91 17 17 289 -1 unnamed_device 26.6 MiB 2.36 1265 16207 4192 9519 2496 65.3 MiB 0.18 0.00 3.73615 -128.74 -3.73615 3.73615 1.03 0.000710299 0.000651697 0.0612631 0.0562728 34 3431 37 6.89349e+06 380534 618332. 2139.56 2.36 0.243626 0.216074 25762 151098 -1 2632 23 2177 3585 253829 57838 3.97376 3.97376 -152.363 -3.97376 0 0 787024. 2723.27 0.34 0.10 0.13 -1 -1 0.34 0.035506 0.0316109 184 26 128 32 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 8.57 vpr 65.57 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 33896 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 403 317 1 260 89 17 17 289 -1 unnamed_device 26.9 MiB 2.14 1179 14543 5233 7322 1988 65.6 MiB 0.15 0.00 3.39214 -118.219 -3.39214 3.39214 1.13 0.00056988 0.000515434 0.0495424 0.0450314 34 3969 32 6.89349e+06 352346 618332. 2139.56 2.79 0.209111 0.183713 25762 151098 -1 2811 22 2651 3609 303516 67006 3.90255 3.90255 -155.999 -3.90255 0 0 787024. 2723.27 0.34 0.11 0.15 -1 -1 0.34 0.0318762 0.0282632 173 62 62 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 6.54 vpr 65.11 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 33932 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 31 32 353 302 1 229 85 17 17 289 -1 unnamed_device 26.3 MiB 1.14 1033 15151 6378 8217 556 65.1 MiB 0.13 0.00 2.90265 -97.7447 -2.90265 2.90265 1.08 0.000536164 0.000487201 0.0443941 0.0403161 34 2688 25 6.89349e+06 310065 618332. 2139.56 1.91 0.171532 0.150696 25762 151098 -1 2109 21 1450 1514 122931 28043 3.04026 3.04026 -115.912 -3.04026 0 0 787024. 2723.27 0.37 0.07 0.15 -1 -1 0.37 0.0267887 0.0238317 143 77 0 0 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 8.41 vpr 65.48 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 34160 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 31 32 391 309 1 246 89 17 17 289 -1 unnamed_device 26.8 MiB 2.88 1311 14939 4891 7553 2495 65.5 MiB 0.15 0.00 3.38219 -115.825 -3.38219 3.38219 1.06 0.00058174 0.000532005 0.0475317 0.0434099 34 3319 25 6.89349e+06 366440 618332. 2139.56 1.95 0.191622 0.168284 25762 151098 -1 2595 19 1856 2609 195096 43572 3.4497 3.4497 -136.548 -3.4497 0 0 787024. 2723.27 0.33 0.08 0.16 -1 -1 0.33 0.0277204 0.0245209 170 59 60 30 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 10.57 vpr 65.41 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34160 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66980 31 32 455 371 1 307 94 17 17 289 -1 unnamed_device 26.8 MiB 2.39 1565 18412 6105 9754 2553 65.4 MiB 0.18 0.00 4.10624 -137.224 -4.10624 4.10624 1.02 0.000602673 0.000548138 0.0563473 0.0512429 36 3655 28 6.89349e+06 436909 648988. 2245.63 4.67 0.287541 0.251783 26050 158493 -1 2982 21 2376 2664 210410 44916 4.52534 4.52534 -157.282 -4.52534 0 0 828058. 2865.25 0.36 0.10 0.15 -1 -1 0.36 0.0334262 0.0297378 201 111 0 0 124 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 11.54 vpr 65.45 MiB 0.03 7424 -1 -1 1 0.04 -1 -1 33964 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 31 32 413 333 1 269 91 17 17 289 -1 unnamed_device 26.7 MiB 3.05 1417 18043 6920 8436 2687 65.5 MiB 0.16 0.00 4.48427 -140.263 -4.48427 4.48427 1.06 0.000558408 0.000504993 0.0533831 0.0485351 38 3143 31 6.89349e+06 394628 678818. 2348.85 4.88 0.265179 0.23094 26626 170182 -1 2730 20 2058 2842 221857 47211 4.77864 4.77864 -166.892 -4.77864 0 0 902133. 3121.57 0.39 0.09 0.17 -1 -1 0.39 0.0300314 0.0266872 181 86 31 31 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.10 vpr 65.38 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 33888 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 31 32 391 309 1 249 90 17 17 289 -1 unnamed_device 26.7 MiB 1.91 1343 11346 3211 6791 1344 65.4 MiB 0.12 0.00 2.99685 -105.974 -2.99685 2.99685 1.02 0.000638642 0.000588946 0.034921 0.0319904 34 2991 22 6.89349e+06 380534 618332. 2139.56 1.87 0.180041 0.159662 25762 151098 -1 2509 19 2087 2854 190870 44244 3.33921 3.33921 -126.948 -3.33921 0 0 787024. 2723.27 0.34 0.08 0.13 -1 -1 0.34 0.0273603 0.0245115 168 58 60 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 10.32 vpr 65.58 MiB 0.02 7388 -1 -1 1 0.04 -1 -1 34316 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 26.9 MiB 2.40 1242 16615 5521 8570 2524 65.6 MiB 0.16 0.00 3.75005 -128.828 -3.75005 3.75005 1.02 0.000548463 0.000498102 0.0490552 0.0445686 40 2657 22 6.89349e+06 380534 706193. 2443.58 4.39 0.234465 0.20371 26914 176310 -1 2455 21 1899 2458 195769 44852 4.17936 4.17936 -156.017 -4.17936 0 0 926341. 3205.33 0.38 0.09 0.18 -1 -1 0.38 0.0301561 0.0267298 178 42 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 8.95 vpr 65.48 MiB 0.03 7596 -1 -1 1 0.04 -1 -1 33812 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 496 380 1 321 95 17 17 289 -1 unnamed_device 27.1 MiB 2.72 1546 16295 4181 9580 2534 65.5 MiB 0.20 0.00 4.06108 -136.878 -4.06108 4.06108 1.06 0.000725991 0.000660087 0.0604536 0.054984 36 4290 24 6.89349e+06 436909 648988. 2245.63 2.53 0.197722 0.174402 26050 158493 -1 3345 22 2926 4262 321880 70612 4.63405 4.63405 -169.086 -4.63405 0 0 828058. 2865.25 0.36 0.12 0.15 -1 -1 0.36 0.0355239 0.0315628 220 91 62 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 7.19 vpr 64.78 MiB 0.02 7228 -1 -1 1 0.04 -1 -1 33724 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 31 32 305 250 1 192 83 17 17 289 -1 unnamed_device 26.3 MiB 2.10 877 11423 4028 5276 2119 64.8 MiB 0.10 0.00 3.1513 -109.15 -3.1513 3.1513 1.08 0.000483234 0.000436707 0.0334984 0.0305164 34 2184 22 6.89349e+06 281877 618332. 2139.56 1.68 0.149747 0.131472 25762 151098 -1 1850 19 1474 1899 137901 32634 2.91301 2.91301 -120.665 -2.91301 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0220467 0.0195736 127 24 62 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 8.33 vpr 65.38 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34168 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 31 32 395 311 1 251 90 17 17 289 -1 unnamed_device 26.7 MiB 2.05 1099 10341 2791 6854 696 65.4 MiB 0.12 0.00 3.99994 -128.709 -3.99994 3.99994 1.06 0.000675111 0.000616945 0.0370014 0.0337416 36 2899 26 6.89349e+06 380534 648988. 2245.63 2.79 0.183874 0.161301 26050 158493 -1 2382 16 1626 2018 129372 31862 4.37725 4.37725 -154.707 -4.37725 0 0 828058. 2865.25 0.34 0.06 0.15 -1 -1 0.34 0.0226715 0.0201334 168 59 62 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 8.27 vpr 65.25 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34092 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 397 313 1 254 91 17 17 289 -1 unnamed_device 26.6 MiB 2.30 1327 16003 4972 8499 2532 65.2 MiB 0.16 0.00 3.76109 -127.031 -3.76109 3.76109 1.04 0.000647393 0.00058856 0.0477469 0.0434722 34 3599 25 6.89349e+06 380534 618332. 2139.56 2.46 0.197627 0.173951 25762 151098 -1 2796 19 1678 2593 180850 41756 3.7033 3.7033 -145.865 -3.7033 0 0 787024. 2723.27 0.36 0.08 0.15 -1 -1 0.36 0.0287489 0.0256421 172 54 62 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 6.99 vpr 65.05 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33796 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 26.5 MiB 1.48 1196 17383 5737 10301 1345 65.1 MiB 0.16 0.00 3.58259 -130.98 -3.58259 3.58259 0.99 0.000489113 0.000448967 0.0526126 0.0478799 34 3200 22 6.89349e+06 295971 618332. 2139.56 2.17 0.191538 0.169864 25762 151098 -1 2579 24 2193 3973 283873 63005 3.9236 3.9236 -155.361 -3.9236 0 0 787024. 2723.27 0.34 0.11 0.13 -1 -1 0.34 0.0317166 0.0282848 147 -1 128 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 7.59 vpr 65.17 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 33864 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 424 343 1 281 92 17 17 289 -1 unnamed_device 26.4 MiB 1.94 1351 18308 6165 9572 2571 65.2 MiB 0.17 0.00 3.53749 -120.41 -3.53749 3.53749 1.01 0.000591168 0.000534879 0.0534042 0.0484835 36 3040 19 6.89349e+06 394628 648988. 2245.63 2.23 0.186763 0.163591 26050 158493 -1 2558 18 1764 2027 157713 35972 3.5498 3.5498 -133.304 -3.5498 0 0 828058. 2865.25 0.35 0.07 0.15 -1 -1 0.35 0.0256906 0.0227261 184 81 25 25 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 10.27 vpr 65.48 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33568 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 395 311 1 255 91 17 17 289 -1 unnamed_device 26.8 MiB 2.68 1255 17227 6620 7863 2744 65.5 MiB 0.16 0.00 3.52949 -119.594 -3.52949 3.52949 1.04 0.000548406 0.000499546 0.0518075 0.0470261 36 3423 29 6.89349e+06 380534 648988. 2245.63 4.05 0.194092 0.169756 26050 158493 -1 2501 23 2074 3155 257093 57743 3.7674 3.7674 -143.3 -3.7674 0 0 828058. 2865.25 0.36 0.10 0.16 -1 -1 0.36 0.0320294 0.0283096 169 58 64 32 60 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 7.73 vpr 65.59 MiB 0.02 7420 -1 -1 1 0.04 -1 -1 33764 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 26.9 MiB 2.12 1354 17431 5133 10513 1785 65.6 MiB 0.19 0.00 2.98875 -108.36 -2.98875 2.98875 1.05 0.000585276 0.000531314 0.0577492 0.0524953 34 3385 30 6.89349e+06 380534 618332. 2139.56 2.10 0.204318 0.179427 25762 151098 -1 2721 22 2384 3315 244953 55370 3.24886 3.24886 -133.679 -3.24886 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0291799 0.0257616 175 61 63 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 7.27 vpr 65.24 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33820 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 26.3 MiB 2.02 1183 9838 2755 6415 668 65.2 MiB 0.11 0.00 3.69075 -132.346 -3.69075 3.69075 1.04 0.000589981 0.000535739 0.0329503 0.0302744 34 2957 23 6.89349e+06 338252 618332. 2139.56 1.85 0.17449 0.154193 25762 151098 -1 2384 24 2020 2944 226473 49629 4.05996 4.05996 -156.446 -4.05996 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0303053 0.0265459 161 21 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 6.94 vpr 65.62 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 34200 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67192 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 26.9 MiB 1.60 1279 9475 2150 6759 566 65.6 MiB 0.10 0.00 3.72815 -130.456 -3.72815 3.72815 1.03 0.000605232 0.000553172 0.0297719 0.0270263 38 2837 20 6.89349e+06 380534 678818. 2348.85 1.87 0.168616 0.148098 26626 170182 -1 2603 19 2016 2557 178902 38839 3.89586 3.89586 -152.177 -3.89586 0 0 902133. 3121.57 0.38 0.08 0.16 -1 -1 0.38 0.0275239 0.024532 177 50 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 7.21 vpr 65.61 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34268 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 31 32 449 367 1 300 94 17 17 289 -1 unnamed_device 27.0 MiB 1.46 1553 9466 2213 6438 815 65.6 MiB 0.11 0.00 3.94494 -124.97 -3.94494 3.94494 1.01 0.000791358 0.000729344 0.0344846 0.0317574 36 3537 33 6.89349e+06 436909 648988. 2245.63 2.42 0.208063 0.183691 26050 158493 -1 2868 19 1847 2180 151713 34700 4.0021 4.0021 -143.144 -4.0021 0 0 828058. 2865.25 0.35 0.08 0.14 -1 -1 0.35 0.0307056 0.0274748 195 110 0 0 122 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 9.36 vpr 65.59 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 33948 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 32 32 432 346 1 287 91 17 17 289 -1 unnamed_device 26.8 MiB 3.25 1497 12943 3721 8398 824 65.6 MiB 0.14 0.00 3.77645 -131.13 -3.77645 3.77645 1.06 0.000710216 0.000650839 0.0440181 0.0401589 34 3886 28 6.89349e+06 380534 618332. 2139.56 2.63 0.200336 0.176724 25762 151098 -1 3096 23 2833 4141 302579 66753 4.079 4.079 -154.706 -4.079 0 0 787024. 2723.27 0.34 0.11 0.14 -1 -1 0.34 0.032596 0.0287749 190 86 32 32 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 6.98 vpr 64.59 MiB 0.02 6968 -1 -1 1 0.03 -1 -1 34220 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 312 255 1 198 85 17 17 289 -1 unnamed_device 26.2 MiB 1.98 1056 12733 3970 7769 994 64.6 MiB 0.11 0.00 2.93565 -109.645 -2.93565 2.93565 1.01 0.000515327 0.000469648 0.0341733 0.0311483 34 2464 31 6.89349e+06 295971 618332. 2139.56 1.74 0.149062 0.130371 25762 151098 -1 1966 21 1293 1872 118068 27494 2.86186 2.86186 -122.455 -2.86186 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0215929 0.0189793 127 20 63 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 7.21 vpr 65.22 MiB 0.02 7048 -1 -1 1 0.03 -1 -1 33576 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 32 32 370 314 1 250 85 17 17 289 -1 unnamed_device 26.6 MiB 1.86 1255 9943 2487 6713 743 65.2 MiB 0.11 0.00 3.40739 -119.801 -3.40739 3.40739 1.07 0.000544376 0.000495465 0.0316452 0.0288724 34 3229 29 6.89349e+06 295971 618332. 2139.56 1.95 0.1671 0.146454 25762 151098 -1 2531 21 1962 2374 184173 40269 3.66399 3.66399 -142.771 -3.66399 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0256438 0.0225946 154 91 0 0 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 8.71 vpr 65.63 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34340 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67208 32 32 469 351 1 298 94 17 17 289 -1 unnamed_device 27.1 MiB 2.29 1646 16495 6866 8714 915 65.6 MiB 0.18 0.00 4.44419 -152.513 -4.44419 4.44419 1.03 0.000716414 0.000650912 0.0538774 0.0490629 34 5030 49 6.89349e+06 422815 618332. 2139.56 2.88 0.216398 0.189725 25762 151098 -1 3445 26 3213 4451 333871 77768 5.0126 5.0126 -187.928 -5.0126 0 0 787024. 2723.27 0.33 0.13 0.15 -1 -1 0.33 0.0391615 0.0347296 209 53 96 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 7.70 vpr 65.29 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33792 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 32 32 368 284 1 225 87 17 17 289 -1 unnamed_device 26.4 MiB 2.24 1131 14487 4595 7729 2163 65.3 MiB 0.14 0.00 3.029 -109.871 -3.029 3.029 1.04 0.000576491 0.00052531 0.0461216 0.0420411 34 2852 20 6.89349e+06 324158 618332. 2139.56 2.03 0.180843 0.159653 25762 151098 -1 2311 20 1835 2677 211801 46715 3.11861 3.11861 -125.849 -3.11861 0 0 787024. 2723.27 0.34 0.08 0.15 -1 -1 0.34 0.0267899 0.0237108 156 31 92 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 6.51 vpr 64.89 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 33856 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 30 32 296 244 1 183 94 17 17 289 -1 unnamed_device 26.4 MiB 1.41 945 18199 5085 11326 1788 64.9 MiB 0.15 0.00 3.49649 -110.717 -3.49649 3.49649 1.09 0.00047206 0.000418492 0.04202 0.0379413 34 2174 23 6.89349e+06 451003 618332. 2139.56 1.65 0.151928 0.133158 25762 151098 -1 1813 19 1208 1852 117722 28019 3.3164 3.3164 -122.91 -3.3164 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0218483 0.0193884 129 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 9.03 vpr 65.83 MiB 0.03 7512 -1 -1 1 0.04 -1 -1 34612 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67412 32 32 531 413 1 356 99 17 17 289 -1 unnamed_device 27.4 MiB 2.26 1818 21987 7425 11800 2762 65.8 MiB 0.26 0.00 4.71793 -158.706 -4.71793 4.71793 1.04 0.000931619 0.000838186 0.0788667 0.0715008 36 4369 27 6.89349e+06 493284 648988. 2245.63 3.08 0.251747 0.221558 26050 158493 -1 3498 23 2995 3667 264216 59386 5.64954 5.64954 -189.915 -5.64954 0 0 828058. 2865.25 0.33 0.11 0.15 -1 -1 0.33 0.0379804 0.0336892 239 109 32 32 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 6.82 vpr 65.35 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33884 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 32 32 376 288 1 225 87 17 17 289 -1 unnamed_device 26.5 MiB 1.55 1149 12375 3766 7581 1028 65.3 MiB 0.12 0.00 3.54039 -127.102 -3.54039 3.54039 1.02 0.000658908 0.000609873 0.0400045 0.0365045 34 3011 40 6.89349e+06 324158 618332. 2139.56 1.93 0.202314 0.179417 25762 151098 -1 2444 24 2403 3273 242467 54862 4.13836 4.13836 -157.648 -4.13836 0 0 787024. 2723.27 0.34 0.10 0.13 -1 -1 0.34 0.0319193 0.0284553 159 31 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 5.24 vpr 64.83 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 33936 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 26.4 MiB 0.68 789 10309 2500 6824 985 64.8 MiB 0.09 0.00 2.98385 -103.889 -2.98385 2.98385 1.07 0.000491056 0.000445825 0.0230817 0.0210657 28 2317 20 6.89349e+06 465097 531479. 1839.03 1.22 0.0890479 0.0781538 24610 126494 -1 2041 21 1464 2354 203784 45164 3.00416 3.00416 -126.018 -3.00416 0 0 648988. 2245.63 0.28 0.08 0.13 -1 -1 0.28 0.0227899 0.0200492 123 -1 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 8.57 vpr 65.55 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 34572 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67124 32 32 438 320 1 267 93 17 17 289 -1 unnamed_device 26.8 MiB 2.39 1530 14163 4447 7626 2090 65.6 MiB 0.16 0.00 4.29569 -150.238 -4.29569 4.29569 1.04 0.000594744 0.000539167 0.0485275 0.04414 34 3958 37 6.89349e+06 408721 618332. 2139.56 2.72 0.20993 0.18372 25762 151098 -1 3210 20 2509 3893 347853 70680 5.1379 5.1379 -187.928 -5.1379 0 0 787024. 2723.27 0.32 0.11 0.15 -1 -1 0.32 0.0295804 0.0262972 194 26 128 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 6.35 vpr 64.69 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 33992 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 1.07 694 14528 4241 8915 1372 64.7 MiB 0.11 0.00 3.095 -107.662 -3.095 3.095 1.04 0.000429956 0.000391656 0.0385448 0.035083 34 2149 44 6.89349e+06 225501 618332. 2139.56 1.86 0.160924 0.140614 25762 151098 -1 1733 20 1473 2418 161661 39128 3.16251 3.16251 -128.422 -3.16251 0 0 787024. 2723.27 0.35 0.07 0.15 -1 -1 0.35 0.0220206 0.019469 114 -1 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 6.97 vpr 64.91 MiB 0.02 7260 -1 -1 1 0.03 -1 -1 34028 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 26.5 MiB 1.50 804 9706 2280 6953 473 64.9 MiB 0.09 0.00 2.94665 -97.2537 -2.94665 2.94665 1.09 0.000467175 0.000426178 0.0277809 0.0253051 34 2311 43 6.89349e+06 267783 618332. 2139.56 2.01 0.134706 0.118468 25762 151098 -1 1756 22 1442 1940 151945 34319 2.96661 2.96661 -114.95 -2.96661 0 0 787024. 2723.27 0.36 0.07 0.15 -1 -1 0.36 0.0228993 0.0201806 121 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 7.15 vpr 65.05 MiB 0.02 7508 -1 -1 1 0.04 -1 -1 34136 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 29 32 393 319 1 253 92 17 17 289 -1 unnamed_device 26.4 MiB 1.84 1264 14996 3942 9257 1797 65.0 MiB 0.14 0.00 3.34494 -104.206 -3.34494 3.34494 1.06 0.000935132 0.000800925 0.0449787 0.0407322 34 2819 23 6.89349e+06 436909 618332. 2139.56 1.76 0.180781 0.158753 25762 151098 -1 2347 20 1692 2301 166504 38081 3.3197 3.3197 -120.824 -3.3197 0 0 787024. 2723.27 0.36 0.07 0.15 -1 -1 0.36 0.0273101 0.0242668 171 81 29 29 85 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 7.57 vpr 65.40 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 34156 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.7 MiB 2.18 1407 14361 3814 9147 1400 65.4 MiB 0.14 0.00 4.41804 -153.474 -4.41804 4.41804 1.03 0.000791737 0.000733174 0.0499284 0.0455935 34 3640 38 6.89349e+06 366440 618332. 2139.56 1.96 0.213724 0.189537 25762 151098 -1 3024 23 2587 3647 299472 66442 4.78315 4.78315 -181.114 -4.78315 0 0 787024. 2723.27 0.34 0.11 0.13 -1 -1 0.34 0.0334852 0.0299717 178 53 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 8.20 vpr 65.54 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 34408 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.8 MiB 2.08 1278 16974 4849 9416 2709 65.5 MiB 0.17 0.00 4.06404 -140.706 -4.06404 4.06404 1.08 0.000659395 0.000600318 0.056027 0.0511367 36 3208 25 6.89349e+06 366440 648988. 2245.63 2.50 0.202801 0.179194 26050 158493 -1 2630 20 2148 3052 235390 50333 4.33495 4.33495 -162.727 -4.33495 0 0 828058. 2865.25 0.37 0.09 0.15 -1 -1 0.37 0.0290382 0.0259206 175 55 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 6.85 vpr 64.97 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34200 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 32 32 345 287 1 218 85 17 17 289 -1 unnamed_device 26.4 MiB 1.58 1094 13291 3976 7145 2170 65.0 MiB 0.12 0.00 3.42319 -121.693 -3.42319 3.42319 1.03 0.000502175 0.00045739 0.040463 0.036678 34 2596 22 6.89349e+06 295971 618332. 2139.56 1.81 0.167605 0.147395 25762 151098 -1 2166 20 1448 1603 106038 25581 3.4827 3.4827 -138.261 -3.4827 0 0 787024. 2723.27 0.37 0.06 0.15 -1 -1 0.37 0.0253154 0.0225665 141 55 32 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 7.29 vpr 65.38 MiB 0.02 7368 -1 -1 1 0.04 -1 -1 34016 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 31 32 353 302 1 231 85 17 17 289 -1 unnamed_device 26.5 MiB 2.19 1021 7339 1752 5245 342 65.4 MiB 0.07 0.00 3.36019 -110.352 -3.36019 3.36019 1.00 0.000515768 0.000468784 0.0225004 0.0204804 34 2614 31 6.89349e+06 310065 618332. 2139.56 1.82 0.151879 0.131705 25762 151098 -1 1930 21 1374 1716 114000 27704 3.2725 3.2725 -124.625 -3.2725 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0248294 0.0218399 146 82 0 0 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 8.14 vpr 65.11 MiB 0.03 7308 -1 -1 1 0.04 -1 -1 34176 -1 -1 29 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 30 32 374 297 1 236 91 17 17 289 -1 unnamed_device 26.5 MiB 2.48 1201 18043 5595 9658 2790 65.1 MiB 0.19 0.00 3.073 -103.876 -3.073 3.073 1.08 0.000644381 0.000590709 0.0520454 0.0474889 34 3015 41 6.89349e+06 408721 618332. 2139.56 2.02 0.202112 0.176646 25762 151098 -1 2296 20 1691 2467 166809 38954 3.09331 3.09331 -119.881 -3.09331 0 0 787024. 2723.27 0.35 0.08 0.15 -1 -1 0.35 0.025716 0.0227618 164 52 60 30 57 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 6.96 vpr 64.91 MiB 0.03 7400 -1 -1 1 0.04 -1 -1 34024 -1 -1 27 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 28 32 332 260 1 203 87 17 17 289 -1 unnamed_device 26.4 MiB 1.56 1016 15063 5032 7248 2783 64.9 MiB 0.15 0.00 3.63875 -113.277 -3.63875 3.63875 1.09 0.000487292 0.000441311 0.0446009 0.0405931 34 2379 23 6.89349e+06 380534 618332. 2139.56 1.85 0.165443 0.144873 25762 151098 -1 2017 20 1487 2087 146302 33230 3.99576 3.99576 -131.659 -3.99576 0 0 787024. 2723.27 0.36 0.07 0.15 -1 -1 0.36 0.0244827 0.0215333 145 20 84 28 28 28 -fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 8.37 vpr 64.76 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33740 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 30 32 325 273 1 208 83 17 17 289 -1 unnamed_device 26.2 MiB 2.47 1073 10883 2968 7182 733 64.8 MiB 0.11 0.00 3.43529 -112.681 -3.43529 3.43529 1.08 0.000499946 0.000454937 0.03206 0.0291488 34 2824 45 6.89349e+06 295971 618332. 2139.56 2.43 0.175518 0.15341 25762 151098 -1 2228 23 1748 2379 184818 41336 3.83965 3.83965 -142.615 -3.83965 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0248551 0.0218294 136 58 30 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 7.86 vpr 65.24 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33796 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 361 308 1 245 85 17 17 289 -1 unnamed_device 26.4 MiB 2.21 1411 14593 4097 8476 2020 65.2 MiB 0.15 0.00 3.0132 -107.87 -3.0132 3.0132 1.12 0.000621261 0.000570544 0.0464549 0.0422958 34 3393 25 6.89349e+06 295971 618332. 2139.56 1.99 0.15278 0.134902 25762 151098 -1 2646 22 2024 2396 193378 41799 3.13881 3.13881 -126.515 -3.13881 0 0 787024. 2723.27 0.35 0.09 0.15 -1 -1 0.35 0.0290795 0.0259205 150 88 0 0 91 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 5.85 vpr 65.15 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 33728 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 26.4 MiB 0.96 1065 10540 2526 7277 737 65.1 MiB 0.11 0.00 3.42729 -118.406 -3.42729 3.42729 1.10 0.000666455 0.000604197 0.0276244 0.0251138 32 3133 39 6.89349e+06 521472 586450. 2029.24 1.33 0.121492 0.106654 25474 144626 -1 2478 22 1860 2983 234678 52965 4.0207 4.0207 -151.288 -4.0207 0 0 744469. 2576.02 0.33 0.10 0.15 -1 -1 0.33 0.0281445 0.0246739 151 -1 124 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 8.17 vpr 65.49 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 34044 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67064 32 32 407 319 1 257 90 17 17 289 -1 unnamed_device 26.8 MiB 1.70 1317 17175 5692 9343 2140 65.5 MiB 0.18 0.00 4.01088 -138.915 -4.01088 4.01088 1.06 0.000620937 0.000557922 0.0541031 0.0490003 34 3482 41 6.89349e+06 366440 618332. 2139.56 2.91 0.220123 0.192051 25762 151098 -1 2911 22 2051 2651 192484 44243 4.15649 4.15649 -160.193 -4.15649 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0307326 0.0271356 173 57 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 8.85 vpr 65.18 MiB 0.02 7436 -1 -1 1 0.04 -1 -1 34068 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 407 319 1 256 90 17 17 289 -1 unnamed_device 26.5 MiB 2.09 1430 16572 5142 9440 1990 65.2 MiB 0.19 0.00 3.97668 -139.51 -3.97668 3.97668 1.11 0.000601201 0.000547024 0.0568293 0.0517326 34 3648 27 6.89349e+06 366440 618332. 2139.56 3.04 0.211933 0.187043 25762 151098 -1 2925 21 2619 3693 335866 69299 4.46955 4.46955 -173.325 -4.46955 0 0 787024. 2723.27 0.36 0.12 0.15 -1 -1 0.36 0.0331179 0.0296705 171 62 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 8.41 vpr 65.34 MiB 0.04 7376 -1 -1 1 0.04 -1 -1 33752 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 32 32 399 315 1 257 91 17 17 289 -1 unnamed_device 26.6 MiB 2.24 1379 11107 3001 7111 995 65.3 MiB 0.13 0.00 3.38904 -118.803 -3.38904 3.38904 1.09 0.000581897 0.000529626 0.0362346 0.0329174 34 3700 23 6.89349e+06 380534 618332. 2139.56 2.51 0.151405 0.132934 25762 151098 -1 2910 22 2049 3001 262718 54281 3.6673 3.6673 -138.594 -3.6673 0 0 787024. 2723.27 0.36 0.11 0.15 -1 -1 0.36 0.03343 0.0296856 172 62 60 30 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 7.63 vpr 64.75 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 33780 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 26.3 MiB 2.14 960 13381 4925 6634 1822 64.8 MiB 0.11 0.00 3.0572 -103.07 -3.0572 3.0572 1.08 0.000442695 0.000403607 0.0380819 0.0347106 34 2504 23 6.89349e+06 267783 618332. 2139.56 2.00 0.133433 0.117656 25762 151098 -1 2120 20 1635 2317 174071 38445 3.36365 3.36365 -125.555 -3.36365 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0232669 0.0204788 122 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 8.66 vpr 65.05 MiB 0.02 7424 -1 -1 1 0.04 -1 -1 34036 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 30 32 383 303 1 241 88 17 17 289 -1 unnamed_device 26.4 MiB 2.86 1227 11593 3110 7061 1422 65.1 MiB 0.13 0.00 4.05614 -130.89 -4.05614 4.05614 1.09 0.000645255 0.00059266 0.0400935 0.0365721 34 3359 29 6.89349e+06 366440 618332. 2139.56 2.22 0.194151 0.171228 25762 151098 -1 2712 21 2253 3098 256326 55609 4.67538 4.67538 -163.938 -4.67538 0 0 787024. 2723.27 0.34 0.10 0.15 -1 -1 0.34 0.0303839 0.0269146 165 58 60 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 7.25 vpr 65.94 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 34212 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67524 32 32 469 381 1 316 94 17 17 289 -1 unnamed_device 27.2 MiB 1.52 1525 18412 6475 9165 2772 65.9 MiB 0.18 0.00 3.78021 -128.627 -3.78021 3.78021 1.08 0.000610136 0.000554199 0.0596781 0.0542839 34 3886 35 6.89349e+06 422815 618332. 2139.56 2.10 0.22727 0.198874 25762 151098 -1 2878 19 1953 2029 145347 33641 4.08565 4.08565 -147.867 -4.08565 0 0 787024. 2723.27 0.35 0.08 0.16 -1 -1 0.35 0.031009 0.0275794 204 106 0 0 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 7.71 vpr 65.20 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 33736 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 31 32 425 341 1 280 92 17 17 289 -1 unnamed_device 26.4 MiB 2.04 1393 19136 6668 9726 2742 65.2 MiB 0.19 0.00 4.06524 -136.981 -4.06524 4.06524 1.04 0.000569677 0.000516664 0.0588819 0.0535435 34 3526 23 6.89349e+06 408721 618332. 2139.56 2.13 0.20299 0.178348 25762 151098 -1 2753 22 2387 3029 240363 52776 4.26595 4.26595 -158.98 -4.26595 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0312864 0.0277314 186 79 31 31 93 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 8.85 vpr 65.40 MiB 0.03 7520 -1 -1 1 0.04 -1 -1 34176 -1 -1 28 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 30 32 404 328 1 261 90 17 17 289 -1 unnamed_device 26.7 MiB 2.63 1290 17979 6200 8661 3118 65.4 MiB 0.19 0.00 3.43529 -109.863 -3.43529 3.43529 1.08 0.000631396 0.000574088 0.0589798 0.053643 34 3702 30 6.89349e+06 394628 618332. 2139.56 2.55 0.209158 0.183451 25762 151098 -1 2630 21 2280 3202 212738 50066 4.0013 4.0013 -144.095 -4.0013 0 0 787024. 2723.27 0.37 0.10 0.15 -1 -1 0.37 0.0327444 0.0292819 175 83 26 26 90 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 8.30 vpr 65.20 MiB 0.03 7408 -1 -1 1 0.04 -1 -1 34032 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.5 MiB 2.26 1360 12351 3553 7505 1293 65.2 MiB 0.14 0.00 4.26754 -148.225 -4.26754 4.26754 1.06 0.000660337 0.000599137 0.0404573 0.0368014 36 3139 30 6.89349e+06 366440 648988. 2245.63 2.45 0.195283 0.171447 26050 158493 -1 2654 19 2257 3173 212921 47204 4.53845 4.53845 -172.242 -4.53845 0 0 828058. 2865.25 0.37 0.09 0.15 -1 -1 0.37 0.0288357 0.0257524 177 58 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 7.92 vpr 65.19 MiB 0.03 7516 -1 -1 1 0.04 -1 -1 33916 -1 -1 30 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 29 32 387 316 1 251 91 17 17 289 -1 unnamed_device 26.5 MiB 2.49 1238 17635 6241 8637 2757 65.2 MiB 0.17 0.00 3.58265 -110.144 -3.58265 3.58265 1.08 0.000551672 0.000501761 0.0522969 0.0476481 34 3047 28 6.89349e+06 422815 618332. 2139.56 1.82 0.191735 0.168269 25762 151098 -1 2481 22 1966 2696 202873 46022 3.4188 3.4188 -120.996 -3.4188 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0302218 0.0265444 170 81 26 26 85 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 5.81 vpr 64.70 MiB 0.02 7036 -1 -1 1 0.03 -1 -1 33768 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 0.65 904 14528 6129 7546 853 64.7 MiB 0.12 0.00 3.037 -110.339 -3.037 3.037 1.05 0.000434054 0.000395215 0.0402583 0.0367107 34 2394 21 6.89349e+06 225501 618332. 2139.56 1.80 0.149636 0.131197 25762 151098 -1 1994 22 1487 2381 184836 41981 3.30791 3.30791 -135.019 -3.30791 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0230694 0.0203276 114 -1 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 7.94 vpr 65.41 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34020 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 407 319 1 259 91 17 17 289 -1 unnamed_device 26.7 MiB 1.96 1357 15187 5146 7392 2649 65.4 MiB 0.16 0.00 4.17757 -143.737 -4.17757 4.17757 1.09 0.00058879 0.000536416 0.0490387 0.0445906 34 3550 36 6.89349e+06 380534 618332. 2139.56 2.42 0.210707 0.184401 25762 151098 -1 2699 21 2400 3333 223146 52376 4.60575 4.60575 -172.232 -4.60575 0 0 787024. 2723.27 0.35 0.10 0.15 -1 -1 0.35 0.0314079 0.0278 174 62 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 9.75 vpr 65.57 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 33900 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 407 319 1 263 89 17 17 289 -1 unnamed_device 26.8 MiB 3.18 1456 15731 4179 10070 1482 65.6 MiB 0.17 0.00 4.08298 -143.347 -4.08298 4.08298 1.05 0.000544272 0.000494601 0.050351 0.0458051 36 3450 23 6.89349e+06 352346 648988. 2245.63 3.00 0.207217 0.182366 26050 158493 -1 2869 22 2454 3508 282328 59862 4.44208 4.44208 -168.935 -4.44208 0 0 828058. 2865.25 0.37 0.11 0.16 -1 -1 0.37 0.0319464 0.0283576 176 62 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.20 vpr 64.71 MiB 0.02 7032 -1 -1 1 0.03 -1 -1 33804 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 315 267 1 204 83 17 17 289 -1 unnamed_device 26.3 MiB 1.97 1019 13943 4662 6551 2730 64.7 MiB 0.12 0.00 2.7431 -96.9372 -2.7431 2.7431 1.05 0.000523131 0.000476537 0.0405841 0.0369049 34 2482 37 6.89349e+06 267783 618332. 2139.56 1.81 0.140424 0.122996 25762 151098 -1 2022 20 1413 1680 120991 27993 2.87926 2.87926 -112.839 -2.87926 0 0 787024. 2723.27 0.35 0.06 0.16 -1 -1 0.35 0.0236308 0.0209007 128 47 32 32 54 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 5.27 vpr 64.55 MiB 0.02 6984 -1 -1 1 0.03 -1 -1 33892 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 26.1 MiB 0.88 854 12636 5045 6554 1037 64.6 MiB 0.10 0.00 3.07 -108.66 -3.07 3.07 0.98 0.000427737 0.000388557 0.033328 0.0304123 32 2382 41 6.89349e+06 239595 586450. 2029.24 1.17 0.110986 0.0965191 25474 144626 -1 1891 23 1495 2358 204326 44583 3.24376 3.24376 -130.299 -3.24376 0 0 744469. 2576.02 0.34 0.08 0.14 -1 -1 0.34 0.0253604 0.0225358 112 -1 93 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 6.94 vpr 65.44 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34000 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 381 303 1 240 89 17 17 289 -1 unnamed_device 26.8 MiB 1.72 1154 17117 5508 8882 2727 65.4 MiB 0.16 0.00 3.44139 -117.05 -3.44139 3.44139 0.97 0.000552702 0.00050477 0.0514164 0.0468409 34 3013 32 6.89349e+06 352346 618332. 2139.56 1.88 0.191447 0.167735 25762 151098 -1 2283 21 1695 2164 158832 37178 3.7287 3.7287 -136.336 -3.7287 0 0 787024. 2723.27 0.35 0.08 0.15 -1 -1 0.35 0.029148 0.0259366 158 56 60 32 58 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 6.74 vpr 65.43 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33996 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 32 32 406 330 1 261 90 17 17 289 -1 unnamed_device 26.7 MiB 1.87 1337 11949 3229 8099 621 65.4 MiB 0.11 0.00 4.07324 -126.534 -4.07324 4.07324 0.94 0.000549902 0.000499679 0.035159 0.0320142 34 3110 31 6.89349e+06 366440 618332. 2139.56 1.71 0.167807 0.146198 25762 151098 -1 2402 21 1790 2142 135093 33001 4.37535 4.37535 -154.355 -4.37535 0 0 787024. 2723.27 0.32 0.07 0.15 -1 -1 0.32 0.0274547 0.0242393 170 81 28 28 88 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 5.32 vpr 65.23 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34064 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 26.5 MiB 0.96 1223 7762 1466 5664 632 65.2 MiB 0.09 0.00 3.93858 -131.612 -3.93858 3.93858 0.97 0.000598006 0.000543521 0.0209038 0.0190667 30 3089 24 6.89349e+06 577847 556674. 1926.21 1.18 0.103294 0.0907864 25186 138497 -1 2395 20 1826 3098 181398 41871 4.20149 4.20149 -155.966 -4.20149 0 0 706193. 2443.58 0.30 0.08 0.13 -1 -1 0.30 0.0279153 0.024791 183 -1 156 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 6.98 vpr 65.33 MiB 0.02 7240 -1 -1 1 0.03 -1 -1 34164 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 30 32 371 295 1 235 89 17 17 289 -1 unnamed_device 26.7 MiB 1.92 1196 16325 4951 8946 2428 65.3 MiB 0.14 0.00 3.1264 -105.487 -3.1264 3.1264 1.00 0.000535862 0.000482054 0.0458191 0.0418273 34 2878 34 6.89349e+06 380534 618332. 2139.56 1.80 0.17416 0.152701 25762 151098 -1 2317 19 1759 2441 175729 39368 3.14671 3.14671 -124.321 -3.14671 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0245582 0.0219082 160 47 60 30 56 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 5.57 vpr 64.71 MiB 0.02 7224 -1 -1 1 0.03 -1 -1 34204 -1 -1 22 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 27 32 269 226 1 168 81 17 17 289 -1 unnamed_device 26.4 MiB 1.38 723 13206 3673 8356 1177 64.7 MiB 0.09 0.00 3.46649 -97.3833 -3.46649 3.46649 0.99 0.000419156 0.000379689 0.0314912 0.0286493 28 2133 26 6.89349e+06 310065 531479. 1839.03 1.12 0.0954133 0.0843582 24610 126494 -1 1595 21 1420 1981 136158 37018 3.534 3.534 -121.5 -3.534 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0187837 0.0164704 112 26 54 27 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 9.49 vpr 65.96 MiB 0.02 7512 -1 -1 1 0.04 -1 -1 33996 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67548 32 32 493 378 1 322 96 17 17 289 -1 unnamed_device 27.2 MiB 2.09 1725 10389 2610 7051 728 66.0 MiB 0.13 0.00 4.08424 -139.831 -4.08424 4.08424 0.96 0.00064463 0.000584771 0.0335132 0.0304503 36 4600 29 6.89349e+06 451003 648988. 2245.63 4.13 0.19063 0.166583 26050 158493 -1 3561 19 2516 3589 304945 62786 4.33515 4.33515 -161.408 -4.33515 0 0 828058. 2865.25 0.32 0.10 0.15 -1 -1 0.32 0.0290747 0.025832 219 85 62 31 95 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 8.02 vpr 65.77 MiB 0.02 7492 -1 -1 1 0.04 -1 -1 34188 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 31 32 455 371 1 306 94 17 17 289 -1 unnamed_device 27.1 MiB 2.61 1511 17560 5754 9229 2577 65.8 MiB 0.17 0.00 4.14544 -139.976 -4.14544 4.14544 0.95 0.00059832 0.000541044 0.052967 0.0480776 34 3807 29 6.89349e+06 436909 618332. 2139.56 2.18 0.196856 0.172248 25762 151098 -1 2962 21 2586 3020 225797 51082 4.60875 4.60875 -168.066 -4.60875 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0285148 0.0252419 201 105 0 0 124 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 7.22 vpr 65.04 MiB 0.02 7372 -1 -1 1 0.03 -1 -1 33896 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 355 304 1 245 86 17 17 289 -1 unnamed_device 26.2 MiB 2.24 1238 12371 3446 8070 855 65.0 MiB 0.11 0.00 3.56679 -119.039 -3.56679 3.56679 0.95 0.000525575 0.000470698 0.0352778 0.0319323 34 3157 37 6.89349e+06 310065 618332. 2139.56 1.85 0.161928 0.140375 25762 151098 -1 2377 19 1618 1885 159323 35327 3.531 3.531 -134.463 -3.531 0 0 787024. 2723.27 0.32 0.06 0.13 -1 -1 0.32 0.0212974 0.0187961 150 86 0 0 89 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 7.48 vpr 65.41 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 33832 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66984 32 32 364 282 1 225 87 17 17 289 -1 unnamed_device 26.6 MiB 2.05 1156 16407 5546 8389 2472 65.4 MiB 0.14 0.00 3.66075 -124.746 -3.66075 3.66075 0.96 0.000477533 0.000436925 0.0457957 0.0417145 34 3240 39 6.89349e+06 324158 618332. 2139.56 2.24 0.188951 0.165638 25762 151098 -1 2366 22 1851 2630 203174 46204 3.76246 3.76246 -143.811 -3.76246 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0253758 0.0222783 151 31 90 30 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 9.12 vpr 65.74 MiB 0.02 7544 -1 -1 1 0.04 -1 -1 33992 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 31 32 443 336 1 280 93 17 17 289 -1 unnamed_device 26.9 MiB 1.80 1364 18993 6289 10084 2620 65.7 MiB 0.18 0.00 3.68095 -125.048 -3.68095 3.68095 0.93 0.000553268 0.000503891 0.0558663 0.0508003 36 3271 32 6.89349e+06 422815 648988. 2245.63 4.08 0.261166 0.228397 26050 158493 -1 2738 20 2261 3211 227059 51063 3.79236 3.79236 -144.158 -3.79236 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0301376 0.0269185 193 50 87 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 7.88 vpr 65.20 MiB 0.02 7300 -1 -1 1 0.03 -1 -1 34160 -1 -1 28 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 30 32 373 297 1 235 90 17 17 289 -1 unnamed_device 26.6 MiB 2.19 1101 11748 2802 8121 825 65.2 MiB 0.11 0.00 3.49306 -109.701 -3.49306 3.49306 0.97 0.00055572 0.000504303 0.0336086 0.0306043 36 2826 27 6.89349e+06 394628 648988. 2245.63 2.50 0.16079 0.140104 26050 158493 -1 2123 20 1379 2001 125907 31800 3.6975 3.6975 -129.198 -3.6975 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0232388 0.0205533 162 50 58 30 58 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 9.21 vpr 65.63 MiB 0.02 7344 -1 -1 1 0.04 -1 -1 34100 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67208 32 32 407 319 1 260 92 17 17 289 -1 unnamed_device 26.9 MiB 1.82 1334 8372 1925 5910 537 65.6 MiB 0.10 0.00 4.01094 -138.881 -4.01094 4.01094 0.98 0.000603056 0.000548999 0.0267264 0.0243133 36 3263 24 6.89349e+06 394628 648988. 2245.63 4.16 0.209799 0.181433 26050 158493 -1 2704 21 2094 2853 199698 44286 4.14055 4.14055 -160.266 -4.14055 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0261038 0.0230033 173 61 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 7.14 vpr 65.36 MiB 0.02 7184 -1 -1 1 0.03 -1 -1 34024 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 26.7 MiB 2.16 1417 15595 4218 9221 2156 65.4 MiB 0.14 0.00 2.96065 -108.311 -2.96065 2.96065 0.97 0.000550934 0.000503446 0.0453567 0.0414248 34 3259 25 6.89349e+06 380534 618332. 2139.56 1.78 0.191351 0.16871 25762 151098 -1 2722 21 2301 3094 218535 50476 3.04651 3.04651 -127.496 -3.04651 0 0 787024. 2723.27 0.32 0.09 0.13 -1 -1 0.32 0.0304534 0.0270912 175 61 63 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 6.40 vpr 64.77 MiB 0.03 7024 -1 -1 1 0.03 -1 -1 34092 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 29 32 287 238 1 178 82 17 17 289 -1 unnamed_device 26.4 MiB 1.58 877 14144 4555 7341 2248 64.8 MiB 0.10 0.00 3.0572 -100.366 -3.0572 3.0572 0.97 0.000434992 0.000394313 0.0369671 0.033592 34 2127 32 6.89349e+06 295971 618332. 2139.56 1.66 0.140816 0.122305 25762 151098 -1 1866 23 1593 2156 178526 39637 3.27406 3.27406 -122.33 -3.27406 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.021502 0.0187183 118 28 58 29 29 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 6.29 vpr 64.81 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 34040 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 334 290 1 223 84 17 17 289 -1 unnamed_device 26.0 MiB 1.47 1158 6672 1580 4782 310 64.8 MiB 0.06 0.00 3.60599 -112.846 -3.60599 3.60599 0.97 0.000469051 0.000426615 0.0190743 0.0173768 34 2751 23 6.89349e+06 281877 618332. 2139.56 1.68 0.127427 0.110389 25762 151098 -1 2255 19 1558 1850 130180 30820 3.5372 3.5372 -127.132 -3.5372 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0203799 0.0179314 136 79 0 0 82 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 7.02 vpr 65.17 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 33748 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 31 32 365 281 1 225 87 17 17 289 -1 unnamed_device 26.4 MiB 1.55 1174 16407 5770 8540 2097 65.2 MiB 0.15 0.00 3.68095 -125.338 -3.68095 3.68095 0.97 0.000675105 0.000626142 0.0480461 0.0439545 36 2609 21 6.89349e+06 338252 648988. 2245.63 2.22 0.190435 0.169052 26050 158493 -1 2139 24 1852 2626 163662 38252 3.95476 3.95476 -144.188 -3.95476 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0311897 0.0276866 154 29 93 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 6.59 vpr 64.76 MiB 0.02 7064 -1 -1 1 0.03 -1 -1 33936 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 29 32 297 254 1 193 82 17 17 289 -1 unnamed_device 26.3 MiB 1.49 1005 8448 2121 5706 621 64.8 MiB 0.07 0.00 2.7321 -87.7323 -2.7321 2.7321 1.02 0.000451969 0.000412551 0.0224513 0.0205383 34 2212 25 6.89349e+06 295971 618332. 2139.56 1.83 0.128531 0.112015 25762 151098 -1 1954 20 1397 1630 122206 27955 2.81981 2.81981 -107.199 -2.81981 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.021708 0.0190401 123 48 29 29 52 26 -fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 7.59 vpr 64.79 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 33900 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 314 256 1 194 82 17 17 289 -1 unnamed_device 26.4 MiB 2.38 1023 12542 3470 7307 1765 64.8 MiB 0.11 0.00 3.0872 -111.679 -3.0872 3.0872 0.99 0.000484919 0.000441114 0.0350308 0.031868 34 2684 41 6.89349e+06 253689 618332. 2139.56 1.91 0.156652 0.136658 25762 151098 -1 2130 24 1877 2633 205464 44357 3.17656 3.17656 -132.518 -3.17656 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0278108 0.0245614 127 31 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 7.35 vpr 65.25 MiB 0.02 7464 -1 -1 1 0.04 -1 -1 33776 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 31 32 387 307 1 242 90 17 17 289 -1 unnamed_device 26.6 MiB 2.01 1273 11748 3187 7353 1208 65.3 MiB 0.12 0.00 3.36994 -116.693 -3.36994 3.36994 1.05 0.000705267 0.000651569 0.0392723 0.0360361 34 2989 30 6.89349e+06 380534 618332. 2139.56 1.88 0.195032 0.172462 25762 151098 -1 2538 24 2427 3334 256457 55537 3.67705 3.67705 -143.044 -3.67705 0 0 787024. 2723.27 0.34 0.10 0.14 -1 -1 0.34 0.0345076 0.0307796 164 60 58 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 7.26 vpr 64.73 MiB 0.02 7208 -1 -1 1 0.03 -1 -1 33916 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 31 32 308 262 1 196 84 17 17 289 -1 unnamed_device 26.3 MiB 1.77 945 9234 2426 6322 486 64.7 MiB 0.09 0.00 2.66772 -91.3445 -2.66772 2.66772 1.10 0.000689289 0.000634467 0.0286049 0.026192 34 2532 50 6.89349e+06 295971 618332. 2139.56 2.04 0.187696 0.165632 25762 151098 -1 2054 19 1258 1548 129345 28315 3.18486 3.18486 -116.739 -3.18486 0 0 787024. 2723.27 0.35 0.07 0.14 -1 -1 0.35 0.0243919 0.0217596 125 49 31 31 53 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 7.78 vpr 65.34 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34096 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 383 307 1 242 89 17 17 289 -1 unnamed_device 26.8 MiB 2.08 1271 17117 6014 8698 2405 65.3 MiB 0.18 0.00 3.42609 -117.933 -3.42609 3.42609 1.07 0.000539753 0.000491958 0.0553443 0.0502935 34 3059 30 6.89349e+06 352346 618332. 2139.56 2.17 0.197116 0.172837 25762 151098 -1 2463 19 1618 2325 167460 38431 3.3255 3.3255 -130.685 -3.3255 0 0 787024. 2723.27 0.35 0.07 0.15 -1 -1 0.35 0.0258011 0.0229273 162 56 52 26 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 8.03 vpr 65.56 MiB 0.02 7432 -1 -1 1 0.04 -1 -1 34200 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 31 32 422 339 1 277 94 17 17 289 -1 unnamed_device 26.8 MiB 2.17 1293 17347 5861 8627 2859 65.6 MiB 0.18 0.00 3.98812 -131.083 -3.98812 3.98812 0.98 0.000657518 0.000603054 0.0575305 0.0524141 34 3961 41 6.89349e+06 436909 618332. 2139.56 2.46 0.236599 0.209102 25762 151098 -1 2909 20 2082 3024 247077 56004 4.22274 4.22274 -158.375 -4.22274 0 0 787024. 2723.27 0.35 0.10 0.14 -1 -1 0.35 0.0327394 0.0292255 185 88 31 31 92 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 9.40 vpr 65.05 MiB 0.02 7096 -1 -1 1 0.03 -1 -1 34052 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 333 279 1 216 85 17 17 289 -1 unnamed_device 26.5 MiB 3.05 1061 15709 6321 7554 1834 65.0 MiB 0.14 0.00 2.87755 -100.445 -2.87755 2.87755 1.04 0.000521722 0.000476717 0.0479748 0.0437228 36 2762 27 6.89349e+06 295971 648988. 2245.63 2.92 0.191202 0.169132 26050 158493 -1 2214 23 1602 2249 188046 41343 2.91226 2.91226 -118.456 -2.91226 0 0 828058. 2865.25 0.37 0.08 0.14 -1 -1 0.37 0.0269032 0.0238253 137 54 32 32 60 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 6.74 vpr 65.29 MiB 0.02 7264 -1 -1 1 0.03 -1 -1 33500 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 32 32 339 283 1 218 84 17 17 289 -1 unnamed_device 26.5 MiB 1.56 1121 12894 3573 7830 1491 65.3 MiB 0.11 0.00 3.0652 -107.402 -3.0652 3.0652 1.03 0.000531742 0.000486933 0.0379461 0.0347035 34 2814 36 6.89349e+06 281877 618332. 2139.56 1.85 0.169515 0.149051 25762 151098 -1 2327 20 1685 2065 157046 35094 3.20686 3.20686 -124.544 -3.20686 0 0 787024. 2723.27 0.34 0.07 0.14 -1 -1 0.34 0.0255375 0.0227492 139 60 32 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 6.99 vpr 65.58 MiB 0.02 7416 -1 -1 1 0.03 -1 -1 34380 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 26.9 MiB 1.66 1243 9883 2602 6270 1011 65.6 MiB 0.11 0.00 3.72015 -131.957 -3.72015 3.72015 0.98 0.000755707 0.000696482 0.0321283 0.0293963 34 3234 30 6.89349e+06 380534 618332. 2139.56 2.06 0.196514 0.172992 25762 151098 -1 2607 22 2254 2837 200464 47445 4.0764 4.0764 -156.326 -4.0764 0 0 787024. 2723.27 0.35 0.09 0.14 -1 -1 0.35 0.0338686 0.0301859 178 49 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 6.82 vpr 65.23 MiB 0.02 7444 -1 -1 1 0.03 -1 -1 34064 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 29 32 367 293 1 231 87 17 17 289 -1 unnamed_device 26.6 MiB 1.94 1098 15255 4731 7587 2937 65.2 MiB 0.13 0.00 3.05925 -97.8551 -3.05925 3.05925 1.00 0.000529667 0.000484356 0.0440374 0.0401613 34 2520 20 6.89349e+06 366440 618332. 2139.56 1.64 0.161923 0.142363 25762 151098 -1 2059 21 1762 2292 154619 35869 3.12666 3.12666 -114.183 -3.12666 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0222697 0.0195711 157 54 56 29 58 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 7.29 vpr 65.67 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34312 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 469 381 1 315 93 17 17 289 -1 unnamed_device 27.1 MiB 1.60 1538 14583 4182 8855 1546 65.7 MiB 0.15 0.00 3.96268 -136.842 -3.96268 3.96268 0.99 0.000671967 0.00061305 0.0486122 0.0442675 34 4098 34 6.89349e+06 408721 618332. 2139.56 2.35 0.231702 0.204938 25762 151098 -1 3343 23 3004 3374 284639 62881 4.71215 4.71215 -166.04 -4.71215 0 0 787024. 2723.27 0.33 0.11 0.13 -1 -1 0.33 0.0346632 0.0307542 203 117 0 0 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 4.72 vpr 64.33 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 33908 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 25.9 MiB 0.68 829 11909 4089 5629 2191 64.3 MiB 0.09 0.00 2.34777 -84.544 -2.34777 2.34777 0.95 0.00040167 0.000364822 0.0304537 0.027728 32 2258 28 6.89349e+06 225501 586450. 2029.24 1.00 0.0888318 0.078198 25474 144626 -1 1812 22 1200 1945 150956 34233 2.86191 2.86191 -111.746 -2.86191 0 0 744469. 2576.02 0.32 0.06 0.13 -1 -1 0.32 0.0198272 0.0175025 104 -1 85 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 7.29 vpr 65.41 MiB 0.02 7284 -1 -1 1 0.03 -1 -1 34084 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 418 338 1 273 92 17 17 289 -1 unnamed_device 26.6 MiB 1.85 1482 18515 6153 9882 2480 65.4 MiB 0.18 0.00 4.46413 -148.864 -4.46413 4.46413 0.96 0.000561841 0.000506289 0.0540985 0.0493481 36 3059 24 6.89349e+06 394628 648988. 2245.63 2.16 0.213088 0.189037 26050 158493 -1 2691 21 2289 3012 234670 50323 5.05634 5.05634 -176.479 -5.05634 0 0 828058. 2865.25 0.34 0.09 0.14 -1 -1 0.34 0.0299979 0.0267062 179 89 28 28 92 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 9.99 vpr 65.36 MiB 0.02 7112 -1 -1 1 0.03 -1 -1 34004 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 376 318 1 259 88 17 17 289 -1 unnamed_device 26.7 MiB 2.50 1421 17248 5458 9494 2296 65.4 MiB 0.16 0.00 3.82948 -135.438 -3.82948 3.82948 0.97 0.000539092 0.000489588 0.0488966 0.0444256 36 3305 28 6.89349e+06 338252 648988. 2245.63 4.26 0.229161 0.199508 26050 158493 -1 2806 22 2602 3234 276459 58756 4.26204 4.26204 -163.368 -4.26204 0 0 828058. 2865.25 0.31 0.09 0.15 -1 -1 0.31 0.0258519 0.0226655 161 93 0 0 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 7.08 vpr 65.28 MiB 0.02 7296 -1 -1 1 0.03 -1 -1 33584 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 401 316 1 253 89 17 17 289 -1 unnamed_device 26.6 MiB 1.90 1287 15335 4524 8517 2294 65.3 MiB 0.15 0.00 3.00785 -109.329 -3.00785 3.00785 0.97 0.00056139 0.00051214 0.0464174 0.0423808 34 3219 26 6.89349e+06 352346 618332. 2139.56 1.98 0.194011 0.171416 25762 151098 -1 2538 23 1979 2640 187640 43307 3.28806 3.28806 -134.513 -3.28806 0 0 787024. 2723.27 0.32 0.08 0.13 -1 -1 0.32 0.0302252 0.02683 170 59 61 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 7.78 vpr 65.80 MiB 0.03 7520 -1 -1 1 0.04 -1 -1 34420 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67384 32 32 500 382 1 323 97 17 17 289 -1 unnamed_device 27.1 MiB 1.88 1662 20743 6957 11443 2343 65.8 MiB 0.22 0.00 4.36294 -148.909 -4.36294 4.36294 0.94 0.000700293 0.000633835 0.0678531 0.0613729 36 4078 29 6.89349e+06 465097 648988. 2245.63 2.61 0.226836 0.199342 26050 158493 -1 3334 23 2803 3307 264377 56788 5.05845 5.05845 -182.923 -5.05845 0 0 828058. 2865.25 0.32 0.09 0.13 -1 -1 0.32 0.0319333 0.0281993 224 81 64 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 5.65 vpr 64.48 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 33700 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 30 32 246 229 1 160 78 17 17 289 -1 unnamed_device 26.0 MiB 1.21 829 13690 4460 7116 2114 64.5 MiB 0.09 0.00 2.44266 -78.3619 -2.44266 2.44266 0.96 0.000346068 0.000315141 0.0314084 0.0286732 34 1851 24 6.89349e+06 225501 618332. 2139.56 1.42 0.114497 0.0999421 25762 151098 -1 1610 15 658 671 55785 12705 2.19676 2.19676 -89.8788 -2.19676 0 0 787024. 2723.27 0.32 0.03 0.13 -1 -1 0.32 0.0142561 0.0127156 93 51 0 0 53 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 5.40 vpr 64.83 MiB 0.02 7228 -1 -1 1 0.03 -1 -1 33888 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 30 32 296 244 1 181 83 17 17 289 -1 unnamed_device 26.4 MiB 1.39 900 11423 2814 6889 1720 64.8 MiB 0.10 0.00 3.34479 -112.271 -3.34479 3.34479 0.96 0.000469123 0.000427221 0.0324356 0.0295949 28 2176 22 6.89349e+06 295971 531479. 1839.03 0.99 0.0938865 0.0824934 24610 126494 -1 1891 19 1426 2051 135885 32298 3.5578 3.5578 -137.064 -3.5578 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0193935 0.017052 124 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 7.60 vpr 64.70 MiB 0.02 7004 -1 -1 1 0.03 -1 -1 33656 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 32 32 314 256 1 199 82 17 17 289 -1 unnamed_device 26.3 MiB 2.39 1004 10940 2908 7435 597 64.7 MiB 0.10 0.00 3.53249 -123.335 -3.53249 3.53249 0.95 0.000461896 0.00042167 0.0303546 0.0277045 34 2992 50 6.89349e+06 253689 618332. 2139.56 2.11 0.155423 0.137023 25762 151098 -1 2451 22 1800 3129 222377 51714 3.8506 3.8506 -150.501 -3.8506 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0221589 0.0195196 129 31 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 6.05 vpr 64.43 MiB 0.02 7256 -1 -1 1 0.03 -1 -1 34144 -1 -1 24 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 25 32 251 214 1 162 81 17 17 289 -1 unnamed_device 25.9 MiB 1.48 727 14256 4168 8868 1220 64.4 MiB 0.10 0.00 3.0352 -80.976 -3.0352 3.0352 0.96 0.000388838 0.000355117 0.0326535 0.0297137 34 1807 25 6.89349e+06 338252 618332. 2139.56 1.47 0.115805 0.100441 25762 151098 -1 1554 23 1148 1615 114928 26739 2.95221 2.95221 -98.6946 -2.95221 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0187816 0.0164038 107 19 50 25 25 25 -fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 9.53 vpr 65.62 MiB 0.02 7480 -1 -1 1 0.04 -1 -1 34180 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67200 32 32 432 346 1 288 92 17 17 289 -1 unnamed_device 27.0 MiB 2.90 1453 15203 4566 9083 1554 65.6 MiB 0.15 0.00 3.78745 -130.236 -3.78745 3.78745 0.98 0.000643417 0.000590967 0.0467624 0.0426307 34 3740 23 6.89349e+06 394628 618332. 2139.56 3.37 0.266946 0.235219 25762 151098 -1 3028 22 2634 3807 270345 62029 4.12446 4.12446 -156.271 -4.12446 0 0 787024. 2723.27 0.32 0.10 0.13 -1 -1 0.32 0.032662 0.0290266 190 84 32 32 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 10.01 vpr 65.52 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33992 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67088 31 32 421 339 1 274 90 17 17 289 -1 unnamed_device 26.8 MiB 2.24 1265 11949 3075 7729 1145 65.5 MiB 0.12 0.00 3.80129 -124.578 -3.80129 3.80129 0.97 0.00062595 0.000576947 0.0383256 0.0350864 36 3276 33 6.89349e+06 380534 648988. 2245.63 4.51 0.274368 0.241474 26050 158493 -1 2741 19 2027 2828 194532 46203 4.59979 4.59979 -159.753 -4.59979 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0277365 0.0246997 183 88 29 29 93 31 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 6.83 vpr 64.39 MiB 0.03 7180 -1 -1 14 0.40 -1 -1 36664 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 26.0 MiB 0.38 1364 8130 1912 5160 1058 64.4 MiB 0.09 0.00 6.5171 -132.639 -6.5171 6.5171 1.17 0.000768679 0.000667902 0.0358704 0.0320122 28 3764 40 6.55708e+06 313430 500653. 1732.36 2.35 0.178494 0.157033 21310 115450 -1 3175 20 1466 4537 279171 62446 7.0815 7.0815 -164.053 -7.0815 0 0 612192. 2118.31 0.30 0.12 0.14 -1 -1 0.30 0.0401238 0.0359725 186 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 8.82 vpr 64.43 MiB 0.03 7120 -1 -1 14 0.43 -1 -1 36464 -1 -1 30 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 30 32 277 309 1 215 92 17 17 289 -1 unnamed_device 26.0 MiB 0.64 1296 12926 3334 7463 2129 64.4 MiB 0.12 0.00 6.98624 -139.787 -6.98624 6.98624 1.19 0.000772247 0.000698944 0.0495628 0.0445589 28 3894 49 6.55708e+06 361650 500653. 1732.36 3.89 0.209911 0.185043 21310 115450 -1 3239 31 1592 4495 414479 135216 7.10644 7.10644 -157.735 -7.10644 0 0 612192. 2118.31 0.31 0.19 0.14 -1 -1 0.31 0.0545379 0.0483993 189 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 10.28 vpr 64.80 MiB 0.03 7108 -1 -1 11 0.32 -1 -1 36540 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 274 306 1 208 89 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1279 11375 2946 6710 1719 64.8 MiB 0.12 0.00 5.48872 -115.921 -5.48872 5.48872 1.23 0.000747362 0.000668107 0.0481545 0.0429523 44 3297 29 6.55708e+06 301375 742403. 2568.87 5.43 0.303849 0.264708 24478 177802 -1 2704 16 1271 4109 204297 47818 5.82178 5.82178 -133.413 -5.82178 0 0 937218. 3242.97 0.46 0.10 0.23 -1 -1 0.46 0.0356274 0.0322773 180 179 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 8.02 vpr 64.91 MiB 0.03 6996 -1 -1 12 0.51 -1 -1 36308 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 29 32 269 301 1 203 90 17 17 289 -1 unnamed_device 26.6 MiB 0.47 1285 8331 1992 5747 592 64.9 MiB 0.10 0.00 6.34804 -118.848 -6.34804 6.34804 1.20 0.000769213 0.000691777 0.0372139 0.0335574 36 3308 27 6.55708e+06 349595 612192. 2118.31 3.22 0.242318 0.213485 22750 144809 -1 2783 17 1283 4102 215213 50942 6.82884 6.82884 -137.969 -6.82884 0 0 782063. 2706.10 0.37 0.10 0.18 -1 -1 0.37 0.0351672 0.0317401 185 180 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 17.58 vpr 65.22 MiB 0.03 7168 -1 -1 13 0.46 -1 -1 37200 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 32 32 317 349 1 246 96 17 17 289 -1 unnamed_device 26.5 MiB 0.62 1585 10170 2430 6837 903 65.2 MiB 0.12 0.00 6.46824 -138.353 -6.46824 6.46824 1.18 0.000969898 0.000882305 0.0451803 0.0405869 28 4550 31 6.55708e+06 385760 500653. 1732.36 12.46 0.346481 0.303787 21310 115450 -1 4102 36 2934 9214 987533 321641 7.46904 7.46904 -176.929 -7.46904 0 0 612192. 2118.31 0.31 0.38 0.14 -1 -1 0.31 0.0720373 0.0639898 223 222 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 8.63 vpr 64.71 MiB 0.02 6992 -1 -1 12 0.38 -1 -1 36596 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 299 331 1 232 98 17 17 289 -1 unnamed_device 26.2 MiB 0.59 1486 10223 2593 6423 1207 64.7 MiB 0.11 0.00 6.19064 -124.909 -6.19064 6.19064 1.10 0.000762772 0.000685671 0.0424664 0.0383522 36 3560 25 6.55708e+06 409870 612192. 2118.31 4.09 0.279001 0.248154 22750 144809 -1 3030 15 1303 3887 194785 46451 6.43104 6.43104 -144.479 -6.43104 0 0 782063. 2706.10 0.35 0.09 0.16 -1 -1 0.35 0.0353498 0.0320193 209 204 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 6.31 vpr 64.30 MiB 0.02 6840 -1 -1 12 0.25 -1 -1 36128 -1 -1 27 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 27 32 210 242 1 167 86 17 17 289 -1 unnamed_device 25.7 MiB 0.35 1093 9536 2423 5647 1466 64.3 MiB 0.08 0.00 5.77658 -104.791 -5.77658 5.77658 1.18 0.000573729 0.000515572 0.0330491 0.0298494 28 3095 29 6.55708e+06 325485 500653. 1732.36 2.15 0.137646 0.121711 21310 115450 -1 2622 19 1262 3615 253756 54152 6.25172 6.25172 -127.171 -6.25172 0 0 612192. 2118.31 0.31 0.11 0.15 -1 -1 0.31 0.0319961 0.0287758 136 125 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 16.60 vpr 64.35 MiB 0.03 7144 -1 -1 11 0.26 -1 -1 36648 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65896 31 32 264 296 1 199 91 17 17 289 -1 unnamed_device 25.8 MiB 0.37 1254 11311 2910 6958 1443 64.4 MiB 0.11 0.00 5.18418 -108.446 -5.18418 5.18418 1.16 0.000750226 0.00067728 0.0432356 0.0388602 30 3589 44 6.55708e+06 337540 526063. 1820.29 12.25 0.328874 0.288019 21886 126133 -1 2678 17 1233 3872 197255 46380 5.28246 5.28246 -126.969 -5.28246 0 0 666494. 2306.21 0.33 0.09 0.15 -1 -1 0.33 0.0328192 0.0295613 175 171 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 6.41 vpr 64.38 MiB 0.03 6996 -1 -1 12 0.25 -1 -1 36200 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 31 32 234 266 1 190 88 17 17 289 -1 unnamed_device 26.0 MiB 0.46 1197 8668 2295 5233 1140 64.4 MiB 0.08 0.00 5.61718 -124.3 -5.61718 5.61718 1.18 0.000601597 0.000539867 0.0317477 0.0284708 28 3240 32 6.55708e+06 301375 500653. 1732.36 2.06 0.143629 0.126342 21310 115450 -1 2672 13 982 2436 146364 34355 6.05878 6.05878 -143.736 -6.05878 0 0 612192. 2118.31 0.31 0.08 0.14 -1 -1 0.31 0.0281098 0.0255771 145 141 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 9.02 vpr 64.43 MiB 0.02 6880 -1 -1 13 0.28 -1 -1 36420 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 32 32 253 285 1 194 89 17 17 289 -1 unnamed_device 26.0 MiB 0.59 1231 12761 3479 7153 2129 64.4 MiB 0.12 0.00 6.22784 -137.083 -6.22784 6.22784 1.18 0.000683928 0.000612341 0.0476467 0.0428428 34 3157 25 6.55708e+06 301375 585099. 2024.56 4.39 0.294975 0.258643 22462 138074 -1 2739 19 1174 3336 188883 45159 6.26964 6.26964 -153.235 -6.26964 0 0 742403. 2568.87 0.37 0.10 0.17 -1 -1 0.37 0.0380972 0.0342617 162 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 8.47 vpr 63.95 MiB 0.03 6824 -1 -1 12 0.26 -1 -1 36560 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65484 30 32 217 249 1 169 84 17 17 289 -1 unnamed_device 25.4 MiB 0.47 1079 10515 2657 5844 2014 63.9 MiB 0.09 0.00 5.98944 -123.803 -5.98944 5.98944 1.18 0.000585779 0.000525879 0.0369795 0.0332686 28 2693 29 6.55708e+06 265210 500653. 1732.36 4.12 0.230804 0.201653 21310 115450 -1 2397 20 1008 2571 149087 35181 6.22984 6.22984 -142.025 -6.22984 0 0 612192. 2118.31 0.32 0.08 0.13 -1 -1 0.32 0.0299257 0.0267408 132 126 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 7.59 vpr 64.12 MiB 0.03 6740 -1 -1 12 0.21 -1 -1 36340 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65664 32 32 227 259 1 176 85 17 17 289 -1 unnamed_device 25.5 MiB 0.27 1093 12547 4184 6119 2244 64.1 MiB 0.12 0.00 5.51886 -121.204 -5.51886 5.51886 1.18 0.000589697 0.000527257 0.0438806 0.0392715 28 3272 50 6.55708e+06 253155 500653. 1732.36 3.45 0.165644 0.145117 21310 115450 -1 2603 17 1098 3061 192395 44365 5.63906 5.63906 -140.366 -5.63906 0 0 612192. 2118.31 0.31 0.09 0.14 -1 -1 0.31 0.0296692 0.0267663 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 6.90 vpr 65.01 MiB 0.03 7008 -1 -1 13 0.40 -1 -1 36784 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 306 338 1 235 94 17 17 289 -1 unnamed_device 26.4 MiB 0.48 1415 5845 939 4748 158 65.0 MiB 0.07 0.00 6.5609 -131.521 -6.5609 6.5609 1.18 0.000870734 0.000778346 0.0292297 0.0263687 28 3903 38 6.55708e+06 361650 500653. 1732.36 2.19 0.199601 0.177268 21310 115450 -1 3161 29 1943 6389 466220 142194 7.1227 7.1227 -157.592 -7.1227 0 0 612192. 2118.31 0.31 0.21 0.14 -1 -1 0.31 0.0585555 0.0523987 212 211 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 8.38 vpr 65.11 MiB 0.03 6996 -1 -1 14 0.48 -1 -1 36560 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 302 334 1 235 93 17 17 289 -1 unnamed_device 26.5 MiB 0.67 1512 12903 3453 7659 1791 65.1 MiB 0.14 0.00 7.41762 -151.614 -7.41762 7.41762 1.20 0.000816426 0.000728266 0.056039 0.0501653 34 4279 43 6.55708e+06 349595 585099. 2024.56 3.32 0.264838 0.23407 22462 138074 -1 3315 19 1508 4243 244954 56946 8.00695 8.00695 -176.598 -8.00695 0 0 742403. 2568.87 0.36 0.12 0.17 -1 -1 0.36 0.044465 0.040144 208 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 9.07 vpr 64.21 MiB 0.03 6948 -1 -1 11 0.23 -1 -1 36100 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65756 29 32 238 270 1 186 90 17 17 289 -1 unnamed_device 25.8 MiB 0.29 1092 12150 3323 7251 1576 64.2 MiB 0.12 0.00 5.15566 -106.737 -5.15566 5.15566 1.16 0.000637527 0.000572405 0.0445364 0.0397167 36 2640 21 6.55708e+06 349595 612192. 2118.31 4.91 0.254105 0.221262 22750 144809 -1 2298 17 1032 2909 150595 35835 5.37112 5.37112 -118.231 -5.37112 0 0 782063. 2706.10 0.37 0.08 0.18 -1 -1 0.37 0.0297199 0.0267089 160 149 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 13.03 vpr 64.77 MiB 0.03 7032 -1 -1 12 0.41 -1 -1 36616 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 306 338 1 235 98 17 17 289 -1 unnamed_device 26.2 MiB 0.83 1620 7523 1547 5215 761 64.8 MiB 0.09 0.00 6.6001 -134.71 -6.6001 6.6001 1.20 0.000879384 0.00078171 0.034419 0.0309983 34 4925 45 6.55708e+06 409870 585099. 2024.56 7.89 0.381983 0.336037 22462 138074 -1 3761 17 1609 4939 305818 68426 6.6419 6.6419 -155.539 -6.6419 0 0 742403. 2568.87 0.37 0.14 0.18 -1 -1 0.37 0.044523 0.0405343 213 211 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 8.11 vpr 65.16 MiB 0.02 7008 -1 -1 13 0.36 -1 -1 36708 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 32 32 311 343 1 239 96 17 17 289 -1 unnamed_device 26.6 MiB 0.38 1448 13236 3314 7774 2148 65.2 MiB 0.13 0.00 6.5961 -137.919 -6.5961 6.5961 1.11 0.000832588 0.000744362 0.0544932 0.0488818 28 4354 49 6.55708e+06 385760 500653. 1732.36 3.85 0.251592 0.223748 21310 115450 -1 3426 17 1622 4654 275837 65035 7.0769 7.0769 -163.868 -7.0769 0 0 612192. 2118.31 0.29 0.12 0.12 -1 -1 0.29 0.0420665 0.0378862 217 216 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 9.18 vpr 64.30 MiB 0.02 6960 -1 -1 12 0.21 -1 -1 35916 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 32 32 230 262 1 182 86 17 17 289 -1 unnamed_device 26.0 MiB 0.69 1085 5000 922 3954 124 64.3 MiB 0.06 0.00 6.1219 -131.656 -6.1219 6.1219 1.21 0.000655955 0.000584032 0.021193 0.0192343 44 2327 14 6.55708e+06 265210 742403. 2568.87 4.45 0.222398 0.194537 24478 177802 -1 1992 14 783 2272 105841 26208 6.6027 6.6027 -149.644 -6.6027 0 0 937218. 3242.97 0.46 0.07 0.23 -1 -1 0.46 0.0269692 0.0245292 139 135 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 5.27 vpr 63.74 MiB 0.02 6728 -1 -1 10 0.15 -1 -1 36324 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65268 30 32 176 208 1 139 82 17 17 289 -1 unnamed_device 25.2 MiB 0.17 813 6312 1391 4624 297 63.7 MiB 0.06 0.00 4.44306 -99.6509 -4.44306 4.44306 1.17 0.00045444 0.00040839 0.0188364 0.0169879 30 1969 24 6.55708e+06 241100 526063. 1820.29 1.35 0.0954724 0.0840258 21886 126133 -1 1681 16 636 1559 86305 20474 4.76446 4.76446 -116.131 -4.76446 0 0 666494. 2306.21 0.34 0.06 0.15 -1 -1 0.34 0.0226187 0.0202634 96 85 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 6.37 vpr 64.39 MiB 0.03 7080 -1 -1 13 0.24 -1 -1 36332 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 31 32 226 258 1 176 87 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1120 6615 1416 4619 580 64.4 MiB 0.07 0.00 6.22784 -130.123 -6.22784 6.22784 1.19 0.00066025 0.000595983 0.0259377 0.0233925 28 2944 22 6.55708e+06 289320 500653. 1732.36 1.86 0.13388 0.118769 21310 115450 -1 2533 34 1432 4342 445090 181168 6.45598 6.45598 -149.816 -6.45598 0 0 612192. 2118.31 0.32 0.22 0.14 -1 -1 0.32 0.0524993 0.0468204 139 133 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 7.31 vpr 64.81 MiB 0.03 7108 -1 -1 13 0.44 -1 -1 36992 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 302 334 1 228 95 17 17 289 -1 unnamed_device 26.3 MiB 0.53 1465 10247 2563 6807 877 64.8 MiB 0.11 0.00 6.22984 -126.721 -6.22984 6.22984 1.18 0.000822115 0.000735768 0.0433995 0.0388023 30 3735 30 6.55708e+06 373705 526063. 1820.29 2.46 0.186034 0.164391 21886 126133 -1 3206 22 1788 5838 294472 66128 6.74984 6.74984 -153.06 -6.74984 0 0 666494. 2306.21 0.34 0.15 0.16 -1 -1 0.34 0.0507441 0.0455944 208 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 10.15 vpr 64.84 MiB 0.03 7276 -1 -1 13 0.44 -1 -1 36592 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 299 331 1 237 98 17 17 289 -1 unnamed_device 26.3 MiB 0.64 1616 10448 2843 6873 732 64.8 MiB 0.12 0.00 6.5191 -137.159 -6.5191 6.5191 1.18 0.000871091 0.000777818 0.044505 0.0398971 38 3909 26 6.55708e+06 409870 638502. 2209.35 5.15 0.268637 0.237762 23326 155178 -1 3190 17 1334 4614 228433 51551 6.8797 6.8797 -152.379 -6.8797 0 0 851065. 2944.86 0.42 0.11 0.20 -1 -1 0.42 0.0396431 0.0359578 207 204 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 5.52 vpr 63.64 MiB 0.02 6892 -1 -1 9 0.14 -1 -1 35684 -1 -1 21 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65172 26 32 149 181 1 119 79 17 17 289 -1 unnamed_device 25.1 MiB 0.43 696 7346 2031 4662 653 63.6 MiB 0.06 0.00 3.89854 -77.4529 -3.89854 3.89854 1.19 0.000413995 0.000375367 0.0201733 0.0182071 26 1858 22 6.55708e+06 253155 477104. 1650.88 1.44 0.085798 0.0754437 21022 109990 -1 1658 16 670 1740 112839 26501 4.20768 4.20768 -92.8572 -4.20768 0 0 585099. 2024.56 0.29 0.05 0.14 -1 -1 0.29 0.0167808 0.0150913 83 66 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 13.42 vpr 65.04 MiB 0.02 6996 -1 -1 13 0.43 -1 -1 36380 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 304 336 1 228 94 17 17 289 -1 unnamed_device 26.5 MiB 0.27 1515 4780 716 3796 268 65.0 MiB 0.06 0.00 6.8405 -130.754 -6.8405 6.8405 1.15 0.000810821 0.000714319 0.0239499 0.0217053 28 4093 47 6.55708e+06 361650 500653. 1732.36 9.15 0.328297 0.288019 21310 115450 -1 3386 18 1684 4742 277283 63351 7.2429 7.2429 -155.866 -7.2429 0 0 612192. 2118.31 0.31 0.12 0.13 -1 -1 0.31 0.0404175 0.0362679 211 209 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 8.62 vpr 63.56 MiB 0.02 6828 -1 -1 8 0.13 -1 -1 36220 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65088 32 32 155 187 1 113 81 17 17 289 -1 unnamed_device 25.2 MiB 0.29 432 4631 1024 2964 643 63.6 MiB 0.04 0.00 3.72586 -71.6208 -3.72586 3.72586 1.18 0.000375174 0.00033322 0.0130546 0.0118055 36 1237 25 6.55708e+06 204935 612192. 2118.31 4.62 0.142861 0.12332 22750 144809 -1 996 15 543 1147 53294 15762 4.04726 4.04726 -85.8514 -4.04726 0 0 782063. 2706.10 0.37 0.04 0.18 -1 -1 0.37 0.0169147 0.0151404 77 60 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 6.54 vpr 64.45 MiB 0.03 6984 -1 -1 15 0.36 -1 -1 36364 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 32 32 253 285 1 192 89 17 17 289 -1 unnamed_device 26.0 MiB 0.31 1122 12167 3931 6268 1968 64.4 MiB 0.11 0.00 7.12896 -136.985 -7.12896 7.12896 1.08 0.000651584 0.000587993 0.0434299 0.039148 36 2766 21 6.55708e+06 301375 612192. 2118.31 2.25 0.191998 0.17049 22750 144809 -1 2376 16 1102 3210 169259 41369 7.36935 7.36935 -153.398 -7.36935 0 0 782063. 2706.10 0.38 0.09 0.18 -1 -1 0.38 0.0336258 0.030442 161 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 10.23 vpr 65.09 MiB 0.03 7136 -1 -1 12 0.38 -1 -1 36316 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 309 341 1 232 95 17 17 289 -1 unnamed_device 26.6 MiB 0.34 1431 15215 4212 8295 2708 65.1 MiB 0.16 0.00 5.73938 -123.875 -5.73938 5.73938 1.18 0.000818748 0.000733609 0.0641242 0.0574002 36 3821 22 6.55708e+06 373705 612192. 2118.31 5.58 0.337512 0.297063 22750 144809 -1 3103 17 1445 4603 244649 56634 6.09998 6.09998 -141.39 -6.09998 0 0 782063. 2706.10 0.38 0.12 0.18 -1 -1 0.38 0.0419363 0.0381678 218 214 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 7.59 vpr 64.94 MiB 0.03 7036 -1 -1 13 0.41 -1 -1 36340 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 289 321 1 218 92 17 17 289 -1 unnamed_device 26.5 MiB 0.51 1446 8786 2118 5866 802 64.9 MiB 0.10 0.00 5.98944 -130.404 -5.98944 5.98944 1.19 0.000778115 0.000683853 0.0389333 0.0347283 34 3799 19 6.55708e+06 337540 585099. 2024.56 2.82 0.250635 0.221657 22462 138074 -1 3173 17 1402 4199 234944 53809 6.59044 6.59044 -151.043 -6.59044 0 0 742403. 2568.87 0.37 0.11 0.17 -1 -1 0.37 0.0369209 0.0334481 196 194 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 5.94 vpr 64.40 MiB 0.03 7008 -1 -1 12 0.25 -1 -1 36180 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65944 32 32 239 271 1 188 86 17 17 289 -1 unnamed_device 26.0 MiB 0.32 1170 8213 1877 5734 602 64.4 MiB 0.08 0.00 5.35486 -120.577 -5.35486 5.35486 1.13 0.00059529 0.000535173 0.0309311 0.0278162 28 3068 27 6.55708e+06 265210 500653. 1732.36 1.77 0.14081 0.124307 21310 115450 -1 2725 17 1095 2926 183600 42287 5.80812 5.80812 -140.842 -5.80812 0 0 612192. 2118.31 0.31 0.09 0.14 -1 -1 0.31 0.0332917 0.0300275 146 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 8.65 vpr 64.09 MiB 0.03 6856 -1 -1 11 0.23 -1 -1 36324 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65624 30 32 213 245 1 162 85 17 17 289 -1 unnamed_device 25.6 MiB 0.27 1033 12175 3693 6499 1983 64.1 MiB 0.11 0.00 5.08892 -110.458 -5.08892 5.08892 1.20 0.000563685 0.000505724 0.0414351 0.037267 28 2684 25 6.55708e+06 277265 500653. 1732.36 4.39 0.227657 0.198328 21310 115450 -1 2368 25 1047 2886 291665 112381 5.20912 5.20912 -124.728 -5.20912 0 0 612192. 2118.31 0.31 0.14 0.14 -1 -1 0.31 0.0347702 0.0309393 128 122 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 6.43 vpr 64.10 MiB 0.03 7044 -1 -1 11 0.24 -1 -1 36768 -1 -1 27 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65640 28 32 221 253 1 183 87 17 17 289 -1 unnamed_device 25.7 MiB 0.36 1194 6807 1572 4701 534 64.1 MiB 0.07 0.00 5.38078 -108.16 -5.38078 5.38078 1.21 0.000631537 0.000572075 0.0269874 0.0244982 30 2936 25 6.55708e+06 325485 526063. 1820.29 2.14 0.136493 0.12106 21886 126133 -1 2346 15 975 2921 138464 32769 5.71746 5.71746 -124.794 -5.71746 0 0 666494. 2306.21 0.33 0.08 0.16 -1 -1 0.33 0.0296691 0.026982 142 134 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 9.08 vpr 64.51 MiB 0.03 6784 -1 -1 12 0.28 -1 -1 36064 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 32 32 273 305 1 210 92 17 17 289 -1 unnamed_device 25.9 MiB 0.29 1310 7130 1637 4768 725 64.5 MiB 0.08 0.00 5.77598 -133.314 -5.77598 5.77598 1.18 0.000758374 0.000684063 0.0298668 0.0269534 34 3331 26 6.55708e+06 337540 585099. 2024.56 4.75 0.310319 0.272056 22462 138074 -1 2835 17 1248 3223 182608 43052 6.01638 6.01638 -154.246 -6.01638 0 0 742403. 2568.87 0.36 0.10 0.18 -1 -1 0.36 0.035526 0.0321049 180 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 12.20 vpr 64.47 MiB 0.03 6964 -1 -1 11 0.23 -1 -1 36248 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66016 31 32 238 270 1 182 86 17 17 289 -1 unnamed_device 26.1 MiB 0.35 1025 4622 820 3663 139 64.5 MiB 0.05 0.00 5.53052 -114.027 -5.53052 5.53052 1.10 0.000590937 0.000528869 0.0187052 0.0169134 28 3135 37 6.55708e+06 277265 500653. 1732.36 8.21 0.254404 0.22352 21310 115450 -1 2539 19 1435 3858 207581 51102 6.05052 6.05052 -138.218 -6.05052 0 0 612192. 2118.31 0.29 0.10 0.12 -1 -1 0.29 0.035227 0.0315466 147 145 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 14.13 vpr 64.31 MiB 0.03 6796 -1 -1 10 0.21 -1 -1 36456 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 29 32 221 253 1 165 85 17 17 289 -1 unnamed_device 25.7 MiB 0.32 909 11989 3939 5614 2436 64.3 MiB 0.11 0.00 5.05172 -101.001 -5.05172 5.05172 1.17 0.000606811 0.000543625 0.0434966 0.0390213 28 2879 47 6.55708e+06 289320 500653. 1732.36 9.67 0.257293 0.224449 21310 115450 -1 2262 49 1068 3305 773060 417495 5.39806 5.39806 -118.466 -5.39806 0 0 612192. 2118.31 0.31 0.35 0.14 -1 -1 0.31 0.0689624 0.0613579 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 15.72 vpr 65.05 MiB 0.03 7352 -1 -1 13 0.50 -1 -1 37056 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 333 365 1 249 97 17 17 289 -1 unnamed_device 26.6 MiB 0.48 1576 6535 1304 4458 773 65.0 MiB 0.09 0.00 6.0409 -126.834 -6.0409 6.0409 1.21 0.000971044 0.000874867 0.0365168 0.0331292 30 4018 41 6.55708e+06 397815 526063. 1820.29 10.87 0.447328 0.393781 21886 126133 -1 3350 20 1530 5355 298898 65856 6.6419 6.6419 -153.16 -6.6419 0 0 666494. 2306.21 0.34 0.15 0.15 -1 -1 0.34 0.05216 0.0470304 239 238 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 9.51 vpr 64.93 MiB 0.03 7064 -1 -1 13 0.48 -1 -1 36760 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 297 329 1 227 93 17 17 289 -1 unnamed_device 26.5 MiB 0.58 1472 7443 1563 4972 908 64.9 MiB 0.09 0.00 6.46824 -141.83 -6.46824 6.46824 1.18 0.00080417 0.0007206 0.0342606 0.030784 36 4052 34 6.55708e+06 349595 612192. 2118.31 4.60 0.256287 0.224486 22750 144809 -1 3295 19 1509 4764 280735 63581 6.7601 6.7601 -159.581 -6.7601 0 0 782063. 2706.10 0.38 0.13 0.18 -1 -1 0.38 0.0422374 0.0381323 203 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 9.45 vpr 64.10 MiB 0.03 7032 -1 -1 12 0.22 -1 -1 36272 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65636 31 32 234 266 1 181 88 17 17 289 -1 unnamed_device 25.7 MiB 0.43 1186 7888 1780 4882 1226 64.1 MiB 0.08 0.00 5.38078 -116.722 -5.38078 5.38078 1.21 0.000716048 0.000637885 0.0298354 0.0267743 34 2970 47 6.55708e+06 301375 585099. 2024.56 5.03 0.29981 0.263157 22462 138074 -1 2452 14 995 2786 165077 37230 6.18298 6.18298 -140.363 -6.18298 0 0 742403. 2568.87 0.36 0.08 0.17 -1 -1 0.36 0.0264285 0.0239644 150 141 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 6.35 vpr 65.00 MiB 0.03 7124 -1 -1 12 0.36 -1 -1 37084 -1 -1 34 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 31 32 310 342 1 234 97 17 17 289 -1 unnamed_device 26.3 MiB 0.29 1486 14305 3786 8101 2418 65.0 MiB 0.14 0.00 6.3969 -132.406 -6.3969 6.3969 1.14 0.000751638 0.000676282 0.0575963 0.0518434 30 3660 38 6.55708e+06 409870 526063. 1820.29 2.01 0.22416 0.199118 21886 126133 -1 2964 17 1530 4699 217477 52718 6.5955 6.5955 -149.516 -6.5955 0 0 666494. 2306.21 0.33 0.11 0.14 -1 -1 0.33 0.0401861 0.0362861 219 217 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 8.95 vpr 64.97 MiB 0.03 7288 -1 -1 14 0.52 -1 -1 36784 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 31 32 284 316 1 221 91 17 17 289 -1 unnamed_device 26.4 MiB 0.31 1494 12331 3548 7305 1478 65.0 MiB 0.14 0.00 6.5543 -132.531 -6.5543 6.5543 1.20 0.000857056 0.000775422 0.0562145 0.0505808 28 4281 44 6.55708e+06 337540 500653. 1732.36 4.33 0.226918 0.201411 21310 115450 -1 3371 17 1469 4237 266409 62824 7.20876 7.20876 -155.55 -7.20876 0 0 612192. 2118.31 0.32 0.12 0.14 -1 -1 0.32 0.0404091 0.0367 194 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 12.36 vpr 64.50 MiB 0.02 7240 -1 -1 13 0.35 -1 -1 36896 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 31 32 271 303 1 212 91 17 17 289 -1 unnamed_device 26.1 MiB 0.34 1357 8659 1997 5313 1349 64.5 MiB 0.08 0.00 6.74784 -138.35 -6.74784 6.74784 1.08 0.000610984 0.000549926 0.0313101 0.0281094 30 3644 27 6.55708e+06 337540 526063. 1820.29 8.23 0.300099 0.265086 21886 126133 -1 2945 18 1325 3718 186958 43668 6.86804 6.86804 -154.192 -6.86804 0 0 666494. 2306.21 0.31 0.09 0.13 -1 -1 0.31 0.0358854 0.0323691 181 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 8.73 vpr 64.82 MiB 0.03 7024 -1 -1 12 0.38 -1 -1 36876 -1 -1 30 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 280 312 1 211 93 17 17 289 -1 unnamed_device 26.4 MiB 0.75 1398 13113 3430 7650 2033 64.8 MiB 0.13 0.00 5.59164 -120.742 -5.59164 5.59164 1.18 0.000758782 0.000680198 0.0521333 0.0467279 36 3360 26 6.55708e+06 361650 612192. 2118.31 3.72 0.268087 0.236892 22750 144809 -1 2926 16 1214 3959 208457 47553 6.19264 6.19264 -138.106 -6.19264 0 0 782063. 2706.10 0.38 0.11 0.18 -1 -1 0.38 0.0384557 0.0350084 189 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 7.09 vpr 64.62 MiB 0.03 7156 -1 -1 12 0.28 -1 -1 36304 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 32 32 264 296 1 194 88 17 17 289 -1 unnamed_device 26.1 MiB 0.38 1307 9643 2451 5890 1302 64.6 MiB 0.10 0.00 5.8025 -120.324 -5.8025 5.8025 1.20 0.000686082 0.000614699 0.0384797 0.0344639 28 3593 48 6.55708e+06 289320 500653. 1732.36 2.67 0.186797 0.164701 21310 115450 -1 2860 17 1298 3869 232385 52984 6.35204 6.35204 -146.703 -6.35204 0 0 612192. 2118.31 0.32 0.11 0.14 -1 -1 0.32 0.0369299 0.0334236 172 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 9.17 vpr 65.01 MiB 0.03 7376 -1 -1 14 0.68 -1 -1 36348 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 339 371 1 259 98 17 17 289 -1 unnamed_device 26.8 MiB 0.53 1718 8198 1879 5662 657 65.0 MiB 0.10 0.00 6.5197 -139.307 -6.5197 6.5197 1.18 0.000963285 0.000855975 0.039857 0.0356729 38 4133 21 6.55708e+06 409870 638502. 2209.35 3.97 0.293598 0.260349 23326 155178 -1 3518 15 1510 5298 269290 60214 6.9613 6.9613 -158.378 -6.9613 0 0 851065. 2944.86 0.41 0.13 0.20 -1 -1 0.41 0.0449303 0.0410855 245 244 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 10.33 vpr 64.48 MiB 0.02 6808 -1 -1 11 0.26 -1 -1 36440 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 31 32 246 278 1 188 89 17 17 289 -1 unnamed_device 26.0 MiB 0.31 1203 14345 3942 8112 2291 64.5 MiB 0.13 0.00 5.30238 -114.4 -5.30238 5.30238 1.13 0.00062354 0.000562966 0.0506645 0.0454978 34 3285 30 6.55708e+06 313430 585099. 2024.56 6.19 0.344957 0.303618 22462 138074 -1 2690 18 1140 3210 183437 42230 5.73172 5.73172 -135.038 -5.73172 0 0 742403. 2568.87 0.34 0.09 0.15 -1 -1 0.34 0.0349734 0.0315953 160 153 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 7.14 vpr 64.53 MiB 0.03 7072 -1 -1 13 0.42 -1 -1 37108 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 31 32 268 300 1 203 90 17 17 289 -1 unnamed_device 26.1 MiB 0.59 1363 5919 1272 4039 608 64.5 MiB 0.07 0.00 6.33076 -127.785 -6.33076 6.33076 1.19 0.000738649 0.000661628 0.0264661 0.0238417 30 3643 25 6.55708e+06 325485 526063. 1820.29 2.42 0.144861 0.127436 21886 126133 -1 2906 16 1302 4272 218434 50145 6.6007 6.6007 -146.111 -6.6007 0 0 666494. 2306.21 0.33 0.10 0.15 -1 -1 0.33 0.035122 0.031788 177 175 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 12.03 vpr 65.15 MiB 0.03 6936 -1 -1 12 0.40 -1 -1 36436 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 318 350 1 229 98 17 17 289 -1 unnamed_device 26.5 MiB 0.54 1554 7973 1709 5467 797 65.1 MiB 0.10 0.00 6.01898 -130.646 -6.01898 6.01898 1.18 0.00103678 0.000931436 0.0399928 0.0359337 34 3967 50 6.55708e+06 409870 585099. 2024.56 7.23 0.412426 0.363014 22462 138074 -1 3425 21 1592 5660 325293 73266 6.22018 6.22018 -146.081 -6.22018 0 0 742403. 2568.87 0.37 0.15 0.18 -1 -1 0.37 0.0534656 0.0483187 227 223 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 7.74 vpr 64.69 MiB 0.03 7060 -1 -1 13 0.36 -1 -1 36636 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 32 32 273 305 1 205 92 17 17 289 -1 unnamed_device 26.3 MiB 0.26 1234 14168 3976 7538 2654 64.7 MiB 0.15 0.00 6.30884 -130.584 -6.30884 6.30884 1.19 0.000777122 0.000687712 0.0588184 0.0523873 36 3196 22 6.55708e+06 337540 612192. 2118.31 3.30 0.263887 0.232232 22750 144809 -1 2671 16 1250 3488 191278 45109 6.63024 6.63024 -149.034 -6.63024 0 0 782063. 2706.10 0.38 0.09 0.17 -1 -1 0.38 0.0346786 0.0312324 184 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 8.02 vpr 64.62 MiB 0.03 7028 -1 -1 13 0.33 -1 -1 36228 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 269 301 1 197 89 17 17 289 -1 unnamed_device 26.1 MiB 0.44 1223 14741 4322 8043 2376 64.6 MiB 0.14 0.00 6.1219 -132.483 -6.1219 6.1219 1.18 0.000700664 0.000628073 0.0576641 0.0517196 28 3479 33 6.55708e+06 301375 500653. 1732.36 3.48 0.198933 0.176381 21310 115450 -1 2918 20 1274 3788 243999 55770 6.3643 6.3643 -151.904 -6.3643 0 0 612192. 2118.31 0.30 0.12 0.15 -1 -1 0.30 0.040068 0.0358371 175 174 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 7.51 vpr 64.91 MiB 0.03 7132 -1 -1 12 0.40 -1 -1 36984 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 298 330 1 223 95 17 17 289 -1 unnamed_device 26.5 MiB 0.98 1434 8303 1877 5532 894 64.9 MiB 0.10 0.00 5.63344 -124.299 -5.63344 5.63344 1.20 0.000893833 0.00077762 0.0396561 0.0352653 30 3550 24 6.55708e+06 373705 526063. 1820.29 2.30 0.174284 0.153862 21886 126133 -1 2949 17 1255 4441 207419 48495 5.87384 5.87384 -142.434 -5.87384 0 0 666494. 2306.21 0.34 0.11 0.15 -1 -1 0.34 0.0426787 0.0386613 205 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 6.98 vpr 64.99 MiB 0.03 7076 -1 -1 13 0.42 -1 -1 36884 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 299 331 1 235 93 17 17 289 -1 unnamed_device 26.6 MiB 0.50 1543 7233 1537 4958 738 65.0 MiB 0.08 0.00 6.2813 -128.6 -6.2813 6.2813 1.08 0.000737244 0.000662597 0.0312324 0.028111 30 3942 28 6.55708e+06 349595 526063. 1820.29 2.56 0.184725 0.164277 21886 126133 -1 3261 17 1477 4509 218499 51109 6.6393 6.6393 -149.594 -6.6393 0 0 666494. 2306.21 0.31 0.10 0.14 -1 -1 0.31 0.0389375 0.0352731 205 204 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 6.76 vpr 64.64 MiB 0.03 7196 -1 -1 14 0.41 -1 -1 36472 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 259 291 1 193 89 17 17 289 -1 unnamed_device 26.1 MiB 0.58 1261 10583 2868 6692 1023 64.6 MiB 0.10 0.00 6.5151 -134.739 -6.5151 6.5151 1.11 0.000745584 0.000667721 0.0394642 0.0354494 28 3551 41 6.55708e+06 301375 500653. 1732.36 2.18 0.18703 0.165428 21310 115450 -1 2944 18 1396 4472 270134 61730 7.1991 7.1991 -163.685 -7.1991 0 0 612192. 2118.31 0.31 0.11 0.15 -1 -1 0.31 0.0346828 0.031229 167 164 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 7.31 vpr 64.47 MiB 0.03 7128 -1 -1 13 0.42 -1 -1 36768 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66020 32 32 293 325 1 226 94 17 17 289 -1 unnamed_device 26.1 MiB 0.75 1449 7762 1637 5531 594 64.5 MiB 0.09 0.00 6.52936 -137.992 -6.52936 6.52936 1.14 0.000874722 0.000778386 0.0331792 0.0297405 30 3444 21 6.55708e+06 361650 526063. 1820.29 2.42 0.162653 0.144494 21886 126133 -1 2920 17 1392 3940 186400 44707 7.09116 7.09116 -154.953 -7.09116 0 0 666494. 2306.21 0.36 0.10 0.16 -1 -1 0.36 0.0394592 0.0358329 199 198 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 7.09 vpr 64.98 MiB 0.03 7096 -1 -1 13 0.44 -1 -1 36240 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 31 32 311 343 1 231 95 17 17 289 -1 unnamed_device 26.4 MiB 0.46 1538 12191 3186 7658 1347 65.0 MiB 0.12 0.00 6.88536 -140.416 -6.88536 6.88536 1.09 0.000717768 0.000646334 0.046822 0.0419818 30 3837 25 6.55708e+06 385760 526063. 1820.29 2.57 0.199902 0.178158 21886 126133 -1 3190 15 1255 4088 202948 46073 7.56736 7.56736 -162.006 -7.56736 0 0 666494. 2306.21 0.31 0.10 0.13 -1 -1 0.31 0.0377789 0.0343291 221 218 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 10.62 vpr 65.04 MiB 0.03 7036 -1 -1 12 0.46 -1 -1 36596 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 324 356 1 240 96 17 17 289 -1 unnamed_device 26.4 MiB 0.56 1611 10170 2431 6417 1322 65.0 MiB 0.12 0.00 6.31084 -138.51 -6.31084 6.31084 1.07 0.000895942 0.000807416 0.0457531 0.0410048 36 3883 22 6.55708e+06 385760 612192. 2118.31 5.85 0.284777 0.252448 22750 144809 -1 3352 21 1486 4743 367642 114432 6.79164 6.79164 -158.601 -6.79164 0 0 782063. 2706.10 0.40 0.18 0.18 -1 -1 0.40 0.051805 0.0469359 231 229 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 5.49 vpr 63.79 MiB 0.02 6804 -1 -1 11 0.19 -1 -1 36532 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65324 32 32 216 248 1 165 83 17 17 289 -1 unnamed_device 25.3 MiB 0.30 1077 11423 3236 6140 2047 63.8 MiB 0.10 0.00 4.97132 -113.985 -4.97132 4.97132 1.20 0.000536749 0.000480953 0.0402499 0.0362299 30 2463 21 6.55708e+06 229045 526063. 1820.29 1.33 0.126739 0.111653 21886 126133 -1 2215 20 966 2765 132324 31131 5.09152 5.09152 -127.684 -5.09152 0 0 666494. 2306.21 0.33 0.07 0.15 -1 -1 0.33 0.0283557 0.0252643 127 121 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 7.18 vpr 64.29 MiB 0.03 7024 -1 -1 13 0.29 -1 -1 36124 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65836 32 32 245 277 1 195 91 17 17 289 -1 unnamed_device 25.8 MiB 0.70 1303 4783 804 3630 349 64.3 MiB 0.05 0.00 6.82684 -145.66 -6.82684 6.82684 1.15 0.000688172 0.000614845 0.0196894 0.0177928 28 3417 32 6.55708e+06 325485 500653. 1732.36 2.50 0.146586 0.129575 21310 115450 -1 3062 30 1423 4164 330475 105461 7.17718 7.17718 -166.371 -7.17718 0 0 612192. 2118.31 0.30 0.16 0.14 -1 -1 0.30 0.0473438 0.0420622 156 150 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 16.50 vpr 65.46 MiB 0.03 7156 -1 -1 14 0.69 -1 -1 36776 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67028 32 32 361 393 1 263 100 17 17 289 -1 unnamed_device 27.2 MiB 0.58 1725 7988 1528 5764 696 65.5 MiB 0.10 0.00 7.24596 -154.761 -7.24596 7.24596 1.19 0.00101339 0.000904565 0.0405062 0.0363717 30 4705 50 6.55708e+06 433980 526063. 1820.29 11.35 0.51754 0.456896 21886 126133 -1 3753 17 1821 5675 279148 64997 7.48896 7.48896 -169.255 -7.48896 0 0 666494. 2306.21 0.33 0.14 0.15 -1 -1 0.33 0.0537567 0.0489387 267 266 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 7.21 vpr 65.34 MiB 0.03 6888 -1 -1 13 0.50 -1 -1 36928 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 32 32 318 350 1 241 95 17 17 289 -1 unnamed_device 26.8 MiB 0.74 1465 11759 2984 7444 1331 65.3 MiB 0.14 0.00 6.59044 -139.011 -6.59044 6.59044 1.20 0.000956507 0.000863934 0.0563051 0.0506966 28 3801 24 6.55708e+06 373705 500653. 1732.36 2.12 0.207159 0.184596 21310 115450 -1 3446 19 1715 5258 299936 68276 6.9215 6.9215 -160.772 -6.9215 0 0 612192. 2118.31 0.31 0.14 0.14 -1 -1 0.31 0.0489005 0.0442977 224 223 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 6.05 vpr 64.45 MiB 0.03 7040 -1 -1 11 0.25 -1 -1 36276 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65996 30 32 223 255 1 165 85 17 17 289 -1 unnamed_device 25.9 MiB 0.30 975 9199 2680 4891 1628 64.4 MiB 0.08 0.00 5.42198 -108.929 -5.42198 5.42198 1.09 0.000568234 0.000514561 0.0312951 0.0281066 28 2710 26 6.55708e+06 277265 500653. 1732.36 2.05 0.140025 0.12403 21310 115450 -1 2290 16 980 2862 162424 38028 5.66238 5.66238 -129.565 -5.66238 0 0 612192. 2118.31 0.31 0.08 0.14 -1 -1 0.31 0.0292534 0.0264404 137 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 10.99 vpr 65.32 MiB 0.03 7268 -1 -1 15 0.68 -1 -1 37520 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 32 32 335 367 1 253 97 17 17 289 -1 unnamed_device 26.8 MiB 0.51 1747 6979 1368 4970 641 65.3 MiB 0.09 0.00 7.16555 -148.955 -7.16555 7.16555 1.15 0.000927392 0.000829056 0.0342029 0.0306984 36 4553 24 6.55708e+06 397815 612192. 2118.31 5.92 0.262288 0.22992 22750 144809 -1 3603 22 1651 5432 386255 124224 7.60455 7.60455 -171.419 -7.60455 0 0 782063. 2706.10 0.38 0.18 0.19 -1 -1 0.38 0.0523658 0.0472 241 240 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 9.38 vpr 64.71 MiB 0.03 7168 -1 -1 13 0.48 -1 -1 36680 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 301 333 1 226 93 17 17 289 -1 unnamed_device 26.3 MiB 0.53 1460 16473 4845 9025 2603 64.7 MiB 0.16 0.00 6.4015 -131.383 -6.4015 6.4015 1.08 0.000775181 0.000699829 0.0641168 0.0575824 36 4055 46 6.55708e+06 349595 612192. 2118.31 4.58 0.325429 0.287407 22750 144809 -1 3238 18 1453 4180 238680 55176 6.6419 6.6419 -152.129 -6.6419 0 0 782063. 2706.10 0.38 0.12 0.18 -1 -1 0.38 0.0438523 0.0396528 207 206 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 5.77 vpr 64.28 MiB 0.03 6840 -1 -1 11 0.20 -1 -1 36368 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 32 32 238 270 1 183 88 17 17 289 -1 unnamed_device 25.9 MiB 0.32 1185 6133 1245 4433 455 64.3 MiB 0.06 0.00 5.37818 -115.152 -5.37818 5.37818 1.16 0.000626231 0.000563842 0.023409 0.021143 28 3078 27 6.55708e+06 289320 500653. 1732.36 1.64 0.130352 0.115428 21310 115450 -1 2592 16 1102 2896 163665 38684 5.73878 5.73878 -136.507 -5.73878 0 0 612192. 2118.31 0.31 0.08 0.15 -1 -1 0.31 0.0296493 0.0267974 149 143 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 21.82 vpr 65.14 MiB 0.03 7192 -1 -1 12 0.46 -1 -1 36236 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 32 32 308 340 1 230 95 17 17 289 -1 unnamed_device 26.5 MiB 0.53 1475 7223 1427 5252 544 65.1 MiB 0.09 0.00 6.01898 -125.784 -6.01898 6.01898 1.17 0.000849445 0.000748337 0.0329891 0.0295652 30 3797 44 6.55708e+06 373705 526063. 1820.29 17.05 0.47531 0.417286 21886 126133 -1 3008 21 1623 5543 278152 63423 6.23184 6.23184 -142.805 -6.23184 0 0 666494. 2306.21 0.33 0.13 0.15 -1 -1 0.33 0.0461776 0.0414999 217 213 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 6.53 vpr 64.53 MiB 0.02 6980 -1 -1 12 0.27 -1 -1 36336 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 253 285 1 192 90 17 17 289 -1 unnamed_device 26.0 MiB 0.33 1249 9336 2327 6152 857 64.5 MiB 0.09 0.00 6.2421 -127.001 -6.2421 6.2421 1.09 0.000655054 0.00059075 0.0341731 0.0308316 28 3490 46 6.55708e+06 313430 500653. 1732.36 2.48 0.184865 0.164028 21310 115450 -1 2862 20 1351 3875 245699 57059 6.62964 6.62964 -150.254 -6.62964 0 0 612192. 2118.31 0.29 0.11 0.13 -1 -1 0.29 0.0366546 0.0329794 164 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 8.54 vpr 64.26 MiB 0.03 6844 -1 -1 12 0.27 -1 -1 36596 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65800 30 32 227 259 1 163 83 17 17 289 -1 unnamed_device 25.9 MiB 0.30 996 7283 1637 4962 684 64.3 MiB 0.07 0.00 6.02864 -123.283 -6.02864 6.02864 1.20 0.00064571 0.000573554 0.0296144 0.0266563 26 2663 34 6.55708e+06 253155 477104. 1650.88 4.30 0.228156 0.200044 21022 109990 -1 2240 21 975 2748 149919 35285 6.46058 6.46058 -140.221 -6.46058 0 0 585099. 2024.56 0.30 0.09 0.14 -1 -1 0.30 0.0345683 0.0309759 139 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 9.79 vpr 64.89 MiB 0.03 7188 -1 -1 12 0.44 -1 -1 36432 -1 -1 32 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 29 32 292 324 1 222 93 17 17 289 -1 unnamed_device 26.4 MiB 0.40 1365 8283 1979 5372 932 64.9 MiB 0.09 0.00 5.93798 -112.647 -5.93798 5.93798 1.18 0.000739678 0.000664919 0.0345208 0.0309118 34 3333 17 6.55708e+06 385760 585099. 2024.56 5.14 0.338276 0.296039 22462 138074 -1 2943 18 1340 4162 227017 52374 6.15344 6.15344 -127.847 -6.15344 0 0 742403. 2568.87 0.34 0.11 0.17 -1 -1 0.34 0.0418679 0.037779 208 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 19.53 vpr 65.32 MiB 0.03 6996 -1 -1 14 0.48 -1 -1 36536 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 316 348 1 253 96 17 17 289 -1 unnamed_device 26.6 MiB 0.74 1500 8418 1799 6158 461 65.3 MiB 0.10 0.00 6.85076 -144.99 -6.85076 6.85076 1.16 0.000884551 0.000796936 0.0390985 0.0352337 28 4539 40 6.55708e+06 385760 500653. 1732.36 14.54 0.337198 0.29649 21310 115450 -1 3745 19 1966 5417 331256 82092 7.57196 7.57196 -172.093 -7.57196 0 0 612192. 2118.31 0.30 0.14 0.14 -1 -1 0.30 0.0448459 0.0404172 227 221 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 11.94 vpr 64.78 MiB 0.03 7232 -1 -1 12 0.35 -1 -1 36436 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 286 318 1 212 91 17 17 289 -1 unnamed_device 26.4 MiB 0.59 1468 9679 2510 6148 1021 64.8 MiB 0.11 0.00 6.07044 -130.174 -6.07044 6.07044 1.19 0.000810069 0.000730006 0.042486 0.0381761 28 4177 37 6.55708e+06 325485 500653. 1732.36 7.13 0.375296 0.329452 21310 115450 -1 3400 25 1734 5354 558520 162472 6.74018 6.74018 -158.172 -6.74018 0 0 612192. 2118.31 0.31 0.22 0.14 -1 -1 0.31 0.0523676 0.0472316 192 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 6.21 vpr 64.14 MiB 0.02 7000 -1 -1 12 0.21 -1 -1 36508 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65684 32 32 221 253 1 168 87 17 17 289 -1 unnamed_device 25.6 MiB 0.57 1147 6423 1324 4273 826 64.1 MiB 0.06 0.00 5.37878 -117.138 -5.37878 5.37878 1.17 0.000610514 0.000534944 0.0234965 0.0211826 28 2807 18 6.55708e+06 277265 500653. 1732.36 1.72 0.118699 0.104998 21310 115450 -1 2473 30 901 2872 357653 165390 5.73938 5.73938 -135.091 -5.73938 0 0 612192. 2118.31 0.32 0.18 0.15 -1 -1 0.32 0.0452505 0.0403953 133 126 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 7.21 vpr 64.73 MiB 0.03 6976 -1 -1 12 0.31 -1 -1 36292 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 31 32 261 293 1 199 88 17 17 289 -1 unnamed_device 26.2 MiB 0.36 1122 14518 3967 7759 2792 64.7 MiB 0.14 0.00 6.1611 -118.405 -6.1611 6.1611 1.17 0.000688429 0.000607516 0.0561304 0.0501131 28 3526 48 6.55708e+06 301375 500653. 1732.36 2.82 0.209368 0.184911 21310 115450 -1 2711 17 1213 3297 188597 46016 6.4825 6.4825 -141.563 -6.4825 0 0 612192. 2118.31 0.31 0.09 0.14 -1 -1 0.31 0.0344771 0.0312158 170 168 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 9.74 vpr 64.78 MiB 0.03 7004 -1 -1 11 0.29 -1 -1 36572 -1 -1 28 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 30 32 277 309 1 208 90 17 17 289 -1 unnamed_device 26.4 MiB 0.34 1278 10341 2819 6494 1028 64.8 MiB 0.11 0.00 5.13472 -109.701 -5.13472 5.13472 1.16 0.000722143 0.000638229 0.0423507 0.0378321 34 3462 48 6.55708e+06 337540 585099. 2024.56 5.29 0.33165 0.287364 22462 138074 -1 2802 22 1638 5858 330565 73742 5.45352 5.45352 -127.675 -5.45352 0 0 742403. 2568.87 0.36 0.14 0.17 -1 -1 0.36 0.0419424 0.0374932 189 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 20.85 vpr 64.52 MiB 0.03 7212 -1 -1 11 0.31 -1 -1 36372 -1 -1 28 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 28 32 251 283 1 194 88 17 17 289 -1 unnamed_device 26.0 MiB 0.49 1218 9643 2530 5885 1228 64.5 MiB 0.10 0.00 5.38078 -98.2735 -5.38078 5.38078 1.18 0.000700883 0.000629404 0.0390029 0.0350531 28 3701 49 6.55708e+06 337540 500653. 1732.36 16.29 0.319848 0.279439 21310 115450 -1 3008 21 1584 5338 375645 81940 5.4302 5.4302 -117.788 -5.4302 0 0 612192. 2118.31 0.31 0.14 0.15 -1 -1 0.31 0.0384939 0.0344858 171 164 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 10.20 vpr 64.46 MiB 0.03 6880 -1 -1 13 0.28 -1 -1 36452 -1 -1 25 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 223 255 1 182 87 17 17 289 -1 unnamed_device 26.1 MiB 0.67 1085 5463 1160 3699 604 64.5 MiB 0.06 0.00 6.3185 -124.03 -6.3185 6.3185 1.20 0.000626577 0.000563448 0.0214938 0.0194311 30 2712 19 6.55708e+06 301375 526063. 1820.29 5.58 0.235964 0.207652 21886 126133 -1 2201 15 886 2310 116042 27689 6.3995 6.3995 -139.415 -6.3995 0 0 666494. 2306.21 0.34 0.07 0.15 -1 -1 0.34 0.0275087 0.0249277 142 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 6.66 vpr 64.96 MiB 0.03 7032 -1 -1 12 0.29 -1 -1 36164 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 269 301 1 211 91 17 17 289 -1 unnamed_device 26.1 MiB 0.51 1341 7639 1544 5419 676 65.0 MiB 0.08 0.00 6.06784 -131.714 -6.06784 6.06784 1.20 0.000723476 0.000649978 0.0315514 0.0283911 28 3503 49 6.55708e+06 325485 500653. 1732.36 2.17 0.191511 0.169163 21310 115450 -1 3136 19 1394 3727 217056 50515 6.37958 6.37958 -152.566 -6.37958 0 0 612192. 2118.31 0.31 0.11 0.14 -1 -1 0.31 0.0392637 0.0353869 180 174 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 16.34 vpr 64.94 MiB 0.03 6944 -1 -1 13 0.43 -1 -1 36548 -1 -1 30 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 283 315 1 212 93 17 17 289 -1 unnamed_device 26.5 MiB 0.53 1207 16473 5014 8912 2547 64.9 MiB 0.16 0.00 6.5609 -125.433 -6.5609 6.5609 1.18 0.000742181 0.000664415 0.063798 0.0570172 30 3410 40 6.55708e+06 361650 526063. 1820.29 11.58 0.364243 0.319806 21886 126133 -1 2599 16 1399 4136 195875 47565 6.8013 6.8013 -142.491 -6.8013 0 0 666494. 2306.21 0.34 0.10 0.15 -1 -1 0.34 0.0358522 0.032457 195 190 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 9.67 vpr 65.25 MiB 0.03 7116 -1 -1 14 0.42 -1 -1 37376 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 308 340 1 227 95 17 17 289 -1 unnamed_device 26.7 MiB 0.43 1402 11327 3034 7146 1147 65.2 MiB 0.12 0.00 6.9587 -139.321 -6.9587 6.9587 1.13 0.00081817 0.000727774 0.0471963 0.0424157 30 3583 35 6.55708e+06 373705 526063. 1820.29 5.10 0.41429 0.366347 21886 126133 -1 2986 21 1550 5097 255027 58910 7.35144 7.35144 -158.869 -7.35144 0 0 666494. 2306.21 0.31 0.12 0.14 -1 -1 0.31 0.0458267 0.0412056 215 213 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 9.88 vpr 64.82 MiB 0.02 6920 -1 -1 14 0.36 -1 -1 36604 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 32 32 277 309 1 210 91 17 17 289 -1 unnamed_device 26.3 MiB 0.56 1362 8455 1835 6208 412 64.8 MiB 0.09 0.00 6.49016 -128.354 -6.49016 6.49016 1.20 0.000737322 0.00066289 0.0360264 0.0323829 36 3449 22 6.55708e+06 325485 612192. 2118.31 5.14 0.240046 0.211642 22750 144809 -1 2892 18 1192 3718 212380 47810 6.6817 6.6817 -142.685 -6.6817 0 0 782063. 2706.10 0.38 0.11 0.18 -1 -1 0.38 0.0403475 0.0365981 183 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 7.29 vpr 65.02 MiB 0.02 7052 -1 -1 13 0.47 -1 -1 37244 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 288 320 1 218 91 17 17 289 -1 unnamed_device 26.5 MiB 0.52 1392 14575 3709 9145 1721 65.0 MiB 0.15 0.00 6.60776 -134.289 -6.60776 6.60776 1.20 0.000805566 0.000723239 0.0624818 0.0561149 28 3949 38 6.55708e+06 325485 500653. 1732.36 2.50 0.222932 0.197884 21310 115450 -1 3334 21 1404 4264 389661 122766 7.20876 7.20876 -156.298 -7.20876 0 0 612192. 2118.31 0.32 0.17 0.15 -1 -1 0.32 0.0487847 0.0439602 195 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 9.12 vpr 64.09 MiB 0.02 7048 -1 -1 13 0.24 -1 -1 36360 -1 -1 24 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65624 30 32 230 262 1 183 86 17 17 289 -1 unnamed_device 25.7 MiB 0.35 1165 6890 1494 4473 923 64.1 MiB 0.08 0.00 6.5569 -135.001 -6.5569 6.5569 1.20 0.000649092 0.000584347 0.0275664 0.0248651 36 2695 15 6.55708e+06 289320 612192. 2118.31 4.82 0.21623 0.189744 22750 144809 -1 2395 16 915 2344 132177 30626 7.1187 7.1187 -151.199 -7.1187 0 0 782063. 2706.10 0.37 0.08 0.18 -1 -1 0.37 0.0293355 0.0264257 146 139 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 8.18 vpr 64.93 MiB 0.03 7208 -1 -1 13 0.69 -1 -1 36292 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 30 32 294 326 1 230 93 17 17 289 -1 unnamed_device 26.4 MiB 0.46 1381 10173 2574 6337 1262 64.9 MiB 0.12 0.00 6.69136 -133.069 -6.69136 6.69136 1.18 0.000832925 0.000732475 0.0465364 0.0416843 30 3914 39 6.55708e+06 373705 526063. 1820.29 3.22 0.216063 0.191625 21886 126133 -1 3074 19 1521 4320 215072 51280 6.88996 6.88996 -152.146 -6.88996 0 0 666494. 2306.21 0.34 0.11 0.15 -1 -1 0.34 0.0427024 0.0384052 208 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 6.47 vpr 64.72 MiB 0.02 7240 -1 -1 14 0.40 -1 -1 36688 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 32 32 276 308 1 206 94 17 17 289 -1 unnamed_device 26.4 MiB 0.49 1380 8401 2060 5770 571 64.7 MiB 0.09 0.00 6.25538 -134.831 -6.25538 6.25538 1.20 0.000785528 0.000707637 0.0350847 0.031522 30 3290 23 6.55708e+06 361650 526063. 1820.29 1.78 0.164022 0.145607 21886 126133 -1 2808 19 1503 5199 248840 56511 6.77798 6.77798 -152.71 -6.77798 0 0 666494. 2306.21 0.34 0.12 0.16 -1 -1 0.34 0.0431258 0.0388282 184 181 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 20.12 vpr 65.06 MiB 0.03 7252 -1 -1 12 0.38 -1 -1 36912 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 31 32 293 325 1 227 95 17 17 289 -1 unnamed_device 26.5 MiB 0.37 1495 6359 1229 4710 420 65.1 MiB 0.08 0.00 6.6373 -134.482 -6.6373 6.6373 1.18 0.000816752 0.000728664 0.0279442 0.0251093 30 3799 42 6.55708e+06 385760 526063. 1820.29 15.71 0.419231 0.368905 21886 126133 -1 3160 19 1448 4249 220488 50511 7.2775 7.2775 -156.491 -7.2775 0 0 666494. 2306.21 0.31 0.11 0.13 -1 -1 0.31 0.0403889 0.0364081 203 200 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 10.53 vpr 64.48 MiB 0.02 7264 -1 -1 13 0.34 -1 -1 36220 -1 -1 28 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66028 30 32 273 305 1 212 90 17 17 289 -1 unnamed_device 25.9 MiB 0.34 1278 5517 970 4312 235 64.5 MiB 0.06 0.00 6.23244 -112.435 -6.23244 6.23244 1.16 0.000808088 0.000729865 0.0240496 0.0217477 34 3583 49 6.55708e+06 337540 585099. 2024.56 6.18 0.399889 0.350551 22462 138074 -1 2980 22 1447 4359 273418 61482 6.71324 6.71324 -134.063 -6.71324 0 0 742403. 2568.87 0.36 0.13 0.15 -1 -1 0.36 0.0423198 0.0377766 186 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 7.05 vpr 64.86 MiB 0.02 7080 -1 -1 14 0.49 -1 -1 37028 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 310 342 1 239 96 17 17 289 -1 unnamed_device 26.3 MiB 0.66 1591 11484 2892 7640 952 64.9 MiB 0.12 0.00 7.25822 -142.86 -7.25822 7.25822 1.10 0.000818367 0.000737593 0.0477384 0.042785 30 4108 27 6.55708e+06 385760 526063. 1820.29 2.35 0.208025 0.185254 21886 126133 -1 3374 19 1496 4652 225000 52464 7.69016 7.69016 -164.599 -7.69016 0 0 666494. 2306.21 0.31 0.11 0.14 -1 -1 0.31 0.0415591 0.0375465 220 215 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 12.40 vpr 64.62 MiB 0.03 7140 -1 -1 11 0.43 -1 -1 36568 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 29 32 259 291 1 190 90 17 17 289 -1 unnamed_device 26.0 MiB 0.48 1169 5919 1198 4273 448 64.6 MiB 0.07 0.00 5.54984 -107.818 -5.54984 5.54984 1.17 0.000728116 0.000649694 0.0263575 0.0237298 28 3393 34 6.55708e+06 349595 500653. 1732.36 7.82 0.324824 0.284729 21310 115450 -1 2788 15 1200 3683 225461 50758 6.03064 6.03064 -128.897 -6.03064 0 0 612192. 2118.31 0.31 0.10 0.14 -1 -1 0.31 0.0355734 0.0323319 174 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 8.53 vpr 64.36 MiB 0.02 6772 -1 -1 13 0.24 -1 -1 36168 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 32 32 225 257 1 185 87 17 17 289 -1 unnamed_device 26.0 MiB 0.43 1128 7767 1722 5232 813 64.4 MiB 0.08 0.00 6.2793 -138.533 -6.2793 6.2793 1.18 0.00061632 0.000552933 0.0289628 0.026009 26 3170 26 6.55708e+06 277265 477104. 1650.88 4.25 0.253592 0.222269 21022 109990 -1 2664 18 1204 2970 181653 44719 6.70864 6.70864 -158.518 -6.70864 0 0 585099. 2024.56 0.30 0.09 0.13 -1 -1 0.30 0.030893 0.0278622 142 130 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 11.06 vpr 64.79 MiB 0.03 7200 -1 -1 14 0.35 -1 -1 36720 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 273 305 1 209 91 17 17 289 -1 unnamed_device 26.4 MiB 0.36 1329 7231 1423 5159 649 64.8 MiB 0.08 0.00 6.57116 -133.256 -6.57116 6.57116 1.22 0.000716598 0.000644075 0.0307179 0.0275938 30 3078 46 6.55708e+06 325485 526063. 1820.29 6.57 0.306138 0.269429 21886 126133 -1 2680 17 1175 3437 166446 39394 7.09116 7.09116 -151.438 -7.09116 0 0 666494. 2306.21 0.33 0.09 0.16 -1 -1 0.33 0.0357643 0.0324222 183 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 14.78 vpr 65.26 MiB 0.03 6980 -1 -1 15 0.55 -1 -1 36568 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 322 354 1 251 96 17 17 289 -1 unnamed_device 26.7 MiB 0.81 1605 7980 1886 5333 761 65.3 MiB 0.10 0.00 7.73501 -162.833 -7.73501 7.73501 1.18 0.000821624 0.000739172 0.0377026 0.0340536 30 4071 27 6.55708e+06 385760 526063. 1820.29 9.63 0.354517 0.313128 21886 126133 -1 3451 16 1665 4629 224715 53312 8.09561 8.09561 -183.49 -8.09561 0 0 666494. 2306.21 0.34 0.11 0.15 -1 -1 0.34 0.0412465 0.0375144 228 227 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 6.98 vpr 64.17 MiB 0.02 6868 -1 -1 11 0.22 -1 -1 36504 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65708 32 32 218 250 1 164 86 17 17 289 -1 unnamed_device 25.6 MiB 0.80 1049 7457 1698 5403 356 64.2 MiB 0.07 0.00 5.47144 -114.161 -5.47144 5.47144 1.09 0.000541929 0.000489109 0.0245146 0.0221013 28 2949 41 6.55708e+06 265210 500653. 1732.36 2.59 0.148258 0.131428 21310 115450 -1 2442 21 966 2796 221741 75510 5.47144 5.47144 -132.578 -5.47144 0 0 612192. 2118.31 0.29 0.11 0.13 -1 -1 0.29 0.0337935 0.0303218 126 123 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 8.92 vpr 64.63 MiB 0.02 6840 -1 -1 12 0.25 -1 -1 36404 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 31 32 244 276 1 192 89 17 17 289 -1 unnamed_device 26.2 MiB 0.51 1224 13355 3696 7957 1702 64.6 MiB 0.12 0.00 5.98944 -127.755 -5.98944 5.98944 1.09 0.000616584 0.000557484 0.0453742 0.0409447 36 2889 23 6.55708e+06 313430 612192. 2118.31 4.64 0.26695 0.235068 22750 144809 -1 2513 19 1174 3428 171759 41083 6.07044 6.07044 -140.84 -6.07044 0 0 782063. 2706.10 0.35 0.09 0.16 -1 -1 0.35 0.0340778 0.0307734 157 151 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 17.01 vpr 65.14 MiB 0.03 6892 -1 -1 12 0.47 -1 -1 36400 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 32 32 301 333 1 231 95 17 17 289 -1 unnamed_device 26.5 MiB 0.52 1502 10031 2256 6452 1323 65.1 MiB 0.11 0.00 6.2029 -136.791 -6.2029 6.2029 1.17 0.000816731 0.000730135 0.042289 0.037864 28 4498 45 6.55708e+06 373705 500653. 1732.36 12.27 0.328779 0.288298 21310 115450 -1 3719 18 1551 4504 279511 64288 6.6837 6.6837 -163.387 -6.6837 0 0 612192. 2118.31 0.30 0.12 0.14 -1 -1 0.30 0.0402237 0.0362778 209 206 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 9.75 vpr 64.70 MiB 0.03 7208 -1 -1 12 0.34 -1 -1 36592 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 32 32 278 310 1 215 92 17 17 289 -1 unnamed_device 26.3 MiB 0.66 1436 14996 4243 8240 2513 64.7 MiB 0.14 0.00 6.42844 -135.086 -6.42844 6.42844 1.09 0.00076493 0.000687182 0.0535068 0.048002 36 3620 18 6.55708e+06 337540 612192. 2118.31 5.07 0.291451 0.25569 22750 144809 -1 3107 19 1387 4308 240497 55701 6.63164 6.63164 -152.702 -6.63164 0 0 782063. 2706.10 0.38 0.12 0.17 -1 -1 0.38 0.040999 0.03691 186 183 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 11.18 vpr 65.37 MiB 0.03 7304 -1 -1 14 0.70 -1 -1 36652 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 333 365 1 247 99 17 17 289 -1 unnamed_device 26.9 MiB 0.42 1587 8307 2005 5613 689 65.4 MiB 0.10 0.00 7.16496 -146.142 -7.16496 7.16496 1.18 0.000908784 0.000808703 0.0380991 0.0340183 38 3857 29 6.55708e+06 421925 638502. 2209.35 6.08 0.379777 0.334552 23326 155178 -1 3189 18 1635 5105 223646 53975 7.40796 7.40796 -161.786 -7.40796 0 0 851065. 2944.86 0.40 0.12 0.20 -1 -1 0.40 0.0502265 0.0455778 241 238 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 9.56 vpr 64.36 MiB 0.03 7104 -1 -1 11 0.33 -1 -1 36480 -1 -1 27 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 30 32 261 293 1 202 89 17 17 289 -1 unnamed_device 25.8 MiB 0.64 1224 14543 4374 7793 2376 64.4 MiB 0.13 0.00 5.26258 -106.392 -5.26258 5.26258 1.16 0.000669038 0.000600074 0.053458 0.0479606 36 3078 19 6.55708e+06 325485 612192. 2118.31 4.83 0.278018 0.243486 22750 144809 -1 2608 17 1202 3694 206771 47368 5.83404 5.83404 -124.07 -5.83404 0 0 782063. 2706.10 0.38 0.10 0.17 -1 -1 0.38 0.0350441 0.0316182 176 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 6.01 vpr 64.20 MiB 0.03 7020 -1 -1 11 0.26 -1 -1 36328 -1 -1 25 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 27 32 217 249 1 157 84 17 17 289 -1 unnamed_device 25.6 MiB 0.32 932 5940 1291 4255 394 64.2 MiB 0.06 0.00 5.50038 -100.346 -5.50038 5.50038 1.21 0.000596262 0.000536914 0.0233471 0.0211128 26 2483 21 6.55708e+06 301375 477104. 1650.88 1.80 0.121272 0.107126 21022 109990 -1 2295 21 989 2738 191145 53993 5.98118 5.98118 -120.935 -5.98118 0 0 585099. 2024.56 0.29 0.10 0.14 -1 -1 0.29 0.0349551 0.0313773 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 11.61 vpr 65.38 MiB 0.03 7376 -1 -1 13 0.64 -1 -1 36736 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 373 405 1 274 104 17 17 289 -1 unnamed_device 27.3 MiB 0.40 1902 12548 3200 8135 1213 65.4 MiB 0.15 0.00 6.42904 -133.695 -6.42904 6.42904 1.23 0.00109623 0.000981504 0.060303 0.0540921 36 5117 27 6.55708e+06 482200 612192. 2118.31 6.39 0.347625 0.30765 22750 144809 -1 3994 24 1780 6255 486182 154469 6.9633 6.9633 -157.763 -6.9633 0 0 782063. 2706.10 0.38 0.23 0.18 -1 -1 0.38 0.0688428 0.0623593 280 278 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 9.83 vpr 64.88 MiB 0.03 7296 -1 -1 14 0.41 -1 -1 36740 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 31 32 269 301 1 201 89 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1320 12761 3202 7623 1936 64.9 MiB 0.13 0.00 6.88536 -138.325 -6.88536 6.88536 1.17 0.000740778 0.000668968 0.052888 0.0476946 34 3407 26 6.55708e+06 313430 585099. 2024.56 5.17 0.328704 0.28902 22462 138074 -1 2999 18 1434 4122 252423 56321 7.5969 7.5969 -161.901 -7.5969 0 0 742403. 2568.87 0.37 0.12 0.18 -1 -1 0.37 0.0392738 0.0354602 178 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 7.35 vpr 64.14 MiB 0.02 7008 -1 -1 12 0.21 -1 -1 36460 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65680 32 32 228 260 1 185 91 17 17 289 -1 unnamed_device 25.7 MiB 0.49 1161 14575 4032 8510 2033 64.1 MiB 0.12 0.00 6.25538 -137.354 -6.25538 6.25538 1.11 0.000584382 0.00051531 0.0465524 0.0418104 34 3180 44 6.55708e+06 325485 585099. 2024.56 3.13 0.21193 0.187422 22462 138074 -1 2657 15 1085 3041 191303 42681 6.37558 6.37558 -152.462 -6.37558 0 0 742403. 2568.87 0.35 0.08 0.15 -1 -1 0.35 0.0271234 0.0245294 144 133 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 8.50 vpr 64.89 MiB 0.03 7168 -1 -1 13 0.44 -1 -1 36328 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 265 297 1 201 89 17 17 289 -1 unnamed_device 26.3 MiB 0.63 1207 6029 1286 4160 583 64.9 MiB 0.07 0.00 6.7203 -130.556 -6.7203 6.7203 1.19 0.000732315 0.00065658 0.0264651 0.0237931 34 3453 48 6.55708e+06 301375 585099. 2024.56 3.63 0.214985 0.189676 22462 138074 -1 2813 20 1334 3993 227594 53317 7.1619 7.1619 -151.327 -7.1619 0 0 742403. 2568.87 0.36 0.11 0.18 -1 -1 0.36 0.0392142 0.0352788 172 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 8.75 vpr 65.15 MiB 0.03 7344 -1 -1 13 0.46 -1 -1 36920 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 31 32 325 357 1 256 98 17 17 289 -1 unnamed_device 26.7 MiB 0.50 1673 7298 1424 5307 567 65.1 MiB 0.09 0.00 6.5197 -134.644 -6.5197 6.5197 1.21 0.000896666 0.000805462 0.0344826 0.0310643 28 4757 42 6.55708e+06 421925 500653. 1732.36 3.68 0.210442 0.18613 21310 115450 -1 3968 44 1811 5672 795124 337919 6.7601 6.7601 -157.199 -6.7601 0 0 612192. 2118.31 0.32 0.40 0.14 -1 -1 0.32 0.0980769 0.0877004 235 232 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 6.53 vpr 64.92 MiB 0.02 7152 -1 -1 11 0.33 -1 -1 36444 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 30 32 287 319 1 210 94 17 17 289 -1 unnamed_device 26.3 MiB 0.56 1426 12661 3632 7779 1250 64.9 MiB 0.13 0.00 5.91044 -118.756 -5.91044 5.91044 1.15 0.00074691 0.000661729 0.0491327 0.0439268 30 3527 36 6.55708e+06 385760 526063. 1820.29 1.97 0.204746 0.18165 21886 126133 -1 2893 18 1302 4451 213570 49299 6.15284 6.15284 -134.981 -6.15284 0 0 666494. 2306.21 0.34 0.11 0.14 -1 -1 0.34 0.0410978 0.037112 199 196 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 8.14 vpr 64.83 MiB 0.02 7160 -1 -1 15 0.44 -1 -1 36696 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 297 329 1 230 93 17 17 289 -1 unnamed_device 26.3 MiB 0.42 1410 14373 3536 8116 2721 64.8 MiB 0.14 0.00 7.33722 -149.469 -7.33722 7.33722 1.08 0.000713508 0.000634955 0.0547036 0.0491522 36 3722 21 6.55708e+06 349595 612192. 2118.31 3.67 0.278436 0.247209 22750 144809 -1 3221 20 1334 4167 227115 52911 7.81801 7.81801 -169.777 -7.81801 0 0 782063. 2706.10 0.36 0.11 0.16 -1 -1 0.36 0.0449235 0.0406018 203 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 6.41 vpr 65.16 MiB 0.03 6988 -1 -1 13 0.54 -1 -1 36632 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 311 343 1 238 96 17 17 289 -1 unnamed_device 26.5 MiB 0.29 1562 8418 1908 5621 889 65.2 MiB 0.10 0.00 6.49216 -137.708 -6.49216 6.49216 1.19 0.000865216 0.000780918 0.0377992 0.0339835 30 3733 19 6.55708e+06 385760 526063. 1820.29 1.78 0.1758 0.156308 21886 126133 -1 3060 17 1455 4434 205661 48794 6.85276 6.85276 -157.372 -6.85276 0 0 666494. 2306.21 0.34 0.11 0.15 -1 -1 0.34 0.0447513 0.0405807 217 216 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 8.92 vpr 64.15 MiB 0.03 6868 -1 -1 12 0.30 -1 -1 36172 -1 -1 29 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 29 32 236 268 1 192 90 17 17 289 -1 unnamed_device 25.8 MiB 0.68 1153 8130 1879 5254 997 64.1 MiB 0.08 0.00 5.81778 -125.088 -5.81778 5.81778 1.17 0.000631015 0.000566392 0.0288135 0.025867 34 2814 23 6.55708e+06 349595 585099. 2024.56 4.26 0.204023 0.177643 22462 138074 -1 2486 16 1157 2939 157333 37266 6.05818 6.05818 -140.356 -6.05818 0 0 742403. 2568.87 0.37 0.08 0.18 -1 -1 0.37 0.028953 0.0260487 159 147 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 8.95 vpr 64.30 MiB 0.03 6964 -1 -1 11 0.23 -1 -1 36200 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 32 32 231 263 1 179 86 17 17 289 -1 unnamed_device 25.9 MiB 0.59 1194 5756 1131 4178 447 64.3 MiB 0.07 0.00 5.65838 -120.723 -5.65838 5.65838 1.19 0.000631269 0.000566773 0.0225022 0.0202998 38 2703 17 6.55708e+06 265210 638502. 2209.35 4.25 0.239332 0.209311 23326 155178 -1 2331 14 987 2812 143570 32948 5.65838 5.65838 -135.438 -5.65838 0 0 851065. 2944.86 0.42 0.08 0.19 -1 -1 0.42 0.0291108 0.0265629 138 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 9.71 vpr 65.02 MiB 0.03 7220 -1 -1 13 0.44 -1 -1 36832 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 31 32 294 326 1 224 94 17 17 289 -1 unnamed_device 26.5 MiB 0.51 1539 8188 1770 5607 811 65.0 MiB 0.09 0.00 6.74584 -137.864 -6.74584 6.74584 1.15 0.0006807 0.000611699 0.034508 0.030659 34 3933 30 6.55708e+06 373705 585099. 2024.56 5.03 0.349784 0.306851 22462 138074 -1 3383 19 1725 5506 332284 73925 7.10384 7.10384 -156.044 -7.10384 0 0 742403. 2568.87 0.35 0.15 0.16 -1 -1 0.35 0.0473878 0.0426083 204 201 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 5.63 vpr 64.33 MiB 0.02 6940 -1 -1 10 0.23 -1 -1 36248 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 29 32 221 253 1 166 85 17 17 289 -1 unnamed_device 25.7 MiB 0.29 1049 11803 3196 6655 1952 64.3 MiB 0.10 0.00 5.00992 -101.498 -5.00992 5.00992 1.08 0.000558138 0.000497695 0.0384338 0.0344558 28 2733 25 6.55708e+06 289320 500653. 1732.36 1.71 0.147565 0.131029 21310 115450 -1 2279 29 986 2768 265543 107814 5.54418 5.54418 -121.669 -5.54418 0 0 612192. 2118.31 0.29 0.14 0.12 -1 -1 0.29 0.0420583 0.0374803 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 9.64 vpr 64.36 MiB 0.03 6868 -1 -1 14 0.28 -1 -1 36472 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 32 32 240 272 1 178 88 17 17 289 -1 unnamed_device 26.0 MiB 0.65 1103 12373 3722 6373 2278 64.4 MiB 0.12 0.00 6.3185 -130.338 -6.3185 6.3185 1.14 0.00071083 0.000624149 0.0456284 0.0408534 36 2713 19 6.55708e+06 289320 612192. 2118.31 4.98 0.322787 0.282807 22750 144809 -1 2300 16 982 2924 150813 36208 6.7993 6.7993 -149.011 -6.7993 0 0 782063. 2706.10 0.37 0.08 0.18 -1 -1 0.37 0.029464 0.0265822 149 145 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 10.38 vpr 64.82 MiB 0.03 7216 -1 -1 12 0.46 -1 -1 36616 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 292 324 1 211 92 17 17 289 -1 unnamed_device 26.3 MiB 0.46 1349 14789 3999 8146 2644 64.8 MiB 0.15 0.00 6.2787 -129.822 -6.2787 6.2787 1.18 0.000796705 0.000710899 0.0623175 0.0560676 36 3472 48 6.55708e+06 349595 612192. 2118.31 5.54 0.360274 0.318342 22750 144809 -1 2965 16 1223 3943 220386 50301 6.8797 6.8797 -153.342 -6.8797 0 0 782063. 2706.10 0.39 0.11 0.18 -1 -1 0.39 0.0418161 0.0380882 201 199 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 6.78 vpr 64.36 MiB 0.03 6928 -1 -1 12 0.22 -1 -1 36024 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 31 32 229 261 1 182 86 17 17 289 -1 unnamed_device 25.7 MiB 0.46 1060 5945 1165 4580 200 64.4 MiB 0.06 0.00 5.49898 -119.703 -5.49898 5.49898 1.20 0.000612632 0.000546591 0.0229659 0.0207354 28 3009 20 6.55708e+06 277265 500653. 1732.36 2.43 0.117506 0.103547 21310 115450 -1 2456 15 1005 2630 160955 38244 5.85958 5.85958 -139.097 -5.85958 0 0 612192. 2118.31 0.31 0.08 0.14 -1 -1 0.31 0.0282711 0.0254634 141 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 8.86 vpr 64.60 MiB 0.03 7236 -1 -1 12 0.28 -1 -1 36388 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 32 32 282 314 1 205 91 17 17 289 -1 unnamed_device 26.0 MiB 0.42 1369 9067 2139 5794 1134 64.6 MiB 0.09 0.00 5.75364 -126.276 -5.75364 5.75364 1.20 0.000742325 0.000659457 0.0381174 0.0341522 28 3470 22 6.55708e+06 325485 500653. 1732.36 4.41 0.302621 0.265908 21310 115450 -1 3015 18 1239 3937 216695 49752 6.18098 6.18098 -149.106 -6.18098 0 0 612192. 2118.31 0.31 0.11 0.14 -1 -1 0.31 0.0390859 0.0352586 188 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 7.05 vpr 64.67 MiB 0.03 7124 -1 -1 13 0.42 -1 -1 36628 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 31 32 269 301 1 216 92 17 17 289 -1 unnamed_device 26.2 MiB 0.42 1404 7751 1757 4862 1132 64.7 MiB 0.09 0.00 6.3995 -136.53 -6.3995 6.3995 1.20 0.00078468 0.000698383 0.0330021 0.0297287 36 3519 17 6.55708e+06 349595 612192. 2118.31 2.44 0.170439 0.150669 22750 144809 -1 2963 16 1156 3517 195108 43906 6.8803 6.8803 -156.453 -6.8803 0 0 782063. 2706.10 0.35 0.09 0.16 -1 -1 0.35 0.0360025 0.0326813 179 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 8.74 vpr 64.54 MiB 0.02 6824 -1 -1 11 0.23 -1 -1 36096 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66092 32 32 237 269 1 184 91 17 17 289 -1 unnamed_device 26.1 MiB 0.34 1228 9067 2214 5839 1014 64.5 MiB 0.08 0.00 5.47144 -121.882 -5.47144 5.47144 1.17 0.000582132 0.000517873 0.0305436 0.0274267 32 3376 27 6.55708e+06 325485 554710. 1919.41 4.51 0.269226 0.235571 22174 131602 -1 2943 19 1252 3800 260027 57756 6.15544 6.15544 -143.694 -6.15544 0 0 701300. 2426.64 0.35 0.11 0.15 -1 -1 0.35 0.033054 0.0297529 148 142 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 6.83 vpr 64.54 MiB 0.02 6928 -1 -1 13 0.27 -1 -1 36544 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 259 291 1 202 91 17 17 289 -1 unnamed_device 26.0 MiB 0.36 1340 12739 3442 7718 1579 64.5 MiB 0.12 0.00 6.26504 -134.276 -6.26504 6.26504 1.15 0.000757234 0.000683736 0.0483137 0.0435209 28 3523 26 6.55708e+06 325485 500653. 1732.36 2.46 0.181764 0.16137 21310 115450 -1 2926 31 1552 4680 433513 164173 6.5589 6.5589 -153.881 -6.5589 0 0 612192. 2118.31 0.30 0.21 0.13 -1 -1 0.30 0.0586284 0.0522949 167 164 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 7.75 vpr 64.86 MiB 0.03 7040 -1 -1 13 0.38 -1 -1 36616 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 277 309 1 220 91 17 17 289 -1 unnamed_device 26.5 MiB 0.28 1237 12739 3496 7349 1894 64.9 MiB 0.13 0.00 6.65156 -130.528 -6.65156 6.65156 1.19 0.000765189 0.000681514 0.0520084 0.0466568 36 3364 19 6.55708e+06 325485 612192. 2118.31 3.20 0.243987 0.215326 22750 144809 -1 2932 19 1339 3957 208410 49568 6.97296 6.97296 -151.416 -6.97296 0 0 782063. 2706.10 0.38 0.11 0.18 -1 -1 0.38 0.0398163 0.0359619 184 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 6.81 vpr 64.62 MiB 0.02 7036 -1 -1 11 0.25 -1 -1 36500 -1 -1 28 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 29 32 245 277 1 189 89 17 17 289 -1 unnamed_device 26.1 MiB 0.45 1126 8207 1959 5095 1153 64.6 MiB 0.08 0.00 5.53052 -104.852 -5.53052 5.53052 1.11 0.000603344 0.000543648 0.0293328 0.0263799 34 2891 31 6.55708e+06 337540 585099. 2024.56 2.58 0.203384 0.180307 22462 138074 -1 2539 19 1205 4085 288147 65382 5.73112 5.73112 -119.345 -5.73112 0 0 742403. 2568.87 0.35 0.12 0.15 -1 -1 0.35 0.0396405 0.0359079 162 156 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 10.05 vpr 65.31 MiB 0.03 7216 -1 -1 14 0.48 -1 -1 37416 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 316 348 1 250 96 17 17 289 -1 unnamed_device 26.5 MiB 0.58 1614 10170 2578 6728 864 65.3 MiB 0.12 0.00 6.86302 -148.285 -6.86302 6.86302 1.17 0.00091046 0.000812488 0.045932 0.0410585 34 4535 29 6.55708e+06 385760 585099. 2024.56 5.16 0.299398 0.261441 22462 138074 -1 3698 17 1690 4791 284052 64930 7.34382 7.34382 -170.93 -7.34382 0 0 742403. 2568.87 0.35 0.12 0.17 -1 -1 0.35 0.0422243 0.0382713 225 221 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 7.12 vpr 64.39 MiB 0.03 6800 -1 -1 12 0.25 -1 -1 36296 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 31 32 230 262 1 186 91 17 17 289 -1 unnamed_device 25.9 MiB 0.61 1161 13351 3360 8030 1961 64.4 MiB 0.12 0.00 5.43224 -115.756 -5.43224 5.43224 1.18 0.000619773 0.000545813 0.0448686 0.040245 34 2768 33 6.55708e+06 337540 585099. 2024.56 2.46 0.194645 0.17197 22462 138074 -1 2403 21 1022 2742 160943 37756 5.86158 5.86158 -134.177 -5.86158 0 0 742403. 2568.87 0.37 0.10 0.17 -1 -1 0.37 0.0354403 0.0317718 145 137 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 6.16 vpr 64.52 MiB 0.03 7168 -1 -1 13 0.42 -1 -1 36812 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 32 32 282 314 1 213 91 17 17 289 -1 unnamed_device 26.1 MiB 0.52 1403 5803 1175 4057 571 64.5 MiB 0.07 0.00 6.4407 -128.884 -6.4407 6.4407 1.18 0.000768425 0.000690753 0.0273602 0.0247685 30 3539 21 6.55708e+06 325485 526063. 1820.29 1.49 0.152215 0.134922 21886 126133 -1 2841 16 1232 3812 186551 42618 6.5609 6.5609 -144.056 -6.5609 0 0 666494. 2306.21 0.34 0.10 0.15 -1 -1 0.34 0.0368169 0.03335 189 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 12.07 vpr 64.16 MiB 0.02 7044 -1 -1 13 0.24 -1 -1 36056 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65700 32 32 235 267 1 180 89 17 17 289 -1 unnamed_device 25.8 MiB 0.50 1093 11375 2882 6245 2248 64.2 MiB 0.10 0.00 6.18864 -134.458 -6.18864 6.18864 1.10 0.00060631 0.000544157 0.0367714 0.0331046 28 3381 44 6.55708e+06 301375 500653. 1732.36 7.90 0.295974 0.260601 21310 115450 -1 2782 19 1468 3842 223310 53596 6.93938 6.93938 -165.036 -6.93938 0 0 612192. 2118.31 0.29 0.10 0.12 -1 -1 0.29 0.033728 0.0303232 146 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 8.71 vpr 64.89 MiB 0.03 7048 -1 -1 12 0.32 -1 -1 36412 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 265 297 1 193 90 17 17 289 -1 unnamed_device 26.4 MiB 0.46 1197 4914 839 3816 259 64.9 MiB 0.06 0.00 5.9619 -125.936 -5.9619 5.9619 1.20 0.000723597 0.000648591 0.0223523 0.0202431 30 2804 19 6.55708e+06 313430 526063. 1820.29 4.16 0.245563 0.215026 21886 126133 -1 2459 16 1031 3495 161065 37994 6.2023 6.2023 -140.503 -6.2023 0 0 666494. 2306.21 0.35 0.09 0.16 -1 -1 0.35 0.0360157 0.032636 172 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 10.58 vpr 65.00 MiB 0.03 7240 -1 -1 15 0.72 -1 -1 36464 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 344 376 1 259 98 17 17 289 -1 unnamed_device 26.8 MiB 0.45 1757 8648 1956 5929 763 65.0 MiB 0.11 0.00 7.33922 -148.898 -7.33922 7.33922 1.22 0.00102844 0.000927097 0.045664 0.0411927 36 4533 21 6.55708e+06 409870 612192. 2118.31 5.43 0.308104 0.272729 22750 144809 -1 3775 18 1809 5868 322730 72735 7.80775 7.80775 -166.091 -7.80775 0 0 782063. 2706.10 0.39 0.15 0.18 -1 -1 0.39 0.0528994 0.0480286 250 249 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 5.28 vpr 63.77 MiB 0.02 6676 -1 -1 10 0.13 -1 -1 35892 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65296 30 32 173 205 1 127 78 17 17 289 -1 unnamed_device 25.2 MiB 0.41 713 7216 1660 5270 286 63.8 MiB 0.06 0.00 4.40126 -99.1045 -4.40126 4.40126 1.18 0.000413989 0.000375409 0.0211578 0.0190928 28 1879 17 6.55708e+06 192880 500653. 1732.36 1.29 0.08962 0.0790594 21310 115450 -1 1625 17 671 1612 94219 23276 4.48226 4.48226 -113.588 -4.48226 0 0 612192. 2118.31 0.31 0.06 0.13 -1 -1 0.31 0.0205989 0.0184292 92 82 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 5.17 vpr 64.40 MiB 0.02 6844 -1 -1 13 0.23 -1 -1 36964 -1 -1 29 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 30 32 229 261 1 172 91 17 17 289 -1 unnamed_device 25.9 MiB 0.28 1066 6619 1342 4448 829 64.4 MiB 0.06 0.00 6.3577 -127.046 -6.3577 6.3577 1.08 0.000597063 0.000541635 0.0224822 0.0203255 30 2662 16 6.55708e+06 349595 526063. 1820.29 1.30 0.118199 0.104914 21886 126133 -1 2166 14 888 2535 120152 29168 6.7183 6.7183 -145.266 -6.7183 0 0 666494. 2306.21 0.32 0.07 0.13 -1 -1 0.32 0.0261459 0.0236919 150 138 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 7.18 vpr 64.37 MiB 0.03 6820 -1 -1 12 0.28 -1 -1 36492 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 32 32 261 293 1 201 87 17 17 289 -1 unnamed_device 25.8 MiB 0.44 1311 5079 1013 3448 618 64.4 MiB 0.06 0.00 5.74138 -125.798 -5.74138 5.74138 1.18 0.000687466 0.000608818 0.021915 0.0196953 34 3309 44 6.55708e+06 277265 585099. 2024.56 2.69 0.185721 0.162716 22462 138074 -1 2787 15 1093 3124 188144 43427 6.22218 6.22218 -151.76 -6.22218 0 0 742403. 2568.87 0.36 0.09 0.18 -1 -1 0.36 0.0333709 0.0303827 167 166 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 5.66 vpr 63.98 MiB 0.03 6832 -1 -1 9 0.19 -1 -1 36136 -1 -1 25 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65512 25 32 184 216 1 141 82 17 17 289 -1 unnamed_device 25.5 MiB 0.25 809 8270 2029 5236 1005 64.0 MiB 0.07 0.00 4.79906 -87.247 -4.79906 4.79906 1.18 0.000497169 0.000445601 0.0260897 0.0235094 26 2274 36 6.55708e+06 301375 477104. 1650.88 1.68 0.114809 0.100537 21022 109990 -1 1884 19 887 2494 151596 34877 5.09292 5.09292 -103.427 -5.09292 0 0 585099. 2024.56 0.29 0.08 0.13 -1 -1 0.29 0.0263843 0.023504 112 103 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 9.70 vpr 64.89 MiB 0.03 7172 -1 -1 12 0.39 -1 -1 36240 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 302 334 1 239 98 17 17 289 -1 unnamed_device 26.3 MiB 0.84 1661 5048 829 3928 291 64.9 MiB 0.07 0.00 6.47024 -139.776 -6.47024 6.47024 1.18 0.000789948 0.000706854 0.022357 0.0200935 36 4243 24 6.55708e+06 409870 612192. 2118.31 4.56 0.244215 0.215466 22750 144809 -1 3555 20 1876 5621 340775 74533 6.75244 6.75244 -159.002 -6.75244 0 0 782063. 2706.10 0.39 0.16 0.18 -1 -1 0.39 0.0494742 0.0446903 209 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 9.92 vpr 64.90 MiB 0.03 7256 -1 -1 14 0.44 -1 -1 36608 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 31 32 295 327 1 219 92 17 17 289 -1 unnamed_device 26.4 MiB 0.59 1275 9821 2569 5868 1384 64.9 MiB 0.11 0.00 6.62002 -132.776 -6.62002 6.62002 1.16 0.000708259 0.000632295 0.0432846 0.0387255 36 3384 43 6.55708e+06 349595 612192. 2118.31 5.11 0.350804 0.307163 22750 144809 -1 2808 19 1372 4375 233549 56129 7.37076 7.37076 -158.942 -7.37076 0 0 782063. 2706.10 0.39 0.12 0.17 -1 -1 0.39 0.0430637 0.0389137 204 202 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.92 vpr 65.43 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34172 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 32 32 438 350 1 194 100 17 17 289 -1 unnamed_device 26.8 MiB 0.13 962 13788 3481 8832 1475 65.4 MiB 0.15 0.00 3.40616 -115.043 -3.40616 3.40616 1.10 0.000716516 0.00065191 0.0426793 0.0386449 32 2613 24 6.64007e+06 452088 554710. 1919.41 1.18 0.147896 0.130719 22834 132086 -1 2182 21 1786 2929 198131 46381 3.84463 3.84463 -144.52 -3.84463 0 0 701300. 2426.64 0.32 0.10 0.14 -1 -1 0.32 0.0357764 0.0318374 153 80 32 32 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 5.34 vpr 65.24 MiB 0.03 7536 -1 -1 1 0.04 -1 -1 34068 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 30 32 409 330 1 186 85 17 17 289 -1 unnamed_device 26.4 MiB 0.24 877 14221 4303 7638 2280 65.2 MiB 0.16 0.00 3.60576 -107.965 -3.60576 3.60576 1.19 0.000651059 0.000585301 0.0538437 0.048648 32 2308 20 6.64007e+06 288834 554710. 1919.41 1.26 0.14669 0.129535 22834 132086 -1 1969 20 1674 2805 187762 44199 3.95523 3.95523 -133.517 -3.95523 0 0 701300. 2426.64 0.34 0.10 0.16 -1 -1 0.34 0.0322205 0.0285347 142 78 30 30 89 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 5.95 vpr 65.23 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 34348 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66800 32 32 387 309 1 186 99 17 17 289 -1 unnamed_device 26.5 MiB 0.12 902 8763 1778 6595 390 65.2 MiB 0.10 0.00 3.13925 -105.673 -3.13925 3.13925 1.19 0.000657715 0.000593969 0.0287742 0.0259877 28 2584 23 6.64007e+06 439530 500653. 1732.36 2.06 0.134024 0.11826 21970 115934 -1 2121 21 1450 2409 202054 45022 3.65863 3.65863 -133.626 -3.65863 0 0 612192. 2118.31 0.31 0.10 0.15 -1 -1 0.31 0.0318498 0.0282676 142 50 54 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 5.19 vpr 64.91 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 33752 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 29 32 343 267 1 184 85 17 17 289 -1 unnamed_device 26.2 MiB 0.09 909 11803 3544 7112 1147 64.9 MiB 0.13 0.00 3.70576 -107.366 -3.70576 3.70576 1.20 0.000609852 0.000552086 0.0407856 0.0370479 32 2355 18 6.64007e+06 301392 554710. 1919.41 1.24 0.12339 0.10934 22834 132086 -1 1933 22 1666 2875 188932 44058 3.74763 3.74763 -129.507 -3.74763 0 0 701300. 2426.64 0.34 0.10 0.17 -1 -1 0.34 0.0316637 0.0279575 138 25 87 29 29 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 5.17 vpr 64.89 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 34012 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 376 288 1 195 86 17 17 289 -1 unnamed_device 26.2 MiB 0.12 1082 12938 3934 7730 1274 64.9 MiB 0.14 0.00 3.30796 -118.656 -3.30796 3.30796 1.18 0.000560113 0.00050698 0.0445418 0.0404088 32 2611 19 6.64007e+06 276276 554710. 1919.41 1.24 0.140245 0.12409 22834 132086 -1 2330 20 1851 3380 233185 50791 3.69143 3.69143 -143.121 -3.69143 0 0 701300. 2426.64 0.35 0.11 0.16 -1 -1 0.35 0.034239 0.0305057 153 31 96 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 5.27 vpr 65.15 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 34100 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 402 316 1 199 103 17 17 289 -1 unnamed_device 26.6 MiB 0.14 1088 18901 5111 11447 2343 65.2 MiB 0.19 0.00 2.7819 -100.102 -2.7819 2.7819 1.20 0.000674329 0.000606083 0.0557238 0.0501323 32 2411 20 6.64007e+06 489762 554710. 1919.41 1.20 0.143867 0.126487 22834 132086 -1 2200 20 1345 2125 158606 33975 2.83477 2.83477 -117.644 -2.83477 0 0 701300. 2426.64 0.36 0.09 0.16 -1 -1 0.36 0.0347712 0.0308964 156 61 63 32 63 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.57 vpr 64.22 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34072 -1 -1 20 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 27 32 269 226 1 135 79 17 17 289 -1 unnamed_device 25.8 MiB 0.11 727 13261 4280 6742 2239 64.2 MiB 0.11 0.00 3.0775 -84.7733 -3.0775 3.0775 1.10 0.000442161 0.000400911 0.0366608 0.0333526 30 1512 16 6.64007e+06 251160 526063. 1820.29 1.02 0.0939362 0.0831026 22546 126617 -1 1338 18 645 1114 61663 14506 2.70156 2.70156 -93.5085 -2.70156 0 0 666494. 2306.21 0.32 0.05 0.13 -1 -1 0.32 0.0199946 0.0178104 96 26 54 27 27 27 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 5.22 vpr 65.15 MiB 0.03 7032 -1 -1 1 0.04 -1 -1 33868 -1 -1 34 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 31 32 317 242 1 185 97 17 17 289 -1 unnamed_device 26.4 MiB 0.10 1048 16303 5056 8818 2429 65.1 MiB 0.16 0.00 2.9483 -95.6493 -2.9483 2.9483 1.20 0.000581927 0.000527439 0.0457072 0.0414177 28 2530 26 6.64007e+06 426972 500653. 1732.36 1.31 0.134485 0.118824 21970 115934 -1 2164 23 1135 2044 171090 36176 2.79697 2.79697 -109.313 -2.79697 0 0 612192. 2118.31 0.32 0.09 0.14 -1 -1 0.32 0.0298042 0.0263972 140 -1 115 31 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 5.05 vpr 64.90 MiB 0.03 7088 -1 -1 1 0.04 -1 -1 33748 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 31 32 338 292 1 147 80 17 17 289 -1 unnamed_device 26.1 MiB 0.18 810 6100 1410 3634 1056 64.9 MiB 0.07 0.00 2.69519 -86.3861 -2.69519 2.69519 1.20 0.000594929 0.000536218 0.0224326 0.0203088 32 1819 18 6.64007e+06 213486 554710. 1919.41 1.14 0.095338 0.0835401 22834 132086 -1 1609 17 841 1336 87182 20657 2.83597 2.83597 -104.273 -2.83597 0 0 701300. 2426.64 0.36 0.06 0.17 -1 -1 0.36 0.0251657 0.0225073 106 81 0 0 84 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 5.13 vpr 64.74 MiB 0.03 6896 -1 -1 1 0.04 -1 -1 33656 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 32 32 314 256 1 162 81 17 17 289 -1 unnamed_device 26.0 MiB 0.18 945 13731 4635 7137 1959 64.7 MiB 0.14 0.00 2.7849 -102.339 -2.7849 2.7849 1.17 0.000544758 0.000482676 0.0452069 0.0408802 32 2238 21 6.64007e+06 213486 554710. 1919.41 1.18 0.118157 0.103841 22834 132086 -1 1953 21 1437 2229 169999 37392 2.96097 2.96097 -122.185 -2.96097 0 0 701300. 2426.64 0.35 0.08 0.17 -1 -1 0.35 0.0273155 0.0242036 121 31 64 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.54 vpr 64.96 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33540 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 30 32 325 273 1 151 80 17 17 289 -1 unnamed_device 26.2 MiB 0.16 665 9884 2798 5725 1361 65.0 MiB 0.09 0.00 2.80139 -88.6898 -2.80139 2.80139 1.09 0.000493225 0.000445553 0.0314906 0.0285616 28 1595 20 6.64007e+06 226044 500653. 1732.36 1.00 0.105948 0.0933521 21970 115934 -1 1371 17 994 1428 77507 19966 2.88697 2.88697 -106.751 -2.88697 0 0 612192. 2118.31 0.29 0.05 0.13 -1 -1 0.29 0.0232492 0.0207929 110 58 30 30 60 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 5.09 vpr 64.88 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 33844 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 26.1 MiB 0.12 899 15003 4027 9024 1952 64.9 MiB 0.14 0.00 2.6877 -93.3875 -2.6877 2.6877 1.19 0.000561595 0.000505497 0.0430942 0.0389666 32 2024 19 6.64007e+06 364182 554710. 1919.41 1.17 0.116799 0.102903 22834 132086 -1 1783 21 1189 1896 139314 30801 2.79677 2.79677 -110.269 -2.79677 0 0 701300. 2426.64 0.35 0.07 0.17 -1 -1 0.35 0.0263662 0.0233115 114 57 25 25 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 5.21 vpr 65.28 MiB 0.03 7060 -1 -1 1 0.04 -1 -1 34028 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 386 305 1 188 98 17 17 289 -1 unnamed_device 26.6 MiB 0.23 841 17423 5563 8405 3455 65.3 MiB 0.16 0.00 2.8299 -97.2128 -2.8299 2.8299 1.16 0.000590249 0.000533246 0.0497959 0.0449672 32 2494 25 6.64007e+06 426972 554710. 1919.41 1.24 0.143028 0.12614 22834 132086 -1 1940 22 1747 2921 183627 44360 3.02797 3.02797 -119.486 -3.02797 0 0 701300. 2426.64 0.35 0.09 0.15 -1 -1 0.35 0.0318953 0.0281636 145 55 64 32 57 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 5.37 vpr 65.03 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 34172 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 407 319 1 200 100 17 17 289 -1 unnamed_device 26.4 MiB 0.17 1027 16804 4495 9925 2384 65.0 MiB 0.20 0.00 3.38416 -118.804 -3.38416 3.38416 1.20 0.000691494 0.000625177 0.0689265 0.0634293 32 2546 24 6.64007e+06 452088 554710. 1919.41 1.28 0.168912 0.150791 22834 132086 -1 2191 22 1972 3058 223228 47903 3.65443 3.65443 -141.612 -3.65443 0 0 701300. 2426.64 0.35 0.11 0.17 -1 -1 0.35 0.0369425 0.0327141 158 60 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.67 vpr 64.41 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 34016 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 29 32 272 228 1 145 80 17 17 289 -1 unnamed_device 25.9 MiB 0.14 829 13668 4281 7724 1663 64.4 MiB 0.11 0.00 2.7049 -86.3628 -2.7049 2.7049 1.11 0.000427176 0.000388527 0.0370752 0.0336303 32 1792 20 6.64007e+06 238602 554710. 1919.41 1.06 0.0983588 0.0867128 22834 132086 -1 1664 19 1052 1743 127654 29269 2.83297 2.83297 -104.49 -2.83297 0 0 701300. 2426.64 0.33 0.06 0.14 -1 -1 0.33 0.0220203 0.0196063 108 21 58 29 24 24 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.20 vpr 65.15 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 34036 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 401 315 1 192 86 17 17 289 -1 unnamed_device 26.4 MiB 0.20 1121 14261 4528 7474 2259 65.1 MiB 0.15 0.00 2.7929 -101.487 -2.7929 2.7929 1.16 0.000604082 0.000547246 0.0504048 0.0457867 32 2646 19 6.64007e+06 276276 554710. 1919.41 1.21 0.144907 0.128159 22834 132086 -1 2264 21 1862 3207 222275 48848 3.06817 3.06817 -124.216 -3.06817 0 0 701300. 2426.64 0.35 0.10 0.17 -1 -1 0.35 0.035019 0.0310909 147 60 64 32 62 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 6.16 vpr 65.11 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 33920 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 383 303 1 185 100 17 17 289 -1 unnamed_device 26.4 MiB 0.19 975 17500 5427 9602 2471 65.1 MiB 0.17 0.00 2.9051 -104.077 -2.9051 2.9051 1.20 0.000643965 0.000581108 0.0517146 0.0466776 32 2395 29 6.64007e+06 452088 554710. 1919.41 2.12 0.213877 0.187555 22834 132086 -1 2117 20 1564 2211 177851 40842 2.87877 2.87877 -119.929 -2.87877 0 0 701300. 2426.64 0.34 0.09 0.16 -1 -1 0.34 0.0311744 0.0276213 144 54 64 32 56 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 5.17 vpr 64.95 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 33412 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 339 284 1 162 95 17 17 289 -1 unnamed_device 26.4 MiB 0.16 768 12407 4011 5872 2524 64.9 MiB 0.12 0.00 2.29764 -80.8776 -2.29764 2.29764 1.19 0.000597125 0.000533893 0.036424 0.0327387 32 2004 25 6.64007e+06 389298 554710. 1919.41 1.21 0.120373 0.105585 22834 132086 -1 1621 20 1140 1764 120955 32176 2.27071 2.27071 -95.0399 -2.27071 0 0 701300. 2426.64 0.36 0.07 0.17 -1 -1 0.36 0.0280817 0.0250004 119 62 29 29 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.85 vpr 64.23 MiB 0.03 6824 -1 -1 1 0.04 -1 -1 33888 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 25.8 MiB 0.05 554 7738 1823 4997 918 64.2 MiB 0.07 0.00 2.36284 -73.7482 -2.36284 2.36284 1.21 0.000409517 0.000370877 0.0215281 0.0195213 32 1367 19 6.64007e+06 188370 554710. 1919.41 1.08 0.0736907 0.064356 22834 132086 -1 1218 20 707 1017 78859 18932 2.01031 2.01031 -80.4168 -2.01031 0 0 701300. 2426.64 0.34 0.05 0.17 -1 -1 0.34 0.0193708 0.0170275 85 29 24 24 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 5.07 vpr 64.84 MiB 0.03 7036 -1 -1 1 0.04 -1 -1 34116 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 31 32 335 280 1 154 80 17 17 289 -1 unnamed_device 26.1 MiB 0.15 657 12636 5260 6585 791 64.8 MiB 0.12 0.00 3.41785 -99.3078 -3.41785 3.41785 1.19 0.000549596 0.000493887 0.0431384 0.0389734 32 1959 24 6.64007e+06 213486 554710. 1919.41 1.16 0.118802 0.104405 22834 132086 -1 1549 18 819 1191 84305 20855 3.48063 3.48063 -120.239 -3.48063 0 0 701300. 2426.64 0.35 0.06 0.17 -1 -1 0.35 0.0258894 0.0231307 113 55 31 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 8.98 vpr 64.96 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33868 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 366 283 1 192 100 17 17 289 -1 unnamed_device 26.3 MiB 0.12 843 17732 4593 8777 4362 65.0 MiB 0.16 0.00 3.36336 -109.359 -3.36336 3.36336 1.19 0.000633156 0.000572633 0.0520585 0.0471844 36 2115 38 6.64007e+06 452088 612192. 2118.31 4.96 0.302704 0.265127 23410 145293 -1 1657 17 1364 2036 128860 33064 3.49843 3.49843 -128.051 -3.49843 0 0 782063. 2706.10 0.38 0.08 0.18 -1 -1 0.38 0.0308854 0.0278126 147 31 91 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.98 vpr 64.94 MiB 0.03 7288 -1 -1 1 0.04 -1 -1 34028 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 460 375 1 196 102 17 17 289 -1 unnamed_device 26.6 MiB 0.25 953 11288 2784 7344 1160 64.9 MiB 0.12 0.00 3.06225 -100.942 -3.06225 3.06225 1.11 0.0007711 0.000706453 0.0367602 0.0333585 32 2810 22 6.64007e+06 477204 554710. 1919.41 1.16 0.131872 0.116154 22834 132086 -1 2128 19 1386 2112 137353 32329 3.57743 3.57743 -125.52 -3.57743 0 0 701300. 2426.64 0.33 0.08 0.14 -1 -1 0.33 0.0330446 0.0293419 150 108 0 0 125 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.90 vpr 64.03 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 34316 -1 -1 17 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65564 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 25.7 MiB 0.11 586 11293 3389 6712 1192 64.0 MiB 0.09 0.00 2.13964 -62.899 -2.13964 2.13964 1.22 0.000371167 0.000335347 0.0289531 0.0262008 32 1234 21 6.64007e+06 213486 554710. 1919.41 1.09 0.0772489 0.0677122 22834 132086 -1 1181 18 508 835 61407 13598 1.90191 1.90191 -71.6229 -1.90191 0 0 701300. 2426.64 0.35 0.04 0.17 -1 -1 0.35 0.0161179 0.0142517 77 21 26 26 22 22 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.96 vpr 64.93 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33708 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 333 251 1 187 86 17 17 289 -1 unnamed_device 26.4 MiB 0.08 1193 11993 3448 7342 1203 64.9 MiB 0.13 0.00 3.76596 -121.69 -3.76596 3.76596 1.15 0.000678006 0.000612748 0.040517 0.0368639 32 2522 21 6.64007e+06 276276 554710. 1919.41 1.18 0.123661 0.109332 22834 132086 -1 2322 21 1655 2906 203760 45060 4.12223 4.12223 -144.67 -4.12223 0 0 701300. 2426.64 0.34 0.09 0.15 -1 -1 0.34 0.0307202 0.0273596 138 -1 122 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.92 vpr 64.02 MiB 0.02 6728 -1 -1 1 0.03 -1 -1 33740 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65556 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 25.6 MiB 0.05 775 11650 3871 6221 1558 64.0 MiB 0.10 0.00 1.86653 -70.0919 -1.86653 1.86653 1.23 0.000401888 0.000362718 0.0317925 0.0288403 32 1474 16 6.64007e+06 163254 554710. 1919.41 1.12 0.0807301 0.0712007 22834 132086 -1 1338 21 685 927 69510 15369 2.11251 2.11251 -87.4505 -2.11251 0 0 701300. 2426.64 0.35 0.05 0.17 -1 -1 0.35 0.0193048 0.0171055 81 -1 53 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.92 vpr 65.41 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 34108 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 26.7 MiB 0.09 999 20391 7010 10345 3036 65.4 MiB 0.19 0.00 3.44536 -118.941 -3.44536 3.44536 1.12 0.000596032 0.000543899 0.0573444 0.0522032 32 2463 25 6.64007e+06 439530 554710. 1919.41 1.17 0.152049 0.135414 22834 132086 -1 2114 22 2002 3142 230381 51763 3.77163 3.77163 -140.109 -3.77163 0 0 701300. 2426.64 0.33 0.11 0.14 -1 -1 0.33 0.0360542 0.0323793 153 21 96 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 5.43 vpr 65.10 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 34012 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 337 253 1 196 101 17 17 289 -1 unnamed_device 26.4 MiB 0.10 1024 10676 2621 7554 501 65.1 MiB 0.12 0.00 2.8691 -100.143 -2.8691 2.8691 1.17 0.00063631 0.000577759 0.0297211 0.0270077 26 2728 37 6.64007e+06 464646 477104. 1650.88 1.69 0.148832 0.131644 21682 110474 -1 2197 21 1504 2415 164840 39112 3.00797 3.00797 -120.354 -3.00797 0 0 585099. 2024.56 0.29 0.09 0.13 -1 -1 0.29 0.0314414 0.0279528 152 -1 124 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 5.44 vpr 65.32 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34220 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 26.7 MiB 0.10 985 13261 3795 8080 1386 65.3 MiB 0.15 0.00 3.43916 -114.862 -3.43916 3.43916 1.20 0.000793378 0.000725405 0.0425189 0.0384487 32 2723 24 6.64007e+06 464646 554710. 1919.41 1.38 0.14213 0.125615 22834 132086 -1 2295 22 1971 3431 265106 58320 4.09603 4.09603 -145.511 -4.09603 0 0 701300. 2426.64 0.35 0.12 0.17 -1 -1 0.35 0.0382554 0.0340313 155 54 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.94 vpr 64.65 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 33648 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 294 246 1 149 80 17 17 289 -1 unnamed_device 26.1 MiB 0.08 825 6616 1872 3777 967 64.6 MiB 0.07 0.00 2.45379 -87.7356 -2.45379 2.45379 1.17 0.000492768 0.000445942 0.0225725 0.0205029 32 1929 20 6.64007e+06 200928 554710. 1919.41 1.17 0.0935342 0.0817733 22834 132086 -1 1743 20 1118 1853 133486 29727 2.76097 2.76097 -106.36 -2.76097 0 0 701300. 2426.64 0.35 0.08 0.17 -1 -1 0.35 0.0252516 0.0223136 107 31 54 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.99 vpr 64.43 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 33840 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 25.9 MiB 0.10 830 12681 3760 7170 1751 64.4 MiB 0.12 0.00 2.7739 -94.2438 -2.7739 2.7739 1.17 0.000506686 0.000451579 0.0410037 0.0371273 32 1863 21 6.64007e+06 238602 554710. 1919.41 1.16 0.10867 0.0955246 22834 132086 -1 1622 21 1208 1748 119657 27197 2.90177 2.90177 -113.404 -2.90177 0 0 701300. 2426.64 0.35 0.07 0.16 -1 -1 0.35 0.027052 0.0238855 115 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.59 vpr 64.60 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33968 -1 -1 20 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 26.0 MiB 0.10 733 10056 2641 5787 1628 64.6 MiB 0.09 0.00 2.7097 -84.1332 -2.7097 2.7097 1.08 0.000451444 0.000409947 0.0283876 0.025825 32 1878 21 6.64007e+06 251160 554710. 1919.41 1.06 0.0915066 0.0805385 22834 132086 -1 1651 20 1174 1959 130464 30774 2.82597 2.82597 -102.003 -2.82597 0 0 701300. 2426.64 0.33 0.07 0.14 -1 -1 0.33 0.0256953 0.0229381 107 27 56 28 28 28 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 5.09 vpr 64.72 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 33552 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 25.9 MiB 0.10 818 10940 3479 6415 1046 64.7 MiB 0.11 0.00 2.8039 -97.5727 -2.8039 2.8039 1.21 0.000514076 0.00046591 0.0338199 0.0306778 32 2056 20 6.64007e+06 226044 554710. 1919.41 1.20 0.10876 0.0961587 22834 132086 -1 1784 17 1319 2073 135978 32156 3.29837 3.29837 -126.164 -3.29837 0 0 701300. 2426.64 0.34 0.07 0.17 -1 -1 0.34 0.0237439 0.0212492 125 -1 96 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 5.05 vpr 65.12 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 34016 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 26.5 MiB 0.07 869 16495 4995 9142 2358 65.1 MiB 0.15 0.00 2.7427 -95.3065 -2.7427 2.7427 1.18 0.000526619 0.000469069 0.0431726 0.0391126 32 2063 22 6.64007e+06 389298 554710. 1919.41 1.17 0.11603 0.102251 22834 132086 -1 1752 19 1235 1885 120378 28755 2.80297 2.80297 -112.08 -2.80297 0 0 701300. 2426.64 0.36 0.07 0.17 -1 -1 0.36 0.0249206 0.0221507 119 26 61 31 31 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.78 vpr 64.54 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33976 -1 -1 31 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 29 32 312 264 1 154 92 17 17 289 -1 unnamed_device 25.9 MiB 0.15 764 10442 2334 7462 646 64.5 MiB 0.10 0.00 2.24264 -73.5213 -2.24264 2.24264 1.15 0.00052189 0.00046952 0.0284158 0.0256231 30 1546 21 6.64007e+06 389298 526063. 1820.29 1.06 0.0951212 0.0830398 22546 126617 -1 1362 21 1056 1829 87954 21066 1.99731 1.99731 -81.7672 -1.99731 0 0 666494. 2306.21 0.34 0.06 0.14 -1 -1 0.34 0.0248909 0.021922 110 55 29 29 57 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 5.35 vpr 65.15 MiB 0.03 7320 -1 -1 1 0.04 -1 -1 34112 -1 -1 41 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 423 310 1 229 105 17 17 289 -1 unnamed_device 27.0 MiB 0.21 1295 11467 2941 7739 787 65.1 MiB 0.14 0.00 3.41716 -121.564 -3.41716 3.41716 1.17 0.000768753 0.000701968 0.0393793 0.0358814 32 2994 21 6.64007e+06 514878 554710. 1919.41 1.28 0.142471 0.126098 22834 132086 -1 2564 21 1964 3249 216873 47162 3.79883 3.79883 -144.875 -3.79883 0 0 701300. 2426.64 0.34 0.11 0.17 -1 -1 0.34 0.0380805 0.0339845 181 26 128 32 27 27 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 5.36 vpr 65.43 MiB 0.03 7172 -1 -1 1 0.04 -1 -1 33700 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 403 317 1 198 101 17 17 289 -1 unnamed_device 26.8 MiB 0.18 947 16551 4738 8951 2862 65.4 MiB 0.18 0.00 2.8801 -101.513 -2.8801 2.8801 1.19 0.000699062 0.000636155 0.0658199 0.0607623 32 2253 22 6.64007e+06 464646 554710. 1919.41 1.25 0.16166 0.144385 22834 132086 -1 1911 19 1812 2786 171112 39814 3.02137 3.02137 -118.834 -3.02137 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0313493 0.0280016 154 62 62 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.98 vpr 65.02 MiB 0.03 7004 -1 -1 1 0.04 -1 -1 34132 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 31 32 353 302 1 156 92 17 17 289 -1 unnamed_device 26.3 MiB 0.22 731 8579 1945 6283 351 65.0 MiB 0.09 0.00 2.9621 -91.1584 -2.9621 2.9621 1.10 0.000531925 0.000478028 0.0265683 0.0241513 26 2094 27 6.64007e+06 364182 477104. 1650.88 1.38 0.121176 0.106946 21682 110474 -1 1700 21 1197 1832 123715 29503 2.79677 2.79677 -107.911 -2.79677 0 0 585099. 2024.56 0.28 0.07 0.12 -1 -1 0.28 0.0262662 0.0231045 114 77 0 0 89 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 5.10 vpr 64.96 MiB 0.03 7464 -1 -1 1 0.04 -1 -1 33984 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 31 32 391 309 1 194 87 17 17 289 -1 unnamed_device 26.2 MiB 0.16 1074 13335 4173 6672 2490 65.0 MiB 0.14 0.00 2.9211 -98.485 -2.9211 2.9211 1.17 0.000625511 0.000567179 0.0456253 0.0412743 32 2524 21 6.64007e+06 301392 554710. 1919.41 1.20 0.14176 0.125145 22834 132086 -1 2192 22 1776 2978 208080 47408 3.10937 3.10937 -119.497 -3.10937 0 0 701300. 2426.64 0.35 0.10 0.15 -1 -1 0.35 0.0351119 0.0311397 149 59 60 30 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 5.65 vpr 65.15 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34032 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 31 32 455 371 1 193 86 17 17 289 -1 unnamed_device 26.6 MiB 0.45 1075 10859 2964 6681 1214 65.2 MiB 0.14 0.00 4.21121 -122.888 -4.21121 4.21121 1.19 0.000758712 0.000689493 0.0455159 0.0413119 28 2709 17 6.64007e+06 288834 500653. 1732.36 1.37 0.146242 0.129678 21970 115934 -1 2260 19 1066 1801 130784 28606 4.27508 4.27508 -145.723 -4.27508 0 0 612192. 2118.31 0.30 0.08 0.14 -1 -1 0.30 0.0345274 0.0308671 150 111 0 0 124 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.88 vpr 65.31 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 34036 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 31 32 413 333 1 188 86 17 17 289 -1 unnamed_device 26.6 MiB 0.22 1015 12749 3873 7799 1077 65.3 MiB 0.13 0.00 4.04401 -114.423 -4.04401 4.04401 1.09 0.000640393 0.000581052 0.0455967 0.0412591 32 2358 22 6.64007e+06 288834 554710. 1919.41 1.09 0.130405 0.114816 22834 132086 -1 2142 18 1309 2219 163435 37275 3.88948 3.88948 -135.886 -3.88948 0 0 701300. 2426.64 0.33 0.08 0.14 -1 -1 0.33 0.0304564 0.0272107 144 86 31 31 89 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 5.24 vpr 65.16 MiB 0.03 7396 -1 -1 1 0.05 -1 -1 33932 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 31 32 391 309 1 193 98 17 17 289 -1 unnamed_device 26.5 MiB 0.16 877 12473 3169 8349 955 65.2 MiB 0.14 0.00 2.7859 -92.6822 -2.7859 2.7859 1.17 0.000782583 0.00068991 0.0407831 0.0367239 32 2412 24 6.64007e+06 439530 554710. 1919.41 1.22 0.135965 0.119578 22834 132086 -1 1995 21 1675 2837 164977 40757 2.81077 2.81077 -111.994 -2.81077 0 0 701300. 2426.64 0.35 0.10 0.16 -1 -1 0.35 0.0345899 0.0305799 148 58 60 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 5.22 vpr 65.42 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 33796 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66988 32 32 407 319 1 198 101 17 17 289 -1 unnamed_device 26.8 MiB 0.13 1110 15846 4422 9952 1472 65.4 MiB 0.17 0.00 3.31896 -119.55 -3.31896 3.31896 1.17 0.000659359 0.000594441 0.0463925 0.0418273 28 2647 20 6.64007e+06 464646 500653. 1732.36 1.26 0.134589 0.11849 21970 115934 -1 2333 21 1824 3009 230758 49179 3.62923 3.62923 -145.95 -3.62923 0 0 612192. 2118.31 0.32 0.11 0.14 -1 -1 0.32 0.0356603 0.0318438 156 42 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.46 vpr 65.43 MiB 0.03 7580 -1 -1 1 0.04 -1 -1 34076 -1 -1 42 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 496 380 1 232 106 17 17 289 -1 unnamed_device 27.2 MiB 0.22 1269 15106 3945 9674 1487 65.4 MiB 0.21 0.00 3.57956 -123.56 -3.57956 3.57956 1.18 0.00100357 0.000918386 0.0561641 0.0510317 30 2877 21 6.64007e+06 527436 526063. 1820.29 1.27 0.169362 0.150183 22546 126617 -1 2545 18 1782 2992 162809 37821 3.62743 3.62743 -141.736 -3.62743 0 0 666494. 2306.21 0.34 0.09 0.16 -1 -1 0.34 0.0363546 0.0325406 186 91 62 32 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.92 vpr 64.96 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 33880 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 26.1 MiB 0.11 740 13906 5010 6411 2485 65.0 MiB 0.12 0.00 3.0453 -97.4397 -3.0453 3.0453 1.17 0.000446848 0.000404686 0.0415428 0.0375533 32 1937 22 6.64007e+06 226044 554710. 1919.41 1.12 0.109115 0.0959939 22834 132086 -1 1602 22 1413 2244 162445 37533 3.09037 3.09037 -114.544 -3.09037 0 0 701300. 2426.64 0.35 0.08 0.15 -1 -1 0.35 0.0260432 0.0230459 116 24 62 31 31 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 6.45 vpr 65.52 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 34196 -1 -1 38 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67096 31 32 395 311 1 196 101 17 17 289 -1 unnamed_device 26.7 MiB 0.17 1032 18666 7089 9583 1994 65.5 MiB 0.19 0.00 3.54836 -117.608 -3.54836 3.54836 1.20 0.000652334 0.00059117 0.0560613 0.050843 28 2925 23 6.64007e+06 477204 500653. 1732.36 2.37 0.159352 0.141454 21970 115934 -1 2256 19 1726 2941 213959 47770 3.76882 3.76882 -140.141 -3.76882 0 0 612192. 2118.31 0.31 0.10 0.14 -1 -1 0.31 0.029886 0.0265867 152 59 62 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 5.32 vpr 65.48 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 33776 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 397 313 1 196 98 17 17 289 -1 unnamed_device 26.6 MiB 0.14 1057 8423 1813 5699 911 65.5 MiB 0.11 0.00 3.0713 -99.7452 -3.0713 3.0713 1.20 0.000886842 0.000806423 0.0303723 0.0276981 28 2590 22 6.64007e+06 426972 500653. 1732.36 1.39 0.12906 0.114372 21970 115934 -1 2218 19 1099 2007 123844 31345 3.03697 3.03697 -118.373 -3.03697 0 0 612192. 2118.31 0.30 0.08 0.15 -1 -1 0.30 0.0306018 0.0272276 149 54 62 32 62 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.94 vpr 65.12 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 33692 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 345 257 1 194 86 17 17 289 -1 unnamed_device 26.6 MiB 0.09 1130 16340 5772 8458 2110 65.1 MiB 0.18 0.00 3.30796 -118.295 -3.30796 3.30796 1.13 0.00057998 0.000516923 0.0548095 0.0498799 32 2775 20 6.64007e+06 276276 554710. 1919.41 1.20 0.144092 0.128394 22834 132086 -1 2345 21 1998 3529 227400 51988 3.60123 3.60123 -143.817 -3.60123 0 0 701300. 2426.64 0.33 0.09 0.15 -1 -1 0.33 0.0280256 0.0249293 151 -1 128 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.58 vpr 65.17 MiB 0.03 7180 -1 -1 1 0.04 -1 -1 34092 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 424 343 1 190 99 17 17 289 -1 unnamed_device 26.7 MiB 0.23 1064 17199 4613 10367 2219 65.2 MiB 0.21 0.00 2.7537 -100.272 -2.7537 2.7537 1.26 0.000783114 0.000712571 0.0667324 0.0609367 28 2417 20 6.64007e+06 439530 500653. 1732.36 1.41 0.168681 0.150349 21970 115934 -1 2103 19 1328 2041 139207 31307 2.82057 2.82057 -116.224 -2.82057 0 0 612192. 2118.31 0.31 0.08 0.15 -1 -1 0.31 0.0310647 0.0276124 146 81 25 25 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 5.51 vpr 65.15 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 33596 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 395 311 1 194 101 17 17 289 -1 unnamed_device 26.3 MiB 0.20 1022 13496 3550 8351 1595 65.2 MiB 0.14 0.00 2.7537 -99.671 -2.7537 2.7537 1.17 0.000734889 0.000667768 0.040441 0.0366498 26 2774 35 6.64007e+06 464646 477104. 1650.88 1.63 0.171347 0.152154 21682 110474 -1 2237 21 1411 2373 173643 37113 3.13537 3.13537 -122.433 -3.13537 0 0 585099. 2024.56 0.30 0.09 0.13 -1 -1 0.30 0.0324386 0.0287288 148 58 64 32 60 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 5.62 vpr 65.20 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 33688 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 405 318 1 200 103 17 17 289 -1 unnamed_device 26.6 MiB 0.17 971 20106 6523 10087 3496 65.2 MiB 0.22 0.00 2.9343 -100.466 -2.9343 2.9343 1.21 0.00071597 0.000649316 0.0636029 0.0575662 28 2933 24 6.64007e+06 489762 500653. 1732.36 1.54 0.170828 0.151766 21970 115934 -1 2212 19 1692 2680 186599 43391 3.23437 3.23437 -122.539 -3.23437 0 0 612192. 2118.31 0.33 0.10 0.14 -1 -1 0.33 0.0354195 0.0317356 157 61 63 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 5.37 vpr 65.30 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 33900 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 376 288 1 194 101 17 17 289 -1 unnamed_device 26.6 MiB 0.09 1090 20076 6356 11046 2674 65.3 MiB 0.21 0.00 3.37316 -119.769 -3.37316 3.37316 1.21 0.000658114 0.000596781 0.0615143 0.0559631 32 2498 21 6.64007e+06 464646 554710. 1919.41 1.26 0.153551 0.136337 22834 132086 -1 2119 23 1865 2996 218590 46479 3.69143 3.69143 -141.438 -3.69143 0 0 701300. 2426.64 0.35 0.12 0.17 -1 -1 0.35 0.0370287 0.0327557 152 21 96 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.48 vpr 65.20 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 33916 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 407 319 1 197 103 17 17 289 -1 unnamed_device 26.7 MiB 0.14 1005 11671 2780 7949 942 65.2 MiB 0.12 0.00 3.41536 -117.125 -3.41536 3.41536 1.11 0.000825769 0.000762632 0.0351135 0.0319167 26 2721 28 6.64007e+06 489762 477104. 1650.88 1.82 0.153736 0.136768 21682 110474 -1 2252 19 1749 2877 202263 46025 3.64143 3.64143 -143.207 -3.64143 0 0 585099. 2024.56 0.28 0.10 0.12 -1 -1 0.28 0.0338101 0.0301976 155 50 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.47 vpr 65.11 MiB 0.03 7544 -1 -1 1 0.04 -1 -1 34188 -1 -1 36 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 31 32 449 367 1 193 99 17 17 289 -1 unnamed_device 26.4 MiB 0.25 1132 11727 2897 7809 1021 65.1 MiB 0.13 0.00 3.83395 -115.186 -3.83395 3.83395 1.16 0.000676555 0.000610788 0.0390393 0.035375 26 2976 24 6.64007e+06 452088 477104. 1650.88 1.59 0.154681 0.136892 21682 110474 -1 2452 20 1581 2728 202649 44832 3.73663 3.73663 -138.352 -3.73663 0 0 585099. 2024.56 0.29 0.10 0.12 -1 -1 0.29 0.035397 0.0313987 147 110 0 0 122 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.33 vpr 65.34 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 33836 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 32 32 432 346 1 195 86 17 17 289 -1 unnamed_device 26.8 MiB 0.18 1052 15773 5242 8402 2129 65.3 MiB 0.18 0.00 3.56755 -112.832 -3.56755 3.56755 1.19 0.000672396 0.00060441 0.0592313 0.0534066 32 2657 22 6.64007e+06 276276 554710. 1919.41 1.26 0.153467 0.135059 22834 132086 -1 2267 19 1661 2966 184267 42860 3.58043 3.58043 -134.542 -3.58043 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0313021 0.0277428 151 86 32 32 94 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 5.84 vpr 65.09 MiB 0.03 6872 -1 -1 1 0.04 -1 -1 34212 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 312 255 1 166 95 17 17 289 -1 unnamed_device 26.2 MiB 0.08 776 8951 1850 6701 400 65.1 MiB 0.10 0.00 2.7537 -96.7128 -2.7537 2.7537 1.23 0.000546311 0.000492844 0.0253025 0.0228984 28 2381 24 6.64007e+06 389298 500653. 1732.36 1.99 0.112965 0.0997603 21970 115934 -1 1964 21 1234 1861 158732 36106 3.08217 3.08217 -119.797 -3.08217 0 0 612192. 2118.31 0.31 0.09 0.14 -1 -1 0.31 0.0289605 0.0256877 125 20 63 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 5.21 vpr 64.94 MiB 0.03 7060 -1 -1 1 0.04 -1 -1 33876 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 26.3 MiB 0.22 956 11474 3199 7255 1020 64.9 MiB 0.13 0.00 2.7819 -101.672 -2.7819 2.7819 1.19 0.000600221 0.000543703 0.0420573 0.0381388 32 2124 20 6.64007e+06 226044 554710. 1919.41 1.20 0.125914 0.111093 22834 132086 -1 1977 22 1335 2140 157724 34381 3.01817 3.01817 -122.323 -3.01817 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0333617 0.0295761 121 91 0 0 94 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 6.48 vpr 65.36 MiB 0.03 7516 -1 -1 1 0.04 -1 -1 34212 -1 -1 42 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66928 32 32 469 351 1 233 106 17 17 289 -1 unnamed_device 27.1 MiB 0.14 1369 19606 6011 11185 2410 65.4 MiB 0.25 0.00 4.14482 -142.34 -4.14482 4.14482 1.20 0.000807499 0.000731157 0.0694128 0.063015 28 3855 27 6.64007e+06 527436 500653. 1732.36 2.35 0.194823 0.173464 21970 115934 -1 2991 23 2447 4018 303157 70218 4.95189 4.95189 -179.387 -4.95189 0 0 612192. 2118.31 0.31 0.14 0.15 -1 -1 0.31 0.0434117 0.0388303 189 53 96 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 5.20 vpr 64.88 MiB 0.03 7152 -1 -1 1 0.05 -1 -1 34004 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 368 284 1 194 97 17 17 289 -1 unnamed_device 26.2 MiB 0.15 862 16747 4896 9029 2822 64.9 MiB 0.18 0.00 2.8911 -98.8384 -2.8911 2.8911 1.18 0.000629391 0.000567449 0.0525747 0.0473002 32 2183 24 6.64007e+06 414414 554710. 1919.41 1.21 0.146946 0.12953 22834 132086 -1 1770 18 1334 1887 118261 29317 3.17757 3.17757 -115.573 -3.17757 0 0 701300. 2426.64 0.34 0.06 0.17 -1 -1 0.34 0.0258527 0.0230414 148 31 92 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.98 vpr 64.98 MiB 0.03 7176 -1 -1 1 0.04 -1 -1 33944 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 30 32 296 244 1 158 93 17 17 289 -1 unnamed_device 26.1 MiB 0.09 709 7023 1303 5326 394 65.0 MiB 0.08 0.00 2.7317 -88.2741 -2.7317 2.7317 1.16 0.000515818 0.000467153 0.0198175 0.0179507 26 1945 25 6.64007e+06 389298 477104. 1650.88 1.31 0.101122 0.089051 21682 110474 -1 1766 19 1268 1918 121832 29091 3.03897 3.03897 -114.356 -3.03897 0 0 585099. 2024.56 0.30 0.07 0.13 -1 -1 0.30 0.0238431 0.0211547 116 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 5.98 vpr 65.47 MiB 0.03 7540 -1 -1 1 0.05 -1 -1 34460 -1 -1 45 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 32 32 531 413 1 232 109 17 17 289 -1 unnamed_device 27.2 MiB 0.36 1374 12849 2997 8676 1176 65.5 MiB 0.15 0.00 3.89342 -135.958 -3.89342 3.89342 1.09 0.000741807 0.000677396 0.0421074 0.0381019 26 3500 35 6.64007e+06 565110 477104. 1650.88 1.96 0.194753 0.172842 21682 110474 -1 2820 20 2118 3440 243689 52722 4.79369 4.79369 -176.182 -4.79369 0 0 585099. 2024.56 0.28 0.12 0.12 -1 -1 0.28 0.0431711 0.0386273 188 109 32 32 128 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.96 vpr 65.12 MiB 0.03 7060 -1 -1 1 0.04 -1 -1 33428 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 376 288 1 194 102 17 17 289 -1 unnamed_device 26.4 MiB 0.16 929 11526 2669 8210 647 65.1 MiB 0.12 0.00 3.41536 -118.083 -3.41536 3.41536 1.08 0.00065474 0.000582949 0.0328441 0.0297311 30 2302 21 6.64007e+06 477204 526063. 1820.29 1.14 0.125989 0.111305 22546 126617 -1 1857 21 1525 2249 117913 28690 3.65443 3.65443 -141.553 -3.65443 0 0 666494. 2306.21 0.33 0.08 0.16 -1 -1 0.33 0.0320352 0.0283269 153 31 96 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 5.43 vpr 64.59 MiB 0.02 6876 -1 -1 1 0.04 -1 -1 33748 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 283 225 1 164 96 17 17 289 -1 unnamed_device 26.2 MiB 0.10 792 12579 3290 8680 609 64.6 MiB 0.13 0.00 2.7647 -98.1605 -2.7647 2.7647 1.20 0.000523588 0.00047874 0.0325395 0.0294824 26 2427 40 6.64007e+06 401856 477104. 1650.88 1.58 0.128976 0.113627 21682 110474 -1 1946 24 1322 2135 166988 37092 2.98537 2.98537 -121.825 -2.98537 0 0 585099. 2024.56 0.30 0.09 0.14 -1 -1 0.30 0.0279621 0.0247503 124 -1 96 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.65 vpr 65.54 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34292 -1 -1 43 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67116 32 32 438 320 1 235 107 17 17 289 -1 unnamed_device 27.3 MiB 0.16 1332 16299 4383 10515 1401 65.5 MiB 0.19 0.00 3.99342 -139.136 -3.99342 3.99342 1.18 0.000727734 0.000655828 0.0501617 0.0452764 28 3422 21 6.64007e+06 539994 500653. 1732.36 1.63 0.152235 0.134382 21970 115934 -1 2971 21 2404 4274 322334 68735 4.77649 4.77649 -175.521 -4.77649 0 0 612192. 2118.31 0.29 0.13 0.13 -1 -1 0.29 0.0394 0.0352428 190 26 128 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.82 vpr 64.57 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 34024 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 32 32 283 225 1 162 81 17 17 289 -1 unnamed_device 26.0 MiB 0.11 854 11981 4008 6145 1828 64.6 MiB 0.11 0.00 2.7849 -100.479 -2.7849 2.7849 1.10 0.000482234 0.000435478 0.0363155 0.0329386 32 2041 24 6.64007e+06 213486 554710. 1919.41 1.11 0.108719 0.0960695 22834 132086 -1 1803 20 1450 2350 177561 39705 2.99897 2.99897 -122.082 -2.99897 0 0 701300. 2426.64 0.36 0.09 0.17 -1 -1 0.36 0.0266131 0.0237544 121 -1 96 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 5.29 vpr 64.79 MiB 0.03 7020 -1 -1 1 0.04 -1 -1 33664 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 30 32 296 244 1 157 94 17 17 289 -1 unnamed_device 26.2 MiB 0.15 694 12022 3074 7474 1474 64.8 MiB 0.10 0.00 2.8189 -90.3374 -2.8189 2.8189 1.21 0.000531885 0.000481388 0.0317788 0.0287626 28 2090 26 6.64007e+06 401856 500653. 1732.36 1.43 0.112845 0.0993923 21970 115934 -1 1667 16 854 1473 106330 25810 3.08417 3.08417 -111.133 -3.08417 0 0 612192. 2118.31 0.31 0.06 0.15 -1 -1 0.31 0.0217585 0.0193299 114 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 5.17 vpr 65.07 MiB 0.03 7488 -1 -1 1 0.04 -1 -1 33924 -1 -1 34 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 29 32 393 319 1 182 95 17 17 289 -1 unnamed_device 26.2 MiB 0.21 1004 14999 4032 9192 1775 65.1 MiB 0.16 0.00 2.9591 -89.5578 -2.9591 2.9591 1.19 0.000655752 0.000595456 0.0478414 0.0433209 28 2288 20 6.64007e+06 426972 500653. 1732.36 1.15 0.135944 0.11994 21970 115934 -1 2082 21 1316 2197 140405 32503 3.01417 3.01417 -112.003 -3.01417 0 0 612192. 2118.31 0.32 0.08 0.14 -1 -1 0.32 0.0304889 0.0270173 134 81 29 29 85 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 5.30 vpr 65.34 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34136 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 32 32 407 319 1 194 86 17 17 289 -1 unnamed_device 26.6 MiB 0.17 895 8969 2133 6503 333 65.3 MiB 0.11 0.00 3.37836 -116.939 -3.37836 3.37836 1.22 0.000667416 0.000603525 0.034315 0.0310932 30 2242 20 6.64007e+06 276276 526063. 1820.29 1.27 0.130727 0.115594 22546 126617 -1 1896 20 1497 2278 141567 31792 3.75563 3.75563 -143.571 -3.75563 0 0 666494. 2306.21 0.34 0.09 0.16 -1 -1 0.34 0.032027 0.0285072 152 53 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 5.46 vpr 65.21 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 34244 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 32 32 407 319 1 195 100 17 17 289 -1 unnamed_device 26.7 MiB 0.26 1056 15876 4832 8212 2832 65.2 MiB 0.17 0.00 3.41716 -119.667 -3.41716 3.41716 1.22 0.00067143 0.000606036 0.0514542 0.0463111 32 2650 23 6.64007e+06 452088 554710. 1919.41 1.30 0.151442 0.133667 22834 132086 -1 2193 19 1620 2633 168474 38506 3.49503 3.49503 -139.086 -3.49503 0 0 701300. 2426.64 0.34 0.09 0.17 -1 -1 0.34 0.0318339 0.0285254 154 55 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.81 vpr 64.88 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 34260 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 345 287 1 161 96 17 17 289 -1 unnamed_device 26.3 MiB 0.16 897 13893 3276 8957 1660 64.9 MiB 0.12 0.00 2.8629 -104.168 -2.8629 2.8629 1.10 0.000540896 0.000482642 0.0367171 0.0331267 28 2078 21 6.64007e+06 401856 500653. 1732.36 1.15 0.125625 0.111412 21970 115934 -1 1828 22 1216 1825 124140 28433 2.94877 2.94877 -122.715 -2.94877 0 0 612192. 2118.31 0.29 0.07 0.13 -1 -1 0.29 0.0281193 0.0248917 122 55 32 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 5.09 vpr 64.89 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34024 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 26.1 MiB 0.21 863 7992 2041 4939 1012 64.9 MiB 0.09 0.00 2.9591 -94.569 -2.9591 2.9591 1.18 0.000602228 0.000533258 0.0291051 0.0263552 30 2023 23 6.64007e+06 213486 526063. 1820.29 1.15 0.10789 0.0945038 22546 126617 -1 1751 18 886 1579 87487 20767 2.82477 2.82477 -109.099 -2.82477 0 0 666494. 2306.21 0.33 0.06 0.15 -1 -1 0.33 0.0257284 0.0228954 109 82 0 0 89 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 9.15 vpr 64.96 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34096 -1 -1 35 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 30 32 374 297 1 186 97 17 17 289 -1 unnamed_device 26.2 MiB 0.16 867 8977 1908 6586 483 65.0 MiB 0.10 0.00 2.7317 -88.7549 -2.7317 2.7317 1.17 0.000672339 0.000587028 0.0272548 0.0247154 26 3042 49 6.64007e+06 439530 477104. 1650.88 5.36 0.246484 0.21594 21682 110474 -1 2033 21 1434 2341 180520 44846 3.02497 3.02497 -116.389 -3.02497 0 0 585099. 2024.56 0.29 0.09 0.12 -1 -1 0.29 0.0317713 0.0282173 139 52 60 30 57 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 5.26 vpr 64.89 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 34100 -1 -1 32 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 28 32 332 260 1 180 92 17 17 289 -1 unnamed_device 26.5 MiB 0.10 954 12719 3532 8069 1118 64.9 MiB 0.13 0.00 3.53535 -102.191 -3.53535 3.53535 1.23 0.000601592 0.000548806 0.0380146 0.0343979 26 2422 27 6.64007e+06 401856 477104. 1650.88 1.39 0.131902 0.116499 21682 110474 -1 2038 19 1429 2250 156170 35108 3.79083 3.79083 -126.069 -3.79083 0 0 585099. 2024.56 0.31 0.08 0.14 -1 -1 0.31 0.0291325 0.025993 134 20 84 28 28 28 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 5.16 vpr 64.95 MiB 0.03 7324 -1 -1 1 0.04 -1 -1 34100 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 30 32 325 273 1 157 81 17 17 289 -1 unnamed_device 26.2 MiB 0.21 850 13731 4322 7300 2109 64.9 MiB 0.13 0.00 2.8131 -95.1918 -2.8131 2.8131 1.20 0.000575731 0.000487906 0.0457985 0.0414121 32 2048 19 6.64007e+06 238602 554710. 1919.41 1.18 0.11721 0.103058 22834 132086 -1 1790 21 1305 2190 169964 37210 2.81877 2.81877 -110.66 -2.81877 0 0 701300. 2426.64 0.35 0.08 0.16 -1 -1 0.35 0.026326 0.0232693 114 58 30 30 60 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.77 vpr 64.73 MiB 0.02 6972 -1 -1 1 0.04 -1 -1 33596 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 361 308 1 158 81 17 17 289 -1 unnamed_device 25.9 MiB 0.19 916 12156 3444 6619 2093 64.7 MiB 0.11 0.00 2.9653 -95.0324 -2.9653 2.9653 1.10 0.000522521 0.000471593 0.0394124 0.0356366 32 2059 20 6.64007e+06 213486 554710. 1919.41 1.09 0.116257 0.102225 22834 132086 -1 1854 18 1098 1841 132943 30089 2.76877 2.76877 -110.494 -2.76877 0 0 701300. 2426.64 0.33 0.08 0.15 -1 -1 0.33 0.0288842 0.0256182 114 88 0 0 91 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 5.21 vpr 65.05 MiB 0.03 7172 -1 -1 1 0.04 -1 -1 34048 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 31 32 335 251 1 196 100 17 17 289 -1 unnamed_device 26.4 MiB 0.10 1008 11236 2912 7417 907 65.1 MiB 0.13 0.00 3.38416 -112.662 -3.38416 3.38416 1.18 0.000686985 0.000628121 0.0341219 0.0309963 32 2691 19 6.64007e+06 464646 554710. 1919.41 1.25 0.120799 0.107066 22834 132086 -1 2179 22 1809 2902 181332 42762 3.85783 3.85783 -139.129 -3.85783 0 0 701300. 2426.64 0.36 0.10 0.17 -1 -1 0.36 0.0325095 0.0290276 152 -1 124 31 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 5.50 vpr 65.32 MiB 0.03 7352 -1 -1 1 0.04 -1 -1 34088 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 407 319 1 197 100 17 17 289 -1 unnamed_device 26.7 MiB 0.21 974 19588 5941 10475 3172 65.3 MiB 0.22 0.00 3.39516 -117.047 -3.39516 3.39516 1.20 0.000676379 0.000608298 0.0630061 0.0569237 32 2482 22 6.64007e+06 452088 554710. 1919.41 1.33 0.167693 0.148946 22834 132086 -1 2066 20 1735 2914 180761 41825 3.61643 3.61643 -135.622 -3.61643 0 0 701300. 2426.64 0.36 0.10 0.17 -1 -1 0.36 0.0341766 0.0304603 155 57 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 5.47 vpr 65.23 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 34012 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 32 32 407 319 1 194 100 17 17 289 -1 unnamed_device 26.7 MiB 0.20 1106 16108 4364 9972 1772 65.2 MiB 0.17 0.00 3.38416 -119.924 -3.38416 3.38416 1.20 0.00072321 0.000656619 0.0513081 0.0464748 32 2786 22 6.64007e+06 452088 554710. 1919.41 1.35 0.153896 0.136464 22834 132086 -1 2337 17 1542 2484 187853 40082 3.58223 3.58223 -141.984 -3.58223 0 0 701300. 2426.64 0.36 0.09 0.17 -1 -1 0.36 0.0313204 0.0281439 153 62 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 6.38 vpr 65.27 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 33896 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 399 315 1 196 102 17 17 289 -1 unnamed_device 26.7 MiB 0.17 1160 18666 5104 11527 2035 65.3 MiB 0.20 0.00 3.37316 -115.961 -3.37316 3.37316 1.17 0.000649751 0.000586821 0.0565735 0.0512567 26 2957 24 6.64007e+06 477204 477104. 1650.88 2.43 0.164285 0.145761 21682 110474 -1 2492 23 1775 3041 205696 46148 4.07343 4.07343 -142.854 -4.07343 0 0 585099. 2024.56 0.28 0.10 0.12 -1 -1 0.28 0.0355673 0.0315343 149 62 60 30 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.84 vpr 64.69 MiB 0.03 7088 -1 -1 1 0.04 -1 -1 33468 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 30 32 296 244 1 156 81 17 17 289 -1 unnamed_device 25.9 MiB 0.12 647 13381 3925 7147 2309 64.7 MiB 0.13 0.00 2.7709 -89.1313 -2.7709 2.7709 1.13 0.000531776 0.000481729 0.0419792 0.0380671 32 1818 20 6.64007e+06 238602 554710. 1919.41 1.12 0.109674 0.096816 22834 132086 -1 1521 20 1218 1948 134907 31265 2.80657 2.80657 -108.586 -2.80657 0 0 701300. 2426.64 0.33 0.07 0.17 -1 -1 0.33 0.0244967 0.0217716 113 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 5.21 vpr 65.23 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 34044 -1 -1 24 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 30 32 383 303 1 191 86 17 17 289 -1 unnamed_device 26.5 MiB 0.18 927 14450 3655 8821 1974 65.2 MiB 0.15 0.00 3.35636 -108.653 -3.35636 3.35636 1.19 0.000625759 0.000565319 0.0520014 0.0471806 32 2301 22 6.64007e+06 301392 554710. 1919.41 1.22 0.143149 0.126558 22834 132086 -1 1988 23 1910 2877 219726 50069 3.88203 3.88203 -137.281 -3.88203 0 0 701300. 2426.64 0.34 0.10 0.16 -1 -1 0.34 0.0349025 0.0311359 146 58 60 30 60 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 6.52 vpr 65.09 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 34008 -1 -1 41 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 469 381 1 198 105 17 17 289 -1 unnamed_device 26.7 MiB 0.27 1080 15172 4050 9950 1172 65.1 MiB 0.17 0.00 3.43916 -121.954 -3.43916 3.43916 1.19 0.000872589 0.000798592 0.0499758 0.0452301 26 2895 30 6.64007e+06 514878 477104. 1650.88 2.37 0.173561 0.153703 21682 110474 -1 2407 20 1857 3033 228404 49041 3.63723 3.63723 -144.01 -3.63723 0 0 585099. 2024.56 0.30 0.11 0.14 -1 -1 0.30 0.0380697 0.0341004 156 106 0 0 128 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 5.03 vpr 65.38 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 33800 -1 -1 33 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 31 32 425 341 1 189 96 17 17 289 -1 unnamed_device 27.0 MiB 0.13 1070 16959 5715 8963 2281 65.4 MiB 0.18 0.00 3.39516 -115.177 -3.39516 3.39516 1.15 0.000626558 0.000569541 0.0561006 0.050881 30 2237 19 6.64007e+06 414414 526063. 1820.29 1.17 0.148465 0.131452 22546 126617 -1 1949 21 1411 2350 124693 29144 3.63943 3.63943 -135.277 -3.63943 0 0 666494. 2306.21 0.33 0.08 0.14 -1 -1 0.33 0.0310745 0.0275866 148 79 31 31 93 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 5.36 vpr 65.18 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34124 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 30 32 404 328 1 182 94 17 17 289 -1 unnamed_device 26.5 MiB 0.17 1025 9679 2534 6520 625 65.2 MiB 0.12 0.00 3.00058 -93.5826 -3.00058 3.00058 1.18 0.000724508 0.000658353 0.034066 0.0308802 26 2626 25 6.64007e+06 401856 477104. 1650.88 1.48 0.137298 0.121033 21682 110474 -1 2156 18 1428 2314 150053 34321 3.07117 3.07117 -117.274 -3.07117 0 0 585099. 2024.56 0.31 0.08 0.14 -1 -1 0.31 0.0297998 0.0265364 138 83 26 26 90 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 5.40 vpr 65.29 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 34044 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 32 32 407 319 1 198 86 17 17 289 -1 unnamed_device 26.5 MiB 0.30 1030 11237 3477 6934 826 65.3 MiB 0.14 0.00 3.35816 -117.64 -3.35816 3.35816 1.17 0.000700718 0.000634342 0.0429952 0.0388883 32 2546 23 6.64007e+06 276276 554710. 1919.41 1.27 0.133019 0.116956 22834 132086 -1 2288 22 2021 3361 245755 52900 3.55623 3.55623 -142.477 -3.55623 0 0 701300. 2426.64 0.35 0.11 0.17 -1 -1 0.35 0.0349406 0.030994 155 58 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 5.23 vpr 64.87 MiB 0.03 7376 -1 -1 1 0.04 -1 -1 34072 -1 -1 36 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 29 32 387 316 1 179 97 17 17 289 -1 unnamed_device 26.2 MiB 0.17 793 17191 5498 8460 3233 64.9 MiB 0.17 0.00 2.7749 -84.0934 -2.7749 2.7749 1.18 0.000621219 0.00055773 0.0535836 0.0480529 32 2218 17 6.64007e+06 452088 554710. 1919.41 1.21 0.137436 0.120973 22834 132086 -1 1607 20 1520 2475 150673 36800 3.05917 3.05917 -102.846 -3.05917 0 0 701300. 2426.64 0.33 0.08 0.17 -1 -1 0.33 0.0293792 0.0260756 136 81 26 26 85 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.74 vpr 64.39 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 33832 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 32 32 283 225 1 156 81 17 17 289 -1 unnamed_device 25.8 MiB 0.06 800 5331 1118 3727 486 64.4 MiB 0.06 0.00 2.7709 -98.1017 -2.7709 2.7709 1.14 0.000474446 0.000431746 0.0168244 0.0153754 32 2056 21 6.64007e+06 213486 554710. 1919.41 1.07 0.0834688 0.0734355 22834 132086 -1 1702 21 1222 1881 126421 29646 3.02517 3.02517 -120.875 -3.02517 0 0 701300. 2426.64 0.35 0.08 0.17 -1 -1 0.35 0.026906 0.0239407 115 -1 96 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 5.36 vpr 65.31 MiB 0.03 7140 -1 -1 1 0.04 -1 -1 34072 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 407 319 1 194 99 17 17 289 -1 unnamed_device 26.8 MiB 0.31 1085 15603 4456 9696 1451 65.3 MiB 0.16 0.00 3.37316 -120.37 -3.37316 3.37316 1.13 0.000689095 0.000622157 0.0491683 0.0444157 32 2578 22 6.64007e+06 439530 554710. 1919.41 1.24 0.141026 0.124336 22834 132086 -1 2209 20 1635 2453 151378 35706 3.66543 3.66543 -144.758 -3.66543 0 0 701300. 2426.64 0.36 0.09 0.17 -1 -1 0.36 0.0323922 0.0288477 152 62 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 5.46 vpr 65.22 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 33680 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 407 319 1 201 87 17 17 289 -1 unnamed_device 26.3 MiB 0.21 1005 16599 6352 9045 1202 65.2 MiB 0.18 0.00 3.37836 -119.558 -3.37836 3.37836 1.19 0.000674459 0.000612366 0.0611673 0.0554284 32 2474 24 6.64007e+06 288834 554710. 1919.41 1.29 0.164193 0.1456 22834 132086 -1 2153 22 2137 3261 237968 53523 3.61463 3.61463 -140.319 -3.61463 0 0 701300. 2426.64 0.36 0.12 0.17 -1 -1 0.36 0.0369456 0.0328998 158 62 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 5.09 vpr 64.88 MiB 0.03 6988 -1 -1 1 0.04 -1 -1 34084 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 26.1 MiB 0.17 717 8188 1647 6063 478 64.9 MiB 0.08 0.00 3.0903 -92.4514 -3.0903 3.0903 1.19 0.000557607 0.00051198 0.0234523 0.0211881 32 1908 22 6.64007e+06 376740 554710. 1919.41 1.16 0.0968534 0.0847395 22834 132086 -1 1492 20 958 1545 94822 23372 3.05597 3.05597 -106.61 -3.05597 0 0 701300. 2426.64 0.36 0.07 0.17 -1 -1 0.36 0.0254604 0.0225299 112 47 32 32 54 27 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.81 vpr 64.57 MiB 0.02 6908 -1 -1 1 0.04 -1 -1 33896 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 26.1 MiB 0.09 891 13556 4350 7094 2112 64.6 MiB 0.12 0.00 2.8321 -100.327 -2.8321 2.8321 1.16 0.000469753 0.000422833 0.0396793 0.0359953 32 2023 19 6.64007e+06 226044 554710. 1919.41 1.10 0.104711 0.092291 22834 132086 -1 1822 19 1398 2235 165992 37266 2.87877 2.87877 -121.093 -2.87877 0 0 701300. 2426.64 0.33 0.08 0.15 -1 -1 0.33 0.0245707 0.0219523 118 -1 93 31 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 5.61 vpr 65.07 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33772 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 381 303 1 188 97 17 17 289 -1 unnamed_device 26.4 MiB 0.19 990 10753 2618 7263 872 65.1 MiB 0.12 0.00 3.32336 -113.497 -3.32336 3.32336 1.20 0.000751726 0.000682053 0.0357819 0.0324522 24 2863 26 6.64007e+06 414414 448715. 1552.65 1.67 0.142544 0.125883 21394 104001 -1 2186 25 1760 2551 203826 44722 3.79783 3.79783 -138.4 -3.79783 0 0 554710. 1919.41 0.27 0.10 0.13 -1 -1 0.27 0.036319 0.032183 139 56 60 32 58 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.51 vpr 64.98 MiB 0.03 7416 -1 -1 1 0.04 -1 -1 33952 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 406 330 1 190 96 17 17 289 -1 unnamed_device 26.2 MiB 0.13 1110 16740 4475 10065 2200 65.0 MiB 0.18 0.00 3.57456 -111.886 -3.57456 3.57456 1.17 0.000641344 0.000577938 0.0552511 0.0497567 26 2779 29 6.64007e+06 401856 477104. 1650.88 1.60 0.170062 0.150979 21682 110474 -1 2257 21 1643 2568 184088 41001 3.93722 3.93722 -137.659 -3.93722 0 0 585099. 2024.56 0.30 0.09 0.14 -1 -1 0.30 0.0342596 0.0305135 136 81 28 28 88 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 5.32 vpr 65.16 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 34008 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 399 285 1 228 101 17 17 289 -1 unnamed_device 27.1 MiB 0.11 1320 13261 3826 8337 1098 65.2 MiB 0.15 0.00 3.93662 -136.325 -3.93662 3.93662 1.14 0.000754025 0.00068448 0.0411124 0.0372685 28 3053 25 6.64007e+06 464646 500653. 1732.36 1.44 0.14825 0.131181 21970 115934 -1 2699 22 2184 3470 220784 50224 4.33608 4.33608 -163.439 -4.33608 0 0 612192. 2118.31 0.31 0.11 0.13 -1 -1 0.31 0.0383535 0.0342073 179 -1 156 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 6.02 vpr 65.47 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34052 -1 -1 34 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 30 32 371 295 1 184 96 17 17 289 -1 unnamed_device 26.7 MiB 0.19 902 10608 2630 7342 636 65.5 MiB 0.12 0.00 3.1105 -94.5351 -3.1105 3.1105 1.19 0.000672242 0.000610499 0.033071 0.0299413 26 2951 35 6.64007e+06 426972 477104. 1650.88 2.10 0.142595 0.125777 21682 110474 -1 2165 20 1558 2489 184471 44121 3.28837 3.28837 -125.732 -3.28837 0 0 585099. 2024.56 0.31 0.09 0.13 -1 -1 0.31 0.0294447 0.0261759 138 47 60 30 56 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 5.15 vpr 64.15 MiB 0.03 6992 -1 -1 1 0.04 -1 -1 34204 -1 -1 21 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65692 27 32 269 226 1 143 80 17 17 289 -1 unnamed_device 25.7 MiB 0.12 632 12636 5273 6095 1268 64.2 MiB 0.10 0.00 2.7691 -80.2981 -2.7691 2.7691 1.08 0.000497616 0.000451064 0.0354236 0.0320094 26 1921 34 6.64007e+06 263718 477104. 1650.88 1.55 0.116665 0.102791 21682 110474 -1 1361 19 1187 1723 137111 34353 3.00317 3.00317 -102.354 -3.00317 0 0 585099. 2024.56 0.29 0.08 0.14 -1 -1 0.29 0.0235227 0.0208659 107 26 54 27 27 27 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 5.96 vpr 65.53 MiB 0.03 7328 -1 -1 1 0.05 -1 -1 34172 -1 -1 42 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 32 32 493 378 1 232 106 17 17 289 -1 unnamed_device 27.2 MiB 0.19 1353 13106 3199 8878 1029 65.5 MiB 0.17 0.00 3.68056 -121.246 -3.68056 3.68056 1.18 0.00081545 0.000737735 0.0476178 0.0432147 28 3863 27 6.64007e+06 527436 500653. 1732.36 1.85 0.177672 0.157536 21970 115934 -1 3198 22 2196 3975 323132 71406 4.08943 4.08943 -148.643 -4.08943 0 0 612192. 2118.31 0.32 0.14 0.14 -1 -1 0.32 0.0424406 0.0379642 186 85 62 31 95 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 5.28 vpr 65.27 MiB 0.03 7476 -1 -1 1 0.04 -1 -1 34220 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 31 32 455 371 1 188 85 17 17 289 -1 unnamed_device 26.6 MiB 0.27 908 9199 2239 5992 968 65.3 MiB 0.11 0.00 3.64105 -113.603 -3.64105 3.64105 1.17 0.00075569 0.000686962 0.0389618 0.0353568 32 2438 23 6.64007e+06 276276 554710. 1919.41 1.25 0.141992 0.120575 22834 132086 -1 1893 22 1618 2688 174829 44906 3.96303 3.96303 -143.478 -3.96303 0 0 701300. 2426.64 0.35 0.10 0.17 -1 -1 0.35 0.036092 0.0319356 145 105 0 0 124 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 5.18 vpr 64.96 MiB 0.03 7140 -1 -1 1 0.04 -1 -1 33708 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 355 304 1 150 80 17 17 289 -1 unnamed_device 26.2 MiB 0.25 866 14184 4481 7866 1837 65.0 MiB 0.14 0.00 2.9543 -94.0722 -2.9543 2.9543 1.19 0.000585157 0.000530071 0.0520238 0.0468816 30 1942 21 6.64007e+06 200928 526063. 1820.29 1.13 0.131031 0.115252 22546 126617 -1 1765 23 907 1514 97887 21923 2.68397 2.68397 -108.967 -2.68397 0 0 666494. 2306.21 0.34 0.07 0.15 -1 -1 0.34 0.0307643 0.0271604 108 86 0 0 89 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 5.19 vpr 64.86 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 34028 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 364 282 1 196 97 17 17 289 -1 unnamed_device 26.2 MiB 0.08 1096 13195 3422 8717 1056 64.9 MiB 0.15 0.00 3.65525 -116.79 -3.65525 3.65525 1.26 0.000665597 0.000603601 0.0404888 0.0366759 32 2331 24 6.64007e+06 414414 554710. 1919.41 1.19 0.12527 0.110024 22834 132086 -1 2059 22 1286 2020 132151 33408 3.79382 3.79382 -137.7 -3.79382 0 0 701300. 2426.64 0.36 0.08 0.16 -1 -1 0.36 0.0321193 0.0285462 147 31 90 30 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.28 vpr 64.92 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 34144 -1 -1 38 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 31 32 443 336 1 220 101 17 17 289 -1 unnamed_device 26.8 MiB 0.16 1002 21016 6174 11161 3681 64.9 MiB 0.22 0.00 3.65376 -113.344 -3.65376 3.65376 1.16 0.000671855 0.000609213 0.0667087 0.0603188 32 2621 24 6.64007e+06 477204 554710. 1919.41 1.23 0.175793 0.155143 22834 132086 -1 2157 21 1883 2888 183720 43925 3.85983 3.85983 -137.678 -3.85983 0 0 701300. 2426.64 0.34 0.09 0.15 -1 -1 0.34 0.0350529 0.0310846 173 50 87 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 5.27 vpr 65.11 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 34108 -1 -1 34 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 30 32 373 297 1 186 96 17 17 289 -1 unnamed_device 26.4 MiB 0.13 1038 16740 4573 9849 2318 65.1 MiB 0.18 0.00 2.9811 -92.2189 -2.9811 2.9811 1.20 0.000670318 0.000603682 0.0510396 0.0459013 32 2512 27 6.64007e+06 426972 554710. 1919.41 1.25 0.14415 0.126739 22834 132086 -1 2116 20 1533 2586 170680 39129 3.03837 3.03837 -111.762 -3.03837 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0322634 0.02872 135 50 58 30 58 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 5.29 vpr 65.31 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34216 -1 -1 43 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 407 319 1 201 107 17 17 289 -1 unnamed_device 27.0 MiB 0.16 1334 22624 7539 12337 2748 65.3 MiB 0.20 0.00 3.65756 -127.051 -3.65756 3.65756 1.14 0.000672548 0.000609208 0.0624188 0.0563187 32 2745 21 6.64007e+06 539994 554710. 1919.41 1.30 0.162206 0.143556 22834 132086 -1 2503 22 2062 3406 265468 57718 3.97583 3.97583 -150.387 -3.97583 0 0 701300. 2426.64 0.34 0.12 0.15 -1 -1 0.34 0.036831 0.0326434 158 61 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 8.42 vpr 65.29 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 33868 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 32 32 405 318 1 200 104 17 17 289 -1 unnamed_device 26.7 MiB 0.17 981 17428 4994 9490 2944 65.3 MiB 0.18 0.00 2.7929 -97.8812 -2.7929 2.7929 1.19 0.000669081 0.000603959 0.0516717 0.0466305 30 2298 23 6.64007e+06 502320 526063. 1820.29 4.37 0.244241 0.213353 22546 126617 -1 1764 20 1360 2123 111989 26976 2.70357 2.70357 -112.666 -2.70357 0 0 666494. 2306.21 0.33 0.08 0.15 -1 -1 0.33 0.0320818 0.0285453 157 61 63 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 5.15 vpr 64.43 MiB 0.03 6992 -1 -1 1 0.04 -1 -1 33560 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 29 32 287 238 1 134 79 17 17 289 -1 unnamed_device 25.9 MiB 0.09 542 13430 5790 6758 882 64.4 MiB 0.11 0.00 2.9573 -85.5328 -2.9573 2.9573 1.19 0.000456319 0.00041208 0.0394393 0.035724 32 1584 40 6.64007e+06 226044 554710. 1919.41 1.29 0.130714 0.114876 22834 132086 -1 1203 23 1081 1541 108464 28228 2.91217 2.91217 -102.408 -2.91217 0 0 701300. 2426.64 0.35 0.07 0.16 -1 -1 0.35 0.0267741 0.0235758 95 28 58 29 29 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.99 vpr 64.58 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34044 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 334 290 1 156 83 17 17 289 -1 unnamed_device 25.8 MiB 0.20 904 6743 1537 4830 376 64.6 MiB 0.08 0.00 3.39936 -96.1227 -3.39936 3.39936 1.21 0.00055261 0.00049757 0.0233837 0.021189 26 1980 25 6.64007e+06 238602 477104. 1650.88 1.10 0.105467 0.0923251 21682 110474 -1 1765 22 1069 1525 122698 27658 3.08457 3.08457 -111.166 -3.08457 0 0 585099. 2024.56 0.30 0.08 0.14 -1 -1 0.30 0.0288769 0.0255073 112 79 0 0 82 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.62 vpr 65.08 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 34072 -1 -1 38 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 31 32 365 281 1 196 101 17 17 289 -1 unnamed_device 26.5 MiB 0.12 986 13261 3497 8846 918 65.1 MiB 0.13 0.00 3.98015 -118.414 -3.98015 3.98015 1.16 0.000629444 0.00057428 0.0377788 0.0342394 26 2973 25 6.64007e+06 477204 477104. 1650.88 1.80 0.135597 0.119982 21682 110474 -1 2486 25 2008 3205 268076 57858 3.84582 3.84582 -146.996 -3.84582 0 0 585099. 2024.56 0.29 0.12 0.12 -1 -1 0.29 0.0361395 0.0320313 151 29 93 31 31 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 5.12 vpr 64.53 MiB 0.03 7004 -1 -1 1 0.04 -1 -1 33736 -1 -1 31 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 26.0 MiB 0.23 768 16652 4973 9354 2325 64.5 MiB 0.15 0.00 2.9591 -84.7747 -2.9591 2.9591 1.20 0.00048095 0.000430903 0.044206 0.0399724 28 1710 21 6.64007e+06 389298 500653. 1732.36 1.16 0.115432 0.101813 21970 115934 -1 1512 17 892 1468 88287 20978 2.77157 2.77157 -98.7979 -2.77157 0 0 612192. 2118.31 0.32 0.06 0.15 -1 -1 0.32 0.0219252 0.0194527 108 48 29 29 52 26 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 5.21 vpr 64.70 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 33972 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 314 256 1 160 81 17 17 289 -1 unnamed_device 25.9 MiB 0.18 896 13906 4719 7628 1559 64.7 MiB 0.14 0.00 2.7929 -101.448 -2.7929 2.7929 1.19 0.000544365 0.000492912 0.046281 0.0419685 32 2112 20 6.64007e+06 213486 554710. 1919.41 1.20 0.122404 0.108127 22834 132086 -1 1925 21 1338 2198 173056 37235 3.03317 3.03317 -124.203 -3.03317 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0289336 0.0258025 118 31 64 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 5.17 vpr 65.19 MiB 0.03 7172 -1 -1 1 0.04 -1 -1 34248 -1 -1 38 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 31 32 387 307 1 189 101 17 17 289 -1 unnamed_device 26.4 MiB 0.17 934 10206 2303 7222 681 65.2 MiB 0.12 0.00 2.8453 -96.2463 -2.8453 2.8453 1.21 0.000662671 0.000587178 0.0329584 0.0298015 26 2286 24 6.64007e+06 477204 477104. 1650.88 1.21 0.130815 0.11523 21682 110474 -1 1951 21 1844 2680 175681 41003 3.02437 3.02437 -118.882 -3.02437 0 0 585099. 2024.56 0.31 0.09 0.14 -1 -1 0.31 0.0322418 0.0286798 144 60 58 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 5.11 vpr 64.79 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 33932 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 31 32 308 262 1 147 80 17 17 289 -1 unnamed_device 26.0 MiB 0.17 898 13324 4150 7278 1896 64.8 MiB 0.12 0.00 2.70619 -87.5425 -2.70619 2.70619 1.19 0.000536038 0.000485883 0.0430454 0.0390795 32 1901 22 6.64007e+06 213486 554710. 1919.41 1.15 0.114771 0.101269 22834 132086 -1 1753 17 879 1488 105468 23342 2.80877 2.80877 -106.734 -2.80877 0 0 701300. 2426.64 0.34 0.07 0.17 -1 -1 0.34 0.0238949 0.021143 106 49 31 31 53 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 5.18 vpr 64.95 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 33952 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 383 307 1 184 97 17 17 289 -1 unnamed_device 26.3 MiB 0.16 924 13195 3203 9313 679 65.0 MiB 0.13 0.00 2.7379 -93.8791 -2.7379 2.7379 1.20 0.000606448 0.000550293 0.0395864 0.0359147 30 2399 18 6.64007e+06 414414 526063. 1820.29 1.19 0.130906 0.11578 22546 126617 -1 1906 18 1079 1782 114487 25320 2.67557 2.67557 -109.073 -2.67557 0 0 666494. 2306.21 0.34 0.07 0.16 -1 -1 0.34 0.0288621 0.0258034 137 56 52 26 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 5.21 vpr 65.33 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 33896 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 31 32 422 339 1 195 100 17 17 289 -1 unnamed_device 26.8 MiB 0.28 1001 14716 3816 9619 1281 65.3 MiB 0.15 0.00 3.1215 -100.469 -3.1215 3.1215 1.12 0.000725741 0.000658451 0.044401 0.0399527 26 2318 22 6.64007e+06 464646 477104. 1650.88 1.23 0.147363 0.130128 21682 110474 -1 2076 19 1573 2336 155017 35456 3.04637 3.04637 -119.8 -3.04637 0 0 585099. 2024.56 0.29 0.09 0.14 -1 -1 0.29 0.0322024 0.0286772 149 88 31 31 92 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 5.17 vpr 64.88 MiB 0.03 7020 -1 -1 1 0.04 -1 -1 33976 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 26.1 MiB 0.15 893 13966 3753 8425 1788 64.9 MiB 0.14 0.00 2.55679 -94.205 -2.55679 2.55679 1.20 0.000586153 0.000528623 0.047538 0.0428655 32 2198 22 6.64007e+06 226044 554710. 1919.41 1.18 0.124174 0.109351 22834 132086 -1 1911 22 1197 1876 132621 30720 2.84697 2.84697 -113.728 -2.84697 0 0 701300. 2426.64 0.34 0.07 0.16 -1 -1 0.34 0.0281989 0.0248665 115 54 32 32 60 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.97 vpr 64.92 MiB 0.02 6976 -1 -1 1 0.04 -1 -1 33604 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 26.1 MiB 0.19 827 8092 1997 5793 302 64.9 MiB 0.09 0.00 2.7819 -96.5035 -2.7819 2.7819 1.15 0.00053839 0.000490935 0.0266975 0.0241532 32 2148 17 6.64007e+06 226044 554710. 1919.41 1.16 0.102528 0.0900972 22834 132086 -1 1793 20 1242 2073 147445 34075 2.86897 2.86897 -115.959 -2.86897 0 0 701300. 2426.64 0.35 0.08 0.15 -1 -1 0.35 0.02822 0.0250798 121 60 32 32 62 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 5.28 vpr 65.23 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 34384 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 407 319 1 198 102 17 17 289 -1 unnamed_device 26.7 MiB 0.16 954 9622 2117 6470 1035 65.2 MiB 0.11 0.00 3.42636 -116.672 -3.42636 3.42636 1.19 0.000702423 0.000632231 0.0317999 0.028856 32 2328 23 6.64007e+06 477204 554710. 1919.41 1.26 0.131853 0.116438 22834 132086 -1 2003 23 2071 3119 217770 49332 3.59623 3.59623 -138.833 -3.59623 0 0 701300. 2426.64 0.36 0.11 0.17 -1 -1 0.36 0.038233 0.0340071 156 49 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 5.12 vpr 65.06 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 33776 -1 -1 34 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 29 32 367 293 1 183 95 17 17 289 -1 unnamed_device 26.3 MiB 0.15 864 10031 2293 7091 647 65.1 MiB 0.11 0.00 3.0713 -89.186 -3.0713 3.0713 1.19 0.000648761 0.000586711 0.0318989 0.0288712 28 2167 20 6.64007e+06 426972 500653. 1732.36 1.20 0.118395 0.104471 21970 115934 -1 1894 17 1195 1931 130193 31050 2.93817 2.93817 -108.431 -2.93817 0 0 612192. 2118.31 0.32 0.08 0.14 -1 -1 0.32 0.0281684 0.0251975 135 54 56 29 58 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 8.09 vpr 65.52 MiB 0.03 7488 -1 -1 1 0.04 -1 -1 34172 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67088 32 32 469 381 1 200 103 17 17 289 -1 unnamed_device 26.8 MiB 0.29 936 9020 1782 6656 582 65.5 MiB 0.11 0.00 3.42636 -117.212 -3.42636 3.42636 1.18 0.000854882 0.000777667 0.0325927 0.0295548 28 2888 24 6.64007e+06 489762 500653. 1732.36 3.98 0.267023 0.233247 21970 115934 -1 2375 20 1777 2784 206579 49444 3.88083 3.88083 -151.589 -3.88083 0 0 612192. 2118.31 0.31 0.11 0.14 -1 -1 0.31 0.0377996 0.0336557 158 117 0 0 128 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.98 vpr 64.54 MiB 0.03 6872 -1 -1 1 0.04 -1 -1 33848 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66084 31 32 259 212 1 146 80 17 17 289 -1 unnamed_device 26.1 MiB 0.09 855 12464 3598 7451 1415 64.5 MiB 0.11 0.00 2.50628 -87.9013 -2.50628 2.50628 1.19 0.000496135 0.000451049 0.0373805 0.0339406 32 1912 18 6.64007e+06 213486 554710. 1919.41 1.15 0.10081 0.0889618 22834 132086 -1 1730 16 853 1325 93386 21782 2.88217 2.88217 -108.174 -2.88217 0 0 701300. 2426.64 0.35 0.06 0.17 -1 -1 0.35 0.0212524 0.0190244 106 -1 85 31 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 7.89 vpr 65.12 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 34104 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 418 338 1 190 99 17 17 289 -1 unnamed_device 26.4 MiB 0.16 973 19023 5625 10538 2860 65.1 MiB 0.19 0.00 3.43436 -113.195 -3.43436 3.43436 1.22 0.000700135 0.000631362 0.0586252 0.052827 28 2300 32 6.64007e+06 439530 500653. 1732.36 3.85 0.288123 0.250933 21970 115934 -1 1966 20 1310 2019 131490 32271 3.75863 3.75863 -135.939 -3.75863 0 0 612192. 2118.31 0.33 0.09 0.14 -1 -1 0.33 0.0348213 0.0310597 144 89 28 28 92 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 5.38 vpr 64.99 MiB 0.03 6992 -1 -1 1 0.04 -1 -1 33772 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 26.4 MiB 0.32 782 12506 4296 6611 1599 65.0 MiB 0.13 0.00 2.8021 -100.516 -2.8021 2.8021 1.21 0.000638901 0.000578399 0.0490058 0.0442358 32 1926 20 6.64007e+06 213486 554710. 1919.41 1.23 0.133296 0.117384 22834 132086 -1 1639 18 1187 1683 116030 26938 2.97017 2.97017 -118.718 -2.97017 0 0 701300. 2426.64 0.36 0.07 0.17 -1 -1 0.36 0.0280128 0.0249652 114 93 0 0 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 7.27 vpr 65.32 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 34004 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 401 316 1 196 101 17 17 289 -1 unnamed_device 26.7 MiB 0.17 869 9266 2001 6475 790 65.3 MiB 0.11 0.00 2.8409 -95.9032 -2.8409 2.8409 1.18 0.000704491 0.000634368 0.0309918 0.0280367 28 2411 21 6.64007e+06 464646 500653. 1732.36 3.40 0.223685 0.195156 21970 115934 -1 1999 20 1411 1997 126028 32044 2.91877 2.91877 -118.901 -2.91877 0 0 612192. 2118.31 0.30 0.08 0.14 -1 -1 0.30 0.0333826 0.0296611 151 59 61 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 7.11 vpr 65.24 MiB 0.03 7484 -1 -1 1 0.04 -1 -1 34316 -1 -1 45 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 500 382 1 232 109 17 17 289 -1 unnamed_device 26.9 MiB 0.26 1199 16749 4175 10682 1892 65.2 MiB 0.19 0.00 4.01362 -138.146 -4.01362 4.01362 1.19 0.000909865 0.000829631 0.0582857 0.0529183 26 3497 28 6.64007e+06 565110 477104. 1650.88 2.94 0.189797 0.168477 21682 110474 -1 2839 21 2385 3643 308040 64666 4.72369 4.72369 -172.294 -4.72369 0 0 585099. 2024.56 0.31 0.13 0.14 -1 -1 0.31 0.0408745 0.036561 188 81 64 32 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.49 vpr 64.07 MiB 0.02 7140 -1 -1 1 0.03 -1 -1 33772 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65612 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 25.6 MiB 0.08 524 5619 1308 4029 282 64.1 MiB 0.06 0.00 2.34384 -69.1356 -2.34384 2.34384 1.14 0.00043446 0.000393362 0.0163838 0.0148689 26 1387 18 6.64007e+06 188370 477104. 1650.88 0.97 0.0722984 0.0631035 21682 110474 -1 1215 18 617 812 64103 15560 2.05711 2.05711 -82.1834 -2.05711 0 0 585099. 2024.56 0.29 0.05 0.13 -1 -1 0.29 0.0188663 0.0166392 83 51 0 0 53 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.99 vpr 64.25 MiB 0.03 7052 -1 -1 1 0.04 -1 -1 34128 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 30 32 296 244 1 137 79 17 17 289 -1 unnamed_device 25.8 MiB 0.11 656 11233 4671 5674 888 64.2 MiB 0.10 0.00 2.9603 -88.4474 -2.9603 2.9603 1.19 0.000524234 0.000474628 0.036713 0.033374 32 1550 22 6.64007e+06 213486 554710. 1919.41 1.15 0.107388 0.0945653 22834 132086 -1 1329 20 964 1451 107345 25335 3.03417 3.03417 -109.476 -3.03417 0 0 701300. 2426.64 0.35 0.07 0.16 -1 -1 0.35 0.0245947 0.0218125 97 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 5.17 vpr 64.69 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 33716 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 25.9 MiB 0.13 747 8982 2439 5376 1167 64.7 MiB 0.10 0.00 2.7647 -94.9151 -2.7647 2.7647 1.20 0.000547714 0.000494888 0.0308536 0.0279956 32 2313 22 6.64007e+06 226044 554710. 1919.41 1.25 0.109426 0.0963147 22834 132086 -1 1815 20 1416 2526 158011 38332 2.82857 2.82857 -116.165 -2.82857 0 0 701300. 2426.64 0.35 0.08 0.17 -1 -1 0.35 0.0264043 0.0233735 126 31 64 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.78 vpr 64.21 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 34096 -1 -1 34 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65756 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 25.8 MiB 0.06 734 14371 4254 7957 2160 64.2 MiB 0.12 0.00 2.6877 -74.4937 -2.6877 2.6877 1.19 0.0004563 0.000414413 0.0340325 0.0308959 26 1717 20 6.64007e+06 426972 477104. 1650.88 1.04 0.0952092 0.0839113 21682 110474 -1 1578 19 963 1518 114634 25608 2.84977 2.84977 -94.6443 -2.84977 0 0 585099. 2024.56 0.30 0.06 0.14 -1 -1 0.30 0.0206953 0.0183348 103 19 50 25 25 25 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.58 vpr 65.55 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 34140 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67124 32 32 432 346 1 193 86 17 17 289 -1 unnamed_device 26.9 MiB 0.18 881 15017 5363 6769 2885 65.6 MiB 0.17 0.00 3.50535 -109.154 -3.50535 3.50535 1.19 0.000693045 0.00062497 0.0583515 0.0528107 32 2662 42 6.64007e+06 276276 554710. 1919.41 1.50 0.18414 0.162444 22834 132086 -1 1921 21 1629 2852 182247 43459 3.68363 3.68363 -132.29 -3.68363 0 0 701300. 2426.64 0.34 0.10 0.17 -1 -1 0.34 0.0359215 0.0319361 149 84 32 32 94 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 5.12 vpr 65.21 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 33572 -1 -1 39 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 31 32 421 339 1 193 102 17 17 289 -1 unnamed_device 26.6 MiB 0.18 892 10812 2340 6945 1527 65.2 MiB 0.09 0.00 2.8831 -95.4857 -2.8831 2.8831 1.17 0.000617904 0.00055958 0.0322436 0.0292224 30 2302 20 6.64007e+06 489762 526063. 1820.29 1.20 0.128958 0.113151 22546 126617 -1 1765 23 1751 2831 147851 36065 2.83277 2.83277 -111.426 -2.83277 0 0 666494. 2306.21 0.34 0.09 0.15 -1 -1 0.34 0.0362069 0.0320703 148 88 29 29 93 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 6.74 vpr 64.96 MiB 0.03 7472 -1 -1 1 0.04 -1 -1 34244 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 438 350 1 194 98 17 17 289 -1 unnamed_device 26.6 MiB 0.29 937 9323 2024 6093 1206 65.0 MiB 0.10 0.00 3.15264 -107.851 -3.15264 3.15264 1.22 0.000689957 0.000625388 0.0327786 0.0297018 32 3404 39 6.65987e+06 431052 554710. 1919.41 2.52 0.209392 0.184426 22834 132086 -1 2262 24 2070 3273 256967 63222 3.73331 3.73331 -143.835 -3.73331 0 0 701300. 2426.64 0.34 0.12 0.17 -1 -1 0.34 0.0411173 0.0365307 151 80 32 32 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 5.67 vpr 65.20 MiB 0.03 7388 -1 -1 1 0.04 -1 -1 33620 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 30 32 409 330 1 186 83 17 17 289 -1 unnamed_device 26.5 MiB 0.48 818 6563 1468 4244 851 65.2 MiB 0.08 0.00 3.4765 -99.5249 -3.4765 3.4765 1.23 0.000670745 0.000605628 0.0269702 0.0244785 32 2523 23 6.65987e+06 266238 554710. 1919.41 1.28 0.124994 0.110302 22834 132086 -1 2019 23 1901 3137 241768 56149 3.86271 3.86271 -132.881 -3.86271 0 0 701300. 2426.64 0.35 0.11 0.17 -1 -1 0.35 0.0383565 0.0342377 140 78 30 30 89 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 5.26 vpr 64.99 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 34136 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 387 309 1 186 98 17 17 289 -1 unnamed_device 26.2 MiB 0.17 1047 14723 3618 8932 2173 65.0 MiB 0.14 0.00 2.72347 -96.5797 -2.72347 2.72347 1.17 0.000627942 0.000567232 0.0447525 0.0405064 32 2449 35 6.65987e+06 431052 554710. 1919.41 1.28 0.146608 0.129182 22834 132086 -1 2162 22 1478 2281 185033 40860 3.39305 3.39305 -125.052 -3.39305 0 0 701300. 2426.64 0.35 0.10 0.16 -1 -1 0.35 0.0345287 0.0307516 141 50 54 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 5.34 vpr 64.65 MiB 0.03 7352 -1 -1 1 0.04 -1 -1 33768 -1 -1 22 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 29 32 343 267 1 184 83 17 17 289 -1 unnamed_device 26.0 MiB 0.12 858 16283 5083 8672 2528 64.6 MiB 0.18 0.00 3.4563 -100.035 -3.4563 3.4563 1.22 0.000574385 0.000513329 0.0599689 0.0542533 30 2281 27 6.65987e+06 278916 526063. 1820.29 1.32 0.152522 0.135136 22546 126617 -1 1731 22 1432 2532 138851 33601 3.53431 3.53431 -120.035 -3.53431 0 0 666494. 2306.21 0.34 0.08 0.15 -1 -1 0.34 0.0329484 0.0295435 138 25 87 29 29 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 5.50 vpr 65.16 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 33828 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 32 32 376 288 1 195 84 17 17 289 -1 unnamed_device 26.7 MiB 0.27 922 9966 2392 7082 492 65.2 MiB 0.12 0.00 3.30796 -113.007 -3.30796 3.30796 1.21 0.000748873 0.000687741 0.0390308 0.0355051 32 3087 23 6.65987e+06 253560 554710. 1919.41 1.35 0.138259 0.1227 22834 132086 -1 2446 22 2023 3661 268532 64454 3.73963 3.73963 -144.242 -3.73963 0 0 701300. 2426.64 0.34 0.12 0.16 -1 -1 0.34 0.0358415 0.0321253 151 31 96 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 8.78 vpr 64.75 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 34016 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 402 316 1 199 101 17 17 289 -1 unnamed_device 26.5 MiB 0.25 1143 17961 5183 10397 2381 64.7 MiB 0.19 0.00 2.90104 -101.953 -2.90104 2.90104 1.17 0.000815513 0.000740616 0.0569167 0.0516863 36 2254 20 6.65987e+06 469086 612192. 2118.31 4.70 0.276181 0.240858 23410 145293 -1 1990 18 1366 2252 150780 33823 2.61951 2.61951 -110.46 -2.61951 0 0 782063. 2706.10 0.38 0.08 0.16 -1 -1 0.38 0.029292 0.0262042 154 61 63 32 63 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 5.24 vpr 64.31 MiB 0.03 7088 -1 -1 1 0.04 -1 -1 34060 -1 -1 19 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 27 32 269 226 1 135 78 17 17 289 -1 unnamed_device 25.8 MiB 0.23 588 12694 3519 7760 1415 64.3 MiB 0.10 0.00 3.0353 -80.2894 -3.0353 3.0353 1.21 0.000457933 0.000416966 0.0384305 0.0348682 32 1673 22 6.65987e+06 240882 554710. 1919.41 1.16 0.107349 0.0947244 22834 132086 -1 1309 22 1160 1988 127720 33261 3.02717 3.02717 -94.7744 -3.02717 0 0 701300. 2426.64 0.36 0.07 0.17 -1 -1 0.36 0.0264207 0.0235134 96 26 54 27 27 27 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 5.27 vpr 64.68 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 33664 -1 -1 33 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 31 32 317 242 1 185 96 17 17 289 -1 unnamed_device 26.0 MiB 0.17 988 17397 5060 9798 2539 64.7 MiB 0.17 0.00 2.73284 -89.6492 -2.73284 2.73284 1.21 0.000572557 0.000517691 0.0481782 0.0436173 28 2354 22 6.65987e+06 418374 500653. 1732.36 1.22 0.131594 0.116629 21970 115934 -1 2109 22 1332 2303 197771 47419 2.58857 2.58857 -103.882 -2.58857 0 0 612192. 2118.31 0.32 0.10 0.15 -1 -1 0.32 0.0311019 0.027798 139 -1 115 31 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 5.37 vpr 64.60 MiB 0.03 7224 -1 -1 1 0.04 -1 -1 33892 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 31 32 338 292 1 148 79 17 17 289 -1 unnamed_device 25.8 MiB 0.36 860 10219 2849 6140 1230 64.6 MiB 0.11 0.00 2.45267 -82.6069 -2.45267 2.45267 1.21 0.000560317 0.000505766 0.0369374 0.0334535 32 1871 19 6.65987e+06 202848 554710. 1919.41 1.16 0.110741 0.0974241 22834 132086 -1 1619 19 821 1334 93879 21200 2.38705 2.38705 -98.8032 -2.38705 0 0 701300. 2426.64 0.37 0.06 0.17 -1 -1 0.37 0.0262028 0.0234407 105 81 0 0 84 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 5.27 vpr 64.77 MiB 0.03 6904 -1 -1 1 0.04 -1 -1 33956 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 314 256 1 162 80 17 17 289 -1 unnamed_device 26.0 MiB 0.32 828 11260 3298 5950 2012 64.8 MiB 0.09 0.00 2.7537 -99.3682 -2.7537 2.7537 1.21 0.000538684 0.000486879 0.0319539 0.0291092 32 2058 20 6.65987e+06 202848 554710. 1919.41 1.18 0.106409 0.0936779 22834 132086 -1 1818 19 1391 2115 166321 36694 2.83957 2.83957 -119.125 -2.83957 0 0 701300. 2426.64 0.35 0.08 0.17 -1 -1 0.35 0.0260683 0.0232442 121 31 64 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 5.04 vpr 64.55 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 33892 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 30 32 325 273 1 151 79 17 17 289 -1 unnamed_device 25.7 MiB 0.29 815 13092 4597 6659 1836 64.5 MiB 0.12 0.00 2.8281 -95.0467 -2.8281 2.8281 1.15 0.000522007 0.000473353 0.04391 0.0398615 32 1769 24 6.65987e+06 215526 554710. 1919.41 1.10 0.117946 0.103869 22834 132086 -1 1534 18 1089 1567 98665 24114 2.83697 2.83697 -110.038 -2.83697 0 0 701300. 2426.64 0.34 0.06 0.15 -1 -1 0.34 0.0254765 0.022743 110 58 30 30 60 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 5.35 vpr 64.82 MiB 0.03 7216 -1 -1 1 0.04 -1 -1 33668 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 26.2 MiB 0.30 864 10383 2536 6754 1093 64.8 MiB 0.11 0.00 2.44518 -86.2004 -2.44518 2.44518 1.21 0.000551904 0.00049128 0.0304418 0.0275281 32 2049 20 6.65987e+06 367662 554710. 1919.41 1.20 0.107708 0.0947067 22834 132086 -1 1815 22 1298 2112 162611 36887 2.80479 2.80479 -107.977 -2.80479 0 0 701300. 2426.64 0.35 0.08 0.17 -1 -1 0.35 0.0285076 0.0252457 114 57 25 25 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 5.14 vpr 64.75 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33756 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 32 32 386 305 1 188 96 17 17 289 -1 unnamed_device 26.3 MiB 0.47 1030 12798 3361 8649 788 64.8 MiB 0.13 0.00 2.7537 -99.7786 -2.7537 2.7537 1.10 0.000687542 0.000625171 0.037783 0.0342373 28 2422 23 6.65987e+06 405696 500653. 1732.36 1.16 0.12991 0.114803 21970 115934 -1 2117 22 1642 2826 185430 42665 2.91877 2.91877 -119.633 -2.91877 0 0 612192. 2118.31 0.30 0.09 0.13 -1 -1 0.30 0.0310195 0.0275009 143 55 64 32 57 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 5.56 vpr 64.84 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 34108 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 407 319 1 200 98 17 17 289 -1 unnamed_device 26.6 MiB 0.34 1115 10223 2268 7014 941 64.8 MiB 0.12 0.00 3.1647 -112.747 -3.1647 3.1647 1.21 0.000678288 0.000615138 0.035088 0.0318783 32 2546 24 6.65987e+06 431052 554710. 1919.41 1.29 0.136323 0.120598 22834 132086 -1 2298 21 2039 3225 232143 53534 3.66451 3.66451 -140.47 -3.66451 0 0 701300. 2426.64 0.35 0.11 0.17 -1 -1 0.35 0.0354262 0.0316908 156 60 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.85 vpr 64.25 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 33876 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65792 29 32 272 228 1 145 79 17 17 289 -1 unnamed_device 25.7 MiB 0.19 657 9374 2454 6049 871 64.2 MiB 0.09 0.00 2.43238 -73.0963 -2.43238 2.43238 1.16 0.000446034 0.000402825 0.0280319 0.025465 28 1745 20 6.65987e+06 228204 500653. 1732.36 1.10 0.0949667 0.0835897 21970 115934 -1 1557 21 1142 1907 129078 32907 2.57925 2.57925 -92.4056 -2.57925 0 0 612192. 2118.31 0.31 0.08 0.13 -1 -1 0.31 0.0268199 0.0238871 107 21 58 29 24 24 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.54 vpr 64.83 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 33660 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 401 315 1 192 84 17 17 289 -1 unnamed_device 26.3 MiB 0.33 1095 13443 3633 7958 1852 64.8 MiB 0.15 0.00 2.9131 -107.137 -2.9131 2.9131 1.20 0.000674808 0.000610995 0.052412 0.0474756 32 2650 22 6.65987e+06 253560 554710. 1919.41 1.27 0.1472 0.130078 22834 132086 -1 2341 22 1879 3301 268454 60461 3.06631 3.06631 -125.374 -3.06631 0 0 701300. 2426.64 0.35 0.12 0.17 -1 -1 0.35 0.0391214 0.0349708 146 60 64 32 62 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 5.46 vpr 64.78 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 33920 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 383 303 1 185 98 17 17 289 -1 unnamed_device 26.2 MiB 0.46 1033 12023 3015 8132 876 64.8 MiB 0.13 0.00 2.9131 -105.574 -2.9131 2.9131 1.20 0.000734169 0.000651118 0.039135 0.0354583 28 2204 19 6.65987e+06 431052 500653. 1732.36 1.24 0.130537 0.11538 21970 115934 -1 1992 18 1344 2024 128325 30651 2.90677 2.90677 -121.383 -2.90677 0 0 612192. 2118.31 0.32 0.08 0.14 -1 -1 0.32 0.0301422 0.0270075 142 54 64 32 56 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 5.24 vpr 64.76 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 33660 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 339 284 1 162 94 17 17 289 -1 unnamed_device 26.1 MiB 0.26 891 16708 5107 9436 2165 64.8 MiB 0.16 0.00 2.23864 -84.0256 -2.23864 2.23864 1.19 0.000591944 0.000534244 0.0478834 0.0431074 28 2037 18 6.65987e+06 380340 500653. 1732.36 1.17 0.129325 0.114108 21970 115934 -1 1857 21 1080 1742 132954 30434 2.09805 2.09805 -96.3225 -2.09805 0 0 612192. 2118.31 0.31 0.08 0.15 -1 -1 0.31 0.0281313 0.024963 118 62 29 29 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.82 vpr 64.01 MiB 0.02 6944 -1 -1 1 0.04 -1 -1 33568 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65544 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 25.5 MiB 0.14 705 9531 2665 5536 1330 64.0 MiB 0.08 0.00 1.99938 -68.6713 -1.99938 1.99938 1.20 0.000416498 0.000376504 0.0266965 0.0242411 26 1514 15 6.65987e+06 190170 477104. 1650.88 1.06 0.0828056 0.0728041 21682 110474 -1 1401 19 694 1006 77518 17517 1.90105 1.90105 -80.0217 -1.90105 0 0 585099. 2024.56 0.30 0.05 0.14 -1 -1 0.30 0.0199382 0.0176357 85 29 24 24 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 5.30 vpr 64.76 MiB 0.03 6996 -1 -1 1 0.04 -1 -1 34004 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 31 32 335 280 1 154 79 17 17 289 -1 unnamed_device 26.2 MiB 0.29 838 13937 5061 6934 1942 64.8 MiB 0.14 0.00 3.15104 -98.411 -3.15104 3.15104 1.20 0.000560567 0.000498558 0.0497319 0.0451824 32 2038 23 6.65987e+06 202848 554710. 1919.41 1.16 0.126822 0.11211 22834 132086 -1 1760 18 920 1396 118682 27182 3.07451 3.07451 -118.416 -3.07451 0 0 701300. 2426.64 0.36 0.07 0.16 -1 -1 0.36 0.026435 0.0237154 113 55 31 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 5.24 vpr 65.02 MiB 0.03 7092 -1 -1 1 0.04 -1 -1 33892 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 366 283 1 192 98 17 17 289 -1 unnamed_device 26.4 MiB 0.07 991 18998 5911 10297 2790 65.0 MiB 0.20 0.00 3.1409 -108.235 -3.1409 3.1409 1.23 0.00060192 0.000547328 0.0599396 0.0545195 32 2245 26 6.65987e+06 431052 554710. 1919.41 1.22 0.151577 0.134259 22834 132086 -1 2074 19 1507 2122 167727 37235 3.42797 3.42797 -129.899 -3.42797 0 0 701300. 2426.64 0.34 0.09 0.16 -1 -1 0.34 0.0309366 0.0277788 145 31 91 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 5.46 vpr 64.96 MiB 0.03 7380 -1 -1 1 0.04 -1 -1 33988 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 460 375 1 196 100 17 17 289 -1 unnamed_device 26.9 MiB 0.41 1120 16340 4492 10058 1790 65.0 MiB 0.18 0.00 2.73064 -99.5078 -2.73064 2.73064 1.18 0.000872598 0.000797476 0.056637 0.0512584 32 2773 23 6.65987e+06 456408 554710. 1919.41 1.21 0.16427 0.144787 22834 132086 -1 2440 21 1647 2567 205207 45439 3.48825 3.48825 -123.281 -3.48825 0 0 701300. 2426.64 0.34 0.10 0.15 -1 -1 0.34 0.0375399 0.0331897 149 108 0 0 125 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 5.01 vpr 63.75 MiB 0.02 7068 -1 -1 1 0.03 -1 -1 34208 -1 -1 17 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65276 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 25.4 MiB 0.22 544 11293 3626 6689 978 63.7 MiB 0.09 0.00 2.01838 -58.8962 -2.01838 2.01838 1.22 0.000367403 0.00033125 0.0292646 0.0265381 32 1243 16 6.65987e+06 215526 554710. 1919.41 1.09 0.077378 0.0681776 22834 132086 -1 1152 12 507 783 60774 14039 1.92285 1.92285 -68.7151 -1.92285 0 0 701300. 2426.64 0.36 0.04 0.17 -1 -1 0.36 0.0134955 0.012151 77 21 26 26 22 22 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 5.09 vpr 64.83 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33680 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 333 251 1 187 84 17 17 289 -1 unnamed_device 26.2 MiB 0.16 1100 7770 1980 5307 483 64.8 MiB 0.10 0.00 3.26284 -110.335 -3.26284 3.26284 1.17 0.000603248 0.000550091 0.0285223 0.0259994 28 2588 25 6.65987e+06 253560 500653. 1732.36 1.32 0.123975 0.1097 21970 115934 -1 2305 20 1612 2763 187546 43352 3.94891 3.94891 -138.124 -3.94891 0 0 612192. 2118.31 0.30 0.08 0.13 -1 -1 0.30 0.0279008 0.0249828 137 -1 122 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.76 vpr 64.02 MiB 0.02 6668 -1 -1 1 0.03 -1 -1 33780 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65560 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 25.5 MiB 0.04 737 11650 3536 6416 1698 64.0 MiB 0.09 0.00 1.74527 -67.3368 -1.74527 1.74527 1.21 0.000382933 0.000347863 0.0295826 0.026838 32 1541 16 6.65987e+06 164814 554710. 1919.41 1.08 0.0774029 0.0681898 22834 132086 -1 1379 20 668 884 72992 16710 1.78085 1.78085 -82.0808 -1.78085 0 0 701300. 2426.64 0.37 0.05 0.16 -1 -1 0.37 0.0190651 0.0169802 81 -1 53 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 5.06 vpr 65.11 MiB 0.03 7004 -1 -1 1 0.04 -1 -1 34036 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 376 288 1 194 97 17 17 289 -1 unnamed_device 26.6 MiB 0.06 1080 19189 6181 10709 2299 65.1 MiB 0.20 0.00 3.3241 -116.455 -3.3241 3.3241 1.13 0.00058995 0.000537312 0.05898 0.0535662 32 2574 21 6.65987e+06 418374 554710. 1919.41 1.24 0.153624 0.13647 22834 132086 -1 2161 22 1943 2895 193364 45869 3.75457 3.75457 -140.366 -3.75457 0 0 701300. 2426.64 0.34 0.11 0.14 -1 -1 0.34 0.0388353 0.034822 151 21 96 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 5.27 vpr 64.89 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 33832 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 337 253 1 196 99 17 17 289 -1 unnamed_device 26.2 MiB 0.17 1101 11955 3170 7905 880 64.9 MiB 0.14 0.00 2.66064 -97.5546 -2.66064 2.66064 1.21 0.000671855 0.000607885 0.0370883 0.0336865 32 2458 22 6.65987e+06 443730 554710. 1919.41 1.24 0.125237 0.110655 22834 132086 -1 2160 19 1461 2380 165491 39028 2.76151 2.76151 -114.255 -2.76151 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0322113 0.0289507 150 -1 124 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 7.18 vpr 64.81 MiB 0.03 7352 -1 -1 1 0.04 -1 -1 34120 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 407 319 1 197 99 17 17 289 -1 unnamed_device 26.5 MiB 0.16 1144 10815 2697 7451 667 64.8 MiB 0.13 0.00 3.07644 -110.15 -3.07644 3.07644 1.17 0.000719109 0.000651749 0.0363673 0.0329519 36 2382 33 6.65987e+06 443730 612192. 2118.31 3.09 0.224297 0.197343 23410 145293 -1 2130 21 1753 2891 187936 43276 3.41085 3.41085 -135.02 -3.41085 0 0 782063. 2706.10 0.40 0.10 0.18 -1 -1 0.40 0.0349414 0.0311957 153 54 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 5.02 vpr 64.34 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 34032 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 32 32 294 246 1 149 79 17 17 289 -1 unnamed_device 25.8 MiB 0.07 766 8022 1881 5885 256 64.3 MiB 0.08 0.00 2.30182 -81.4786 -2.30182 2.30182 1.20 0.000523246 0.00047183 0.0271048 0.0245827 32 1973 18 6.65987e+06 190170 554710. 1919.41 1.18 0.0997189 0.0878112 22834 132086 -1 1697 19 1050 1676 140495 33168 2.68571 2.68571 -101.641 -2.68571 0 0 701300. 2426.64 0.36 0.08 0.17 -1 -1 0.36 0.0272415 0.0244301 106 31 54 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 5.07 vpr 64.41 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 33612 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 26.1 MiB 0.14 838 12506 5226 6847 433 64.4 MiB 0.12 0.00 2.8721 -96.5836 -2.8721 2.8721 1.17 0.000516002 0.00046761 0.039783 0.0360074 32 1865 21 6.65987e+06 240882 554710. 1919.41 1.18 0.111369 0.0982044 22834 132086 -1 1671 19 1249 1851 138464 31560 2.95717 2.95717 -112.908 -2.95717 0 0 701300. 2426.64 0.35 0.07 0.17 -1 -1 0.35 0.0251142 0.0224465 115 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 5.07 vpr 64.43 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 33832 -1 -1 20 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 25.9 MiB 0.16 798 8508 2294 5421 793 64.4 MiB 0.09 0.00 2.7097 -86.4171 -2.7097 2.7097 1.17 0.000513983 0.000467067 0.0272619 0.024852 32 2003 25 6.65987e+06 253560 554710. 1919.41 1.19 0.0981568 0.0863833 22834 132086 -1 1733 17 1117 1880 140096 33250 3.13717 3.13717 -111.878 -3.13717 0 0 701300. 2426.64 0.34 0.07 0.17 -1 -1 0.34 0.023871 0.0213512 107 27 56 28 28 28 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.84 vpr 64.44 MiB 0.02 7068 -1 -1 1 0.04 -1 -1 33968 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 26.1 MiB 0.13 776 5778 1112 4477 189 64.4 MiB 0.07 0.00 2.7647 -96.8353 -2.7647 2.7647 1.14 0.000536792 0.000485465 0.0197743 0.0179778 32 2165 21 6.65987e+06 228204 554710. 1919.41 1.21 0.0930944 0.0818416 22834 132086 -1 1872 20 1473 2326 173280 39665 3.07831 3.07831 -120.109 -3.07831 0 0 701300. 2426.64 0.34 0.08 0.15 -1 -1 0.34 0.0255455 0.0227662 125 -1 96 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.79 vpr 64.80 MiB 0.02 6916 -1 -1 1 0.04 -1 -1 33740 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 26.4 MiB 0.09 771 11383 3075 7214 1094 64.8 MiB 0.11 0.00 2.57058 -87.584 -2.57058 2.57058 1.12 0.000497088 0.000448991 0.0297231 0.0269481 28 2127 21 6.65987e+06 393018 500653. 1732.36 1.19 0.107629 0.0948505 21970 115934 -1 1847 18 1136 1775 124271 29609 2.66525 2.66525 -107.756 -2.66525 0 0 612192. 2118.31 0.30 0.07 0.13 -1 -1 0.30 0.0238898 0.0212402 119 26 61 31 31 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.95 vpr 64.59 MiB 0.02 6976 -1 -1 1 0.04 -1 -1 33720 -1 -1 30 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 29 32 312 264 1 154 91 17 17 289 -1 unnamed_device 26.1 MiB 0.27 867 12943 3555 7843 1545 64.6 MiB 0.12 0.00 2.24264 -75.5647 -2.24264 2.24264 1.14 0.000513059 0.000454411 0.0344088 0.0308643 32 1850 20 6.65987e+06 380340 554710. 1919.41 1.08 0.100267 0.0878475 22834 132086 -1 1660 19 1044 1743 117788 28305 2.41691 2.41691 -90.2119 -2.41691 0 0 701300. 2426.64 0.34 0.07 0.16 -1 -1 0.34 0.0259484 0.0230461 109 55 29 29 57 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 6.06 vpr 65.08 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 34092 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 423 310 1 229 103 17 17 289 -1 unnamed_device 26.9 MiB 0.37 1187 10466 2263 7613 590 65.1 MiB 0.13 0.00 3.41716 -117.409 -3.41716 3.41716 1.19 0.000795157 0.000718624 0.0354176 0.032095 30 2991 31 6.65987e+06 494442 526063. 1820.29 1.86 0.155032 0.137304 22546 126617 -1 2187 21 1562 2706 149188 34120 3.48703 3.48703 -136.832 -3.48703 0 0 666494. 2306.21 0.33 0.09 0.15 -1 -1 0.33 0.0364945 0.0327246 179 26 128 32 27 27 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 5.09 vpr 64.70 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 33988 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 403 317 1 198 99 17 17 289 -1 unnamed_device 26.5 MiB 0.31 1008 11499 2972 7414 1113 64.7 MiB 0.12 0.00 2.7849 -97.5775 -2.7849 2.7849 1.13 0.000639321 0.000571279 0.0356994 0.032184 32 2339 23 6.65987e+06 443730 554710. 1919.41 1.14 0.123282 0.108108 22834 132086 -1 2031 18 1722 2623 169895 40616 2.86197 2.86197 -115.84 -2.86197 0 0 701300. 2426.64 0.34 0.08 0.14 -1 -1 0.34 0.0279313 0.0250034 152 62 62 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 5.36 vpr 64.59 MiB 0.03 7036 -1 -1 1 0.04 -1 -1 34304 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 31 32 353 302 1 156 91 17 17 289 -1 unnamed_device 26.0 MiB 0.42 865 8251 1890 5788 573 64.6 MiB 0.09 0.00 2.46718 -88.0621 -2.46718 2.46718 1.19 0.000566412 0.000510031 0.0262108 0.0237458 32 2034 22 6.65987e+06 354984 554710. 1919.41 1.17 0.102463 0.0896496 22834 132086 -1 1758 18 1033 1615 112634 26721 2.47905 2.47905 -104.444 -2.47905 0 0 701300. 2426.64 0.34 0.07 0.17 -1 -1 0.34 0.0263904 0.0235399 113 77 0 0 89 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 5.50 vpr 65.09 MiB 0.03 7404 -1 -1 1 0.04 -1 -1 33908 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 391 309 1 194 84 17 17 289 -1 unnamed_device 26.4 MiB 0.32 1065 12894 3634 7777 1483 65.1 MiB 0.16 0.00 2.8911 -99.0566 -2.8911 2.8911 1.21 0.000656761 0.000594024 0.0487726 0.0441545 32 2521 33 6.65987e+06 266238 554710. 1919.41 1.29 0.154152 0.135997 22834 132086 -1 2209 22 1694 2865 188877 47060 2.78757 2.78757 -113.122 -2.78757 0 0 701300. 2426.64 0.35 0.10 0.17 -1 -1 0.35 0.0352255 0.0314625 148 59 60 30 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 5.57 vpr 64.85 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 34120 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 31 32 455 371 1 193 84 17 17 289 -1 unnamed_device 26.5 MiB 0.43 1117 9600 2223 6617 760 64.9 MiB 0.12 0.00 3.84744 -114.331 -3.84744 3.84744 1.20 0.000685744 0.000616885 0.0404685 0.0367532 32 2759 25 6.65987e+06 266238 554710. 1919.41 1.27 0.144415 0.127302 22834 132086 -1 2352 23 1407 2395 198265 44524 3.73691 3.73691 -139.353 -3.73691 0 0 701300. 2426.64 0.35 0.10 0.17 -1 -1 0.35 0.0382923 0.034054 149 111 0 0 124 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 5.63 vpr 65.18 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33720 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 31 32 413 333 1 188 84 17 17 289 -1 unnamed_device 26.6 MiB 0.57 1038 15456 4621 9030 1805 65.2 MiB 0.18 0.00 3.8015 -109.342 -3.8015 3.8015 1.19 0.000759644 0.000678783 0.0620439 0.0562688 30 2368 20 6.65987e+06 266238 526063. 1820.29 1.20 0.152796 0.135389 22546 126617 -1 1944 18 975 1592 92881 21311 3.44251 3.44251 -125.628 -3.44251 0 0 666494. 2306.21 0.34 0.07 0.16 -1 -1 0.34 0.0305541 0.0272474 143 86 31 31 89 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 5.05 vpr 64.47 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 33872 -1 -1 33 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66016 31 32 391 309 1 193 96 17 17 289 -1 unnamed_device 26.3 MiB 0.28 1021 17616 4838 10803 1975 64.5 MiB 0.18 0.00 2.69764 -93.2733 -2.69764 2.69764 1.12 0.000583614 0.000521854 0.052133 0.0465991 30 2166 20 6.65987e+06 418374 526063. 1820.29 1.14 0.138979 0.121789 22546 126617 -1 1934 18 1374 2299 122578 29317 2.64251 2.64251 -105.205 -2.64251 0 0 666494. 2306.21 0.33 0.07 0.14 -1 -1 0.33 0.0299063 0.0267074 146 58 60 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 6.76 vpr 64.75 MiB 0.03 7064 -1 -1 1 0.04 -1 -1 34164 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 407 319 1 198 99 17 17 289 -1 unnamed_device 26.5 MiB 0.15 1101 8535 1820 6000 715 64.8 MiB 0.10 0.00 3.07644 -111.551 -3.07644 3.07644 1.17 0.000637428 0.000581238 0.0277726 0.0251779 26 3000 28 6.65987e+06 443730 477104. 1650.88 2.88 0.141029 0.124325 21682 110474 -1 2639 25 2152 3206 270762 59803 3.72531 3.72531 -146.222 -3.72531 0 0 585099. 2024.56 0.29 0.13 0.14 -1 -1 0.29 0.0401956 0.0356001 154 42 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.81 vpr 65.32 MiB 0.03 7388 -1 -1 1 0.04 -1 -1 34232 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 32 32 496 380 1 232 104 17 17 289 -1 unnamed_device 27.0 MiB 0.32 1177 19624 5478 11399 2747 65.3 MiB 0.21 0.00 3.2831 -114.767 -3.2831 3.2831 1.11 0.000748214 0.000673898 0.0666335 0.060199 28 3072 45 6.65987e+06 507120 500653. 1732.36 1.79 0.218079 0.193099 21970 115934 -1 2677 20 1947 3066 237106 53338 3.81057 3.81057 -145.2 -3.81057 0 0 612192. 2118.31 0.29 0.11 0.13 -1 -1 0.29 0.0376711 0.0337619 184 91 62 32 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.91 vpr 64.78 MiB 0.02 6988 -1 -1 1 0.04 -1 -1 34020 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 26.2 MiB 0.15 778 11981 4715 6434 832 64.8 MiB 0.11 0.00 2.83398 -93.9955 -2.83398 2.83398 1.14 0.000477667 0.000432364 0.0360827 0.0327049 32 2108 21 6.65987e+06 228204 554710. 1919.41 1.14 0.109492 0.0965518 22834 132086 -1 1837 24 1509 2338 208220 47221 3.02105 3.02105 -116.757 -3.02105 0 0 701300. 2426.64 0.33 0.09 0.15 -1 -1 0.33 0.0287867 0.0255105 116 24 62 31 31 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.40 vpr 64.66 MiB 0.03 7444 -1 -1 1 0.04 -1 -1 34052 -1 -1 36 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 31 32 395 311 1 196 99 17 17 289 -1 unnamed_device 26.5 MiB 0.38 983 10131 2479 7109 543 64.7 MiB 0.12 0.00 3.3069 -109.937 -3.3069 3.3069 1.19 0.000691618 0.000617119 0.0320574 0.0289895 30 2351 19 6.65987e+06 456408 526063. 1820.29 1.22 0.12057 0.105922 22546 126617 -1 2027 20 1383 2274 124020 29509 3.44817 3.44817 -131.236 -3.44817 0 0 666494. 2306.21 0.33 0.08 0.16 -1 -1 0.33 0.0332741 0.0297579 150 59 62 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 5.76 vpr 64.69 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 33784 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 32 32 397 313 1 196 97 17 17 289 -1 unnamed_device 26.4 MiB 0.17 1199 15415 4784 9240 1391 64.7 MiB 0.18 0.00 2.90504 -98.2267 -2.90504 2.90504 1.17 0.000680471 0.000614857 0.0496298 0.0448874 28 2850 20 6.65987e+06 418374 500653. 1732.36 1.74 0.146077 0.129127 21970 115934 -1 2534 20 1573 2826 210933 46668 2.77471 2.77471 -115.636 -2.77471 0 0 612192. 2118.31 0.31 0.11 0.15 -1 -1 0.31 0.036496 0.0326602 148 54 62 32 62 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 5.72 vpr 65.05 MiB 0.04 7072 -1 -1 1 0.04 -1 -1 33692 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 345 257 1 194 84 17 17 289 -1 unnamed_device 26.3 MiB 0.18 992 9234 2503 6027 704 65.0 MiB 0.12 0.00 3.30796 -113.956 -3.30796 3.30796 1.12 0.000659649 0.000600188 0.0353406 0.032145 28 2701 24 6.65987e+06 253560 500653. 1732.36 1.90 0.137748 0.122559 21970 115934 -1 2369 22 1773 3292 262300 57548 4.00717 4.00717 -147.171 -4.00717 0 0 612192. 2118.31 0.31 0.11 0.14 -1 -1 0.31 0.0355951 0.0319377 150 -1 128 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.44 vpr 65.24 MiB 0.03 7444 -1 -1 1 0.04 -1 -1 34004 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 424 343 1 190 98 17 17 289 -1 unnamed_device 26.6 MiB 0.42 1056 13823 3931 8985 907 65.2 MiB 0.15 0.00 2.54238 -94.3834 -2.54238 2.54238 1.17 0.000670745 0.000606151 0.0450612 0.0406525 32 2484 22 6.65987e+06 431052 554710. 1919.41 1.20 0.135192 0.118813 22834 132086 -1 2131 21 1526 2229 162115 37510 2.70625 2.70625 -114.961 -2.70625 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0354573 0.0316533 145 81 25 25 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 5.43 vpr 64.71 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 34020 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 395 311 1 194 99 17 17 289 -1 unnamed_device 26.5 MiB 0.41 1091 11727 3283 7547 897 64.7 MiB 0.13 0.00 2.7537 -99.7404 -2.7537 2.7537 1.18 0.000737205 0.000666934 0.0372293 0.0336157 32 2524 22 6.65987e+06 443730 554710. 1919.41 1.19 0.125361 0.110112 22834 132086 -1 2291 19 1380 2291 167081 38224 3.00717 3.00717 -118.547 -3.00717 0 0 701300. 2426.64 0.35 0.09 0.16 -1 -1 0.35 0.0334672 0.0299399 146 58 64 32 60 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 5.04 vpr 64.95 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 34140 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 405 318 1 200 101 17 17 289 -1 unnamed_device 26.7 MiB 0.29 1116 13966 3741 9079 1146 64.9 MiB 0.15 0.00 2.63244 -96.5003 -2.63244 2.63244 1.12 0.00062846 0.000568806 0.0416449 0.0375572 28 2509 21 6.65987e+06 469086 500653. 1732.36 1.13 0.130788 0.114846 21970 115934 -1 2300 22 1789 2852 205170 46224 2.60651 2.60651 -113.129 -2.60651 0 0 612192. 2118.31 0.30 0.10 0.13 -1 -1 0.30 0.0345462 0.030587 155 61 63 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 5.21 vpr 64.74 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 34128 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 26.5 MiB 0.07 1090 17199 4883 10353 1963 64.7 MiB 0.17 0.00 3.1757 -113.179 -3.1757 3.1757 1.15 0.000602659 0.000550788 0.0485146 0.0439907 28 2750 35 6.65987e+06 443730 500653. 1732.36 1.43 0.15941 0.14143 21970 115934 -1 2380 20 1705 2824 212579 47646 3.68651 3.68651 -144.362 -3.68651 0 0 612192. 2118.31 0.30 0.10 0.13 -1 -1 0.30 0.0316019 0.0282484 150 21 96 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.75 vpr 64.71 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34204 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 26.4 MiB 0.20 904 16316 4604 8863 2849 64.7 MiB 0.17 0.00 3.11564 -107.958 -3.11564 3.11564 1.20 0.00068281 0.000616368 0.0493287 0.0445637 28 2727 25 6.65987e+06 469086 500653. 1732.36 1.67 0.156695 0.138773 21970 115934 -1 2221 23 2021 3157 245400 56580 3.71131 3.71131 -143.15 -3.71131 0 0 612192. 2118.31 0.31 0.12 0.14 -1 -1 0.31 0.0375011 0.0333909 153 50 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 8.68 vpr 65.11 MiB 0.03 7572 -1 -1 1 0.04 -1 -1 34216 -1 -1 34 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 31 32 449 367 1 193 97 17 17 289 -1 unnamed_device 26.7 MiB 0.48 1015 10975 2577 7765 633 65.1 MiB 0.13 0.00 3.40198 -101.199 -3.40198 3.40198 1.19 0.000727444 0.000655276 0.0394074 0.0356705 26 3477 30 6.65987e+06 431052 477104. 1650.88 4.37 0.159597 0.140796 21682 110474 -1 2572 24 1839 3137 279668 70033 3.58025 3.58025 -131.207 -3.58025 0 0 585099. 2024.56 0.30 0.13 0.14 -1 -1 0.30 0.0413926 0.0367521 145 110 0 0 122 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.92 vpr 65.25 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 33872 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 432 346 1 195 84 17 17 289 -1 unnamed_device 26.6 MiB 0.30 1014 10149 2787 6727 635 65.2 MiB 0.13 0.00 3.16978 -102.067 -3.16978 3.16978 1.14 0.000685004 0.000604646 0.0408628 0.0370838 26 3185 26 6.65987e+06 253560 477104. 1650.88 1.92 0.161369 0.142509 21682 110474 -1 2493 25 2008 3611 274589 65011 3.75845 3.75845 -136.772 -3.75845 0 0 585099. 2024.56 0.30 0.12 0.12 -1 -1 0.30 0.0409639 0.0361491 149 86 32 32 94 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 5.09 vpr 64.66 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34280 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 312 255 1 166 94 17 17 289 -1 unnamed_device 26.4 MiB 0.07 792 9679 2255 6267 1157 64.7 MiB 0.09 0.00 2.64858 -92.0476 -2.64858 2.64858 1.19 0.000554305 0.000499057 0.0273539 0.0247711 32 2407 24 6.65987e+06 380340 554710. 1919.41 1.24 0.105884 0.0930601 22834 132086 -1 1897 23 1424 2250 184605 45342 2.88365 2.88365 -116.125 -2.88365 0 0 701300. 2426.64 0.34 0.10 0.16 -1 -1 0.34 0.0299308 0.0265796 124 20 63 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 5.22 vpr 64.76 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33796 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 26.1 MiB 0.34 697 13788 3172 9066 1550 64.8 MiB 0.12 0.00 2.66064 -91.9052 -2.66064 2.66064 1.11 0.000598306 0.000542989 0.0468531 0.0424354 32 2246 26 6.65987e+06 228204 554710. 1919.41 1.26 0.139583 0.123242 22834 132086 -1 1724 24 1451 2273 174824 42285 3.10531 3.10531 -116.989 -3.10531 0 0 701300. 2426.64 0.32 0.09 0.16 -1 -1 0.32 0.0313215 0.0276322 121 91 0 0 94 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 5.50 vpr 65.01 MiB 0.03 7288 -1 -1 1 0.04 -1 -1 33976 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 469 351 1 233 104 17 17 289 -1 unnamed_device 26.8 MiB 0.20 1291 12304 2912 7951 1441 65.0 MiB 0.16 0.00 3.7011 -128.789 -3.7011 3.7011 1.21 0.000760359 0.000684324 0.0460125 0.0418908 30 2806 23 6.65987e+06 507120 526063. 1820.29 1.39 0.164606 0.145777 22546 126617 -1 2340 21 2091 3532 181076 43690 4.02217 4.02217 -151.189 -4.02217 0 0 666494. 2306.21 0.33 0.11 0.15 -1 -1 0.33 0.0408529 0.0366665 187 53 96 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 5.37 vpr 65.05 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34112 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 368 284 1 194 95 17 17 289 -1 unnamed_device 26.5 MiB 0.29 1128 12623 3257 8134 1232 65.1 MiB 0.15 0.00 2.9131 -105.213 -2.9131 2.9131 1.21 0.000655855 0.000591861 0.0410693 0.0371576 32 2324 22 6.65987e+06 393018 554710. 1919.41 1.18 0.127747 0.112611 22834 132086 -1 2193 21 1536 2362 153054 36891 2.92317 2.92317 -122.889 -2.92317 0 0 701300. 2426.64 0.34 0.09 0.17 -1 -1 0.34 0.0335427 0.0300457 146 31 92 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 6.43 vpr 64.70 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 33776 -1 -1 30 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 30 32 296 244 1 158 92 17 17 289 -1 unnamed_device 26.3 MiB 0.13 716 10235 2391 7349 495 64.7 MiB 0.11 0.00 2.8079 -91.9139 -2.8079 2.8079 1.18 0.00060792 0.000545456 0.0293179 0.0266759 26 2484 45 6.65987e+06 380340 477104. 1650.88 2.60 0.132643 0.116588 21682 110474 -1 1828 28 1556 2374 213073 62524 3.04817 3.04817 -117.795 -3.04817 0 0 585099. 2024.56 0.30 0.11 0.13 -1 -1 0.30 0.0315439 0.0277573 115 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 6.11 vpr 65.05 MiB 0.03 7696 -1 -1 1 0.05 -1 -1 34580 -1 -1 43 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 531 413 1 232 107 17 17 289 -1 unnamed_device 26.7 MiB 0.78 1090 14781 3819 9258 1704 65.1 MiB 0.17 0.00 3.7711 -126.43 -3.7711 3.7711 1.16 0.000911191 0.00082782 0.0541706 0.0491281 32 3169 25 6.65987e+06 545154 554710. 1919.41 1.40 0.179474 0.158925 22834 132086 -1 2543 26 2737 3974 299290 67928 4.41077 4.41077 -156.889 -4.41077 0 0 701300. 2426.64 0.34 0.14 0.15 -1 -1 0.34 0.0491653 0.0435251 186 109 32 32 128 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 5.45 vpr 64.38 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34060 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 32 32 376 288 1 194 100 17 17 289 -1 unnamed_device 26.2 MiB 0.32 1075 13788 3380 9064 1344 64.4 MiB 0.14 0.00 3.30796 -116.474 -3.30796 3.30796 1.20 0.00074902 0.000684841 0.0419623 0.0379355 32 2520 21 6.65987e+06 456408 554710. 1919.41 1.23 0.133543 0.117936 22834 132086 -1 2207 21 1735 2527 187291 42802 3.67243 3.67243 -141.662 -3.67243 0 0 701300. 2426.64 0.35 0.10 0.17 -1 -1 0.35 0.0345498 0.0309443 151 31 96 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 5.18 vpr 64.46 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 34040 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 32 32 283 225 1 164 95 17 17 289 -1 unnamed_device 26.1 MiB 0.07 892 18671 5874 10331 2466 64.5 MiB 0.17 0.00 2.8299 -101.546 -2.8299 2.8299 1.22 0.000529166 0.000479026 0.0481613 0.0435595 32 2096 21 6.65987e+06 393018 554710. 1919.41 1.21 0.118725 0.105077 22834 132086 -1 1914 24 1617 2538 197370 44400 3.05797 3.05797 -121.349 -3.05797 0 0 701300. 2426.64 0.34 0.10 0.16 -1 -1 0.34 0.0296484 0.0263528 123 -1 96 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.60 vpr 65.30 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 34164 -1 -1 41 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 438 320 1 235 105 17 17 289 -1 unnamed_device 27.0 MiB 0.24 1465 19618 5656 11904 2058 65.3 MiB 0.22 0.00 3.87216 -135.44 -3.87216 3.87216 1.16 0.00088849 0.000817733 0.0626861 0.057011 32 3480 29 6.65987e+06 519798 554710. 1919.41 1.43 0.186088 0.165384 22834 132086 -1 3061 23 2542 4129 364851 78385 4.67123 4.67123 -174.816 -4.67123 0 0 701300. 2426.64 0.34 0.15 0.15 -1 -1 0.34 0.0446843 0.0399796 188 26 128 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 5.17 vpr 64.73 MiB 0.03 6908 -1 -1 1 0.04 -1 -1 33952 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 283 225 1 162 80 17 17 289 -1 unnamed_device 25.9 MiB 0.17 872 11948 3634 6430 1884 64.7 MiB 0.12 0.00 2.7537 -99.1188 -2.7537 2.7537 1.20 0.000526002 0.000475285 0.0394003 0.0356139 32 2146 21 6.65987e+06 202848 554710. 1919.41 1.20 0.110905 0.0977799 22834 132086 -1 1896 21 1496 2419 195544 44733 3.08417 3.08417 -120.666 -3.08417 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0288607 0.0258031 121 -1 96 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 5.10 vpr 64.59 MiB 0.03 7052 -1 -1 1 0.04 -1 -1 33892 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 30 32 296 244 1 157 93 17 17 289 -1 unnamed_device 26.1 MiB 0.29 773 15423 5394 7859 2170 64.6 MiB 0.14 0.00 2.8299 -94.4258 -2.8299 2.8299 1.18 0.000499391 0.000450367 0.0407533 0.0368948 30 1826 20 6.65987e+06 393018 526063. 1820.29 1.10 0.112701 0.099567 22546 126617 -1 1546 20 966 1468 79619 19140 2.90777 2.90777 -110.176 -2.90777 0 0 666494. 2306.21 0.33 0.06 0.14 -1 -1 0.33 0.0246067 0.0219181 113 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 6.78 vpr 64.80 MiB 0.03 7488 -1 -1 1 0.04 -1 -1 34152 -1 -1 33 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 29 32 393 319 1 182 94 17 17 289 -1 unnamed_device 26.3 MiB 0.38 1030 10744 2666 7237 841 64.8 MiB 0.13 0.00 2.7969 -89.882 -2.7969 2.7969 1.19 0.000865412 0.000784495 0.0357559 0.0322766 26 2961 46 6.65987e+06 418374 477104. 1650.88 2.72 0.176112 0.155715 21682 110474 -1 2319 21 1594 2665 197118 45582 3.06217 3.06217 -113.582 -3.06217 0 0 585099. 2024.56 0.29 0.10 0.13 -1 -1 0.29 0.0363926 0.0324342 133 81 29 29 85 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 5.34 vpr 64.99 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 34092 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 407 319 1 194 84 17 17 289 -1 unnamed_device 26.5 MiB 0.16 947 9234 2430 6025 779 65.0 MiB 0.11 0.00 3.2179 -112.354 -3.2179 3.2179 1.22 0.000751134 0.000682496 0.0376868 0.0342285 32 2415 24 6.65987e+06 253560 554710. 1919.41 1.27 0.138193 0.122022 22834 132086 -1 2045 20 1871 2769 207808 48620 3.75457 3.75457 -142.785 -3.75457 0 0 701300. 2426.64 0.35 0.10 0.17 -1 -1 0.35 0.0351176 0.0315174 151 53 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 5.99 vpr 65.24 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 33996 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 407 319 1 195 98 17 17 289 -1 unnamed_device 26.6 MiB 0.60 1042 18548 5868 10140 2540 65.2 MiB 0.20 0.00 3.3721 -116.896 -3.3721 3.3721 1.21 0.000775697 0.00070175 0.0564774 0.0511386 32 2813 25 6.65987e+06 431052 554710. 1919.41 1.38 0.163979 0.145379 22834 132086 -1 2454 25 2122 3566 270871 62521 3.84477 3.84477 -145.252 -3.84477 0 0 701300. 2426.64 0.36 0.13 0.17 -1 -1 0.36 0.0408993 0.0364339 152 55 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 5.38 vpr 64.98 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 33956 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 345 287 1 161 94 17 17 289 -1 unnamed_device 26.3 MiB 0.30 810 15217 5023 7887 2307 65.0 MiB 0.15 0.00 2.74164 -96.8554 -2.74164 2.74164 1.21 0.000586678 0.000529553 0.0463957 0.0420421 30 2006 19 6.65987e+06 380340 526063. 1820.29 1.24 0.127915 0.113209 22546 126617 -1 1592 20 1096 1615 96153 22399 2.57911 2.57911 -107.32 -2.57911 0 0 666494. 2306.21 0.33 0.07 0.15 -1 -1 0.33 0.029481 0.0263228 120 55 32 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 5.43 vpr 64.61 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 33920 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 25.8 MiB 0.39 826 12636 3818 6741 2077 64.6 MiB 0.13 0.00 2.74778 -88.6721 -2.74778 2.74778 1.24 0.00060336 0.000545644 0.0478843 0.0433263 32 2012 20 6.65987e+06 215526 554710. 1919.41 1.19 0.126543 0.111552 22834 132086 -1 1778 20 1076 1914 141503 33328 2.62725 2.62725 -105.399 -2.62725 0 0 701300. 2426.64 0.36 0.08 0.17 -1 -1 0.36 0.0310665 0.0278528 109 82 0 0 89 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 5.43 vpr 64.80 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 33860 -1 -1 33 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 30 32 374 297 1 186 95 17 17 289 -1 unnamed_device 26.3 MiB 0.28 995 16511 4643 9288 2580 64.8 MiB 0.17 0.00 2.61044 -87.9362 -2.61044 2.61044 1.23 0.000626282 0.000566438 0.0517852 0.0468848 32 2400 21 6.65987e+06 418374 554710. 1919.41 1.22 0.13764 0.121485 22834 132086 -1 2137 20 1310 2088 170442 38055 2.82371 2.82371 -110.573 -2.82371 0 0 701300. 2426.64 0.34 0.09 0.17 -1 -1 0.34 0.0339805 0.0303151 137 52 60 30 57 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 5.30 vpr 64.85 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 33984 -1 -1 31 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 28 32 332 260 1 180 91 17 17 289 -1 unnamed_device 26.1 MiB 0.13 895 15391 5167 7522 2702 64.8 MiB 0.16 0.00 3.41304 -100.596 -3.41304 3.41304 1.22 0.000599394 0.000540186 0.0483718 0.0437926 28 2187 20 6.65987e+06 393018 500653. 1732.36 1.37 0.132771 0.117781 21970 115934 -1 1973 23 1430 2238 180740 39267 3.53537 3.53537 -121.433 -3.53537 0 0 612192. 2118.31 0.30 0.09 0.15 -1 -1 0.30 0.0304759 0.0269847 133 20 84 28 28 28 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 5.33 vpr 64.62 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 33728 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 30 32 325 273 1 157 80 17 17 289 -1 unnamed_device 25.8 MiB 0.42 788 13668 4419 7145 2104 64.6 MiB 0.14 0.00 2.8131 -94.711 -2.8131 2.8131 1.19 0.000586408 0.000536897 0.0468954 0.0427288 32 1950 18 6.65987e+06 228204 554710. 1919.41 1.17 0.125621 0.111548 22834 132086 -1 1728 21 1391 2305 173087 40097 2.92497 2.92497 -111.119 -2.92497 0 0 701300. 2426.64 0.35 0.08 0.15 -1 -1 0.35 0.0284298 0.0251874 114 58 30 30 60 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 5.27 vpr 65.06 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33892 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 361 308 1 158 80 17 17 289 -1 unnamed_device 26.5 MiB 0.37 979 7992 2132 5090 770 65.1 MiB 0.10 0.00 2.72278 -89.9421 -2.72278 2.72278 1.23 0.000722092 0.000658582 0.0341185 0.030966 26 2300 24 6.65987e+06 202848 477104. 1650.88 1.15 0.118856 0.104275 21682 110474 -1 1992 20 1206 1957 143818 33369 2.68165 2.68165 -109.226 -2.68165 0 0 585099. 2024.56 0.30 0.08 0.13 -1 -1 0.30 0.0306702 0.0273833 113 88 0 0 91 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 5.63 vpr 64.71 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 33796 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 31 32 335 251 1 196 98 17 17 289 -1 unnamed_device 26.2 MiB 0.12 1005 11348 2842 7630 876 64.7 MiB 0.13 0.00 3.33845 -109.761 -3.33845 3.33845 1.17 0.000650881 0.000597506 0.0358229 0.0325995 28 2765 22 6.65987e+06 443730 500653. 1732.36 1.81 0.137917 0.122399 21970 115934 -1 2487 20 1581 2647 205494 48336 3.71643 3.71643 -140.808 -3.71643 0 0 612192. 2118.31 0.31 0.10 0.13 -1 -1 0.31 0.0328012 0.0294094 150 -1 124 31 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 5.57 vpr 64.77 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 34136 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 407 319 1 197 98 17 17 289 -1 unnamed_device 26.5 MiB 0.31 1018 13823 3824 9190 809 64.8 MiB 0.16 0.00 3.1977 -111.144 -3.1977 3.1977 1.21 0.000718961 0.000651067 0.0490388 0.0444217 32 2687 23 6.65987e+06 431052 554710. 1919.41 1.32 0.156053 0.138108 22834 132086 -1 2379 21 1954 3240 241154 56809 3.83557 3.83557 -145.652 -3.83557 0 0 701300. 2426.64 0.36 0.12 0.17 -1 -1 0.36 0.0377576 0.0339151 153 57 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 5.58 vpr 65.36 MiB 0.03 7376 -1 -1 1 0.04 -1 -1 34060 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 32 32 407 319 1 194 98 17 17 289 -1 unnamed_device 26.6 MiB 0.40 950 8648 1950 5826 872 65.4 MiB 0.11 0.00 3.40616 -114.322 -3.40616 3.40616 1.18 0.000716872 0.00065004 0.0301622 0.0274498 32 2909 24 6.65987e+06 431052 554710. 1919.41 1.35 0.13207 0.116538 22834 132086 -1 2294 24 2020 3334 240827 55799 3.86363 3.86363 -144.545 -3.86363 0 0 701300. 2426.64 0.35 0.12 0.17 -1 -1 0.35 0.0404415 0.0361102 151 62 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 6.69 vpr 64.55 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 34028 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 32 32 399 315 1 196 101 17 17 289 -1 unnamed_device 26.3 MiB 0.31 1149 10206 2542 6901 763 64.6 MiB 0.12 0.00 3.02144 -107.034 -3.02144 3.02144 1.19 0.000762867 0.000697153 0.0336043 0.0304856 26 3048 29 6.65987e+06 469086 477104. 1650.88 2.58 0.144272 0.127436 21682 110474 -1 2640 25 1995 3418 273083 61288 3.57211 3.57211 -136.78 -3.57211 0 0 585099. 2024.56 0.31 0.14 0.13 -1 -1 0.31 0.0445476 0.0395745 148 62 60 30 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 5.14 vpr 64.60 MiB 0.03 7064 -1 -1 1 0.04 -1 -1 33800 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 30 32 296 244 1 156 80 17 17 289 -1 unnamed_device 26.2 MiB 0.24 831 12980 3467 7889 1624 64.6 MiB 0.12 0.00 2.7709 -93.8065 -2.7709 2.7709 1.18 0.000504539 0.000456824 0.0419006 0.0379636 32 1906 20 6.65987e+06 228204 554710. 1919.41 1.15 0.111737 0.0986036 22834 132086 -1 1785 18 1167 1872 142645 32166 3.02797 3.02797 -115.39 -3.02797 0 0 701300. 2426.64 0.35 0.07 0.17 -1 -1 0.35 0.0251059 0.0225295 112 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 5.24 vpr 64.93 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 33860 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 30 32 383 303 1 191 84 17 17 289 -1 unnamed_device 26.1 MiB 0.30 995 11430 3243 7066 1121 64.9 MiB 0.13 0.00 3.35636 -111.946 -3.35636 3.35636 1.16 0.000649213 0.000587949 0.0422037 0.0381826 32 2468 22 6.65987e+06 278916 554710. 1919.41 1.20 0.133439 0.117396 22834 132086 -1 2120 21 1822 2715 195997 45469 3.69143 3.69143 -136.498 -3.69143 0 0 701300. 2426.64 0.35 0.09 0.16 -1 -1 0.35 0.0332547 0.0295203 145 58 60 30 60 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.55 vpr 65.02 MiB 0.03 7280 -1 -1 1 0.04 -1 -1 34148 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 469 381 1 198 103 17 17 289 -1 unnamed_device 26.9 MiB 0.40 1112 14081 3632 8964 1485 65.0 MiB 0.15 0.00 3.10658 -111.647 -3.10658 3.10658 1.20 0.000770831 0.000692794 0.0465778 0.042014 30 2478 24 6.65987e+06 494442 526063. 1820.29 1.28 0.15441 0.136172 22546 126617 -1 2154 20 1619 2618 150853 34543 3.27345 3.27345 -131.05 -3.27345 0 0 666494. 2306.21 0.34 0.09 0.17 -1 -1 0.34 0.0356185 0.0316801 154 106 0 0 128 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 5.34 vpr 64.71 MiB 0.03 7456 -1 -1 1 0.04 -1 -1 33984 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 31 32 425 341 1 189 94 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1105 12448 2918 8411 1119 64.7 MiB 0.14 0.00 3.11964 -108.017 -3.11964 3.11964 1.20 0.00074625 0.000677324 0.0444863 0.0402701 32 2518 21 6.65987e+06 393018 554710. 1919.41 1.23 0.13746 0.12133 22834 132086 -1 2201 21 1643 2606 185230 42826 3.77351 3.77351 -138.11 -3.77351 0 0 701300. 2426.64 0.34 0.10 0.17 -1 -1 0.34 0.0376063 0.0333543 146 79 31 31 93 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 5.40 vpr 65.12 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34108 -1 -1 30 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 30 32 404 328 1 182 92 17 17 289 -1 unnamed_device 26.6 MiB 0.41 1059 16445 4549 9532 2364 65.1 MiB 0.18 0.00 3.0353 -92.6195 -3.0353 3.0353 1.18 0.000646524 0.000584644 0.0556723 0.0504995 28 2437 19 6.65987e+06 380340 500653. 1732.36 1.19 0.147085 0.130411 21970 115934 -1 2163 22 1495 2567 170202 39211 3.07511 3.07511 -114.092 -3.07511 0 0 612192. 2118.31 0.31 0.09 0.14 -1 -1 0.31 0.0353361 0.0314201 136 83 26 26 90 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 5.29 vpr 65.16 MiB 0.03 7128 -1 -1 1 0.05 -1 -1 33984 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 407 319 1 198 85 17 17 289 -1 unnamed_device 26.5 MiB 0.28 1048 14593 4789 8120 1684 65.2 MiB 0.16 0.00 3.2069 -112.905 -3.2069 3.2069 1.14 0.000613671 0.000558692 0.0540722 0.0489586 32 2775 20 6.65987e+06 266238 554710. 1919.41 1.23 0.15194 0.134467 22834 132086 -1 2357 22 2078 3575 264877 60211 3.85457 3.85457 -146.328 -3.85457 0 0 701300. 2426.64 0.34 0.12 0.15 -1 -1 0.34 0.0396911 0.0354088 154 58 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 5.15 vpr 65.03 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 34136 -1 -1 34 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 29 32 387 316 1 179 95 17 17 289 -1 unnamed_device 26.3 MiB 0.25 885 10031 2322 6990 719 65.0 MiB 0.11 0.00 2.58364 -81.6689 -2.58364 2.58364 1.19 0.000701407 0.000637738 0.0322465 0.0291202 30 1719 19 6.65987e+06 431052 526063. 1820.29 1.15 0.11829 0.103806 22546 126617 -1 1497 19 987 1656 79844 19970 2.52931 2.52931 -95.7611 -2.52931 0 0 666494. 2306.21 0.33 0.06 0.15 -1 -1 0.33 0.0294024 0.0262432 134 81 26 26 85 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 5.12 vpr 64.17 MiB 0.03 6944 -1 -1 1 0.04 -1 -1 33908 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 32 32 283 225 1 157 80 17 17 289 -1 unnamed_device 25.8 MiB 0.11 871 14700 4546 8486 1668 64.2 MiB 0.14 0.00 2.7819 -99.8269 -2.7819 2.7819 1.19 0.000537695 0.000488146 0.0474008 0.0430165 32 2122 22 6.65987e+06 202848 554710. 1919.41 1.19 0.119819 0.106106 22834 132086 -1 1944 23 1442 2267 186030 43211 3.09517 3.09517 -122.207 -3.09517 0 0 701300. 2426.64 0.35 0.10 0.17 -1 -1 0.35 0.0296656 0.0263099 116 -1 96 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 5.77 vpr 64.88 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 33724 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 407 319 1 194 97 17 17 289 -1 unnamed_device 26.5 MiB 0.48 947 20077 6723 10268 3086 64.9 MiB 0.21 0.00 3.34716 -114.17 -3.34716 3.34716 1.19 0.000715594 0.000645871 0.0666627 0.0600699 32 2585 26 6.65987e+06 418374 554710. 1919.41 1.33 0.16553 0.146198 22834 132086 -1 2176 20 1821 2738 229554 51243 3.83663 3.83663 -141.097 -3.83663 0 0 701300. 2426.64 0.36 0.11 0.17 -1 -1 0.36 0.0361547 0.0323308 150 62 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 5.56 vpr 64.55 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 34072 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 407 319 1 201 85 17 17 289 -1 unnamed_device 26.3 MiB 0.34 960 17011 5208 9636 2167 64.5 MiB 0.19 0.00 3.37836 -118.46 -3.37836 3.37836 1.21 0.000659879 0.000596014 0.0657036 0.0594633 32 2585 24 6.65987e+06 266238 554710. 1919.41 1.29 0.168827 0.149678 22834 132086 -1 2156 23 2242 3326 250861 58091 3.80883 3.80883 -145.456 -3.80883 0 0 701300. 2426.64 0.34 0.11 0.17 -1 -1 0.34 0.0376531 0.0335207 157 62 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 5.11 vpr 64.64 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 33688 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 315 267 1 158 93 17 17 289 -1 unnamed_device 25.9 MiB 0.29 683 8913 2055 6351 507 64.6 MiB 0.09 0.00 2.72758 -83.2963 -2.72758 2.72758 1.16 0.000552065 0.000499454 0.0260401 0.0235889 32 2021 19 6.65987e+06 367662 554710. 1919.41 1.13 0.0945195 0.0828672 22834 132086 -1 1683 19 1076 1599 110510 28466 2.75145 2.75145 -107.38 -2.75145 0 0 701300. 2426.64 0.34 0.07 0.17 -1 -1 0.34 0.0245859 0.021874 111 47 32 32 54 27 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.94 vpr 64.20 MiB 0.02 6968 -1 -1 1 0.04 -1 -1 34132 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65744 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 25.6 MiB 0.13 696 7606 1920 4768 918 64.2 MiB 0.08 0.00 2.8519 -93.2757 -2.8519 2.8519 1.15 0.000500362 0.000453544 0.0236268 0.0215354 32 1963 20 6.65987e+06 228204 554710. 1919.41 1.16 0.0957237 0.0842766 22834 132086 -1 1750 21 1515 2415 186456 43562 2.91877 2.91877 -111.613 -2.91877 0 0 701300. 2426.64 0.35 0.09 0.15 -1 -1 0.35 0.0297096 0.0265581 118 -1 93 31 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 5.43 vpr 65.10 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 33944 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 381 303 1 188 96 17 17 289 -1 unnamed_device 26.4 MiB 0.40 990 9732 2351 6698 683 65.1 MiB 0.12 0.00 3.1709 -105.388 -3.1709 3.1709 1.18 0.000722355 0.000657262 0.0344916 0.0313434 26 2634 23 6.65987e+06 405696 477104. 1650.88 1.30 0.134389 0.118879 21682 110474 -1 2236 20 1486 2182 162598 37187 3.42611 3.42611 -130.207 -3.42611 0 0 585099. 2024.56 0.30 0.09 0.14 -1 -1 0.30 0.0320058 0.0285536 138 56 60 32 58 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 6.27 vpr 65.10 MiB 0.03 7488 -1 -1 1 0.04 -1 -1 33936 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 406 330 1 190 94 17 17 289 -1 unnamed_device 26.5 MiB 0.35 1047 12874 3129 8060 1685 65.1 MiB 0.15 0.00 3.36904 -105.145 -3.36904 3.36904 1.20 0.000729027 0.000656923 0.0441645 0.0399639 26 2986 26 6.65987e+06 380340 477104. 1650.88 2.08 0.15293 0.135455 21682 110474 -1 2476 20 1514 2462 213369 48272 3.70051 3.70051 -135.178 -3.70051 0 0 585099. 2024.56 0.30 0.10 0.14 -1 -1 0.30 0.0325859 0.0290746 134 81 28 28 88 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 6.34 vpr 65.02 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 33952 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 399 285 1 228 99 17 17 289 -1 unnamed_device 26.9 MiB 0.08 1314 19707 6354 10517 2836 65.0 MiB 0.22 0.00 3.73916 -126.758 -3.73916 3.73916 1.17 0.000637495 0.000576717 0.0632488 0.057306 34 2934 26 6.65987e+06 443730 585099. 2024.56 2.35 0.254558 0.225638 23122 138558 -1 2557 21 1937 3307 239656 54223 4.23802 4.23802 -153.071 -4.23802 0 0 742403. 2568.87 0.36 0.11 0.17 -1 -1 0.36 0.0383319 0.0343696 177 -1 156 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 5.39 vpr 64.85 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 33896 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 30 32 371 295 1 184 94 17 17 289 -1 unnamed_device 26.1 MiB 0.36 1010 13513 3458 8294 1761 64.8 MiB 0.15 0.00 2.86284 -90.969 -2.86284 2.86284 1.20 0.000633304 0.000574194 0.0431211 0.0390265 32 2285 21 6.65987e+06 405696 554710. 1919.41 1.21 0.128215 0.11306 22834 132086 -1 2074 21 1495 2288 167721 38314 2.83871 2.83871 -113.342 -2.83871 0 0 701300. 2426.64 0.34 0.09 0.16 -1 -1 0.34 0.0320798 0.0285677 136 47 60 30 56 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 5.10 vpr 64.03 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 33924 -1 -1 20 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65564 27 32 269 226 1 143 79 17 17 289 -1 unnamed_device 25.5 MiB 0.14 669 11909 4847 5461 1601 64.0 MiB 0.11 0.00 2.6767 -79.5326 -2.6767 2.6767 1.19 0.000551292 0.000498512 0.0358846 0.0324831 28 1637 17 6.65987e+06 253560 500653. 1732.36 1.25 0.104647 0.092652 21970 115934 -1 1445 21 1151 1636 127075 29269 2.77671 2.77671 -95.4599 -2.77671 0 0 612192. 2118.31 0.32 0.07 0.15 -1 -1 0.32 0.0245923 0.0218681 107 26 54 27 27 27 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 6.02 vpr 65.41 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 34108 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66984 32 32 493 378 1 232 104 17 17 289 -1 unnamed_device 27.1 MiB 0.26 1371 16208 4459 9989 1760 65.4 MiB 0.20 0.00 3.43804 -114.932 -3.43804 3.43804 1.18 0.00086652 0.000787401 0.0569149 0.0515468 28 3815 37 6.65987e+06 507120 500653. 1732.36 1.80 0.19735 0.174718 21970 115934 -1 3112 24 2375 4285 355055 77280 3.95171 3.95171 -140.843 -3.95171 0 0 612192. 2118.31 0.31 0.16 0.14 -1 -1 0.31 0.0497274 0.0443829 184 85 62 31 95 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 5.29 vpr 65.23 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 34044 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 31 32 455 371 1 189 84 17 17 289 -1 unnamed_device 26.5 MiB 0.45 987 7770 1623 5770 377 65.2 MiB 0.10 0.00 3.51179 -109.645 -3.51179 3.51179 1.15 0.00070361 0.000635792 0.0330803 0.0299794 30 2289 21 6.65987e+06 266238 526063. 1820.29 1.16 0.130168 0.114582 22546 126617 -1 1903 17 1026 1664 94942 22823 3.45791 3.45791 -130.859 -3.45791 0 0 666494. 2306.21 0.32 0.07 0.15 -1 -1 0.32 0.0300305 0.02694 144 105 0 0 124 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 5.33 vpr 64.92 MiB 0.03 6936 -1 -1 1 0.04 -1 -1 33728 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 355 304 1 151 80 17 17 289 -1 unnamed_device 26.0 MiB 0.40 738 9540 2350 6820 370 64.9 MiB 0.10 0.00 2.71178 -87.7572 -2.71178 2.71178 1.17 0.000618924 0.000563996 0.0355066 0.0322125 32 2217 25 6.65987e+06 202848 554710. 1919.41 1.21 0.120318 0.105786 22834 132086 -1 1738 21 1117 1738 141192 32886 2.75071 2.75071 -108.818 -2.75071 0 0 701300. 2426.64 0.35 0.08 0.17 -1 -1 0.35 0.0297487 0.026472 109 86 0 0 89 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 5.01 vpr 64.95 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 34112 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 364 282 1 196 96 17 17 289 -1 unnamed_device 26.4 MiB 0.12 1103 15426 3969 9166 2291 64.9 MiB 0.15 0.00 3.4743 -112.833 -3.4743 3.4743 1.16 0.000596189 0.000534741 0.0456373 0.0413383 28 2781 18 6.65987e+06 405696 500653. 1732.36 1.19 0.133646 0.118455 21970 115934 -1 2429 21 1562 2384 199856 44277 3.86577 3.86577 -140.762 -3.86577 0 0 612192. 2118.31 0.31 0.10 0.13 -1 -1 0.31 0.0347021 0.0310137 146 31 90 30 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.70 vpr 64.91 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34148 -1 -1 36 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 31 32 443 336 1 220 99 17 17 289 -1 unnamed_device 26.8 MiB 0.19 1148 19935 6578 10388 2969 64.9 MiB 0.22 0.00 3.56744 -112.237 -3.56744 3.56744 1.17 0.000700188 0.000636882 0.0642323 0.0582618 28 3190 26 6.65987e+06 456408 500653. 1732.36 1.71 0.188862 0.167646 21970 115934 -1 2540 20 1872 2791 216583 49920 3.64331 3.64331 -135.056 -3.64331 0 0 612192. 2118.31 0.30 0.11 0.13 -1 -1 0.30 0.0375149 0.033427 171 50 87 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 5.32 vpr 65.09 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 34184 -1 -1 33 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 30 32 373 297 1 186 95 17 17 289 -1 unnamed_device 26.5 MiB 0.16 1138 18023 5710 9985 2328 65.1 MiB 0.19 0.00 2.89104 -90.2693 -2.89104 2.89104 1.20 0.000622566 0.000563813 0.0563584 0.0510186 28 2679 24 6.65987e+06 418374 500653. 1732.36 1.33 0.153944 0.136778 21970 115934 -1 2354 21 1251 2299 167676 37402 3.04391 3.04391 -112.635 -3.04391 0 0 612192. 2118.31 0.32 0.09 0.15 -1 -1 0.32 0.0327416 0.0292847 134 50 58 30 58 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 12.32 vpr 64.90 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34164 -1 -1 42 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 407 319 1 201 106 17 17 289 -1 unnamed_device 26.6 MiB 0.34 1051 19106 5470 10719 2917 64.9 MiB 0.19 0.00 3.3571 -116.707 -3.3571 3.3571 1.19 0.000681728 0.000616162 0.054609 0.0492926 28 3198 31 6.65987e+06 532476 500653. 1732.36 8.08 0.277458 0.243524 21970 115934 -1 2410 23 1979 3292 252080 56910 3.67537 3.67537 -143.597 -3.67537 0 0 612192. 2118.31 0.31 0.12 0.15 -1 -1 0.31 0.0395011 0.0353623 157 61 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 5.42 vpr 64.75 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 33868 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 405 318 1 200 102 17 17 289 -1 unnamed_device 26.5 MiB 0.32 1026 17476 4896 9779 2801 64.7 MiB 0.18 0.00 2.74164 -96.7116 -2.74164 2.74164 1.20 0.000688073 0.000623579 0.0533096 0.0482107 32 2490 23 6.65987e+06 481764 554710. 1919.41 1.23 0.146334 0.128856 22834 132086 -1 2009 19 1466 2220 144016 34682 2.71871 2.71871 -108.789 -2.71871 0 0 701300. 2426.64 0.35 0.08 0.17 -1 -1 0.35 0.0325956 0.0291429 155 61 63 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 5.61 vpr 64.38 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33976 -1 -1 16 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 29 32 287 238 1 134 77 17 17 289 -1 unnamed_device 25.8 MiB 0.17 644 12628 5273 6513 842 64.4 MiB 0.11 0.00 3.0383 -87.5279 -3.0383 3.0383 1.19 0.000495323 0.000446766 0.042106 0.0381218 32 1540 28 6.65987e+06 202848 554710. 1919.41 1.70 0.139322 0.121952 22834 132086 -1 1398 23 1047 1473 118527 27966 2.88377 2.88377 -101.462 -2.88377 0 0 701300. 2426.64 0.35 0.07 0.17 -1 -1 0.35 0.0266194 0.0235394 93 28 58 29 29 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 5.11 vpr 64.62 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34156 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 334 290 1 154 81 17 17 289 -1 unnamed_device 25.8 MiB 0.39 924 10931 2647 6889 1395 64.6 MiB 0.11 0.00 2.97218 -88.7987 -2.97218 2.97218 1.15 0.000551068 0.000497313 0.0373262 0.0338071 26 2049 21 6.65987e+06 215526 477104. 1650.88 1.14 0.114581 0.100954 21682 110474 -1 1821 19 1033 1485 123393 28234 3.11131 3.11131 -109.91 -3.11131 0 0 585099. 2024.56 0.28 0.07 0.14 -1 -1 0.28 0.0250211 0.0221988 111 79 0 0 82 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.03 vpr 64.75 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 34088 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 31 32 365 281 1 196 100 17 17 289 -1 unnamed_device 26.2 MiB 0.18 925 12396 3215 7989 1192 64.7 MiB 0.13 0.00 3.64344 -108.049 -3.64344 3.64344 1.15 0.000698498 0.000638847 0.0358198 0.0324555 30 2179 23 6.65987e+06 469086 526063. 1820.29 1.18 0.126297 0.111233 22546 126617 -1 1858 20 1514 2589 136194 33054 3.41891 3.41891 -124.415 -3.41891 0 0 666494. 2306.21 0.33 0.08 0.15 -1 -1 0.33 0.0317931 0.0283884 150 29 93 31 31 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 5.50 vpr 64.52 MiB 0.03 7324 -1 -1 1 0.04 -1 -1 33824 -1 -1 31 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 26.2 MiB 0.39 665 9821 2680 6329 812 64.5 MiB 0.10 0.00 2.86104 -76.4711 -2.86104 2.86104 1.19 0.000515642 0.000465377 0.0269338 0.0243581 26 1912 23 6.65987e+06 393018 477104. 1650.88 1.47 0.105306 0.0927168 21682 110474 -1 1620 19 887 1405 92707 22639 2.73765 2.73765 -95.4616 -2.73765 0 0 585099. 2024.56 0.30 0.06 0.14 -1 -1 0.30 0.0226875 0.0201521 108 48 29 29 52 26 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 5.13 vpr 64.85 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 33596 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 314 256 1 160 80 17 17 289 -1 unnamed_device 26.0 MiB 0.25 890 14356 4857 7481 2018 64.8 MiB 0.14 0.00 2.7929 -101.474 -2.7929 2.7929 1.18 0.000515543 0.000468714 0.0460912 0.0418568 32 2116 21 6.65987e+06 202848 554710. 1919.41 1.16 0.121642 0.107645 22834 132086 -1 1883 23 1664 2766 202392 46316 2.94077 2.94077 -123.269 -2.94077 0 0 701300. 2426.64 0.35 0.09 0.16 -1 -1 0.35 0.030239 0.0268284 119 31 64 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 5.77 vpr 65.17 MiB 0.03 7308 -1 -1 1 0.04 -1 -1 34040 -1 -1 36 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 31 32 387 307 1 189 99 17 17 289 -1 unnamed_device 26.4 MiB 0.31 881 11499 2525 8371 603 65.2 MiB 0.13 0.00 2.8501 -95.7848 -2.8501 2.8501 1.21 0.000705938 0.000630445 0.0369767 0.0333507 26 2395 25 6.65987e+06 456408 477104. 1650.88 1.66 0.136703 0.120548 21682 110474 -1 2096 23 1743 2464 202749 57875 3.14817 3.14817 -122.139 -3.14817 0 0 585099. 2024.56 0.30 0.11 0.13 -1 -1 0.30 0.0368935 0.0327955 142 60 58 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 5.29 vpr 64.68 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 34004 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 31 32 308 262 1 148 79 17 17 289 -1 unnamed_device 25.9 MiB 0.39 864 11740 3617 6446 1677 64.7 MiB 0.11 0.00 2.49487 -81.7494 -2.49487 2.49487 1.21 0.000548963 0.000496401 0.0397748 0.0360044 32 1958 18 6.65987e+06 202848 554710. 1919.41 1.13 0.10746 0.0945981 22834 132086 -1 1700 21 1008 1697 123048 28315 2.56625 2.56625 -99.6698 -2.56625 0 0 701300. 2426.64 0.34 0.07 0.17 -1 -1 0.34 0.0268426 0.0238662 105 49 31 31 53 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 8.33 vpr 65.11 MiB 0.03 7080 -1 -1 1 0.04 -1 -1 34004 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 383 307 1 184 96 17 17 289 -1 unnamed_device 26.3 MiB 0.31 931 11703 3107 7769 827 65.1 MiB 0.13 0.00 2.6767 -89.5926 -2.6767 2.6767 1.18 0.000632999 0.000572226 0.0378793 0.0341925 28 2329 20 6.65987e+06 405696 500653. 1732.36 4.24 0.229859 0.200641 21970 115934 -1 1980 18 1196 2116 161944 36298 2.77777 2.77777 -109.362 -2.77777 0 0 612192. 2118.31 0.31 0.09 0.14 -1 -1 0.31 0.0310358 0.027692 136 56 52 26 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 6.23 vpr 65.12 MiB 0.04 7392 -1 -1 1 0.04 -1 -1 34228 -1 -1 36 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 31 32 422 339 1 195 99 17 17 289 -1 unnamed_device 26.8 MiB 0.87 1052 13779 3559 9046 1174 65.1 MiB 0.16 0.00 3.0413 -101.606 -3.0413 3.0413 1.18 0.000794868 0.000719583 0.0476682 0.0430129 26 2515 31 6.65987e+06 456408 477104. 1650.88 1.57 0.163818 0.144636 21682 110474 -1 2178 23 1756 2583 198875 45214 3.16937 3.16937 -122.691 -3.16937 0 0 585099. 2024.56 0.29 0.11 0.14 -1 -1 0.29 0.0389006 0.0345241 148 88 31 31 92 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 5.03 vpr 64.72 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33992 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 26.1 MiB 0.20 716 9516 2669 5878 969 64.7 MiB 0.10 0.00 2.31427 -80.8954 -2.31427 2.31427 1.14 0.000576447 0.00052306 0.0314057 0.0285299 32 2174 24 6.65987e+06 228204 554710. 1919.41 1.16 0.113614 0.0999615 22834 132086 -1 1798 22 1334 2088 148791 36204 2.72765 2.72765 -104.448 -2.72765 0 0 701300. 2426.64 0.34 0.08 0.15 -1 -1 0.34 0.0303736 0.0269226 115 54 32 32 60 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 5.37 vpr 64.90 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33756 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 26.3 MiB 0.37 824 9160 2365 6420 375 64.9 MiB 0.10 0.00 2.66064 -94.0688 -2.66064 2.66064 1.16 0.00061305 0.00055835 0.032624 0.0296382 32 2311 20 6.65987e+06 228204 554710. 1919.41 1.26 0.117732 0.104129 22834 132086 -1 1910 21 1434 2337 181569 42575 2.62751 2.62751 -110.691 -2.62751 0 0 701300. 2426.64 0.35 0.09 0.17 -1 -1 0.35 0.0306109 0.0273526 121 60 32 32 62 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 5.26 vpr 64.80 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 34300 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 407 319 1 198 100 17 17 289 -1 unnamed_device 26.6 MiB 0.19 1040 11004 2789 7417 798 64.8 MiB 0.13 0.00 3.13064 -107.966 -3.13064 3.13064 1.19 0.000710812 0.000640887 0.0367085 0.0331842 28 2431 22 6.65987e+06 456408 500653. 1732.36 1.30 0.133665 0.117849 21970 115934 -1 2206 23 1702 2673 190485 43338 3.70331 3.70331 -138.556 -3.70331 0 0 612192. 2118.31 0.31 0.10 0.15 -1 -1 0.31 0.0347655 0.0308983 154 49 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 5.47 vpr 65.10 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 34096 -1 -1 32 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 29 32 367 293 1 183 93 17 17 289 -1 unnamed_device 26.3 MiB 0.29 960 14373 4042 8897 1434 65.1 MiB 0.16 0.00 2.88233 -84.6905 -2.88233 2.88233 1.21 0.000687115 0.000613974 0.046744 0.042139 28 2162 21 6.65987e+06 405696 500653. 1732.36 1.30 0.134811 0.118761 21970 115934 -1 1892 19 1094 1731 107036 25971 2.81671 2.81671 -103.488 -2.81671 0 0 612192. 2118.31 0.31 0.07 0.14 -1 -1 0.31 0.0291643 0.0260422 133 54 56 29 58 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 6.49 vpr 65.17 MiB 0.03 7388 -1 -1 1 0.04 -1 -1 33960 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 469 381 1 200 101 17 17 289 -1 unnamed_device 26.8 MiB 0.43 1001 11381 2896 7843 642 65.2 MiB 0.13 0.00 3.12784 -108.736 -3.12784 3.12784 1.16 0.000902226 0.000806231 0.0394641 0.0357198 26 2989 32 6.65987e+06 469086 477104. 1650.88 2.35 0.176238 0.155702 21682 110474 -1 2558 23 2126 3320 261902 60987 4.12211 4.12211 -152.884 -4.12211 0 0 585099. 2024.56 0.29 0.13 0.12 -1 -1 0.29 0.0441133 0.0391898 156 117 0 0 128 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 5.03 vpr 64.50 MiB 0.03 6840 -1 -1 1 0.04 -1 -1 33948 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 31 32 259 212 1 146 79 17 17 289 -1 unnamed_device 26.0 MiB 0.14 797 13430 5333 6415 1682 64.5 MiB 0.12 0.00 2.32153 -81.0887 -2.32153 2.32153 1.21 0.000470054 0.000426086 0.0401761 0.0364852 32 1892 18 6.65987e+06 202848 554710. 1919.41 1.14 0.104482 0.0923254 22834 132086 -1 1675 15 859 1361 109008 25519 2.73665 2.73665 -101.712 -2.73665 0 0 701300. 2426.64 0.35 0.06 0.17 -1 -1 0.35 0.0210837 0.0190016 105 -1 85 31 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 7.20 vpr 65.25 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 33992 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 418 338 1 190 97 17 17 289 -1 unnamed_device 26.6 MiB 0.24 987 19411 6700 9088 3623 65.3 MiB 0.19 0.00 3.31204 -109.095 -3.31204 3.31204 1.21 0.000774369 0.000707012 0.0659992 0.0597458 36 2356 25 6.65987e+06 418374 612192. 2118.31 2.97 0.236941 0.208579 23410 145293 -1 1800 22 1498 2161 164779 39903 3.57937 3.57937 -127.085 -3.57937 0 0 782063. 2706.10 0.39 0.10 0.18 -1 -1 0.39 0.0371376 0.0330633 142 89 28 28 92 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 5.03 vpr 64.98 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 33864 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 26.4 MiB 0.26 842 11776 3203 7061 1512 65.0 MiB 0.12 0.00 2.8021 -102.199 -2.8021 2.8021 1.16 0.000595195 0.000537592 0.04425 0.039982 32 1978 20 6.65987e+06 202848 554710. 1919.41 1.14 0.124458 0.109812 22834 132086 -1 1730 21 1434 2092 154618 36297 2.94697 2.94697 -123.085 -2.94697 0 0 701300. 2426.64 0.34 0.09 0.15 -1 -1 0.34 0.0320855 0.0285796 115 93 0 0 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 6.09 vpr 64.82 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 33680 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 401 316 1 196 99 17 17 289 -1 unnamed_device 26.6 MiB 0.33 955 17655 5187 9321 3147 64.8 MiB 0.18 0.00 2.71964 -93.5267 -2.71964 2.71964 1.20 0.000654702 0.000588689 0.0540414 0.0488304 28 2859 29 6.65987e+06 443730 500653. 1732.36 1.87 0.167492 0.148596 21970 115934 -1 2096 23 1431 2114 167311 39178 2.73051 2.73051 -112.871 -2.73051 0 0 612192. 2118.31 0.31 0.10 0.15 -1 -1 0.31 0.0371661 0.033032 149 59 61 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 5.82 vpr 65.06 MiB 0.03 7444 -1 -1 1 0.04 -1 -1 34268 -1 -1 43 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 500 382 1 232 107 17 17 289 -1 unnamed_device 26.7 MiB 0.43 1195 9215 1703 6911 601 65.1 MiB 0.13 0.00 3.8601 -128.639 -3.8601 3.8601 1.17 0.000837218 0.000759795 0.0345458 0.031429 28 3218 26 6.65987e+06 545154 500653. 1732.36 1.61 0.17026 0.150768 21970 115934 -1 2678 23 2323 3470 228651 54429 4.69337 4.69337 -164.768 -4.69337 0 0 612192. 2118.31 0.31 0.12 0.13 -1 -1 0.31 0.0473692 0.0422706 186 81 64 32 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 5.07 vpr 64.21 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 33864 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65748 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 25.7 MiB 0.27 551 10672 2622 7473 577 64.2 MiB 0.09 0.00 2.22258 -69.1123 -2.22258 2.22258 1.21 0.000454119 0.000411316 0.0312466 0.0282605 30 1280 28 6.65987e+06 190170 526063. 1820.29 1.09 0.0918811 0.0802215 22546 126617 -1 1061 20 507 689 49525 11909 1.71545 1.71545 -74.3745 -1.71545 0 0 666494. 2306.21 0.34 0.05 0.16 -1 -1 0.34 0.020475 0.0181206 83 51 0 0 53 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.81 vpr 64.42 MiB 0.03 7000 -1 -1 1 0.04 -1 -1 34044 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 30 32 296 244 1 137 78 17 17 289 -1 unnamed_device 25.8 MiB 0.12 592 11034 4583 5653 798 64.4 MiB 0.10 0.00 2.80784 -84.049 -2.80784 2.80784 1.15 0.000514241 0.000467246 0.0362603 0.0329203 32 1727 23 6.65987e+06 202848 554710. 1919.41 1.10 0.106156 0.0934903 22834 132086 -1 1430 23 1092 1614 132364 31540 2.95816 2.95816 -105.129 -2.95816 0 0 701300. 2426.64 0.35 0.08 0.15 -1 -1 0.35 0.0284748 0.0252646 96 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 5.10 vpr 64.75 MiB 0.03 6964 -1 -1 1 0.04 -1 -1 33652 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 26.4 MiB 0.13 930 9160 2282 6357 521 64.7 MiB 0.11 0.00 2.7647 -99.2423 -2.7647 2.7647 1.21 0.000556128 0.000502998 0.0319029 0.0289179 30 2351 22 6.65987e+06 228204 526063. 1820.29 1.23 0.116771 0.102966 22546 126617 -1 1856 20 1052 1796 118233 25688 2.61817 2.61817 -113.454 -2.61817 0 0 666494. 2306.21 0.33 0.07 0.16 -1 -1 0.33 0.0263698 0.0235028 126 31 64 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.92 vpr 64.23 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 33944 -1 -1 34 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 25.7 MiB 0.08 703 12535 3025 7876 1634 64.2 MiB 0.11 0.00 2.68184 -74.5657 -2.68184 2.68184 1.21 0.000451877 0.000409809 0.0305797 0.0277941 26 1756 21 6.65987e+06 431052 477104. 1650.88 1.16 0.0990102 0.0872975 21682 110474 -1 1582 22 1109 1656 124793 29151 2.64431 2.64431 -91.3563 -2.64431 0 0 585099. 2024.56 0.30 0.07 0.14 -1 -1 0.30 0.0238304 0.0210714 103 19 50 25 25 25 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.40 vpr 65.39 MiB 0.02 7264 -1 -1 1 0.04 -1 -1 34240 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 432 346 1 193 84 17 17 289 -1 unnamed_device 26.7 MiB 0.28 883 9600 2351 6585 664 65.4 MiB 0.11 0.00 3.17278 -99.7227 -3.17278 3.17278 1.09 0.000545221 0.000494175 0.0350018 0.0317534 32 2716 45 6.65987e+06 253560 554710. 1919.41 1.55 0.160946 0.141644 22834 132086 -1 2152 22 1857 3360 248584 60193 3.75245 3.75245 -128.585 -3.75245 0 0 701300. 2426.64 0.33 0.11 0.15 -1 -1 0.33 0.0361953 0.0321317 147 84 32 32 94 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 7.48 vpr 64.70 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34028 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 31 32 421 339 1 193 100 17 17 289 -1 unnamed_device 26.4 MiB 0.25 865 12628 2822 9015 791 64.7 MiB 0.13 0.00 2.7691 -92.7554 -2.7691 2.7691 1.18 0.000639686 0.000580978 0.0395874 0.0357414 28 2444 23 6.65987e+06 469086 500653. 1732.36 3.52 0.244243 0.212403 21970 115934 -1 2142 20 1768 2747 184469 45066 3.13311 3.13311 -122.606 -3.13311 0 0 612192. 2118.31 0.30 0.09 0.14 -1 -1 0.30 0.032416 0.0288441 146 88 29 29 93 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 9.79 vpr 65.66 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 34192 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 32 32 438 350 1 187 89 17 17 289 -1 unnamed_device 27.4 MiB 1.21 758 11771 3695 5635 2441 65.7 MiB 0.11 0.00 3.15069 -112.585 -3.15069 3.15069 1.19 0.000667768 0.000602305 0.043817 0.0395725 54 2345 31 6.95648e+06 361892 949917. 3286.91 4.42 0.27125 0.237712 29506 232905 -1 1771 20 1787 2758 191202 46960 4.26186 4.26186 -150.913 -4.26186 0 0 1.17392e+06 4061.99 0.52 0.10 0.30 -1 -1 0.52 0.034008 0.0303064 84 80 32 32 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 11.22 vpr 65.88 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 34004 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67464 30 32 409 330 1 179 76 17 17 289 -1 unnamed_device 27.2 MiB 2.76 819 12716 4427 6806 1483 65.9 MiB 0.13 0.00 3.3213 -109.081 -3.3213 3.3213 1.25 0.000653606 0.000589901 0.0562244 0.0509737 56 2216 35 6.95648e+06 202660 973134. 3367.25 4.11 0.242752 0.214025 29794 239141 -1 1905 20 1688 2485 259817 56837 3.69036 3.69036 -136.381 -3.69036 0 0 1.19926e+06 4149.71 0.55 0.11 0.32 -1 -1 0.55 0.0354292 0.0316588 76 78 30 30 89 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 20.82 vpr 65.74 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34120 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67316 32 32 387 309 1 179 83 17 17 289 -1 unnamed_device 27.1 MiB 1.00 716 15563 6617 8113 833 65.7 MiB 0.14 0.00 3.01749 -104.169 -3.01749 3.01749 1.21 0.000620904 0.000560147 0.0564622 0.0508888 44 2590 45 6.95648e+06 275038 787024. 2723.27 15.69 0.398954 0.347785 27778 195446 -1 1747 19 1366 2113 146888 36208 3.62036 3.62036 -131.312 -3.62036 0 0 997811. 3452.63 0.48 0.08 0.25 -1 -1 0.48 0.0299458 0.0266843 77 50 54 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 11.83 vpr 65.62 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 34048 -1 -1 16 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67192 29 32 343 267 1 176 77 17 17 289 -1 unnamed_device 27.0 MiB 0.52 701 12302 4234 6135 1933 65.6 MiB 0.11 0.00 3.3745 -105.09 -3.3745 3.3745 1.21 0.000599493 0.000543137 0.0471399 0.0427947 44 2647 50 6.95648e+06 231611 787024. 2723.27 7.25 0.32296 0.282198 27778 195446 -1 1691 20 1570 2295 173786 38947 3.58406 3.58406 -128.729 -3.58406 0 0 997811. 3452.63 0.45 0.09 0.24 -1 -1 0.45 0.0295392 0.0263598 75 25 87 29 29 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 8.51 vpr 65.58 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 33876 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 32 32 376 288 1 187 77 17 17 289 -1 unnamed_device 27.1 MiB 0.82 704 10346 3486 4993 1867 65.6 MiB 0.10 0.00 3.04139 -109.825 -3.04139 3.04139 1.17 0.000623138 0.000562168 0.0433526 0.0394511 62 2081 31 6.95648e+06 188184 1.05005e+06 3633.38 3.54 0.217374 0.191944 30946 263737 -1 1371 21 1835 3100 192972 48077 3.83766 3.83766 -135.877 -3.83766 0 0 1.30136e+06 4502.97 0.58 0.10 0.31 -1 -1 0.58 0.0353587 0.0316108 78 31 96 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 11.14 vpr 65.60 MiB 0.03 7388 -1 -1 1 0.04 -1 -1 33976 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 402 316 1 191 93 17 17 289 -1 unnamed_device 26.9 MiB 0.49 807 13743 4152 7400 2191 65.6 MiB 0.12 0.00 2.5973 -95.5077 -2.5973 2.5973 1.21 0.000654872 0.000590466 0.0462629 0.0418287 48 2157 49 6.95648e+06 419795 865456. 2994.66 6.49 0.321756 0.280532 28354 207349 -1 1701 24 1555 2179 192973 43813 3.10287 3.10287 -119.92 -3.10287 0 0 1.05005e+06 3633.38 0.49 0.10 0.26 -1 -1 0.49 0.0368542 0.0327117 89 61 63 32 63 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 11.54 vpr 64.99 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 34216 -1 -1 14 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 27 32 269 226 1 129 73 17 17 289 -1 unnamed_device 26.6 MiB 5.41 478 8433 3031 4066 1336 65.0 MiB 0.07 0.00 2.76796 -78.1223 -2.76796 2.76796 1.24 0.000474503 0.000429379 0.0294562 0.0267865 36 1584 30 6.95648e+06 202660 648988. 2245.63 2.19 0.133273 0.116867 26050 158493 -1 1130 19 845 1215 81265 21090 3.02902 3.02902 -97.7988 -3.02902 0 0 828058. 2865.25 0.39 0.06 0.20 -1 -1 0.39 0.0235141 0.021016 54 26 54 27 27 27 +fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 21.12 vpr 65.34 MiB 0.03 7080 -1 -1 1 0.04 -1 -1 33744 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 26.8 MiB 0.70 690 11604 4098 5234 2272 65.3 MiB 0.10 0.00 2.5203 -84.8536 -2.5203 2.5203 1.21 0.000581529 0.000527103 0.0417422 0.0379397 46 2298 30 6.95648e+06 246087 828058. 2865.25 16.31 0.38618 0.336786 28066 200906 -1 1510 24 1212 1716 123070 39244 3.12317 3.12317 -109.564 -3.12317 0 0 1.01997e+06 3529.29 0.48 0.09 0.25 -1 -1 0.48 0.0327018 0.0291367 77 -1 115 31 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 9.32 vpr 65.21 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 33460 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 31 32 338 292 1 143 74 17 17 289 -1 unnamed_device 26.7 MiB 2.12 644 9684 3981 5431 272 65.2 MiB 0.08 0.00 2.60155 -84.316 -2.60155 2.60155 1.19 0.000493881 0.000443825 0.0326037 0.0295072 38 2092 44 6.95648e+06 159232 678818. 2348.85 3.36 0.201795 0.176479 26626 170182 -1 1589 22 1056 1605 143443 30631 3.31382 3.31382 -114.292 -3.31382 0 0 902133. 3121.57 0.40 0.08 0.20 -1 -1 0.40 0.0304397 0.0269676 57 81 0 0 84 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 7.31 vpr 65.25 MiB 0.02 6936 -1 -1 1 0.04 -1 -1 33604 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 314 256 1 156 74 17 17 289 -1 unnamed_device 26.7 MiB 1.12 583 9994 4132 5602 260 65.3 MiB 0.09 0.00 2.44885 -93.915 -2.44885 2.44885 1.12 0.000539316 0.000487915 0.0387204 0.0351362 42 1953 24 6.95648e+06 144757 744469. 2576.02 2.39 0.176272 0.155138 27202 183097 -1 1499 27 1652 2227 220129 51814 3.19812 3.19812 -121.784 -3.19812 0 0 949917. 3286.91 0.42 0.11 0.21 -1 -1 0.42 0.0350779 0.0311411 62 31 64 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 9.04 vpr 65.32 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33480 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 30 32 325 273 1 148 74 17 17 289 -1 unnamed_device 26.8 MiB 2.39 641 11079 4648 6079 352 65.3 MiB 0.11 0.00 2.6083 -93.0682 -2.6083 2.6083 1.23 0.000554468 0.000501448 0.0442818 0.0401683 36 1933 37 6.95648e+06 173708 648988. 2245.63 2.73 0.199208 0.174981 26050 158493 -1 1430 22 1344 1688 121076 26744 3.42857 3.42857 -123.357 -3.42857 0 0 828058. 2865.25 0.38 0.08 0.19 -1 -1 0.38 0.0279095 0.0246289 60 58 30 30 60 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 11.06 vpr 65.43 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34016 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 331 280 1 150 76 17 17 289 -1 unnamed_device 26.7 MiB 1.02 553 10636 4387 5710 539 65.4 MiB 0.09 0.00 2.4781 -86.3316 -2.4781 2.4781 1.16 0.000578949 0.00052442 0.0396782 0.0359161 48 1834 38 6.95648e+06 173708 865456. 2994.66 6.14 0.251295 0.217953 28354 207349 -1 1417 25 1199 1769 239753 95482 2.96952 2.96952 -115.391 -2.96952 0 0 1.05005e+06 3633.38 0.47 0.12 0.26 -1 -1 0.47 0.0330384 0.0292848 60 57 25 25 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 10.48 vpr 65.86 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33732 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67440 32 32 386 305 1 180 85 17 17 289 -1 unnamed_device 27.1 MiB 1.69 715 12175 3671 6224 2280 65.9 MiB 0.11 0.00 2.5833 -94.1965 -2.5833 2.5833 1.19 0.000623338 0.000562003 0.0454994 0.0411074 44 2474 42 6.95648e+06 303989 787024. 2723.27 4.71 0.233937 0.20543 27778 195446 -1 1837 23 1704 2674 235537 53000 3.20617 3.20617 -126.365 -3.20617 0 0 997811. 3452.63 0.47 0.11 0.24 -1 -1 0.47 0.0356378 0.0317463 79 55 64 32 57 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 12.13 vpr 65.97 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 34020 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67556 32 32 407 319 1 192 90 17 17 289 -1 unnamed_device 27.2 MiB 1.20 856 13959 4953 7643 1363 66.0 MiB 0.13 0.00 3.13369 -118.051 -3.13369 3.13369 1.23 0.000657957 0.000594175 0.0495279 0.0447181 42 2673 42 6.95648e+06 376368 744469. 2576.02 6.77 0.372293 0.325676 27202 183097 -1 2120 22 2140 2964 292275 62225 3.82986 3.82986 -149.375 -3.82986 0 0 949917. 3286.91 0.44 0.13 0.23 -1 -1 0.44 0.0385855 0.0344826 87 60 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 10.77 vpr 64.81 MiB 0.03 7024 -1 -1 1 0.04 -1 -1 33696 -1 -1 13 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 29 32 272 228 1 141 74 17 17 289 -1 unnamed_device 26.4 MiB 1.51 518 10769 3505 5285 1979 64.8 MiB 0.09 0.00 2.64555 -77.8882 -2.64555 2.64555 1.22 0.000484964 0.000438184 0.0361367 0.032731 40 1786 24 6.95648e+06 188184 706193. 2443.58 5.35 0.205351 0.178451 26914 176310 -1 1496 19 1027 1575 140499 30716 3.09192 3.09192 -106.183 -3.09192 0 0 926341. 3205.33 0.42 0.07 0.22 -1 -1 0.42 0.0244611 0.021829 58 21 58 29 24 24 +fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 9.63 vpr 65.78 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33984 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67356 32 32 401 315 1 185 77 17 17 289 -1 unnamed_device 27.1 MiB 2.25 855 12139 5131 6576 432 65.8 MiB 0.12 0.00 2.7746 -102.548 -2.7746 2.7746 1.22 0.000656077 0.000591388 0.052088 0.047162 62 2176 33 6.95648e+06 188184 1.05005e+06 3633.38 3.00 0.218963 0.191229 30946 263737 -1 1805 20 1616 2535 183163 40466 3.60087 3.60087 -129.708 -3.60087 0 0 1.30136e+06 4502.97 0.61 0.10 0.35 -1 -1 0.61 0.0352495 0.0315908 77 60 64 32 62 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 8.77 vpr 65.93 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 33960 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67508 32 32 383 303 1 179 84 17 17 289 -1 unnamed_device 27.2 MiB 1.73 688 13443 5308 6761 1374 65.9 MiB 0.11 0.00 2.5613 -93.6772 -2.5613 2.5613 1.17 0.000536067 0.000482619 0.04847 0.0437464 44 2003 30 6.95648e+06 289514 787024. 2723.27 3.06 0.207451 0.181516 27778 195446 -1 1547 20 1527 2054 151757 36978 2.99787 2.99787 -121.826 -2.99787 0 0 997811. 3452.63 0.45 0.08 0.25 -1 -1 0.45 0.0310606 0.0277451 78 54 64 32 56 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 8.34 vpr 65.40 MiB 0.05 7048 -1 -1 1 0.04 -1 -1 33744 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66968 32 32 339 284 1 156 84 17 17 289 -1 unnamed_device 26.8 MiB 0.99 570 12894 4349 6169 2376 65.4 MiB 0.10 0.00 2.04276 -76.9212 -2.04276 2.04276 1.16 0.000564036 0.000498879 0.0411783 0.0372659 46 1763 37 6.95648e+06 289514 828058. 2865.25 3.41 0.211545 0.185708 28066 200906 -1 1305 18 1129 1490 110258 27670 2.62463 2.62463 -100.613 -2.62463 0 0 1.01997e+06 3529.29 0.46 0.07 0.24 -1 -1 0.46 0.0252587 0.0224465 67 62 29 29 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 6.56 vpr 64.44 MiB 0.02 7056 -1 -1 1 0.03 -1 -1 33720 -1 -1 10 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 30 32 226 208 1 118 72 17 17 289 -1 unnamed_device 26.1 MiB 0.44 451 11098 4873 5836 389 64.4 MiB 0.08 0.00 1.84156 -65.2368 -1.84156 1.84156 1.17 0.000424854 0.000384654 0.0330565 0.0299472 36 1519 40 6.95648e+06 144757 648988. 2245.63 2.42 0.144017 0.125263 26050 158493 -1 1140 21 791 1016 103625 22466 2.16548 2.16548 -85.0023 -2.16548 0 0 828058. 2865.25 0.36 0.06 0.19 -1 -1 0.36 0.0201801 0.0177984 45 29 24 24 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 9.13 vpr 65.32 MiB 0.03 7056 -1 -1 1 0.04 -1 -1 34024 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 31 32 335 280 1 152 74 17 17 289 -1 unnamed_device 26.7 MiB 1.58 637 9064 3750 4982 332 65.3 MiB 0.09 0.00 3.23215 -106.742 -3.23215 3.23215 1.23 0.00057288 0.000510972 0.0363048 0.0328782 46 1896 43 6.95648e+06 159232 828058. 2865.25 3.50 0.181649 0.160034 28066 200906 -1 1416 22 958 1294 110730 27864 3.85502 3.85502 -130.161 -3.85502 0 0 1.01997e+06 3529.29 0.47 0.08 0.25 -1 -1 0.47 0.0318249 0.0284548 61 55 31 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 7.75 vpr 65.68 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33536 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 32 32 366 283 1 184 85 17 17 289 -1 unnamed_device 27.0 MiB 0.57 668 11803 3040 7280 1483 65.7 MiB 0.12 0.00 3.10369 -106.356 -3.10369 3.10369 1.22 0.000732526 0.000654792 0.045717 0.0416248 50 1977 21 6.95648e+06 303989 902133. 3121.57 3.02 0.205029 0.181505 28642 213929 -1 1536 22 1658 2199 194332 43457 3.74546 3.74546 -133.034 -3.74546 0 0 1.08113e+06 3740.92 0.49 0.10 0.27 -1 -1 0.49 0.0340213 0.0303115 81 31 91 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 9.87 vpr 65.96 MiB 0.03 7308 -1 -1 1 0.04 -1 -1 34316 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67540 32 32 460 375 1 188 91 17 17 289 -1 unnamed_device 27.3 MiB 1.44 809 16411 6706 8555 1150 66.0 MiB 0.16 0.00 3.03469 -105.175 -3.03469 3.03469 1.20 0.000692878 0.000623743 0.0614267 0.0554363 46 2773 44 6.95648e+06 390843 828058. 2865.25 4.33 0.267807 0.235377 28066 200906 -1 2031 19 1480 2215 178342 38248 3.92526 3.92526 -133.787 -3.92526 0 0 1.01997e+06 3529.29 0.45 0.09 0.25 -1 -1 0.45 0.0324464 0.0288451 85 108 0 0 125 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 10.16 vpr 64.77 MiB 0.02 6868 -1 -1 1 0.04 -1 -1 34080 -1 -1 13 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 26 32 198 186 1 108 71 17 17 289 -1 unnamed_device 26.5 MiB 1.28 396 8101 3321 4190 590 64.8 MiB 0.06 0.00 1.82136 -55.181 -1.82136 1.82136 1.20 0.00035434 0.000319575 0.0222773 0.0201667 38 1181 45 6.95648e+06 188184 678818. 2348.85 5.13 0.154125 0.133148 26626 170182 -1 892 15 567 706 58133 13476 2.05118 2.05118 -70.8666 -2.05118 0 0 902133. 3121.57 0.40 0.04 0.21 -1 -1 0.40 0.014722 0.0131897 44 21 26 26 22 22 +fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 9.16 vpr 65.63 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 33972 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67204 32 32 333 251 1 180 76 17 17 289 -1 unnamed_device 26.8 MiB 1.24 707 8076 3256 4415 405 65.6 MiB 0.08 0.00 3.3371 -110.857 -3.3371 3.3371 1.19 0.000571496 0.000514874 0.0318147 0.0288117 58 2060 50 6.95648e+06 173708 997811. 3452.63 3.78 0.191726 0.16666 30370 251734 -1 1661 20 1472 2264 191906 45277 4.11642 4.11642 -137.565 -4.11642 0 0 1.25153e+06 4330.55 0.58 0.09 0.31 -1 -1 0.58 0.0301421 0.0269993 74 -1 122 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 7.29 vpr 64.38 MiB 0.02 6712 -1 -1 1 0.03 -1 -1 33696 -1 -1 8 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 26.0 MiB 0.39 634 9608 4055 5400 153 64.4 MiB 0.07 0.00 1.77736 -70.2586 -1.77736 1.77736 1.20 0.000384984 0.00034656 0.0272881 0.0247039 38 1643 38 6.95648e+06 115805 678818. 2348.85 3.10 0.133621 0.116571 26626 170182 -1 1324 18 712 881 118500 26501 2.31898 2.31898 -95.8249 -2.31898 0 0 902133. 3121.57 0.40 0.06 0.21 -1 -1 0.40 0.0172087 0.0153631 44 -1 53 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 22.62 vpr 65.61 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 34072 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67180 32 32 376 288 1 186 90 17 17 289 -1 unnamed_device 26.9 MiB 0.68 828 16773 5823 8948 2002 65.6 MiB 0.15 0.00 3.17289 -114.42 -3.17289 3.17289 1.11 0.000643691 0.000581269 0.0565105 0.0510878 38 3035 45 6.95648e+06 376368 678818. 2348.85 18.04 0.382249 0.334468 26626 170182 -1 2195 23 2079 3206 285836 58890 4.28096 4.28096 -159.023 -4.28096 0 0 902133. 3121.57 0.40 0.12 0.21 -1 -1 0.40 0.0362779 0.0323721 85 21 96 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 9.25 vpr 65.70 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 33812 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67272 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 27.0 MiB 0.37 1083 14996 5553 7555 1888 65.7 MiB 0.12 0.00 2.5943 -101.787 -2.5943 2.5943 1.17 0.000569322 0.000514325 0.044013 0.0398273 44 2598 21 6.95648e+06 405319 787024. 2723.27 4.89 0.257745 0.224847 27778 195446 -1 2278 20 1436 2213 195529 38509 3.06387 3.06387 -127.351 -3.06387 0 0 997811. 3452.63 0.47 0.09 0.23 -1 -1 0.47 0.0305627 0.02721 87 -1 124 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 7.67 vpr 65.80 MiB 0.03 7380 -1 -1 1 0.04 -1 -1 34160 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67380 32 32 407 319 1 189 92 17 17 289 -1 unnamed_device 27.0 MiB 0.53 913 17894 5998 9434 2462 65.8 MiB 0.16 0.00 3.10069 -113.2 -3.10069 3.10069 1.18 0.000678728 0.000611088 0.0566978 0.0509994 44 2648 27 6.95648e+06 405319 787024. 2723.27 3.02 0.195881 0.172554 27778 195446 -1 2061 20 1886 3069 227529 47817 4.00826 4.00826 -147.045 -4.00826 0 0 997811. 3452.63 0.47 0.11 0.24 -1 -1 0.47 0.0343629 0.0308015 87 54 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 7.68 vpr 65.01 MiB 0.03 6988 -1 -1 1 0.04 -1 -1 33836 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 294 246 1 145 74 17 17 289 -1 unnamed_device 26.6 MiB 1.15 812 9374 3915 4945 514 65.0 MiB 0.09 0.00 2.3791 -88.817 -2.3791 2.3791 1.22 0.000521246 0.000470842 0.0346021 0.0313936 42 2177 37 6.95648e+06 144757 744469. 2576.02 2.58 0.176532 0.154828 27202 183097 -1 1794 19 1194 1892 177420 35689 2.86432 2.86432 -111.941 -2.86432 0 0 949917. 3286.91 0.44 0.08 0.23 -1 -1 0.44 0.0265832 0.0238118 57 31 54 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 7.03 vpr 65.06 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 33424 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 30 32 296 244 1 148 74 17 17 289 -1 unnamed_device 26.6 MiB 0.76 591 9374 3907 5125 342 65.1 MiB 0.08 0.00 2.6163 -92.4601 -2.6163 2.6163 1.21 0.000532399 0.000481307 0.0341887 0.0309866 38 2151 23 6.95648e+06 173708 678818. 2348.85 2.41 0.141722 0.124808 26626 170182 -1 1535 20 1328 1734 145277 33095 3.14507 3.14507 -117.868 -3.14507 0 0 902133. 3121.57 0.40 0.07 0.21 -1 -1 0.40 0.0256864 0.0229207 60 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 18.46 vpr 65.16 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 33776 -1 -1 13 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 28 32 278 232 1 144 73 17 17 289 -1 unnamed_device 26.7 MiB 0.78 507 11169 4683 5762 724 65.2 MiB 0.09 0.00 2.5894 -83.1346 -2.5894 2.5894 1.19 0.000476052 0.000430954 0.0388535 0.0351159 40 2079 40 6.95648e+06 188184 706193. 2443.58 13.80 0.283295 0.24618 26914 176310 -1 1479 24 1450 2126 202731 49291 3.27177 3.27177 -111.395 -3.27177 0 0 926341. 3205.33 0.42 0.09 0.22 -1 -1 0.42 0.0271498 0.023971 61 27 56 28 28 28 +fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 7.29 vpr 65.09 MiB 0.03 7004 -1 -1 1 0.04 -1 -1 33920 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 26.6 MiB 0.29 873 9684 4032 5522 130 65.1 MiB 0.09 0.00 2.43165 -99.8836 -2.43165 2.43165 1.16 0.000509146 0.000458675 0.0340067 0.0308109 40 2211 25 6.95648e+06 144757 706193. 2443.58 3.16 0.155251 0.135449 26914 176310 -1 1997 20 1565 2258 274989 53196 3.08562 3.08562 -127.486 -3.08562 0 0 926341. 3205.33 0.41 0.10 0.22 -1 -1 0.41 0.0254875 0.0227217 64 -1 96 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 11.06 vpr 65.25 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 34052 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 31 32 303 249 1 156 84 17 17 289 -1 unnamed_device 26.7 MiB 0.29 565 11796 3628 5857 2311 65.2 MiB 0.10 0.00 2.5943 -90.9525 -2.5943 2.5943 1.20 0.000515215 0.000464306 0.0366164 0.0331787 54 1556 50 6.95648e+06 303989 949917. 3286.91 6.59 0.279181 0.242227 29506 232905 -1 1305 29 1430 2022 254751 111048 2.95857 2.95857 -109.786 -2.95857 0 0 1.17392e+06 4061.99 0.53 0.13 0.30 -1 -1 0.53 0.032324 0.0284398 68 26 61 31 31 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 10.52 vpr 64.91 MiB 0.03 7172 -1 -1 1 0.04 -1 -1 33464 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 29 32 312 264 1 148 79 17 17 289 -1 unnamed_device 26.4 MiB 1.00 502 10895 3849 4803 2243 64.9 MiB 0.08 0.00 2.12706 -71.7738 -2.12706 2.12706 1.17 0.000534468 0.000482338 0.0328822 0.0297691 46 1414 23 6.95648e+06 260562 828058. 2865.25 5.56 0.197027 0.169937 28066 200906 -1 1073 19 1079 1450 86813 22728 2.27548 2.27548 -87.8955 -2.27548 0 0 1.01997e+06 3529.29 0.48 0.06 0.25 -1 -1 0.48 0.0226224 0.0200286 64 55 29 29 57 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 9.51 vpr 66.17 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 34084 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67756 32 32 423 310 1 219 92 17 17 289 -1 unnamed_device 27.6 MiB 0.88 1124 14582 4830 7580 2172 66.2 MiB 0.14 0.00 3.32935 -120.571 -3.32935 3.32935 1.17 0.000614833 0.00055683 0.0514946 0.0466504 44 3167 32 6.95648e+06 405319 787024. 2723.27 4.65 0.248725 0.219695 27778 195446 -1 2369 22 2196 3475 262448 53031 3.99912 3.99912 -149.434 -3.99912 0 0 997811. 3452.63 0.44 0.11 0.21 -1 -1 0.44 0.0389971 0.0348092 100 26 128 32 27 27 +fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 7.82 vpr 65.91 MiB 0.03 7380 -1 -1 1 0.04 -1 -1 34128 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67488 32 32 403 317 1 190 91 17 17 289 -1 unnamed_device 27.1 MiB 1.16 748 11923 3842 6032 2049 65.9 MiB 0.12 0.00 2.6866 -97.658 -2.6866 2.6866 1.23 0.000650596 0.000586405 0.0439157 0.0398738 44 2234 24 6.95648e+06 390843 787024. 2723.27 2.55 0.168925 0.148662 27778 195446 -1 1794 25 1978 2816 221509 48989 3.27027 3.27027 -123.931 -3.27027 0 0 997811. 3452.63 0.45 0.11 0.24 -1 -1 0.45 0.0371341 0.0328742 87 62 62 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 11.60 vpr 65.55 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34016 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 31 32 353 302 1 150 78 17 17 289 -1 unnamed_device 26.8 MiB 1.34 555 12860 5416 6837 607 65.5 MiB 0.11 0.00 2.76796 -90.6303 -2.76796 2.76796 1.17 0.000545127 0.000492483 0.0455577 0.041295 48 1734 48 6.95648e+06 217135 865456. 2994.66 6.29 0.309025 0.268462 28354 207349 -1 1394 22 1189 1737 147227 36402 3.12482 3.12482 -114.087 -3.12482 0 0 1.05005e+06 3633.38 0.49 0.08 0.24 -1 -1 0.49 0.0296165 0.0262005 62 77 0 0 89 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 8.76 vpr 65.98 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 33728 -1 -1 14 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67560 31 32 391 309 1 186 77 17 17 289 -1 unnamed_device 27.3 MiB 0.81 1018 10835 4524 6052 259 66.0 MiB 0.11 0.00 2.5613 -96.8883 -2.5613 2.5613 1.19 0.000623021 0.000556351 0.0448394 0.0404896 38 2812 36 6.95648e+06 202660 678818. 2348.85 3.97 0.208539 0.181801 26626 170182 -1 2468 25 1983 2991 388445 89895 3.61512 3.61512 -132.301 -3.61512 0 0 902133. 3121.57 0.40 0.15 0.21 -1 -1 0.40 0.0375953 0.0332954 79 59 60 30 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 11.50 vpr 65.78 MiB 0.03 7548 -1 -1 1 0.04 -1 -1 33952 -1 -1 14 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67360 31 32 455 371 1 185 77 17 17 289 -1 unnamed_device 27.0 MiB 2.77 842 8716 3565 4886 265 65.8 MiB 0.10 0.00 3.85289 -126.398 -3.85289 3.85289 1.22 0.00069299 0.000625977 0.0417384 0.0379034 38 3035 39 6.95648e+06 202660 678818. 2348.85 4.70 0.223621 0.19693 26626 170182 -1 2199 20 1503 2230 196057 41331 4.64311 4.64311 -160.042 -4.64311 0 0 902133. 3121.57 0.40 0.10 0.21 -1 -1 0.40 0.0374277 0.0334784 78 111 0 0 124 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 9.47 vpr 65.91 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34112 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 31 32 413 333 1 182 76 17 17 289 -1 unnamed_device 27.1 MiB 2.08 735 13196 5631 6832 733 65.9 MiB 0.13 0.00 3.72384 -110.565 -3.72384 3.72384 1.22 0.000593939 0.000533506 0.0573073 0.0519258 46 2327 28 6.95648e+06 188184 828058. 2865.25 3.28 0.22046 0.19283 28066 200906 -1 1760 25 1572 2452 169598 40946 4.34856 4.34856 -143.586 -4.34856 0 0 1.01997e+06 3529.29 0.47 0.10 0.25 -1 -1 0.47 0.0380636 0.0337721 76 86 31 31 89 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 10.34 vpr 65.69 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 33760 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67264 31 32 391 309 1 185 88 17 17 289 -1 unnamed_device 27.0 MiB 0.96 871 15493 4407 9000 2086 65.7 MiB 0.14 0.00 2.6273 -95.9663 -2.6273 2.6273 1.15 0.000542552 0.00049028 0.0530351 0.0478413 38 2450 27 6.95648e+06 361892 678818. 2348.85 5.46 0.294283 0.256455 26626 170182 -1 2033 21 1693 2568 189763 40070 3.36747 3.36747 -126.115 -3.36747 0 0 902133. 3121.57 0.41 0.10 0.22 -1 -1 0.41 0.0331606 0.0295934 85 58 60 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 9.28 vpr 65.84 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 33972 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67420 32 32 407 319 1 190 90 17 17 289 -1 unnamed_device 27.1 MiB 0.61 764 11547 4368 5758 1421 65.8 MiB 0.11 0.00 3.16669 -114.068 -3.16669 3.16669 1.20 0.000666583 0.000600683 0.041663 0.0376952 48 2548 49 6.95648e+06 376368 865456. 2994.66 4.49 0.238294 0.208799 28354 207349 -1 2023 22 1986 3159 343540 83657 4.32966 4.32966 -149.889 -4.32966 0 0 1.05005e+06 3633.38 0.50 0.14 0.26 -1 -1 0.50 0.0369779 0.0331608 86 42 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 11.96 vpr 66.01 MiB 0.03 7508 -1 -1 1 0.04 -1 -1 34004 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67596 32 32 496 380 1 222 95 17 17 289 -1 unnamed_device 27.6 MiB 1.22 1064 13055 3624 7275 2156 66.0 MiB 0.13 0.00 3.32935 -122.578 -3.32935 3.32935 1.21 0.000692016 0.000622705 0.048075 0.0433776 44 3041 27 6.95648e+06 448746 787024. 2723.27 6.63 0.34952 0.30401 27778 195446 -1 2396 19 1955 2946 232893 47922 3.93621 3.93621 -151.143 -3.93621 0 0 997811. 3452.63 0.46 0.11 0.22 -1 -1 0.46 0.0375951 0.0333381 104 91 62 32 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 9.82 vpr 65.47 MiB 0.03 6956 -1 -1 1 0.04 -1 -1 34020 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 31 32 305 250 1 152 74 17 17 289 -1 unnamed_device 26.7 MiB 0.81 824 9064 3727 5107 230 65.5 MiB 0.08 0.00 2.84796 -103.992 -2.84796 2.84796 1.18 0.000522665 0.000471257 0.0331252 0.0299446 36 2317 39 6.95648e+06 159232 648988. 2245.63 5.23 0.170735 0.148383 26050 158493 -1 1879 20 1388 1954 200567 44281 3.45352 3.45352 -128.315 -3.45352 0 0 828058. 2865.25 0.37 0.09 0.19 -1 -1 0.37 0.0249423 0.0220998 62 24 62 31 31 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 26.03 vpr 65.94 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 33752 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67524 31 32 395 311 1 188 90 17 17 289 -1 unnamed_device 27.2 MiB 0.75 760 12954 5330 6993 631 65.9 MiB 0.12 0.00 3.5328 -117.17 -3.5328 3.5328 1.14 0.000607987 0.000549277 0.0437781 0.0396492 50 2604 44 6.95648e+06 390843 902133. 3121.57 21.27 0.43438 0.378413 28642 213929 -1 1953 20 1719 2674 244976 52373 3.87202 3.87202 -146.316 -3.87202 0 0 1.08113e+06 3740.92 0.47 0.11 0.27 -1 -1 0.47 0.0334985 0.0300163 86 59 62 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 12.54 vpr 65.82 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33820 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 397 313 1 188 90 17 17 289 -1 unnamed_device 27.1 MiB 1.02 817 14361 4548 7141 2672 65.8 MiB 0.14 0.00 2.79476 -96.8542 -2.79476 2.79476 1.22 0.000672296 0.000608227 0.0504066 0.0456036 40 2809 46 6.95648e+06 376368 706193. 2443.58 7.37 0.249599 0.219289 26914 176310 -1 2281 24 1800 2910 356921 93414 3.40957 3.40957 -126.949 -3.40957 0 0 926341. 3205.33 0.44 0.16 0.23 -1 -1 0.44 0.0423224 0.0379189 85 54 62 32 62 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 11.98 vpr 65.34 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33644 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 345 257 1 187 77 17 17 289 -1 unnamed_device 27.0 MiB 1.18 780 7901 3204 4446 251 65.3 MiB 0.08 0.00 3.03039 -111.418 -3.03039 3.03039 1.22 0.000593778 0.000538476 0.0324144 0.029445 46 2970 26 6.95648e+06 188184 828058. 2865.25 6.72 0.264089 0.230918 28066 200906 -1 2188 23 1920 3244 289758 62589 4.16956 4.16956 -150.617 -4.16956 0 0 1.01997e+06 3529.29 0.47 0.12 0.25 -1 -1 0.47 0.0355578 0.0317584 78 -1 128 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 10.15 vpr 65.97 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33780 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67556 32 32 424 343 1 182 87 17 17 289 -1 unnamed_device 27.2 MiB 1.86 707 12567 4353 6177 2037 66.0 MiB 0.11 0.00 2.5503 -92.1642 -2.5503 2.5503 1.17 0.00063425 0.00057054 0.0451998 0.0409357 46 2490 42 6.95648e+06 332941 828058. 2865.25 4.34 0.239512 0.210502 28066 200906 -1 1713 29 1676 2543 240184 77194 3.29047 3.29047 -121.451 -3.29047 0 0 1.01997e+06 3529.29 0.44 0.12 0.24 -1 -1 0.44 0.0401207 0.0354755 81 81 25 25 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 17.93 vpr 65.93 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33856 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67508 32 32 395 311 1 186 92 17 17 289 -1 unnamed_device 27.1 MiB 0.98 871 13133 3713 6981 2439 65.9 MiB 0.11 0.00 2.6023 -96.9969 -2.6023 2.6023 1.09 0.000523468 0.000472166 0.0406015 0.0367221 38 2682 31 6.95648e+06 405319 678818. 2348.85 13.24 0.33966 0.295529 26626 170182 -1 2087 20 1502 2296 180808 38893 3.30327 3.30327 -124.664 -3.30327 0 0 902133. 3121.57 0.39 0.09 0.21 -1 -1 0.39 0.0313003 0.0278747 85 58 64 32 60 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 10.76 vpr 66.01 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34104 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67596 32 32 405 318 1 192 92 17 17 289 -1 unnamed_device 27.2 MiB 0.65 828 15410 5283 8174 1953 66.0 MiB 0.14 0.00 2.6646 -97.6585 -2.6646 2.6646 1.21 0.000631783 0.000567805 0.0513468 0.0463513 50 2272 27 6.95648e+06 405319 902133. 3121.57 5.94 0.315173 0.273961 28642 213929 -1 1854 24 1936 2871 217791 47838 3.24822 3.24822 -120.602 -3.24822 0 0 1.08113e+06 3740.92 0.49 0.11 0.27 -1 -1 0.49 0.036875 0.0327183 88 61 63 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 8.00 vpr 65.39 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34196 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66960 32 32 376 288 1 186 92 17 17 289 -1 unnamed_device 26.9 MiB 0.72 813 16238 5039 9230 1969 65.4 MiB 0.15 0.00 3.16669 -113.478 -3.16669 3.16669 1.19 0.000604868 0.000546559 0.0510309 0.0462254 46 2360 25 6.95648e+06 405319 828058. 2865.25 3.25 0.213653 0.18815 28066 200906 -1 1865 23 2129 3449 234252 52958 3.78156 3.78156 -144.263 -3.78156 0 0 1.01997e+06 3529.29 0.44 0.11 0.23 -1 -1 0.44 0.0338736 0.0301089 85 21 96 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 10.63 vpr 65.93 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 34232 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67512 32 32 407 319 1 189 94 17 17 289 -1 unnamed_device 27.2 MiB 1.11 857 12235 3021 7796 1418 65.9 MiB 0.12 0.00 3.08969 -114.316 -3.08969 3.08969 1.19 0.000736107 0.000645227 0.0417771 0.0376662 38 2661 23 6.95648e+06 434271 678818. 2348.85 5.58 0.213665 0.187465 26626 170182 -1 2076 26 2243 3163 259125 54931 4.17936 4.17936 -153.642 -4.17936 0 0 902133. 3121.57 0.41 0.12 0.18 -1 -1 0.41 0.0385919 0.0341224 88 50 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 9.33 vpr 65.94 MiB 0.03 7492 -1 -1 1 0.04 -1 -1 34068 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67520 31 32 449 367 1 185 88 17 17 289 -1 unnamed_device 27.2 MiB 1.63 967 10813 4019 5155 1639 65.9 MiB 0.11 0.00 3.56395 -115.065 -3.56395 3.56395 1.21 0.000678461 0.000609554 0.0423593 0.0381715 44 2935 50 6.95648e+06 361892 787024. 2723.27 3.62 0.230546 0.200004 27778 195446 -1 2369 25 1696 2853 252315 50009 3.95532 3.95532 -141.257 -3.95532 0 0 997811. 3452.63 0.45 0.11 0.24 -1 -1 0.45 0.0375948 0.0331182 84 110 0 0 122 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 31.61 vpr 65.93 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 34064 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67512 32 32 432 346 1 187 77 17 17 289 -1 unnamed_device 27.1 MiB 1.38 873 11487 4789 6360 338 65.9 MiB 0.12 0.00 3.08604 -106.745 -3.08604 3.08604 1.23 0.000651753 0.000587143 0.0527563 0.0478192 40 3320 46 6.95648e+06 188184 706193. 2443.58 26.11 0.441625 0.384609 26914 176310 -1 2671 28 2496 4244 471547 111524 4.71016 4.71016 -159.232 -4.71016 0 0 926341. 3205.33 0.41 0.18 0.22 -1 -1 0.41 0.0442273 0.0391073 78 86 32 32 94 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 9.07 vpr 65.30 MiB 0.03 6976 -1 -1 1 0.04 -1 -1 33912 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66868 32 32 312 255 1 160 87 17 17 289 -1 unnamed_device 26.8 MiB 0.21 664 11223 4561 6257 405 65.3 MiB 0.10 0.00 2.6834 -96.1081 -2.6834 2.6834 1.24 0.000528072 0.000476703 0.0342312 0.030944 40 2240 32 6.95648e+06 332941 706193. 2443.58 4.84 0.177738 0.155919 26914 176310 -1 1792 24 1545 2362 280009 66943 3.11067 3.11067 -125.611 -3.11067 0 0 926341. 3205.33 0.43 0.12 0.22 -1 -1 0.43 0.0320247 0.0285488 71 20 63 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 8.21 vpr 65.33 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33736 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 32 32 370 314 1 158 74 17 17 289 -1 unnamed_device 26.8 MiB 1.28 588 8754 3219 4446 1089 65.3 MiB 0.08 0.00 2.5393 -92.4485 -2.5393 2.5393 1.14 0.000506864 0.000448351 0.033165 0.0300164 44 2332 37 6.95648e+06 144757 787024. 2723.27 3.14 0.172314 0.151351 27778 195446 -1 1623 24 1437 2110 172494 38500 3.08862 3.08862 -121.817 -3.08862 0 0 997811. 3452.63 0.45 0.08 0.22 -1 -1 0.45 0.029963 0.0265083 63 91 0 0 94 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 9.27 vpr 65.64 MiB 0.03 7380 -1 -1 1 0.05 -1 -1 34196 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 32 32 469 351 1 223 94 17 17 289 -1 unnamed_device 27.2 MiB 0.72 1063 13087 4539 7275 1273 65.6 MiB 0.13 0.00 3.77644 -134.137 -3.77644 3.77644 1.17 0.000650914 0.000588378 0.0461093 0.0418999 46 3508 43 6.95648e+06 434271 828058. 2865.25 4.45 0.248128 0.220111 28066 200906 -1 2481 23 2617 4055 354311 73638 5.60511 5.60511 -183.569 -5.60511 0 0 1.01997e+06 3529.29 0.45 0.16 0.24 -1 -1 0.45 0.0464797 0.0416533 103 53 96 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 8.14 vpr 65.73 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 34032 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 32 32 368 284 1 186 88 17 17 289 -1 unnamed_device 27.0 MiB 1.14 748 12178 4107 6527 1544 65.7 MiB 0.11 0.00 2.6445 -97.9601 -2.6445 2.6445 1.18 0.000619131 0.000561491 0.0422818 0.0383801 44 2184 30 6.95648e+06 347416 787024. 2723.27 2.92 0.207854 0.183046 27778 195446 -1 1568 22 1509 1910 153367 33884 3.09487 3.09487 -120.284 -3.09487 0 0 997811. 3452.63 0.47 0.09 0.25 -1 -1 0.47 0.0338501 0.0300718 83 31 92 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 6.98 vpr 65.22 MiB 0.03 6988 -1 -1 1 0.04 -1 -1 33788 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 30 32 296 244 1 152 81 17 17 289 -1 unnamed_device 26.6 MiB 0.44 564 11631 4825 6255 551 65.2 MiB 0.10 0.00 2.6426 -90.0278 -2.6426 2.6426 1.19 0.000503197 0.000453085 0.036559 0.0330493 38 2206 28 6.95648e+06 275038 678818. 2348.85 2.68 0.144952 0.12624 26626 170182 -1 1709 21 1375 1945 167286 36948 3.48172 3.48172 -124.005 -3.48172 0 0 902133. 3121.57 0.40 0.08 0.21 -1 -1 0.40 0.0259608 0.0229964 65 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 11.82 vpr 65.92 MiB 0.03 7388 -1 -1 1 0.05 -1 -1 34536 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67504 32 32 531 413 1 225 95 17 17 289 -1 unnamed_device 27.5 MiB 2.33 1039 14351 3487 9050 1814 65.9 MiB 0.16 0.00 3.74344 -131.999 -3.74344 3.74344 1.21 0.00079969 0.00072352 0.0588419 0.0533282 44 3243 48 6.95648e+06 448746 787024. 2723.27 5.31 0.267847 0.235834 27778 195446 -1 2360 22 2543 3869 286754 58996 4.95661 4.95661 -176.176 -4.95661 0 0 997811. 3452.63 0.46 0.14 0.24 -1 -1 0.46 0.0484024 0.0430793 103 109 32 32 128 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 9.15 vpr 66.00 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 34128 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67580 32 32 376 288 1 187 92 17 17 289 -1 unnamed_device 27.3 MiB 1.31 840 15617 4919 8071 2627 66.0 MiB 0.14 0.00 3.18389 -115.688 -3.18389 3.18389 1.19 0.000673157 0.000610613 0.0495734 0.0449162 40 2556 26 6.95648e+06 405319 706193. 2443.58 3.81 0.214198 0.188431 26914 176310 -1 2277 29 2465 3379 482906 128963 4.40326 4.40326 -156.866 -4.40326 0 0 926341. 3205.33 0.41 0.18 0.20 -1 -1 0.41 0.0422812 0.0373539 86 31 96 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 8.20 vpr 65.23 MiB 0.03 7060 -1 -1 1 0.04 -1 -1 33524 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 26.6 MiB 0.40 588 14128 4609 6783 2736 65.2 MiB 0.11 0.00 2.45795 -91.5353 -2.45795 2.45795 1.22 0.000513213 0.00046382 0.0399561 0.0361359 52 2156 45 6.95648e+06 347416 926341. 3205.33 3.59 0.186158 0.163293 29218 227130 -1 1436 21 1453 2277 187612 43723 3.12782 3.12782 -115.552 -3.12782 0 0 1.14541e+06 3963.36 0.54 0.09 0.29 -1 -1 0.54 0.0262057 0.0233513 70 -1 96 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 11.90 vpr 65.79 MiB 0.03 7364 -1 -1 1 0.04 -1 -1 34404 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67364 32 32 438 320 1 225 95 17 17 289 -1 unnamed_device 27.4 MiB 0.57 952 14999 3951 8768 2280 65.8 MiB 0.16 0.00 3.77644 -131.905 -3.77644 3.77644 1.18 0.000759141 0.000691131 0.0547832 0.0497479 48 3156 28 6.95648e+06 448746 865456. 2994.66 7.17 0.36006 0.315813 28354 207349 -1 2478 22 2576 4094 439101 88709 5.0783 5.0783 -173.882 -5.0783 0 0 1.05005e+06 3633.38 0.48 0.16 0.25 -1 -1 0.48 0.0439867 0.0392673 105 26 128 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 7.17 vpr 65.08 MiB 0.03 6904 -1 -1 1 0.04 -1 -1 33652 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 26.6 MiB 0.47 773 9994 4157 5636 201 65.1 MiB 0.09 0.00 2.42065 -97.1473 -2.42065 2.42065 1.19 0.000504171 0.000453695 0.0351443 0.0317872 40 2094 24 6.95648e+06 144757 706193. 2443.58 2.75 0.157189 0.137011 26914 176310 -1 1876 22 1587 2205 232150 47965 3.22192 3.22192 -130.336 -3.22192 0 0 926341. 3205.33 0.43 0.10 0.22 -1 -1 0.43 0.0281528 0.0250452 62 -1 96 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 10.61 vpr 65.32 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 34040 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 30 32 296 244 1 151 83 17 17 289 -1 unnamed_device 26.8 MiB 0.95 699 13043 5450 7041 552 65.3 MiB 0.10 0.00 2.5833 -90.0474 -2.5833 2.5833 1.21 0.000504781 0.000455816 0.0390005 0.0352688 46 1765 24 6.95648e+06 303989 828058. 2865.25 5.67 0.213922 0.186507 28066 200906 -1 1470 20 948 1436 103997 23153 2.89322 2.89322 -112.65 -2.89322 0 0 1.01997e+06 3529.29 0.46 0.06 0.24 -1 -1 0.46 0.0251212 0.0223746 65 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 9.30 vpr 65.52 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34060 -1 -1 20 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67096 29 32 393 319 1 174 81 17 17 289 -1 unnamed_device 27.1 MiB 1.69 782 13206 5571 7050 585 65.5 MiB 0.12 0.00 2.81496 -90.9115 -2.81496 2.81496 1.21 0.000637105 0.000575537 0.0511847 0.0463872 38 2773 35 6.95648e+06 289514 678818. 2348.85 3.59 0.201257 0.177513 26626 170182 -1 2035 22 1710 2687 221394 51949 3.35152 3.35152 -117.927 -3.35152 0 0 902133. 3121.57 0.41 0.11 0.21 -1 -1 0.41 0.0340722 0.030301 77 81 29 29 85 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 12.11 vpr 65.97 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 34164 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67556 32 32 407 319 1 186 77 17 17 289 -1 unnamed_device 27.3 MiB 1.16 711 12139 5145 6560 434 66.0 MiB 0.12 0.00 3.15569 -115.348 -3.15569 3.15569 1.23 0.00071907 0.000646925 0.0537428 0.048638 48 2126 40 6.95648e+06 188184 865456. 2994.66 6.73 0.393014 0.342172 28354 207349 -1 1757 21 1959 2615 221625 53457 4.19286 4.19286 -151.754 -4.19286 0 0 1.05005e+06 3633.38 0.51 0.11 0.26 -1 -1 0.51 0.0364602 0.0325967 78 53 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 10.87 vpr 65.66 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 34284 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67232 32 32 407 319 1 190 89 17 17 289 -1 unnamed_device 26.9 MiB 1.85 793 15335 6381 8326 628 65.7 MiB 0.15 0.00 3.12869 -112.99 -3.12869 3.12869 1.25 0.000685975 0.000616144 0.0565079 0.0510454 52 2581 42 6.95648e+06 361892 926341. 3205.33 4.68 0.268837 0.237114 29218 227130 -1 2036 22 1930 2969 307703 65736 4.21356 4.21356 -153.364 -4.21356 0 0 1.14541e+06 3963.36 0.54 0.13 0.29 -1 -1 0.54 0.0377516 0.0337936 85 55 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 9.64 vpr 65.38 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33828 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 32 32 345 287 1 155 88 17 17 289 -1 unnamed_device 26.8 MiB 1.31 569 10423 3224 5113 2086 65.4 MiB 0.08 0.00 2.50195 -92.4518 -2.50195 2.50195 1.20 0.000549485 0.000498057 0.032789 0.0296441 52 1914 38 6.95648e+06 347416 926341. 3205.33 4.28 0.236374 0.207804 29218 227130 -1 1352 21 1352 2042 189509 44730 2.85332 2.85332 -112.982 -2.85332 0 0 1.14541e+06 3963.36 0.53 0.09 0.27 -1 -1 0.53 0.0299195 0.0265222 69 55 32 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 12.00 vpr 65.57 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34036 -1 -1 10 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 31 32 353 302 1 147 73 17 17 289 -1 unnamed_device 26.8 MiB 1.78 555 10865 4566 5787 512 65.6 MiB 0.09 0.00 2.80096 -90.6898 -2.80096 2.80096 1.20 0.000601836 0.000544559 0.0418963 0.0378906 46 1699 27 6.95648e+06 144757 828058. 2865.25 6.29 0.279254 0.242407 28066 200906 -1 1208 21 1149 1769 97534 25009 3.10597 3.10597 -108.788 -3.10597 0 0 1.01997e+06 3529.29 0.47 0.06 0.23 -1 -1 0.47 0.0276052 0.0244069 59 82 0 0 89 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 18.85 vpr 65.39 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 33996 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66960 30 32 374 297 1 178 84 17 17 289 -1 unnamed_device 27.0 MiB 1.20 748 12711 5302 6912 497 65.4 MiB 0.12 0.00 2.6866 -93.5828 -2.6866 2.6866 1.20 0.000635276 0.000574292 0.0465717 0.0420938 38 2400 43 6.95648e+06 318465 678818. 2348.85 13.63 0.377536 0.32847 26626 170182 -1 1942 23 1596 2385 251571 67658 3.51287 3.51287 -123.255 -3.51287 0 0 902133. 3121.57 0.42 0.12 0.21 -1 -1 0.42 0.0371866 0.0332017 79 52 60 30 57 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 11.82 vpr 65.44 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 33740 -1 -1 16 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 28 32 332 260 1 172 76 17 17 289 -1 unnamed_device 27.1 MiB 1.17 688 10156 4307 5315 534 65.4 MiB 0.09 0.00 3.68925 -107.014 -3.68925 3.68925 1.19 0.000538354 0.000483608 0.0376955 0.0340963 38 2614 30 6.95648e+06 231611 678818. 2348.85 6.72 0.175172 0.152483 26626 170182 -1 1964 23 1725 2471 206687 44714 4.10062 4.10062 -137.989 -4.10062 0 0 902133. 3121.57 0.41 0.10 0.21 -1 -1 0.41 0.0321724 0.0285595 74 20 84 28 28 28 +fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 11.02 vpr 65.40 MiB 0.02 7060 -1 -1 1 0.04 -1 -1 33844 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66968 30 32 325 273 1 151 74 17 17 289 -1 unnamed_device 26.9 MiB 1.00 595 9994 4251 5320 423 65.4 MiB 0.08 0.00 2.5905 -90.2125 -2.5905 2.5905 1.19 0.000510013 0.000459012 0.0363577 0.0329538 46 1675 22 6.95648e+06 173708 828058. 2865.25 6.06 0.240251 0.208992 28066 200906 -1 1369 20 1273 1731 131784 30848 2.90242 2.90242 -112.692 -2.90242 0 0 1.01997e+06 3529.29 0.48 0.07 0.24 -1 -1 0.48 0.0282775 0.0251377 61 58 30 30 60 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 8.83 vpr 65.32 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 33808 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 32 32 361 308 1 152 74 17 17 289 -1 unnamed_device 26.6 MiB 1.54 647 10149 4231 5612 306 65.3 MiB 0.09 0.00 2.5753 -88.177 -2.5753 2.5753 1.18 0.000558504 0.000504922 0.0405378 0.0366998 44 2270 34 6.95648e+06 144757 787024. 2723.27 3.31 0.190833 0.167987 27778 195446 -1 1564 20 1125 1737 148757 35754 3.32342 3.32342 -119.677 -3.32342 0 0 997811. 3452.63 0.45 0.08 0.23 -1 -1 0.45 0.0284817 0.0252891 60 88 0 0 91 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 7.93 vpr 65.55 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 33600 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 27.2 MiB 0.21 774 10228 3094 4923 2211 65.5 MiB 0.10 0.00 3.37335 -115.517 -3.37335 3.37335 1.27 0.00060175 0.000541081 0.0368796 0.0335547 56 2220 25 6.95648e+06 361892 973134. 3367.25 3.39 0.194867 0.1718 29794 239141 -1 1860 23 1821 2759 247532 58288 4.10552 4.10552 -144.415 -4.10552 0 0 1.19926e+06 4149.71 0.55 0.12 0.31 -1 -1 0.55 0.035163 0.0314048 86 -1 124 31 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 12.51 vpr 65.96 MiB 0.03 7292 -1 -1 1 0.04 -1 -1 34240 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67548 32 32 407 319 1 189 91 17 17 289 -1 unnamed_device 27.2 MiB 1.62 961 16819 4927 9815 2077 66.0 MiB 0.16 0.00 3.15569 -119.151 -3.15569 3.15569 1.29 0.000682299 0.00061717 0.0619406 0.0560857 44 2861 24 6.95648e+06 390843 787024. 2723.27 6.59 0.326229 0.286992 27778 195446 -1 2403 20 1828 2973 251255 51979 3.97396 3.97396 -151.957 -3.97396 0 0 997811. 3452.63 0.46 0.11 0.25 -1 -1 0.46 0.0356477 0.0318416 86 57 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 26.02 vpr 65.87 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 33644 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67448 32 32 407 319 1 187 90 17 17 289 -1 unnamed_device 27.1 MiB 1.86 851 10542 3742 5215 1585 65.9 MiB 0.10 0.00 3.18689 -113.996 -3.18689 3.18689 1.25 0.000589799 0.000535325 0.0366353 0.0331718 46 3038 33 6.95648e+06 376368 828058. 2865.25 20.02 0.422817 0.370729 28066 200906 -1 2151 22 1955 3074 268067 57978 4.04906 4.04906 -151.351 -4.04906 0 0 1.01997e+06 3529.29 0.46 0.13 0.24 -1 -1 0.46 0.0393404 0.0350442 85 62 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 11.33 vpr 65.96 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 34060 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67544 32 32 399 315 1 188 91 17 17 289 -1 unnamed_device 27.2 MiB 1.15 810 15187 5773 7385 2029 66.0 MiB 0.13 0.00 3.23634 -109.476 -3.23634 3.23634 1.19 0.000606436 0.00054261 0.0493902 0.044582 54 2211 24 6.95648e+06 390843 949917. 3286.91 6.04 0.294607 0.256587 29506 232905 -1 1805 20 1532 2452 185540 41833 3.92696 3.92696 -137.178 -3.92696 0 0 1.17392e+06 4061.99 0.54 0.09 0.27 -1 -1 0.54 0.030822 0.0274561 86 62 60 30 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 12.59 vpr 65.18 MiB 0.03 6984 -1 -1 1 0.04 -1 -1 33808 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 30 32 296 244 1 150 74 17 17 289 -1 unnamed_device 26.7 MiB 0.94 601 8134 2712 3908 1514 65.2 MiB 0.07 0.00 2.79296 -91.0963 -2.79296 2.79296 1.26 0.000520472 0.00046109 0.0301014 0.0273087 54 1619 50 6.95648e+06 173708 949917. 3286.91 7.46 0.25968 0.225533 29506 232905 -1 1229 20 1107 1711 169998 60748 2.93657 2.93657 -104.805 -2.93657 0 0 1.17392e+06 4061.99 0.54 0.09 0.30 -1 -1 0.54 0.0266659 0.0236721 62 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 8.33 vpr 65.73 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 33840 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67308 30 32 383 303 1 183 77 17 17 289 -1 unnamed_device 27.0 MiB 0.96 700 11650 4869 6257 524 65.7 MiB 0.11 0.00 3.3885 -110.958 -3.3885 3.3885 1.21 0.000621654 0.00056037 0.0482916 0.0436079 40 2438 40 6.95648e+06 217135 706193. 2443.58 3.24 0.215624 0.187887 26914 176310 -1 2051 35 2759 3873 498886 152029 4.37026 4.37026 -154.316 -4.37026 0 0 926341. 3205.33 0.42 0.20 0.22 -1 -1 0.42 0.0475263 0.0417259 78 58 60 30 60 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 13.22 vpr 66.22 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 34080 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67808 32 32 469 381 1 190 95 17 17 289 -1 unnamed_device 27.5 MiB 1.70 903 14783 5997 8201 585 66.2 MiB 0.14 0.00 3.17289 -116.806 -3.17289 3.17289 1.21 0.000663518 0.000598931 0.0495609 0.0446012 44 2663 41 6.95648e+06 448746 787024. 2723.27 7.33 0.396658 0.34621 27778 195446 -1 2125 22 2022 3252 292956 58555 4.12446 4.12446 -153.797 -4.12446 0 0 997811. 3452.63 0.48 0.13 0.23 -1 -1 0.48 0.0424275 0.037623 88 106 0 0 128 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 11.82 vpr 65.71 MiB 0.03 7280 -1 -1 1 0.04 -1 -1 33824 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 31 32 425 341 1 182 85 17 17 289 -1 unnamed_device 27.0 MiB 1.20 792 13291 5546 7076 669 65.7 MiB 0.12 0.00 3.3683 -112.062 -3.3683 3.3683 1.18 0.000673697 0.000606124 0.0524974 0.0474725 48 2374 26 6.95648e+06 318465 865456. 2994.66 6.58 0.313291 0.273663 28354 207349 -1 1870 23 1875 2806 255094 55305 3.86581 3.86581 -140.147 -3.86581 0 0 1.05005e+06 3633.38 0.49 0.12 0.26 -1 -1 0.49 0.0392806 0.0350722 81 79 31 31 93 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 10.64 vpr 65.65 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 33860 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67228 30 32 404 328 1 173 80 17 17 289 -1 unnamed_device 26.9 MiB 2.10 832 14184 6082 7610 492 65.7 MiB 0.14 0.00 2.82586 -96.8802 -2.82586 2.82586 1.26 0.000651165 0.000590815 0.0578708 0.052385 38 2566 20 6.95648e+06 260562 678818. 2348.85 4.46 0.221773 0.19532 26626 170182 -1 2148 20 1569 2355 219477 44615 3.51607 3.51607 -128.725 -3.51607 0 0 902133. 3121.57 0.42 0.10 0.21 -1 -1 0.42 0.0327213 0.029172 75 83 26 26 90 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 26.04 vpr 65.85 MiB 0.03 7380 -1 -1 1 0.04 -1 -1 34084 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67428 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 27.2 MiB 1.83 790 12954 4592 6250 2112 65.8 MiB 0.13 0.00 3.03339 -110.592 -3.03339 3.03339 1.28 0.000639401 0.000574625 0.0581234 0.0526385 56 2257 38 6.95648e+06 188184 973134. 3367.25 19.82 0.451107 0.393671 29794 239141 -1 1740 23 1811 3011 317116 81071 4.01926 4.01926 -139.843 -4.01926 0 0 1.19926e+06 4149.71 0.56 0.14 0.30 -1 -1 0.56 0.0427502 0.0383246 81 58 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 10.48 vpr 65.69 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33864 -1 -1 22 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67268 29 32 387 316 1 171 83 17 17 289 -1 unnamed_device 27.2 MiB 1.27 679 10703 3715 4883 2105 65.7 MiB 0.09 0.00 2.6676 -86.1022 -2.6676 2.6676 1.18 0.000565601 0.000501149 0.0365632 0.033048 40 2004 30 6.95648e+06 318465 706193. 2443.58 5.36 0.276632 0.239202 26914 176310 -1 1726 20 1596 2341 198375 44248 3.42387 3.42387 -115.526 -3.42387 0 0 926341. 3205.33 0.42 0.09 0.20 -1 -1 0.42 0.0329047 0.0292902 77 81 26 26 85 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 11.51 vpr 65.08 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 33924 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 32 32 283 225 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 1.27 566 10614 4394 5789 431 65.1 MiB 0.09 0.00 2.43165 -92.5942 -2.43165 2.43165 1.21 0.000505203 0.000455826 0.0384638 0.0349012 46 1930 28 6.95648e+06 144757 828058. 2865.25 6.18 0.238796 0.208179 28066 200906 -1 1570 22 1414 2200 197678 44800 3.35962 3.35962 -126.265 -3.35962 0 0 1.01997e+06 3529.29 0.48 0.10 0.25 -1 -1 0.48 0.030044 0.0269415 61 -1 96 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 12.67 vpr 65.68 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33880 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67252 32 32 407 319 1 187 88 17 17 289 -1 unnamed_device 26.9 MiB 4.32 1019 14518 6066 8240 212 65.7 MiB 0.15 0.00 3.14769 -120.356 -3.14769 3.14769 1.25 0.000687622 0.000624806 0.0566764 0.0514607 44 2813 37 6.95648e+06 347416 787024. 2723.27 4.10 0.249561 0.220315 27778 195446 -1 2261 23 1960 2978 280251 54455 3.97396 3.97396 -152.346 -3.97396 0 0 997811. 3452.63 0.49 0.13 0.25 -1 -1 0.49 0.0410194 0.0368074 84 62 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 16.58 vpr 65.71 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 33788 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67288 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 27.0 MiB 0.69 811 14095 6003 7526 566 65.7 MiB 0.14 0.00 3.05859 -113.458 -3.05859 3.05859 1.20 0.000643326 0.000581336 0.058993 0.0534266 46 2780 42 6.95648e+06 188184 828058. 2865.25 11.67 0.451887 0.394312 28066 200906 -1 2019 62 4269 5884 464244 101971 4.11646 4.11646 -156.506 -4.11646 0 0 1.01997e+06 3529.29 0.48 0.26 0.24 -1 -1 0.48 0.089182 0.077982 81 62 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 8.26 vpr 65.24 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34144 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 315 267 1 152 75 17 17 289 -1 unnamed_device 26.8 MiB 1.28 621 8765 3043 4406 1316 65.2 MiB 0.08 0.00 2.75376 -89.6353 -2.75376 2.75376 1.13 0.000450128 0.000407675 0.0297117 0.026924 40 2226 38 6.95648e+06 159232 706193. 2443.58 3.25 0.177294 0.155324 26914 176310 -1 1688 19 1214 1711 169506 39862 3.19152 3.19152 -117.74 -3.19152 0 0 926341. 3205.33 0.40 0.08 0.21 -1 -1 0.40 0.0276079 0.0249973 60 47 32 32 54 27 +fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 8.71 vpr 65.07 MiB 0.03 6996 -1 -1 1 0.04 -1 -1 34136 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 0.35 784 8289 3011 3915 1363 65.1 MiB 0.07 0.00 2.6756 -103.137 -2.6756 2.6756 1.24 0.000486826 0.000438588 0.0294293 0.0267082 36 2273 32 6.95648e+06 159232 648988. 2245.63 4.47 0.163156 0.142964 26050 158493 -1 1999 21 1509 2092 217530 42103 3.12597 3.12597 -128.752 -3.12597 0 0 828058. 2865.25 0.38 0.09 0.19 -1 -1 0.38 0.0258434 0.0229569 63 -1 93 31 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 8.30 vpr 65.60 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 33792 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 381 303 1 180 83 17 17 289 -1 unnamed_device 27.1 MiB 1.45 731 14303 6027 7760 516 65.6 MiB 0.12 0.00 3.07684 -104.371 -3.07684 3.07684 1.16 0.000588917 0.000529438 0.0494677 0.0446682 62 1820 34 6.95648e+06 275038 1.05005e+06 3633.38 2.74 0.200587 0.174994 30946 263737 -1 1408 21 1392 1837 101434 24544 3.52721 3.52721 -126.389 -3.52721 0 0 1.30136e+06 4502.97 0.59 0.07 0.30 -1 -1 0.59 0.0302897 0.026884 78 56 60 32 58 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 10.60 vpr 65.88 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 33736 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67460 32 32 406 330 1 182 82 17 17 289 -1 unnamed_device 27.2 MiB 1.01 805 13254 5121 6485 1648 65.9 MiB 0.12 0.00 3.18505 -105.843 -3.18505 3.18505 1.23 0.000637969 0.000576103 0.0514578 0.0464787 50 2751 46 6.95648e+06 260562 902133. 3121.57 5.40 0.242412 0.212485 28642 213929 -1 2203 24 1781 2612 307401 91385 4.73806 4.73806 -154.411 -4.73806 0 0 1.08113e+06 3740.92 0.49 0.15 0.27 -1 -1 0.49 0.0428187 0.0381931 78 81 28 28 88 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 9.12 vpr 65.82 MiB 0.03 7388 -1 -1 1 0.04 -1 -1 34048 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67404 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 27.4 MiB 0.56 1080 6415 1297 4942 176 65.8 MiB 0.08 0.00 3.73059 -130.235 -3.73059 3.73059 1.22 0.000682414 0.000615646 0.0257318 0.0234031 46 3208 29 6.95648e+06 390843 828058. 2865.25 4.38 0.211399 0.18639 28066 200906 -1 2407 22 2026 3281 286642 80817 4.80746 4.80746 -167.644 -4.80746 0 0 1.01997e+06 3529.29 0.48 0.14 0.26 -1 -1 0.48 0.040663 0.036584 100 -1 156 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 19.83 vpr 65.62 MiB 0.03 7304 -1 -1 1 0.04 -1 -1 34136 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 30 32 371 295 1 176 80 17 17 289 -1 unnamed_device 27.0 MiB 1.29 723 14872 6482 7565 825 65.6 MiB 0.13 0.00 2.88706 -94.8461 -2.88706 2.88706 1.18 0.000594818 0.000536274 0.0545696 0.0492062 50 1949 27 6.95648e+06 260562 902133. 3121.57 14.46 0.356421 0.30793 28642 213929 -1 1675 21 1551 2282 185052 43895 3.42063 3.42063 -120.099 -3.42063 0 0 1.08113e+06 3740.92 0.49 0.09 0.27 -1 -1 0.49 0.030564 0.0272011 77 47 60 30 56 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 6.69 vpr 65.03 MiB 0.03 7044 -1 -1 1 0.04 -1 -1 34268 -1 -1 15 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 27 32 269 226 1 137 74 17 17 289 -1 unnamed_device 26.6 MiB 0.73 623 9219 3786 4950 483 65.0 MiB 0.07 0.00 2.6742 -84.6424 -2.6742 2.6742 1.20 0.000437063 0.000397061 0.0288638 0.0262189 34 1805 40 6.95648e+06 217135 618332. 2139.56 2.27 0.161145 0.140489 25762 151098 -1 1461 21 1131 1395 123059 26349 2.99152 2.99152 -109.479 -2.99152 0 0 787024. 2723.27 0.37 0.06 0.17 -1 -1 0.37 0.0225065 0.0199839 57 26 54 27 27 27 +fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 9.73 vpr 65.70 MiB 0.03 7364 -1 -1 1 0.05 -1 -1 34272 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67272 32 32 493 378 1 222 94 17 17 289 -1 unnamed_device 27.3 MiB 0.89 1081 14791 4931 7536 2324 65.7 MiB 0.15 0.00 3.4105 -116.886 -3.4105 3.4105 1.21 0.000751599 0.000678802 0.0561242 0.0507333 48 3281 32 6.95648e+06 434271 865456. 2994.66 4.61 0.288853 0.2554 28354 207349 -1 2770 23 2536 4294 414511 83939 4.19782 4.19782 -149.87 -4.19782 0 0 1.05005e+06 3633.38 0.49 0.16 0.24 -1 -1 0.49 0.0449354 0.0399714 103 85 62 31 95 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 16.59 vpr 66.07 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 34216 -1 -1 14 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67652 31 32 455 371 1 187 77 17 17 289 -1 unnamed_device 27.3 MiB 5.09 686 8716 3535 4672 509 66.1 MiB 0.09 0.00 3.95134 -124.725 -3.95134 3.95134 1.23 0.000687442 0.000618655 0.0413517 0.0374863 54 2108 26 6.95648e+06 202660 949917. 3286.91 7.26 0.338783 0.295322 29506 232905 -1 1559 24 1600 2331 165309 40327 4.52701 4.52701 -149.229 -4.52701 0 0 1.17392e+06 4061.99 0.53 0.11 0.30 -1 -1 0.53 0.0430208 0.0381541 79 105 0 0 124 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 11.33 vpr 65.65 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 33872 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67228 32 32 355 304 1 147 74 17 17 289 -1 unnamed_device 26.9 MiB 3.61 576 11389 4673 6198 518 65.7 MiB 0.10 0.00 2.5155 -87.9453 -2.5155 2.5155 1.17 0.000557878 0.000501862 0.0436396 0.0394899 38 2021 49 6.95648e+06 144757 678818. 2348.85 3.94 0.213164 0.186737 26626 170182 -1 1482 19 1178 1784 131503 31083 3.13837 3.13837 -118.782 -3.13837 0 0 902133. 3121.57 0.37 0.07 0.20 -1 -1 0.37 0.0265067 0.0235589 58 86 0 0 89 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 12.33 vpr 65.42 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 33648 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66988 32 32 364 282 1 188 86 17 17 289 -1 unnamed_device 27.0 MiB 0.55 755 11804 3744 5542 2518 65.4 MiB 0.11 0.00 3.4405 -113.598 -3.4405 3.4405 1.23 0.000617025 0.000557938 0.0423405 0.0384051 58 2251 21 6.95648e+06 318465 997811. 3452.63 7.44 0.268664 0.23501 30370 251734 -1 1676 21 1597 2334 176692 43287 4.06332 4.06332 -138.875 -4.06332 0 0 1.25153e+06 4330.55 0.59 0.09 0.34 -1 -1 0.59 0.0329788 0.029334 83 31 90 30 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 11.17 vpr 66.03 MiB 0.03 7508 -1 -1 1 0.04 -1 -1 34328 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67612 31 32 443 336 1 210 86 17 17 289 -1 unnamed_device 27.5 MiB 1.15 970 14261 5148 6994 2119 66.0 MiB 0.14 0.00 3.4515 -119.337 -3.4515 3.4515 1.19 0.000686438 0.000616915 0.0584366 0.0528861 40 2754 31 6.95648e+06 332941 706193. 2443.58 5.90 0.337366 0.293322 26914 176310 -1 2385 24 2204 3111 315809 72168 4.24612 4.24612 -157.963 -4.24612 0 0 926341. 3205.33 0.42 0.14 0.22 -1 -1 0.42 0.0426262 0.0379149 95 50 87 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 23.90 vpr 65.62 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 34092 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67200 30 32 373 297 1 178 82 17 17 289 -1 unnamed_device 26.9 MiB 1.15 829 11830 4278 5421 2131 65.6 MiB 0.11 0.00 2.77276 -89.8261 -2.77276 2.77276 1.10 0.000523385 0.000473986 0.0422878 0.0383492 40 3144 33 6.95648e+06 289514 706193. 2443.58 18.91 0.36823 0.321281 26914 176310 -1 2245 25 1667 2659 269311 59085 3.58012 3.58012 -129.761 -3.58012 0 0 926341. 3205.33 0.42 0.13 0.21 -1 -1 0.42 0.041008 0.0364321 78 50 58 30 58 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 11.43 vpr 66.13 MiB 0.03 7380 -1 -1 1 0.04 -1 -1 34064 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67720 32 32 407 319 1 193 98 17 17 289 -1 unnamed_device 27.3 MiB 0.59 875 18548 7349 9049 2150 66.1 MiB 0.16 0.00 3.16669 -115.498 -3.16669 3.16669 1.19 0.000638635 0.000570291 0.0574822 0.0517005 44 2522 42 6.95648e+06 492173 787024. 2723.27 6.74 0.330876 0.286592 27778 195446 -1 2020 24 2222 3196 237718 49111 3.95996 3.95996 -148.055 -3.95996 0 0 997811. 3452.63 0.45 0.11 0.24 -1 -1 0.45 0.035595 0.0314393 91 61 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 8.17 vpr 66.02 MiB 0.03 7408 -1 -1 1 0.04 -1 -1 34024 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67608 32 32 405 318 1 192 95 17 17 289 -1 unnamed_device 27.3 MiB 0.61 929 17375 5846 8775 2754 66.0 MiB 0.15 0.00 2.5393 -97.1379 -2.5393 2.5393 1.18 0.000653081 0.000588225 0.056504 0.0509182 38 2458 34 6.95648e+06 448746 678818. 2348.85 3.60 0.234049 0.205637 26626 170182 -1 1933 22 1587 2162 180109 38160 3.27947 3.27947 -127.435 -3.27947 0 0 902133. 3121.57 0.41 0.10 0.21 -1 -1 0.41 0.0346509 0.0308586 90 61 63 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 10.54 vpr 64.89 MiB 0.02 7092 -1 -1 1 0.04 -1 -1 33768 -1 -1 13 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 29 32 287 238 1 136 74 17 17 289 -1 unnamed_device 26.4 MiB 4.82 545 8599 3140 3474 1985 64.9 MiB 0.07 0.00 2.67856 -84.8689 -2.67856 2.67856 1.19 0.0004622 0.000418852 0.0287554 0.026182 34 1691 34 6.95648e+06 188184 618332. 2139.56 2.04 0.15598 0.135834 25762 151098 -1 1327 19 1076 1313 103731 22818 2.96467 2.96467 -109.777 -2.96467 0 0 787024. 2723.27 0.37 0.06 0.17 -1 -1 0.37 0.0235554 0.0209845 56 28 58 29 29 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 7.96 vpr 65.39 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34016 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 334 290 1 148 74 17 17 289 -1 unnamed_device 26.6 MiB 1.01 609 10459 4536 5667 256 65.4 MiB 0.09 0.00 2.4623 -84.442 -2.4623 2.4623 1.20 0.000540632 0.000489567 0.0393759 0.0356227 38 1740 26 6.95648e+06 144757 678818. 2348.85 3.11 0.190154 0.165963 26626 170182 -1 1419 20 1092 1397 110454 25228 3.17127 3.17127 -109.848 -3.17127 0 0 902133. 3121.57 0.41 0.07 0.20 -1 -1 0.41 0.0275375 0.0244058 58 79 0 0 82 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 8.01 vpr 65.60 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 33732 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 31 32 365 281 1 188 91 17 17 289 -1 unnamed_device 27.1 MiB 0.54 815 13963 4937 6975 2051 65.6 MiB 0.12 0.00 3.61895 -118.026 -3.61895 3.61895 1.24 0.000633377 0.00057166 0.0452913 0.0409872 46 2457 43 6.95648e+06 405319 828058. 2865.25 3.31 0.200616 0.176698 28066 200906 -1 1868 21 1623 2479 185978 42151 4.23506 4.23506 -147.641 -4.23506 0 0 1.01997e+06 3529.29 0.47 0.10 0.25 -1 -1 0.47 0.0332352 0.0297126 86 29 93 31 31 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 8.28 vpr 65.25 MiB 0.02 7336 -1 -1 1 0.04 -1 -1 33712 -1 -1 14 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 29 32 297 254 1 144 75 17 17 289 -1 unnamed_device 26.8 MiB 1.40 508 9081 2822 4954 1305 65.3 MiB 0.08 0.00 2.76175 -82.4444 -2.76175 2.76175 1.13 0.000512771 0.00046649 0.0307927 0.0279282 44 1658 47 6.95648e+06 202660 787024. 2723.27 3.13 0.164703 0.144567 27778 195446 -1 1141 24 1112 1594 122270 28511 2.91272 2.91272 -98.0223 -2.91272 0 0 997811. 3452.63 0.44 0.07 0.23 -1 -1 0.44 0.0252464 0.0223472 59 48 29 29 52 26 +fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 11.85 vpr 65.12 MiB 0.03 6980 -1 -1 1 0.04 -1 -1 34000 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 314 256 1 154 74 17 17 289 -1 unnamed_device 26.7 MiB 1.46 664 10149 4250 5705 194 65.1 MiB 0.09 0.00 2.55695 -96.556 -2.55695 2.55695 1.23 0.000547782 0.000494314 0.0387507 0.0351515 46 1850 25 6.95648e+06 144757 828058. 2865.25 6.29 0.239269 0.209002 28066 200906 -1 1420 18 1324 1814 161619 39935 3.27362 3.27362 -121.94 -3.27362 0 0 1.01997e+06 3529.29 0.49 0.09 0.26 -1 -1 0.49 0.0275968 0.0246768 61 31 64 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 11.12 vpr 65.71 MiB 0.03 7320 -1 -1 1 0.04 -1 -1 34056 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 31 32 387 307 1 181 87 17 17 289 -1 unnamed_device 27.0 MiB 1.28 707 10839 4390 6036 413 65.7 MiB 0.10 0.00 2.6976 -94.8878 -2.6976 2.6976 1.23 0.000618737 0.000562774 0.0392659 0.035576 48 1911 24 6.95648e+06 347416 865456. 2994.66 5.66 0.283697 0.247648 28354 207349 -1 1551 19 1595 2061 154077 33287 3.12937 3.12937 -114.936 -3.12937 0 0 1.05005e+06 3633.38 0.50 0.09 0.27 -1 -1 0.50 0.0329132 0.0295065 82 60 58 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 9.13 vpr 65.30 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 33932 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 31 32 308 262 1 143 74 17 17 289 -1 unnamed_device 26.8 MiB 2.95 652 12474 3896 7177 1401 65.3 MiB 0.11 0.00 2.71585 -85.7297 -2.71585 2.71585 1.22 0.000510979 0.000455145 0.0456432 0.0414467 36 1946 40 6.95648e+06 159232 648988. 2245.63 2.28 0.1718 0.151535 26050 158493 -1 1557 22 1130 1703 143451 33298 2.93662 2.93662 -109.599 -2.93662 0 0 828058. 2865.25 0.39 0.08 0.19 -1 -1 0.39 0.0280766 0.0248885 57 49 31 31 53 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 11.48 vpr 65.61 MiB 0.03 7376 -1 -1 1 0.04 -1 -1 34104 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67180 32 32 383 307 1 176 83 17 17 289 -1 unnamed_device 27.2 MiB 1.93 668 12863 4041 6004 2818 65.6 MiB 0.11 0.00 2.5143 -86.2247 -2.5143 2.5143 1.19 0.000614832 0.000550005 0.0472377 0.0424541 48 2199 28 6.95648e+06 275038 865456. 2994.66 5.47 0.199522 0.173803 28354 207349 -1 1735 19 1482 2202 190622 45664 2.87867 2.87867 -112.196 -2.87867 0 0 1.05005e+06 3633.38 0.48 0.09 0.26 -1 -1 0.48 0.0299255 0.0266428 76 56 52 26 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 8.44 vpr 65.93 MiB 0.03 7404 -1 -1 1 0.04 -1 -1 33852 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67516 31 32 422 339 1 187 88 17 17 289 -1 unnamed_device 27.2 MiB 1.61 715 15688 5472 7576 2640 65.9 MiB 0.14 0.00 2.98426 -101.115 -2.98426 2.98426 1.22 0.000593019 0.000538242 0.0541044 0.0488765 44 2191 35 6.95648e+06 361892 787024. 2723.27 2.77 0.245408 0.21487 27778 195446 -1 1594 21 1788 2414 179525 39427 3.24027 3.24027 -118.437 -3.24027 0 0 997811. 3452.63 0.47 0.10 0.23 -1 -1 0.47 0.0364662 0.0323744 85 88 31 31 92 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 10.61 vpr 65.46 MiB 0.02 7000 -1 -1 1 0.04 -1 -1 34060 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 32 32 333 279 1 154 74 17 17 289 -1 unnamed_device 26.7 MiB 0.95 588 9374 3855 5206 313 65.5 MiB 0.08 0.00 2.4011 -83.9523 -2.4011 2.4011 1.21 0.000517182 0.000456468 0.0337556 0.0305587 54 1843 27 6.95648e+06 144757 949917. 3286.91 5.65 0.233388 0.202661 29506 232905 -1 1411 19 1255 1878 147188 35008 3.23742 3.23742 -110.944 -3.23742 0 0 1.17392e+06 4061.99 0.54 0.07 0.27 -1 -1 0.54 0.0254355 0.0226918 61 54 32 32 60 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 8.64 vpr 65.20 MiB 0.03 7012 -1 -1 1 0.04 -1 -1 33612 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 339 283 1 158 74 17 17 289 -1 unnamed_device 26.7 MiB 1.26 684 8599 3572 4859 168 65.2 MiB 0.08 0.00 2.5503 -94.2523 -2.5503 2.5503 1.22 0.000566933 0.000514812 0.0346312 0.0313965 44 2265 40 6.95648e+06 144757 787024. 2723.27 3.33 0.192049 0.169156 27778 195446 -1 1741 22 1406 2082 222692 47000 3.00252 3.00252 -121.73 -3.00252 0 0 997811. 3452.63 0.45 0.10 0.24 -1 -1 0.45 0.0302375 0.0267439 63 60 32 32 62 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 10.63 vpr 65.68 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 34068 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67252 32 32 407 319 1 190 93 17 17 289 -1 unnamed_device 27.1 MiB 1.05 829 11223 3241 6212 1770 65.7 MiB 0.10 0.00 3.12269 -114.052 -3.12269 3.12269 1.17 0.000634681 0.000574752 0.0368214 0.0333637 44 2422 25 6.95648e+06 419795 787024. 2723.27 5.59 0.31259 0.274044 27778 195446 -1 1953 23 1987 2855 231696 47821 3.94896 3.94896 -147.738 -3.94896 0 0 997811. 3452.63 0.46 0.11 0.23 -1 -1 0.46 0.0358341 0.0316547 88 49 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 7.87 vpr 65.66 MiB 0.03 7444 -1 -1 1 0.04 -1 -1 33876 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 29 32 367 293 1 175 80 17 17 289 -1 unnamed_device 27.2 MiB 1.20 707 6960 2780 3856 324 65.7 MiB 0.07 0.00 2.7306 -90.9635 -2.7306 2.7306 1.23 0.000578104 0.00052337 0.0273721 0.0249339 38 2420 44 6.95648e+06 275038 678818. 2348.85 2.71 0.194378 0.170799 26626 170182 -1 1737 21 1488 2085 151763 34157 3.10287 3.10287 -116.173 -3.10287 0 0 902133. 3121.57 0.43 0.10 0.20 -1 -1 0.43 0.0395232 0.0355861 77 54 56 29 58 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 12.56 vpr 65.98 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 34344 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67560 32 32 469 381 1 192 93 17 17 289 -1 unnamed_device 27.4 MiB 1.85 984 16683 4965 9340 2378 66.0 MiB 0.15 0.00 3.08969 -116.839 -3.08969 3.08969 1.24 0.000700414 0.000641144 0.0615038 0.0552339 38 3025 50 6.95648e+06 419795 678818. 2348.85 6.58 0.281841 0.246861 26626 170182 -1 2472 24 2150 3164 285464 56335 4.47216 4.47216 -158.698 -4.47216 0 0 902133. 3121.57 0.41 0.13 0.21 -1 -1 0.41 0.0410665 0.0364445 89 117 0 0 128 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 9.14 vpr 65.08 MiB 0.03 6944 -1 -1 1 0.04 -1 -1 33772 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 31 32 259 212 1 144 74 17 17 289 -1 unnamed_device 26.7 MiB 1.95 617 9529 3920 5263 346 65.1 MiB 0.08 0.00 2.4703 -81.6138 -2.4703 2.4703 1.19 0.000469409 0.000423024 0.0316843 0.0286292 48 1608 27 6.95648e+06 159232 865456. 2994.66 3.20 0.145951 0.126786 28354 207349 -1 1459 18 1045 1518 148126 35791 2.69392 2.69392 -108.044 -2.69392 0 0 1.05005e+06 3633.38 0.48 0.07 0.26 -1 -1 0.48 0.0218434 0.0194601 58 -1 85 31 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 13.12 vpr 65.73 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 33688 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67308 32 32 418 338 1 182 87 17 17 289 -1 unnamed_device 27.0 MiB 1.22 756 14679 5714 6385 2580 65.7 MiB 0.13 0.00 3.10505 -105.698 -3.10505 3.10505 1.24 0.000671525 0.000607155 0.0542802 0.0490306 52 2315 36 6.95648e+06 332941 926341. 3205.33 7.63 0.353294 0.309603 29218 227130 -1 1603 22 1562 2088 143200 34765 3.71076 3.71076 -131.472 -3.71076 0 0 1.14541e+06 3963.36 0.54 0.10 0.29 -1 -1 0.54 0.0383623 0.0343142 81 89 28 28 92 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 10.94 vpr 65.77 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 34060 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67352 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 27.0 MiB 3.93 580 11079 4717 6073 289 65.8 MiB 0.11 0.00 2.45985 -93.1734 -2.45985 2.45985 1.17 0.000558169 0.000507232 0.0473919 0.0428633 40 2021 22 6.95648e+06 144757 706193. 2443.58 3.09 0.21409 0.188658 26914 176310 -1 1697 23 1563 2199 234328 49920 3.44572 3.44572 -134.946 -3.44572 0 0 926341. 3205.33 0.43 0.10 0.21 -1 -1 0.43 0.0333311 0.0293844 61 93 0 0 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 8.40 vpr 65.70 MiB 0.03 7280 -1 -1 1 0.04 -1 -1 34000 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67276 32 32 401 316 1 188 88 17 17 289 -1 unnamed_device 27.0 MiB 1.30 850 12373 5087 6994 292 65.7 MiB 0.12 0.00 2.5393 -95.813 -2.5393 2.5393 1.22 0.000661824 0.000594467 0.0450402 0.0405995 44 2175 30 6.95648e+06 347416 787024. 2723.27 3.00 0.215608 0.189077 27778 195446 -1 1727 23 1542 2327 173081 37393 3.36257 3.36257 -122.358 -3.36257 0 0 997811. 3452.63 0.47 0.10 0.24 -1 -1 0.47 0.0366261 0.0325643 84 59 61 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 35.41 vpr 65.97 MiB 0.03 7384 -1 -1 1 0.05 -1 -1 34204 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67552 32 32 500 382 1 222 97 17 17 289 -1 unnamed_device 27.6 MiB 1.56 1069 18745 6601 9822 2322 66.0 MiB 0.19 0.00 3.74344 -134.628 -3.74344 3.74344 1.22 0.000770094 0.000692658 0.0693813 0.062545 40 3265 45 6.95648e+06 477698 706193. 2443.58 29.58 0.49302 0.431636 26914 176310 -1 2653 25 2829 4181 469618 101610 5.14531 5.14531 -181.974 -5.14531 0 0 926341. 3205.33 0.42 0.18 0.22 -1 -1 0.42 0.0483741 0.0431897 104 81 64 32 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 6.46 vpr 64.55 MiB 0.03 6944 -1 -1 1 0.04 -1 -1 33804 -1 -1 10 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 30 32 246 229 1 117 72 17 17 289 -1 unnamed_device 26.2 MiB 0.58 426 8714 3565 4722 427 64.6 MiB 0.07 0.00 1.83056 -63.1332 -1.83056 1.83056 1.22 0.000443386 0.000402889 0.0268246 0.0243256 36 1273 32 6.95648e+06 144757 648988. 2245.63 2.01 0.126531 0.110476 26050 158493 -1 830 18 657 825 55436 15558 1.96508 1.96508 -76.6479 -1.96508 0 0 828058. 2865.25 0.40 0.05 0.17 -1 -1 0.40 0.0220716 0.0196197 45 51 0 0 53 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 12.63 vpr 65.05 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 34000 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 30 32 296 244 1 141 74 17 17 289 -1 unnamed_device 26.6 MiB 2.38 457 10304 4299 5478 527 65.0 MiB 0.08 0.00 2.68956 -87.7818 -2.68956 2.68956 1.21 0.000464181 0.000419051 0.0346911 0.0314674 46 1623 40 6.95648e+06 173708 828058. 2865.25 6.26 0.272237 0.23593 28066 200906 -1 1209 46 1818 2780 219765 50480 3.06797 3.06797 -108.255 -3.06797 0 0 1.01997e+06 3529.29 0.46 0.12 0.23 -1 -1 0.46 0.0431184 0.0373706 58 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 9.26 vpr 65.28 MiB 0.02 7060 -1 -1 1 0.04 -1 -1 33700 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 314 256 1 161 74 17 17 289 -1 unnamed_device 26.8 MiB 0.29 592 10459 4383 5742 334 65.3 MiB 0.09 0.00 2.43165 -93.329 -2.43165 2.43165 1.20 0.000539298 0.000467879 0.0381361 0.0346098 54 1978 46 6.95648e+06 144757 949917. 3286.91 4.89 0.24861 0.218157 29506 232905 -1 1495 19 1448 2347 167635 40632 2.88632 2.88632 -116.493 -2.88632 0 0 1.17392e+06 4061.99 0.54 0.08 0.28 -1 -1 0.54 0.0269751 0.0240485 65 31 64 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 7.16 vpr 64.77 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 33940 -1 -1 15 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 25 32 251 214 1 132 72 17 17 289 -1 unnamed_device 26.4 MiB 0.73 456 8714 3676 4307 731 64.8 MiB 0.07 0.00 2.73975 -73.8006 -2.73975 2.73975 1.22 0.000444537 0.000401891 0.0288205 0.0260841 38 1696 32 6.95648e+06 217135 678818. 2348.85 2.57 0.125105 0.108697 26626 170182 -1 1275 19 971 1266 86626 22170 3.11047 3.11047 -99.1283 -3.11047 0 0 902133. 3121.57 0.40 0.06 0.21 -1 -1 0.40 0.0203825 0.0180609 56 19 50 25 25 25 +fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 9.12 vpr 65.88 MiB 0.03 7224 -1 -1 1 0.04 -1 -1 33780 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67456 32 32 432 346 1 185 77 17 17 289 -1 unnamed_device 27.2 MiB 1.50 777 9368 3861 5200 307 65.9 MiB 0.11 0.00 3.24434 -109.955 -3.24434 3.24434 1.23 0.000744827 0.000668405 0.0470699 0.0425189 44 2856 44 6.95648e+06 188184 787024. 2723.27 3.45 0.233767 0.204884 27778 195446 -1 2077 25 1866 3218 269844 57681 4.32921 4.32921 -147.454 -4.32921 0 0 997811. 3452.63 0.46 0.13 0.24 -1 -1 0.46 0.0423748 0.03753 77 84 32 32 94 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 8.94 vpr 66.03 MiB 0.03 7504 -1 -1 1 0.04 -1 -1 33812 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67612 31 32 421 339 1 185 92 17 17 289 -1 unnamed_device 27.2 MiB 1.12 775 14168 4766 7049 2353 66.0 MiB 0.13 0.00 2.7178 -94.9795 -2.7178 2.7178 1.26 0.000693629 0.000621886 0.0511388 0.0461785 40 2184 23 6.95648e+06 419795 706193. 2443.58 3.64 0.222935 0.195682 26914 176310 -1 1988 24 1960 2592 243491 53434 3.49427 3.49427 -135.567 -3.49427 0 0 926341. 3205.33 0.43 0.13 0.22 -1 -1 0.43 0.0418491 0.0369692 87 88 29 29 93 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 9.06 vpr 65.39 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 34268 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66960 32 32 438 350 1 287 86 17 17 289 -1 unnamed_device 27.0 MiB 0.99 1162 16718 7118 9209 391 65.4 MiB 0.16 0.00 3.54004 -127.096 -3.54004 3.54004 1.20 0.000688828 0.00062257 0.0640426 0.0579359 46 3223 28 6.99608e+06 323745 828058. 2865.25 3.87 0.261652 0.231876 28066 200906 -1 2413 19 2143 2491 177850 39030 4.58691 4.58691 -162.983 -4.58691 0 0 1.01997e+06 3529.29 0.48 0.10 0.26 -1 -1 0.48 0.0370655 0.0332919 130 80 32 32 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 9.98 vpr 65.93 MiB 0.03 7232 -1 -1 1 0.04 -1 -1 34188 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67508 30 32 409 330 1 259 82 17 17 289 -1 unnamed_device 27.3 MiB 2.00 1064 16814 6965 8056 1793 65.9 MiB 0.15 0.00 3.52832 -116.536 -3.52832 3.52832 1.21 0.000642559 0.000562948 0.0647208 0.058377 56 2824 31 6.99608e+06 294314 973134. 3367.25 3.62 0.248655 0.218882 29794 239141 -1 2292 24 2453 3413 339606 78374 4.9747 4.9747 -161.991 -4.9747 0 0 1.19926e+06 4149.71 0.58 0.14 0.32 -1 -1 0.58 0.0400204 0.0357864 117 78 30 30 89 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 11.98 vpr 65.68 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 34160 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 387 309 1 241 82 17 17 289 -1 unnamed_device 27.0 MiB 1.15 1164 16458 6490 7573 2395 65.7 MiB 0.16 0.00 2.96629 -109.899 -2.96629 2.96629 1.21 0.000608866 0.000550842 0.0619434 0.0559889 44 2957 43 6.99608e+06 264882 787024. 2723.27 6.81 0.32943 0.288274 27778 195446 -1 2310 20 1622 1882 161946 33102 3.75976 3.75976 -140.942 -3.75976 0 0 997811. 3452.63 0.46 0.09 0.25 -1 -1 0.46 0.0322043 0.0286985 106 50 54 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 11.35 vpr 65.48 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33980 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 29 32 343 267 1 201 79 17 17 289 -1 unnamed_device 26.9 MiB 0.90 831 13430 5751 7055 624 65.5 MiB 0.12 0.00 3.16965 -103.759 -3.16965 3.16965 1.19 0.000559841 0.000505125 0.0467405 0.0423748 44 2627 25 6.99608e+06 264882 787024. 2723.27 6.34 0.307705 0.269165 27778 195446 -1 1819 36 2427 3615 434216 181397 3.76246 3.76246 -128.87 -3.76246 0 0 997811. 3452.63 0.48 0.22 0.23 -1 -1 0.48 0.051415 0.0455335 89 25 87 29 29 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 9.00 vpr 65.48 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34036 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 32 32 376 288 1 218 79 17 17 289 -1 unnamed_device 26.7 MiB 0.65 1101 13261 4464 7688 1109 65.5 MiB 0.13 0.00 3.52464 -134.12 -3.52464 3.52464 1.20 0.000648822 0.000578265 0.0523179 0.0473041 44 3535 29 6.99608e+06 220735 787024. 2723.27 4.22 0.192151 0.169281 27778 195446 -1 2706 21 2376 3732 394413 105365 4.43731 4.43731 -175.537 -4.43731 0 0 997811. 3452.63 0.45 0.16 0.24 -1 -1 0.45 0.0367131 0.0329587 93 31 96 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 11.95 vpr 65.71 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 33844 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 32 32 402 316 1 251 94 17 17 289 -1 unnamed_device 27.2 MiB 0.62 1232 16708 5429 8645 2634 65.7 MiB 0.15 0.00 3.08859 -112.1 -3.08859 3.08859 1.15 0.000598434 0.000539961 0.0505945 0.0457035 44 3603 39 6.99608e+06 441471 787024. 2723.27 7.35 0.362996 0.316463 27778 195446 -1 2719 22 2085 2999 248289 50331 3.46081 3.46081 -137.578 -3.46081 0 0 997811. 3452.63 0.48 0.12 0.22 -1 -1 0.48 0.0381648 0.0339492 117 61 63 32 63 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 10.63 vpr 64.78 MiB 0.03 6992 -1 -1 1 0.04 -1 -1 34224 -1 -1 15 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 27 32 269 226 1 158 74 17 17 289 -1 unnamed_device 26.3 MiB 1.96 604 7204 2688 3554 962 64.8 MiB 0.07 0.00 2.64844 -84.8254 -2.64844 2.64844 1.19 0.000467473 0.000421161 0.0242238 0.0219142 44 1749 19 6.99608e+06 220735 787024. 2723.27 4.78 0.179825 0.154899 27778 195446 -1 1427 21 1304 1929 148803 31559 3.08197 3.08197 -104.02 -3.08197 0 0 997811. 3452.63 0.46 0.08 0.24 -1 -1 0.46 0.0244369 0.0217245 68 26 54 27 27 27 +fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 8.06 vpr 64.94 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 34168 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 26.5 MiB 0.67 714 11776 4925 6222 629 64.9 MiB 0.10 0.00 2.49105 -83.6689 -2.49105 2.49105 1.22 0.000563532 0.000509272 0.0417116 0.0378399 62 1851 27 6.99608e+06 250167 1.05005e+06 3633.38 3.08 0.185627 0.16327 30946 263737 -1 1356 21 1260 1878 123412 29927 2.73002 2.73002 -98.6669 -2.73002 0 0 1.30136e+06 4502.97 0.60 0.07 0.35 -1 -1 0.60 0.0281018 0.0249831 77 -1 115 31 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 10.59 vpr 65.21 MiB 0.03 7364 -1 -1 1 0.04 -1 -1 33832 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 31 32 338 292 1 222 78 17 17 289 -1 unnamed_device 26.8 MiB 3.80 1012 12030 4994 6504 532 65.2 MiB 0.10 0.00 2.62059 -97.5399 -2.62059 2.62059 1.13 0.000538917 0.000481236 0.0390211 0.0352512 40 2750 25 6.99608e+06 220735 706193. 2443.58 3.04 0.177226 0.15562 26914 176310 -1 2295 24 1962 2405 228545 48028 3.40231 3.40231 -127.247 -3.40231 0 0 926341. 3205.33 0.38 0.11 0.19 -1 -1 0.38 0.0318552 0.0280839 96 81 0 0 84 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 7.95 vpr 65.02 MiB 0.03 6996 -1 -1 1 0.04 -1 -1 33956 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 314 256 1 187 77 17 17 289 -1 unnamed_device 26.5 MiB 0.96 677 8879 3611 5037 231 65.0 MiB 0.08 0.00 2.95409 -110.115 -2.95409 2.95409 1.13 0.000509057 0.000460784 0.030856 0.0279457 38 2824 39 6.99608e+06 191304 678818. 2348.85 3.24 0.177449 0.155872 26626 170182 -1 1909 24 1935 2416 193438 42911 3.60816 3.60816 -140.314 -3.60816 0 0 902133. 3121.57 0.40 0.09 0.20 -1 -1 0.40 0.0309202 0.027469 79 31 64 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 13.75 vpr 65.45 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 33440 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 30 32 325 273 1 199 77 17 17 289 -1 unnamed_device 26.9 MiB 3.51 761 12791 5202 6282 1307 65.5 MiB 0.11 0.00 3.05483 -105 -3.05483 3.05483 1.23 0.000614975 0.00054259 0.0458704 0.0414727 46 2367 28 6.99608e+06 220735 828058. 2865.25 6.16 0.248104 0.216646 28066 200906 -1 1855 24 1860 2565 232261 46692 3.9203 3.9203 -133.857 -3.9203 0 0 1.01997e+06 3529.29 0.46 0.10 0.25 -1 -1 0.46 0.031358 0.0278316 88 58 30 30 60 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 9.46 vpr 65.26 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 34112 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 331 280 1 210 78 17 17 289 -1 unnamed_device 26.6 MiB 1.05 1019 13192 5109 6222 1861 65.3 MiB 0.11 0.00 2.5521 -98.3165 -2.5521 2.5521 1.15 0.000480764 0.000434883 0.0431549 0.0390178 38 2769 33 6.99608e+06 206020 678818. 2348.85 4.61 0.196911 0.172776 26626 170182 -1 2270 24 1587 1700 203638 44005 3.18427 3.18427 -126.32 -3.18427 0 0 902133. 3121.57 0.40 0.10 0.19 -1 -1 0.40 0.0322992 0.0286027 91 57 25 25 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 10.46 vpr 65.73 MiB 0.03 7060 -1 -1 1 0.04 -1 -1 34048 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67304 32 32 386 305 1 231 80 17 17 289 -1 unnamed_device 26.9 MiB 1.32 951 11260 4298 6104 858 65.7 MiB 0.12 0.00 3.00239 -108.813 -3.00239 3.00239 1.17 0.000629109 0.000566904 0.0444988 0.0401801 46 2896 34 6.99608e+06 235451 828058. 2865.25 5.06 0.205697 0.179597 28066 200906 -1 2131 32 2115 2979 333445 98970 3.90706 3.90706 -137.388 -3.90706 0 0 1.01997e+06 3529.29 0.47 0.15 0.25 -1 -1 0.47 0.0438467 0.0385533 101 55 64 32 57 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 8.68 vpr 65.56 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34096 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 407 319 1 254 83 17 17 289 -1 unnamed_device 27.1 MiB 1.21 1154 14663 4397 8300 1966 65.6 MiB 0.15 0.00 3.53814 -127.421 -3.53814 3.53814 1.22 0.000662725 0.00059353 0.0587914 0.0529806 46 3196 22 6.99608e+06 279598 828058. 2865.25 3.28 0.229814 0.202742 28066 200906 -1 2607 23 2734 3574 282970 57188 4.59491 4.59491 -173.163 -4.59491 0 0 1.01997e+06 3529.29 0.48 0.13 0.25 -1 -1 0.48 0.0394924 0.03536 112 60 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 19.75 vpr 64.84 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 33804 -1 -1 14 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 29 32 272 228 1 161 75 17 17 289 -1 unnamed_device 26.4 MiB 3.30 584 11293 4689 6010 594 64.8 MiB 0.09 0.00 2.42075 -79.1279 -2.42075 2.42075 1.19 0.000492369 0.000446419 0.0372248 0.0337026 44 1934 47 6.99608e+06 206020 787024. 2723.27 12.42 0.296376 0.257789 27778 195446 -1 1309 30 1251 1780 211834 102687 2.91032 2.91032 -98.7424 -2.91032 0 0 997811. 3452.63 0.46 0.12 0.25 -1 -1 0.46 0.0334896 0.0296508 67 21 58 29 24 24 +fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 30.50 vpr 65.92 MiB 0.03 7092 -1 -1 1 0.04 -1 -1 33672 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67504 32 32 401 315 1 243 80 17 17 289 -1 unnamed_device 27.0 MiB 2.71 1284 15388 6230 7387 1771 65.9 MiB 0.16 0.00 3.01639 -115.687 -3.01639 3.01639 1.20 0.000682054 0.000616623 0.0612156 0.0554712 40 3446 28 6.99608e+06 235451 706193. 2443.58 23.65 0.468027 0.411743 26914 176310 -1 3022 23 2840 4013 421029 82226 3.75791 3.75791 -151.577 -3.75791 0 0 926341. 3205.33 0.41 0.18 0.21 -1 -1 0.41 0.0460371 0.0413474 106 60 64 32 62 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 10.80 vpr 65.39 MiB 0.03 7144 -1 -1 1 0.04 -1 -1 33596 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 383 303 1 230 81 17 17 289 -1 unnamed_device 26.7 MiB 1.66 1172 13381 4472 7405 1504 65.4 MiB 0.13 0.00 2.74074 -110.372 -2.74074 2.74074 1.15 0.000621692 0.000565263 0.0516983 0.0467765 40 2886 47 6.99608e+06 250167 706193. 2443.58 4.27 0.248951 0.219669 26914 176310 -1 2676 97 5928 7832 2597775 1651270 3.60811 3.60811 -149.188 -3.60811 0 0 926341. 3205.33 0.41 1.15 0.19 -1 -1 0.41 0.127653 0.112012 99 54 64 32 56 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 8.88 vpr 65.55 MiB 0.03 6996 -1 -1 1 0.04 -1 -1 33424 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 32 32 339 284 1 218 78 17 17 289 -1 unnamed_device 26.8 MiB 1.04 923 6884 1566 4436 882 65.5 MiB 0.07 0.00 2.74594 -102.452 -2.74594 2.74594 1.19 0.000555822 0.000500682 0.0258556 0.0233955 46 2561 40 6.99608e+06 206020 828058. 2865.25 3.86 0.175725 0.152862 28066 200906 -1 1743 21 1650 1969 147826 36402 3.49411 3.49411 -130.267 -3.49411 0 0 1.01997e+06 3529.29 0.47 0.09 0.25 -1 -1 0.47 0.0307018 0.027308 91 62 29 29 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 13.00 vpr 64.38 MiB 0.02 7052 -1 -1 1 0.04 -1 -1 33728 -1 -1 11 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 30 32 226 208 1 139 73 17 17 289 -1 unnamed_device 25.9 MiB 3.24 493 10105 4189 5487 429 64.4 MiB 0.08 0.00 1.97056 -70.6311 -1.97056 1.97056 1.22 0.000424354 0.00038382 0.0310608 0.0282161 44 1467 27 6.99608e+06 161872 787024. 2723.27 5.79 0.203921 0.176866 27778 195446 -1 1069 19 714 774 61056 15309 2.24873 2.24873 -86.8985 -2.24873 0 0 997811. 3452.63 0.47 0.05 0.25 -1 -1 0.47 0.0200891 0.017845 56 29 24 24 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 13.11 vpr 65.36 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 34056 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66928 31 32 335 280 1 209 78 17 17 289 -1 unnamed_device 26.7 MiB 3.03 1019 12030 4753 6737 540 65.4 MiB 0.11 0.00 3.17469 -113.444 -3.17469 3.17469 1.22 0.000512696 0.00046306 0.0417293 0.0377852 46 2367 28 6.99608e+06 220735 828058. 2865.25 5.94 0.240711 0.209077 28066 200906 -1 2033 24 1453 1809 150783 30672 3.36486 3.36486 -131.723 -3.36486 0 0 1.01997e+06 3529.29 0.47 0.09 0.26 -1 -1 0.47 0.0327042 0.0289021 91 55 31 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 11.48 vpr 65.67 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 33788 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 366 283 1 215 87 17 17 289 -1 unnamed_device 27.0 MiB 0.59 875 12759 4780 6107 1872 65.7 MiB 0.13 0.00 3.29568 -115.809 -3.29568 3.29568 1.22 0.000617587 0.000564401 0.0450316 0.0408447 44 2998 30 6.99608e+06 338461 787024. 2723.27 6.75 0.325811 0.284503 27778 195446 -1 2224 22 2342 3239 261001 55123 4.3634 4.3634 -154.341 -4.3634 0 0 997811. 3452.63 0.46 0.11 0.24 -1 -1 0.46 0.0332863 0.0295282 97 31 91 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 13.23 vpr 65.78 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 33848 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67356 32 32 460 375 1 303 86 17 17 289 -1 unnamed_device 27.6 MiB 1.87 1256 16529 6962 9041 526 65.8 MiB 0.16 0.00 3.26728 -115.334 -3.26728 3.26728 1.22 0.000694681 0.000625344 0.0654644 0.059077 50 3191 34 6.99608e+06 323745 902133. 3121.57 7.05 0.379207 0.330616 28642 213929 -1 2536 25 2258 2580 199956 44749 4.26925 4.26925 -147.78 -4.26925 0 0 1.08113e+06 3740.92 0.51 0.11 0.27 -1 -1 0.51 0.041858 0.0371057 138 108 0 0 125 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 7.51 vpr 64.71 MiB 0.02 7084 -1 -1 1 0.03 -1 -1 34060 -1 -1 15 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 26 32 198 186 1 125 73 17 17 289 -1 unnamed_device 26.3 MiB 1.01 399 10713 3973 5519 1221 64.7 MiB 0.07 0.00 2.1814 -62.1378 -2.1814 2.1814 1.24 0.000355024 0.000319472 0.0266186 0.0240861 38 1037 24 6.99608e+06 220735 678818. 2348.85 2.69 0.115897 0.10134 26626 170182 -1 844 13 555 649 44824 11458 2.54267 2.54267 -78.0888 -2.54267 0 0 902133. 3121.57 0.40 0.03 0.20 -1 -1 0.40 0.0122484 0.0110053 52 21 26 26 22 22 +fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 10.52 vpr 65.26 MiB 0.03 7016 -1 -1 1 0.05 -1 -1 33972 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 333 251 1 181 76 17 17 289 -1 unnamed_device 26.7 MiB 1.14 761 7596 3084 4118 394 65.3 MiB 0.07 0.00 3.40945 -111.783 -3.40945 3.40945 1.24 0.000574934 0.000512982 0.030646 0.0278202 48 2500 32 6.99608e+06 176588 865456. 2994.66 5.21 0.216406 0.190847 28354 207349 -1 2132 26 1996 3266 415661 123338 4.14861 4.14861 -146.509 -4.14861 0 0 1.05005e+06 3633.38 0.50 0.17 0.24 -1 -1 0.50 0.0373217 0.033086 75 -1 122 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 6.54 vpr 63.47 MiB 0.02 6768 -1 -1 1 0.04 -1 -1 33752 -1 -1 8 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64996 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 25.2 MiB 0.38 753 9608 3553 5125 930 63.5 MiB 0.07 0.00 1.68521 -70.2395 -1.68521 1.68521 1.22 0.000407407 0.000368543 0.0269788 0.0245257 34 1700 45 6.99608e+06 117725 618332. 2139.56 2.35 0.141517 0.123579 25762 151098 -1 1419 17 751 978 93324 18827 2.01112 2.01112 -88.8862 -2.01112 0 0 787024. 2723.27 0.40 0.06 0.19 -1 -1 0.40 0.018177 0.016303 44 -1 53 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 28.67 vpr 64.91 MiB 0.03 7060 -1 -1 1 0.04 -1 -1 34172 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 376 288 1 218 81 17 17 289 -1 unnamed_device 26.2 MiB 1.74 802 12156 4811 5800 1545 64.9 MiB 0.12 0.00 3.25275 -116.923 -3.25275 3.25275 1.26 0.000624313 0.00056225 0.0493415 0.0448251 48 2909 41 6.99608e+06 250167 865456. 2994.66 22.70 0.401552 0.351041 28354 207349 -1 2239 23 2128 3023 290663 67357 4.31872 4.31872 -160.471 -4.31872 0 0 1.05005e+06 3633.38 0.48 0.13 0.26 -1 -1 0.48 0.0377732 0.0337111 95 21 96 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 8.99 vpr 65.36 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 33984 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 26.8 MiB 0.32 1027 13547 4004 7709 1834 65.4 MiB 0.11 0.00 2.43175 -96.8894 -2.43175 2.43175 1.20 0.000637478 0.000576742 0.040027 0.0361259 34 3139 45 6.99608e+06 412039 618332. 2139.56 4.80 0.192182 0.168982 25762 151098 -1 2430 24 1802 2751 267884 53142 3.04662 3.04662 -125.808 -3.04662 0 0 787024. 2723.27 0.37 0.12 0.17 -1 -1 0.37 0.0351387 0.0312826 87 -1 124 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 8.84 vpr 65.61 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34000 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67180 32 32 407 319 1 256 85 17 17 289 -1 unnamed_device 27.1 MiB 1.01 1331 13477 5685 7428 364 65.6 MiB 0.14 0.00 3.14945 -119.832 -3.14945 3.14945 1.21 0.0006608 0.000596129 0.0521866 0.0473458 38 3760 43 6.99608e+06 309029 678818. 2348.85 3.72 0.243809 0.215998 26626 170182 -1 3059 23 2503 3578 336338 70635 4.47032 4.47032 -166.038 -4.47032 0 0 902133. 3121.57 0.40 0.15 0.20 -1 -1 0.40 0.0433218 0.0385249 115 54 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 16.73 vpr 65.00 MiB 0.03 6872 -1 -1 1 0.04 -1 -1 34108 -1 -1 11 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 294 246 1 175 75 17 17 289 -1 unnamed_device 26.5 MiB 1.62 731 9555 3937 5379 239 65.0 MiB 0.09 0.00 2.4829 -87.8794 -2.4829 2.4829 1.21 0.00052058 0.000468482 0.0338299 0.0305296 40 2351 33 6.99608e+06 161872 706193. 2443.58 11.18 0.274451 0.236336 26914 176310 -1 1913 22 1503 2141 229291 48566 3.93602 3.93602 -137.038 -3.93602 0 0 926341. 3205.33 0.41 0.10 0.22 -1 -1 0.41 0.0266137 0.0234988 72 31 54 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 29.56 vpr 65.13 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 33824 -1 -1 13 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 30 32 296 244 1 173 75 17 17 289 -1 unnamed_device 26.6 MiB 10.99 687 8923 3650 4937 336 65.1 MiB 0.08 0.00 2.93029 -98.4514 -2.93029 2.93029 1.20 0.000497769 0.000451209 0.0312695 0.0283639 40 2336 27 6.99608e+06 191304 706193. 2443.58 14.71 0.301602 0.26276 26914 176310 -1 1870 20 1611 2378 212707 47950 3.49631 3.49631 -132.628 -3.49631 0 0 926341. 3205.33 0.41 0.09 0.22 -1 -1 0.41 0.026249 0.0233687 73 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 11.74 vpr 65.00 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 33784 -1 -1 15 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 28 32 278 232 1 163 75 17 17 289 -1 unnamed_device 26.5 MiB 1.53 631 8607 3745 4367 495 65.0 MiB 0.07 0.00 3.06475 -93.3824 -3.06475 3.06475 1.22 0.000456757 0.000412462 0.0279356 0.0253636 44 2208 35 6.99608e+06 220735 787024. 2723.27 6.29 0.250117 0.217769 27778 195446 -1 1581 21 1259 1912 148011 34837 3.53731 3.53731 -122.488 -3.53731 0 0 997811. 3452.63 0.46 0.08 0.23 -1 -1 0.46 0.0258254 0.0230064 72 27 56 28 28 28 +fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 15.75 vpr 64.97 MiB 0.03 7160 -1 -1 1 0.04 -1 -1 33940 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 26.5 MiB 0.25 871 7204 1745 4616 843 65.0 MiB 0.07 0.00 2.36125 -100.739 -2.36125 2.36125 1.24 0.000508316 0.000458042 0.0263802 0.0239414 38 2304 23 6.99608e+06 147157 678818. 2348.85 11.53 0.280222 0.244199 26626 170182 -1 2047 21 1575 2373 204563 40373 3.19192 3.19192 -133.084 -3.19192 0 0 902133. 3121.57 0.41 0.09 0.21 -1 -1 0.41 0.0272547 0.0242528 64 -1 96 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 8.39 vpr 64.92 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 33932 -1 -1 15 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 31 32 303 249 1 185 78 17 17 289 -1 unnamed_device 26.4 MiB 0.89 963 9042 2168 5909 965 64.9 MiB 0.08 0.00 2.44275 -95.2161 -2.44275 2.44275 1.20 0.00045471 0.000412488 0.0301775 0.0273667 40 2329 40 6.99608e+06 220735 706193. 2443.58 3.60 0.183809 0.161337 26914 176310 -1 2149 22 1472 1991 194527 39167 3.17812 3.17812 -123.976 -3.17812 0 0 926341. 3205.33 0.43 0.09 0.20 -1 -1 0.43 0.0290763 0.025858 77 26 61 31 31 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 13.81 vpr 65.38 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 33980 -1 -1 16 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 29 32 312 264 1 197 77 17 17 289 -1 unnamed_device 27.0 MiB 4.48 935 12465 4979 5026 2460 65.4 MiB 0.11 0.00 2.46925 -85.9119 -2.46925 2.46925 1.25 0.000515064 0.000462957 0.0434336 0.0393155 38 2505 25 6.99608e+06 235451 678818. 2348.85 5.30 0.244799 0.214531 26626 170182 -1 1995 17 1430 1716 138424 29033 2.73202 2.73202 -106.996 -2.73202 0 0 902133. 3121.57 0.42 0.07 0.21 -1 -1 0.42 0.0248914 0.0223826 86 55 29 29 57 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 9.26 vpr 65.91 MiB 0.03 7332 -1 -1 1 0.04 -1 -1 33836 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67488 32 32 423 310 1 243 84 17 17 289 -1 unnamed_device 27.3 MiB 1.39 1105 15822 6658 8485 679 65.9 MiB 0.17 0.00 3.13845 -116.82 -3.13845 3.13845 1.22 0.000683188 0.000613359 0.0633289 0.0571331 46 3645 38 6.99608e+06 294314 828058. 2865.25 3.50 0.214278 0.187826 28066 200906 -1 2711 39 3009 4477 540678 180151 4.37801 4.37801 -156.886 -4.37801 0 0 1.01997e+06 3529.29 0.47 0.24 0.25 -1 -1 0.47 0.0581336 0.0511357 106 26 128 32 27 27 +fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 9.83 vpr 65.71 MiB 0.03 7280 -1 -1 1 0.04 -1 -1 34036 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 32 32 403 317 1 252 82 17 17 289 -1 unnamed_device 27.2 MiB 1.43 1152 11474 3353 6473 1648 65.7 MiB 0.12 0.00 3.57808 -120.336 -3.57808 3.57808 1.23 0.000652191 0.000589036 0.0455547 0.0413136 40 3391 44 6.99608e+06 264882 706193. 2443.58 4.22 0.267752 0.236439 26914 176310 -1 2893 22 2473 3277 337779 67450 4.60805 4.60805 -169.829 -4.60805 0 0 926341. 3205.33 0.40 0.15 0.21 -1 -1 0.40 0.0430269 0.0384287 110 62 62 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 9.35 vpr 65.59 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 33952 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67160 31 32 353 302 1 224 79 17 17 289 -1 unnamed_device 27.0 MiB 1.12 1071 7684 1846 5129 709 65.6 MiB 0.08 0.00 2.82209 -104.377 -2.82209 2.82209 1.25 0.000515827 0.000465862 0.0278021 0.025171 38 2709 30 6.99608e+06 235451 678818. 2348.85 4.32 0.199964 0.175125 26626 170182 -1 2219 23 1563 1618 151675 30589 3.70971 3.70971 -135.144 -3.70971 0 0 902133. 3121.57 0.41 0.09 0.19 -1 -1 0.41 0.0323976 0.0287306 99 77 0 0 89 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 9.31 vpr 65.34 MiB 0.03 7456 -1 -1 1 0.04 -1 -1 34132 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 31 32 391 309 1 242 81 17 17 289 -1 unnamed_device 26.6 MiB 1.16 1232 9356 2590 6089 677 65.3 MiB 0.11 0.00 2.97859 -111.89 -2.97859 2.97859 1.25 0.000724643 0.000661426 0.0403799 0.036729 46 3007 23 6.99608e+06 264882 828058. 2865.25 3.93 0.216275 0.191095 28066 200906 -1 2516 20 1924 2558 210366 42619 3.52316 3.52316 -139.79 -3.52316 0 0 1.01997e+06 3529.29 0.49 0.11 0.26 -1 -1 0.49 0.0358454 0.0321802 105 59 60 30 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 10.30 vpr 65.77 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34076 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 31 32 455 371 1 302 86 17 17 289 -1 unnamed_device 27.5 MiB 1.39 1347 16151 6311 8218 1622 65.8 MiB 0.16 0.00 3.66267 -129.515 -3.66267 3.66267 1.17 0.000592 0.000532801 0.0608534 0.0548559 46 3376 50 6.99608e+06 338461 828058. 2865.25 4.76 0.284289 0.250155 28066 200906 -1 2713 28 2823 3244 333590 90390 4.29231 4.29231 -158.81 -4.29231 0 0 1.01997e+06 3529.29 0.49 0.15 0.23 -1 -1 0.49 0.0468492 0.0415457 138 111 0 0 124 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 22.12 vpr 65.79 MiB 0.03 7404 -1 -1 1 0.04 -1 -1 34000 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67364 31 32 413 333 1 258 82 17 17 289 -1 unnamed_device 27.2 MiB 4.44 1244 12542 4498 6184 1860 65.8 MiB 0.14 0.00 3.95733 -133.137 -3.95733 3.95733 1.27 0.000702725 0.000634239 0.0527234 0.0475122 38 3928 39 6.99608e+06 279598 678818. 2348.85 13.52 0.390416 0.340475 26626 170182 -1 2926 24 2487 3241 315026 65433 4.94804 4.94804 -177.081 -4.94804 0 0 902133. 3121.57 0.42 0.14 0.21 -1 -1 0.42 0.0403604 0.0360706 117 86 31 31 89 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 14.47 vpr 65.80 MiB 0.03 7180 -1 -1 1 0.04 -1 -1 33992 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67380 31 32 391 309 1 241 83 17 17 289 -1 unnamed_device 27.0 MiB 3.14 1035 14483 5447 6863 2173 65.8 MiB 0.14 0.00 2.94309 -104.399 -2.94309 2.94309 1.20 0.000634269 0.000575971 0.0531182 0.0481406 58 2464 25 6.99608e+06 294314 997811. 3452.63 7.05 0.37437 0.327365 30370 251734 -1 2036 23 1922 2486 170976 37797 3.59716 3.59716 -133.034 -3.59716 0 0 1.25153e+06 4330.55 0.60 0.10 0.31 -1 -1 0.60 0.0390364 0.0347973 107 58 60 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 28.07 vpr 65.89 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33908 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67472 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 27.1 MiB 1.20 973 7781 2704 3826 1251 65.9 MiB 0.08 0.00 3.16475 -113.697 -3.16475 3.16475 1.25 0.000627946 0.000567685 0.0319717 0.0289983 56 2909 40 6.99608e+06 250167 973134. 3367.25 22.28 0.43234 0.378181 29794 239141 -1 2275 54 3237 4042 857844 398210 4.55832 4.55832 -158.814 -4.55832 0 0 1.19926e+06 4149.71 0.55 0.41 0.31 -1 -1 0.55 0.0762134 0.0671974 110 42 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 11.16 vpr 65.90 MiB 0.03 7488 -1 -1 1 0.05 -1 -1 34108 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67480 32 32 496 380 1 313 86 17 17 289 -1 unnamed_device 27.6 MiB 2.88 1461 16340 5665 8438 2237 65.9 MiB 0.18 0.00 3.89803 -142.659 -3.89803 3.89803 1.21 0.000757779 0.000679816 0.0701225 0.0631444 42 5319 38 6.99608e+06 323745 744469. 2576.02 3.96 0.279729 0.245854 27202 183097 -1 3670 26 3597 5004 507065 101200 5.39 5.39 -200.945 -5.39 0 0 949917. 3286.91 0.45 0.20 0.24 -1 -1 0.45 0.0523235 0.0468215 139 91 62 32 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 7.69 vpr 64.87 MiB 0.03 6960 -1 -1 1 0.04 -1 -1 33980 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 31 32 305 250 1 181 76 17 17 289 -1 unnamed_device 26.3 MiB 1.15 643 9676 3964 5417 295 64.9 MiB 0.09 0.00 2.6383 -95.3164 -2.6383 2.6383 1.24 0.000537836 0.000485834 0.0352736 0.0320728 40 2076 44 6.99608e+06 191304 706193. 2443.58 2.54 0.200903 0.17661 26914 176310 -1 1660 25 1648 2038 169037 37998 3.63707 3.63707 -133.665 -3.63707 0 0 926341. 3205.33 0.43 0.09 0.21 -1 -1 0.43 0.0318368 0.0282078 75 24 62 31 31 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 9.00 vpr 65.53 MiB 0.03 7480 -1 -1 1 0.04 -1 -1 33772 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 31 32 395 311 1 243 81 17 17 289 -1 unnamed_device 26.9 MiB 0.98 1057 14606 6252 7894 460 65.5 MiB 0.14 0.00 3.56194 -124.493 -3.56194 3.56194 1.19 0.000622228 0.000559064 0.056011 0.0504533 44 3588 35 6.99608e+06 264882 787024. 2723.27 3.87 0.218209 0.190438 27778 195446 -1 2376 25 2211 2694 235921 49586 4.33331 4.33331 -156.941 -4.33331 0 0 997811. 3452.63 0.46 0.12 0.25 -1 -1 0.46 0.0386579 0.0342574 106 59 62 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 9.40 vpr 65.87 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 34024 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67452 32 32 397 313 1 246 84 17 17 289 -1 unnamed_device 27.0 MiB 1.51 1286 16554 5588 8812 2154 65.9 MiB 0.15 0.00 2.98659 -112.128 -2.98659 2.98659 1.23 0.000612664 0.000552161 0.0593761 0.0537855 44 3502 34 6.99608e+06 294314 787024. 2723.27 3.71 0.256903 0.226671 27778 195446 -1 2751 20 1952 2799 227531 46483 3.53416 3.53416 -137.688 -3.53416 0 0 997811. 3452.63 0.46 0.10 0.23 -1 -1 0.46 0.0342376 0.030647 108 54 62 32 62 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 11.01 vpr 65.15 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 33580 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 345 257 1 186 77 17 17 289 -1 unnamed_device 26.6 MiB 1.16 838 8716 3616 4807 293 65.2 MiB 0.09 0.00 2.92079 -108.122 -2.92079 2.92079 1.22 0.000622036 0.000562279 0.0350682 0.0318136 48 3046 34 6.99608e+06 191304 865456. 2994.66 5.66 0.210889 0.185642 28354 207349 -1 2309 27 2132 3797 439975 113446 4.77616 4.77616 -169.115 -4.77616 0 0 1.05005e+06 3633.38 0.48 0.18 0.26 -1 -1 0.48 0.0403559 0.0358976 77 -1 128 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 9.38 vpr 65.66 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 34064 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67232 32 32 424 343 1 266 83 17 17 289 -1 unnamed_device 27.1 MiB 1.86 1140 12863 3244 9166 453 65.7 MiB 0.13 0.00 2.82204 -105.252 -2.82204 2.82204 1.25 0.000674261 0.000611048 0.0526747 0.0477324 44 3493 47 6.99608e+06 279598 787024. 2723.27 3.39 0.23572 0.206897 27778 195446 -1 2446 22 2130 2632 210729 45338 3.59341 3.59341 -135.994 -3.59341 0 0 997811. 3452.63 0.46 0.10 0.22 -1 -1 0.46 0.0375551 0.033455 120 81 25 25 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 9.21 vpr 65.90 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 33748 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67484 32 32 395 311 1 242 84 17 17 289 -1 unnamed_device 27.0 MiB 1.24 1242 14358 4248 8279 1831 65.9 MiB 0.13 0.00 2.95229 -111.693 -2.95229 2.95229 1.18 0.000595721 0.000539059 0.0521507 0.0472511 40 3395 24 6.99608e+06 294314 706193. 2443.58 3.91 0.239157 0.211211 26914 176310 -1 2949 22 2279 3151 342072 71945 4.34226 4.34226 -160.165 -4.34226 0 0 926341. 3205.33 0.43 0.14 0.21 -1 -1 0.43 0.0373448 0.0333114 106 58 64 32 60 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 12.62 vpr 65.87 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 34040 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67452 32 32 405 318 1 253 81 17 17 289 -1 unnamed_device 27.1 MiB 1.04 1139 14431 5158 6729 2544 65.9 MiB 0.15 0.00 2.95409 -111.977 -2.95409 2.95409 1.19 0.000652339 0.000587989 0.0572252 0.0516066 44 3542 33 6.99608e+06 250167 787024. 2723.27 7.52 0.378718 0.330535 27778 195446 -1 2694 20 2241 2845 248241 50038 3.23226 3.23226 -135.87 -3.23226 0 0 997811. 3452.63 0.46 0.11 0.24 -1 -1 0.46 0.0323954 0.0288435 108 61 63 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 9.24 vpr 65.87 MiB 0.03 7308 -1 -1 1 0.04 -1 -1 34076 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67452 32 32 376 288 1 218 80 17 17 289 -1 unnamed_device 27.1 MiB 1.36 820 12636 5342 6778 516 65.9 MiB 0.12 0.00 3.25275 -116.146 -3.25275 3.25275 1.19 0.000606359 0.000545808 0.0486589 0.0440193 48 2961 34 6.99608e+06 235451 865456. 2994.66 3.73 0.2078 0.181626 28354 207349 -1 2224 28 2267 3344 372893 106091 4.51732 4.51732 -156.824 -4.51732 0 0 1.05005e+06 3633.38 0.49 0.16 0.26 -1 -1 0.49 0.0399482 0.0354062 94 21 96 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 11.83 vpr 65.73 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 34288 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67304 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 27.0 MiB 1.26 996 15390 5720 7350 2320 65.7 MiB 0.15 0.00 3.20395 -115.16 -3.20395 3.20395 1.20 0.000641219 0.000577745 0.0591487 0.0534379 48 2414 33 6.99608e+06 264882 865456. 2994.66 6.29 0.300038 0.260631 28354 207349 -1 1945 37 2879 3633 276671 65334 4.67262 4.67262 -159.076 -4.67262 0 0 1.05005e+06 3633.38 0.52 0.16 0.27 -1 -1 0.52 0.0565376 0.0500343 110 50 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 12.28 vpr 65.86 MiB 0.03 7488 -1 -1 1 0.04 -1 -1 34020 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67436 31 32 449 367 1 290 85 17 17 289 -1 unnamed_device 27.7 MiB 2.06 1480 17197 6380 8322 2495 65.9 MiB 0.16 0.00 3.20798 -117.116 -3.20798 3.20798 1.21 0.000668364 0.000604193 0.0668636 0.060508 44 3904 27 6.99608e+06 323745 787024. 2723.27 5.97 0.367264 0.323633 27778 195446 -1 3008 19 1988 2301 194447 39958 4.17015 4.17015 -147.881 -4.17015 0 0 997811. 3452.63 0.52 0.12 0.23 -1 -1 0.52 0.0427448 0.0384123 132 110 0 0 122 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 10.00 vpr 65.50 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33968 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 27.1 MiB 1.41 1498 13809 4316 7356 2137 65.5 MiB 0.13 0.00 3.10545 -118.488 -3.10545 3.10545 1.17 0.000648538 0.000586948 0.0526072 0.0476835 48 3694 28 6.99608e+06 294314 865456. 2994.66 4.38 0.234155 0.206122 28354 207349 -1 3114 22 2759 3836 498395 154193 4.22472 4.22472 -157.182 -4.22472 0 0 1.05005e+06 3633.38 0.50 0.19 0.26 -1 -1 0.50 0.0374299 0.0333965 126 86 32 32 94 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 11.35 vpr 65.09 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 34152 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 312 255 1 191 78 17 17 289 -1 unnamed_device 26.6 MiB 0.73 779 10204 4217 5723 264 65.1 MiB 0.09 0.00 2.59005 -96.7251 -2.59005 2.59005 1.22 0.000545456 0.000484228 0.0360946 0.0327449 44 2285 28 6.99608e+06 206020 787024. 2723.27 6.55 0.278947 0.244463 27778 195446 -1 1819 24 1644 2223 168374 36890 3.20492 3.20492 -121.169 -3.20492 0 0 997811. 3452.63 0.47 0.10 0.25 -1 -1 0.47 0.032484 0.0290086 80 20 63 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 12.16 vpr 65.68 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33808 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67252 32 32 370 314 1 244 80 17 17 289 -1 unnamed_device 27.0 MiB 1.16 1028 12292 5144 6910 238 65.7 MiB 0.11 0.00 3.05483 -110.957 -3.05483 3.05483 1.16 0.000544894 0.00048949 0.0429682 0.0388848 54 2670 26 6.99608e+06 235451 949917. 3286.91 6.92 0.3289 0.28671 29506 232905 -1 2162 24 2083 2479 282052 55015 4.01336 4.01336 -140.604 -4.01336 0 0 1.17392e+06 4061.99 0.53 0.12 0.28 -1 -1 0.53 0.0351115 0.0311049 108 91 0 0 94 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 11.21 vpr 65.48 MiB 0.03 7464 -1 -1 1 0.04 -1 -1 34184 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 469 351 1 285 84 17 17 289 -1 unnamed_device 27.2 MiB 1.13 1266 14358 5546 6703 2109 65.5 MiB 0.15 0.00 3.74629 -132.006 -3.74629 3.74629 1.18 0.000689632 0.000624185 0.060466 0.0547774 50 4407 42 6.99608e+06 294314 902133. 3121.57 5.78 0.287495 0.25366 28642 213929 -1 3141 35 3447 4882 680387 212893 5.46376 5.46376 -186.327 -5.46376 0 0 1.08113e+06 3740.92 0.47 0.27 0.27 -1 -1 0.47 0.0583207 0.0519511 126 53 96 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 9.27 vpr 65.67 MiB 0.03 7176 -1 -1 1 0.04 -1 -1 33824 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 368 284 1 217 80 17 17 289 -1 unnamed_device 26.9 MiB 0.81 1085 11776 3392 7101 1283 65.7 MiB 0.11 0.00 2.95409 -117.598 -2.95409 2.95409 1.10 0.000539718 0.000481676 0.0401721 0.0364574 38 3099 42 6.99608e+06 235451 678818. 2348.85 4.73 0.215453 0.189222 26626 170182 -1 2526 20 1830 2368 193728 40013 3.48286 3.48286 -145.706 -3.48286 0 0 902133. 3121.57 0.40 0.10 0.20 -1 -1 0.40 0.0339633 0.0303845 93 31 92 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 8.51 vpr 65.21 MiB 0.03 6976 -1 -1 1 0.04 -1 -1 33536 -1 -1 24 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 30 32 296 244 1 177 86 17 17 289 -1 unnamed_device 26.6 MiB 0.95 680 13694 4954 6724 2016 65.2 MiB 0.11 0.00 3.12594 -100.045 -3.12594 3.12594 1.15 0.00051375 0.000462958 0.039487 0.035662 46 2253 43 6.99608e+06 353176 828058. 2865.25 3.61 0.177996 0.154956 28066 200906 -1 1571 31 1827 2617 236504 51305 4.07936 4.07936 -127.548 -4.07936 0 0 1.01997e+06 3529.29 0.45 0.11 0.25 -1 -1 0.45 0.0339233 0.029749 80 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 11.60 vpr 66.16 MiB 0.03 7672 -1 -1 1 0.05 -1 -1 34236 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67744 32 32 531 413 1 346 88 17 17 289 -1 unnamed_device 28.0 MiB 1.21 1577 16078 6408 7943 1727 66.2 MiB 0.17 0.00 4.47287 -159.339 -4.47287 4.47287 1.19 0.000780128 0.000699627 0.0685065 0.0616235 46 4631 50 6.99608e+06 353176 828058. 2865.25 6.15 0.290891 0.254453 28066 200906 -1 3373 24 3639 4619 409336 81713 5.72209 5.72209 -204.75 -5.72209 0 0 1.01997e+06 3529.29 0.46 0.16 0.25 -1 -1 0.46 0.0459794 0.0409476 159 109 32 32 128 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 11.63 vpr 65.75 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 34020 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67332 32 32 376 288 1 217 80 17 17 289 -1 unnamed_device 27.0 MiB 1.10 866 14700 5360 7136 2204 65.8 MiB 0.14 0.00 3.52464 -126.298 -3.52464 3.52464 1.20 0.000626831 0.000565636 0.0570355 0.0515537 40 2840 32 6.99608e+06 235451 706193. 2443.58 6.51 0.332319 0.287494 26914 176310 -1 2343 22 2349 3084 268608 60449 4.54661 4.54661 -170.794 -4.54661 0 0 926341. 3205.33 0.41 0.11 0.22 -1 -1 0.41 0.0330559 0.0293386 92 31 96 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 23.71 vpr 65.18 MiB 0.03 6940 -1 -1 1 0.04 -1 -1 33724 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 26.6 MiB 0.35 655 12763 5266 7184 313 65.2 MiB 0.11 0.00 2.48655 -92.9547 -2.48655 2.48655 1.22 0.000545455 0.000492244 0.0366749 0.0332259 44 2303 41 6.99608e+06 353176 787024. 2723.27 19.22 0.321245 0.280609 27778 195446 -1 1496 20 1430 2203 186283 41351 3.00732 3.00732 -116.142 -3.00732 0 0 997811. 3452.63 0.50 0.09 0.25 -1 -1 0.50 0.0281867 0.0252808 70 -1 96 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 13.51 vpr 65.84 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 34524 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67420 32 32 438 320 1 256 82 17 17 289 -1 unnamed_device 27.3 MiB 1.01 1146 10940 4472 6065 403 65.8 MiB 0.12 0.00 3.69799 -132.667 -3.69799 3.69799 1.24 0.000740884 0.000665294 0.0488098 0.044213 58 3043 21 6.99608e+06 264882 997811. 3452.63 8.10 0.387706 0.339442 30370 251734 -1 2519 22 2474 3523 259932 57613 4.70396 4.70396 -172.221 -4.70396 0 0 1.25153e+06 4330.55 0.58 0.13 0.34 -1 -1 0.58 0.042757 0.0384035 112 26 128 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 7.05 vpr 64.89 MiB 0.03 6892 -1 -1 1 0.04 -1 -1 33972 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 26.5 MiB 0.41 585 10149 4277 5628 244 64.9 MiB 0.09 0.00 2.35025 -91.33 -2.35025 2.35025 1.22 0.000521095 0.000470048 0.0359366 0.0325357 44 1948 27 6.99608e+06 147157 787024. 2723.27 2.62 0.175073 0.153744 27778 195446 -1 1431 21 1435 2120 147513 34020 2.93032 2.93032 -115.572 -2.93032 0 0 997811. 3452.63 0.47 0.08 0.25 -1 -1 0.47 0.0275171 0.0245868 62 -1 96 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 12.38 vpr 65.04 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 34040 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 30 32 296 244 1 179 77 17 17 289 -1 unnamed_device 26.5 MiB 1.08 651 11161 4650 5974 537 65.0 MiB 0.10 0.00 2.68144 -93.3928 -2.68144 2.68144 1.19 0.000513916 0.000463624 0.0375025 0.0338729 54 2049 35 6.99608e+06 220735 949917. 3286.91 7.29 0.247925 0.214958 29506 232905 -1 1561 22 1516 2008 148788 35108 3.33551 3.33551 -119.572 -3.33551 0 0 1.17392e+06 4061.99 0.53 0.08 0.26 -1 -1 0.53 0.0258855 0.0229775 74 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 13.07 vpr 66.05 MiB 0.03 7484 -1 -1 1 0.04 -1 -1 34020 -1 -1 20 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67640 29 32 393 319 1 245 81 17 17 289 -1 unnamed_device 27.4 MiB 2.54 1114 16706 6522 7409 2775 66.1 MiB 0.16 0.00 3.08733 -101.959 -3.08733 3.08733 1.18 0.000634678 0.000566894 0.0632601 0.0570317 48 2804 20 6.99608e+06 294314 865456. 2994.66 6.40 0.266647 0.231729 28354 207349 -1 2410 23 2224 2960 286881 59743 3.87121 3.87121 -134.164 -3.87121 0 0 1.05005e+06 3633.38 0.49 0.13 0.26 -1 -1 0.49 0.0384225 0.0341751 113 81 29 29 85 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 11.37 vpr 65.83 MiB 0.03 7176 -1 -1 1 0.04 -1 -1 34120 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67412 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 27.0 MiB 1.37 1185 10050 3468 4807 1775 65.8 MiB 0.11 0.00 3.54484 -133.077 -3.54484 3.54484 1.19 0.000679325 0.000616222 0.0408035 0.0370012 48 2908 24 6.99608e+06 264882 865456. 2994.66 5.80 0.289318 0.25272 28354 207349 -1 2593 22 2571 3469 332414 65452 4.53561 4.53561 -170.241 -4.53561 0 0 1.05005e+06 3633.38 0.50 0.13 0.26 -1 -1 0.50 0.0381511 0.0339904 109 53 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 8.49 vpr 65.76 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 34252 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67340 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 27.0 MiB 1.45 1114 14500 4614 8523 1363 65.8 MiB 0.15 0.00 3.53384 -127.384 -3.53384 3.53384 1.20 0.000651926 0.000588172 0.0572123 0.0517851 46 3079 26 6.99608e+06 264882 828058. 2865.25 2.91 0.19061 0.167871 28066 200906 -1 2357 24 2727 3724 300011 61351 4.48681 4.48681 -165.359 -4.48681 0 0 1.01997e+06 3529.29 0.46 0.13 0.25 -1 -1 0.46 0.0390782 0.0347185 110 55 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 10.32 vpr 65.00 MiB 0.03 7004 -1 -1 1 0.04 -1 -1 33824 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 345 287 1 212 79 17 17 289 -1 unnamed_device 26.6 MiB 0.98 872 11909 4956 6693 260 65.0 MiB 0.11 0.00 2.70344 -102.131 -2.70344 2.70344 1.19 0.000574521 0.000501086 0.0426075 0.0384196 38 2887 48 6.99608e+06 220735 678818. 2348.85 5.40 0.212349 0.185691 26626 170182 -1 2094 25 2026 2305 214231 44792 3.48852 3.48852 -136.168 -3.48852 0 0 902133. 3121.57 0.40 0.10 0.21 -1 -1 0.40 0.0316653 0.0279156 92 55 32 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 23.35 vpr 65.30 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 34096 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 31 32 353 302 1 230 80 17 17 289 -1 unnamed_device 26.8 MiB 3.29 1062 11948 4657 6135 1156 65.3 MiB 0.11 0.00 2.64844 -100.934 -2.64844 2.64844 1.17 0.000543616 0.000490304 0.0406793 0.0367789 38 3264 35 6.99608e+06 250167 678818. 2348.85 16.17 0.312449 0.272724 26626 170182 -1 2662 33 2369 2959 425802 133798 3.79571 3.79571 -143.766 -3.79571 0 0 902133. 3121.57 0.40 0.18 0.19 -1 -1 0.40 0.0421057 0.0370585 102 82 0 0 89 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 12.89 vpr 65.60 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 34156 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 30 32 374 297 1 226 81 17 17 289 -1 unnamed_device 26.9 MiB 1.71 934 10231 4137 5520 574 65.6 MiB 0.10 0.00 2.77704 -93.9132 -2.77704 2.77704 1.24 0.000652927 0.000588581 0.0404495 0.0367449 48 2752 27 6.99608e+06 279598 865456. 2994.66 7.05 0.326166 0.285863 28354 207349 -1 2252 19 1791 2436 200759 45233 3.31381 3.31381 -123.217 -3.31381 0 0 1.05005e+06 3633.38 0.49 0.10 0.26 -1 -1 0.49 0.0309102 0.0276428 101 52 60 30 57 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 8.84 vpr 65.62 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 33728 -1 -1 18 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67200 28 32 332 260 1 197 78 17 17 289 -1 unnamed_device 26.7 MiB 0.91 828 7548 3007 4138 403 65.6 MiB 0.07 0.00 3.17575 -100.321 -3.17575 3.17575 1.18 0.000563096 0.000508887 0.0280326 0.0253775 40 2586 25 6.99608e+06 264882 706193. 2443.58 4.01 0.17867 0.156759 26914 176310 -1 2198 23 2079 3055 301714 64503 4.12742 4.12742 -141.964 -4.12742 0 0 926341. 3205.33 0.42 0.13 0.22 -1 -1 0.42 0.0361231 0.0323293 87 20 84 28 28 28 +fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 26.77 vpr 65.59 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 33760 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 30 32 325 273 1 204 77 17 17 289 -1 unnamed_device 26.7 MiB 2.66 863 11161 4622 6074 465 65.6 MiB 0.10 0.00 3.64224 -118.696 -3.64224 3.64224 1.18 0.000538974 0.00048544 0.0396143 0.0357686 46 2644 31 6.99608e+06 220735 828058. 2865.25 20.13 0.315555 0.272612 28066 200906 -1 1911 20 1604 2121 168268 37103 3.92041 3.92041 -140.812 -3.92041 0 0 1.01997e+06 3529.29 0.46 0.08 0.25 -1 -1 0.46 0.0263603 0.0234328 88 58 30 30 60 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 11.39 vpr 65.69 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 33740 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67268 32 32 361 308 1 241 79 17 17 289 -1 unnamed_device 26.9 MiB 4.00 1136 13430 4903 6791 1736 65.7 MiB 0.13 0.00 3.21599 -114.074 -3.21599 3.21599 1.19 0.000598461 0.000541551 0.0505409 0.0457691 46 2814 22 6.99608e+06 220735 828058. 2865.25 3.35 0.207673 0.183168 28066 200906 -1 2276 20 1705 2080 183354 36935 3.48116 3.48116 -136.371 -3.48116 0 0 1.01997e+06 3529.29 0.46 0.09 0.25 -1 -1 0.46 0.0291476 0.0259692 104 88 0 0 91 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 26.54 vpr 65.02 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34052 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 26.6 MiB 0.19 859 15493 5878 7168 2447 65.0 MiB 0.13 0.00 3.13845 -110.277 -3.13845 3.13845 1.19 0.000568517 0.000510861 0.0489615 0.0441647 48 2459 24 6.99608e+06 367892 865456. 2994.66 22.27 0.380042 0.331507 28354 207349 -1 2046 21 1845 2851 263551 63790 3.79252 3.79252 -142.308 -3.79252 0 0 1.05005e+06 3633.38 0.48 0.11 0.26 -1 -1 0.48 0.0299124 0.0266187 86 -1 124 31 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 38.63 vpr 65.79 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 34112 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67364 32 32 407 319 1 249 81 17 17 289 -1 unnamed_device 27.3 MiB 1.00 1269 14081 4102 8553 1426 65.8 MiB 0.15 0.00 3.55094 -133.482 -3.55094 3.55094 1.21 0.000639031 0.000565543 0.0570875 0.051612 40 3990 50 6.99608e+06 250167 706193. 2443.58 33.45 0.507422 0.446315 26914 176310 -1 3331 25 2625 3407 495341 101170 4.6982 4.6982 -175.176 -4.6982 0 0 926341. 3205.33 0.42 0.19 0.21 -1 -1 0.42 0.0442272 0.0393717 110 57 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 13.00 vpr 65.82 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 33972 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67396 32 32 407 319 1 248 82 17 17 289 -1 unnamed_device 27.0 MiB 0.86 1082 13788 5263 6408 2117 65.8 MiB 0.14 0.00 4.23178 -141.686 -4.23178 4.23178 1.20 0.000588505 0.000532048 0.0546389 0.0495384 50 3412 37 6.99608e+06 264882 902133. 3121.57 7.95 0.344607 0.301125 28642 213929 -1 2654 22 2571 3521 405688 93301 4.9951 4.9951 -181.085 -4.9951 0 0 1.08113e+06 3740.92 0.49 0.16 0.27 -1 -1 0.49 0.0360989 0.0321282 108 62 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 11.92 vpr 65.93 MiB 0.03 7148 -1 -1 1 0.04 -1 -1 34044 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67512 32 32 399 315 1 250 82 17 17 289 -1 unnamed_device 27.1 MiB 0.90 1204 15212 6244 6660 2308 65.9 MiB 0.16 0.00 3.36648 -124.354 -3.36648 3.36648 1.20 0.000640846 0.000572216 0.0590126 0.0533567 46 3846 40 6.99608e+06 264882 828058. 2865.25 6.92 0.339731 0.297308 28066 200906 -1 2759 20 2101 2981 228723 47976 4.11465 4.11465 -154.916 -4.11465 0 0 1.01997e+06 3529.29 0.46 0.11 0.24 -1 -1 0.46 0.0345832 0.0308428 107 62 60 30 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 9.12 vpr 64.96 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 33720 -1 -1 13 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 30 32 296 244 1 179 75 17 17 289 -1 unnamed_device 26.5 MiB 1.11 663 12557 5340 6750 467 65.0 MiB 0.12 0.00 2.92109 -101.256 -2.92109 2.92109 1.21 0.000531526 0.000486586 0.0461969 0.0418959 40 2539 30 6.99608e+06 191304 706193. 2443.58 3.86 0.18793 0.165198 26914 176310 -1 2017 52 2786 3912 647415 207035 3.95106 3.95106 -137.716 -3.95106 0 0 926341. 3205.33 0.43 0.26 0.22 -1 -1 0.43 0.0543077 0.0473733 76 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 12.05 vpr 65.95 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 33916 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67536 30 32 383 303 1 237 80 17 17 289 -1 unnamed_device 27.1 MiB 3.33 929 14700 4062 8555 2083 66.0 MiB 0.15 0.00 3.81003 -124.877 -3.81003 3.81003 1.22 0.000639038 0.000578106 0.0581472 0.0526825 40 3094 24 6.99608e+06 264882 706193. 2443.58 4.66 0.224319 0.197483 26914 176310 -1 2589 23 2672 3733 357387 77964 5.0434 5.0434 -172.571 -5.0434 0 0 926341. 3205.33 0.41 0.13 0.22 -1 -1 0.41 0.0334516 0.0296467 105 58 60 30 60 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 10.24 vpr 65.87 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 34264 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67448 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 27.6 MiB 1.11 1345 15017 6354 8239 424 65.9 MiB 0.15 0.00 3.42564 -127.072 -3.42564 3.42564 1.20 0.000729439 0.00066241 0.0594998 0.0538375 46 3641 45 6.99608e+06 323745 828058. 2865.25 4.92 0.277333 0.243919 28066 200906 -1 2839 23 2509 2571 251904 49929 4.42125 4.42125 -164.777 -4.42125 0 0 1.01997e+06 3529.29 0.46 0.12 0.25 -1 -1 0.46 0.0409053 0.036469 139 106 0 0 128 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 11.73 vpr 65.59 MiB 0.03 7384 -1 -1 1 0.04 -1 -1 33984 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 31 32 425 341 1 275 85 17 17 289 -1 unnamed_device 27.2 MiB 2.12 1230 13105 5472 7246 387 65.6 MiB 0.14 0.00 3.52904 -124.805 -3.52904 3.52904 1.19 0.0007266 0.000661074 0.0513225 0.0465175 44 3083 22 6.99608e+06 323745 787024. 2723.27 5.49 0.320353 0.281114 27778 195446 -1 2197 22 2137 2513 169476 36971 4.13591 4.13591 -150.477 -4.13591 0 0 997811. 3452.63 0.48 0.10 0.24 -1 -1 0.48 0.0361387 0.0322063 125 79 31 31 93 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 17.53 vpr 65.87 MiB 0.03 7408 -1 -1 1 0.04 -1 -1 34092 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67452 30 32 404 328 1 249 84 17 17 289 -1 unnamed_device 27.3 MiB 4.14 1063 15090 5034 7228 2828 65.9 MiB 0.15 0.00 3.51688 -108.447 -3.51688 3.51688 1.20 0.000629711 0.000567079 0.0565405 0.0510547 38 4074 39 6.99608e+06 323745 678818. 2348.85 9.31 0.22497 0.196202 26626 170182 -1 2820 28 2849 4081 375339 78334 4.2742 4.2742 -155.599 -4.2742 0 0 902133. 3121.57 0.40 0.15 0.21 -1 -1 0.40 0.0426188 0.0378727 114 83 26 26 90 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 8.76 vpr 65.54 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34160 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 27.1 MiB 1.28 1112 15212 5603 7637 1972 65.5 MiB 0.16 0.00 3.54484 -127.964 -3.54484 3.54484 1.23 0.000669729 0.000606056 0.061292 0.0556053 46 3400 26 6.99608e+06 264882 828058. 2865.25 3.26 0.200976 0.177283 28066 200906 -1 2564 24 2749 3775 312322 65880 4.89751 4.89751 -179.897 -4.89751 0 0 1.01997e+06 3529.29 0.49 0.13 0.25 -1 -1 0.49 0.0381197 0.0340051 110 58 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 21.28 vpr 65.87 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 34084 -1 -1 20 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67452 29 32 387 316 1 240 81 17 17 289 -1 unnamed_device 27.0 MiB 2.60 1035 11631 4213 5913 1505 65.9 MiB 0.11 0.00 2.90529 -95.1703 -2.90529 2.90529 1.19 0.000613466 0.000550992 0.0444918 0.0401945 46 2878 32 6.99608e+06 294314 828058. 2865.25 14.61 0.327173 0.282054 28066 200906 -1 2168 23 2050 2703 203940 45479 3.78471 3.78471 -126.274 -3.78471 0 0 1.01997e+06 3529.29 0.46 0.10 0.25 -1 -1 0.46 0.0332628 0.0294092 112 81 26 26 85 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 8.16 vpr 64.88 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 34008 -1 -1 10 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 283 225 1 155 74 17 17 289 -1 unnamed_device 26.4 MiB 0.95 616 9684 4011 5353 320 64.9 MiB 0.08 0.00 2.36125 -91.4141 -2.36125 2.36125 1.18 0.000461156 0.000416066 0.0326895 0.0296242 46 2002 25 6.99608e+06 147157 828058. 2865.25 3.31 0.165799 0.145202 28066 200906 -1 1620 21 1462 2248 154472 36047 3.04657 3.04657 -125.692 -3.04657 0 0 1.01997e+06 3529.29 0.46 0.08 0.22 -1 -1 0.46 0.0250801 0.0222786 62 -1 96 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 12.26 vpr 65.78 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 33564 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67360 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 27.3 MiB 0.94 1043 14678 6180 8072 426 65.8 MiB 0.14 0.00 4.01233 -140.857 -4.01233 4.01233 1.22 0.000674472 0.000610806 0.058711 0.0532835 46 3855 39 6.99608e+06 264882 828058. 2865.25 7.14 0.255071 0.225342 28066 200906 -1 2541 23 2527 3339 318049 67824 5.0343 5.0343 -184.415 -5.0343 0 0 1.01997e+06 3529.29 0.46 0.13 0.25 -1 -1 0.46 0.0397307 0.0354342 110 62 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 9.18 vpr 65.57 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34064 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 407 319 1 255 81 17 17 289 -1 unnamed_device 27.1 MiB 1.17 1186 15306 4921 8633 1752 65.6 MiB 0.15 0.00 3.88697 -135.819 -3.88697 3.88697 1.23 0.000686494 0.000621757 0.0638617 0.057875 50 3021 25 6.99608e+06 250167 902133. 3121.57 3.69 0.243101 0.214929 28642 213929 -1 2725 26 3099 4344 497058 109264 5.00304 5.00304 -176.899 -5.00304 0 0 1.08113e+06 3740.92 0.50 0.18 0.27 -1 -1 0.50 0.0404281 0.0358967 111 62 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 12.82 vpr 65.09 MiB 0.03 6960 -1 -1 1 0.04 -1 -1 33752 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 315 267 1 202 77 17 17 289 -1 unnamed_device 26.5 MiB 2.94 862 12954 5493 7158 303 65.1 MiB 0.12 0.00 2.55629 -91.6388 -2.55629 2.55629 1.20 0.000550257 0.000496306 0.0458968 0.0416028 44 2430 36 6.99608e+06 191304 787024. 2723.27 5.83 0.269766 0.236575 27778 195446 -1 1785 22 1412 1651 143124 31264 3.17116 3.17116 -115.184 -3.17116 0 0 997811. 3452.63 0.46 0.08 0.24 -1 -1 0.46 0.02785 0.0247876 85 47 32 32 54 27 +fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 9.32 vpr 64.98 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 34120 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 0.29 830 9529 3700 4702 1127 65.0 MiB 0.08 0.00 2.6052 -100.797 -2.6052 2.6052 1.20 0.000506827 0.000459194 0.033122 0.0300796 42 2053 25 6.99608e+06 161872 744469. 2576.02 5.06 0.236188 0.206623 27202 183097 -1 1795 22 1450 2147 189331 37948 3.37657 3.37657 -129.915 -3.37657 0 0 949917. 3286.91 0.44 0.09 0.22 -1 -1 0.44 0.0299194 0.0266001 63 -1 93 31 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 12.12 vpr 65.62 MiB 0.03 7380 -1 -1 1 0.04 -1 -1 34008 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 381 303 1 235 81 17 17 289 -1 unnamed_device 26.8 MiB 1.29 900 15306 5732 7360 2214 65.6 MiB 0.15 0.00 3.28468 -109.777 -3.28468 3.28468 1.23 0.000647476 0.000585521 0.0622386 0.0564646 46 2940 31 6.99608e+06 250167 828058. 2865.25 6.64 0.309105 0.269609 28066 200906 -1 1936 22 1778 2116 154130 35056 4.01421 4.01421 -139.134 -4.01421 0 0 1.01997e+06 3529.29 0.47 0.09 0.25 -1 -1 0.47 0.0334643 0.0297235 102 56 60 32 58 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 11.69 vpr 65.73 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 33892 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 32 32 406 330 1 255 83 17 17 289 -1 unnamed_device 27.2 MiB 2.03 1176 13943 5620 6610 1713 65.7 MiB 0.14 0.00 3.50704 -122.982 -3.50704 3.50704 1.22 0.000654716 0.000590536 0.0548085 0.0495549 44 3074 29 6.99608e+06 279598 787024. 2723.27 5.57 0.286566 0.249081 27778 195446 -1 2315 20 1767 2088 161483 33579 4.03581 4.03581 -145.941 -4.03581 0 0 997811. 3452.63 0.46 0.09 0.24 -1 -1 0.46 0.0314628 0.0279765 115 81 28 28 88 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 10.96 vpr 65.59 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34060 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 27.0 MiB 0.52 1217 11719 2666 8203 850 65.6 MiB 0.12 0.00 3.52884 -132.013 -3.52884 3.52884 1.19 0.000734423 0.000666751 0.0424852 0.0384582 40 3182 48 6.99608e+06 397324 706193. 2443.58 6.32 0.235606 0.205888 26914 176310 -1 2907 28 2927 4616 647326 172003 4.65291 4.65291 -174.914 -4.65291 0 0 926341. 3205.33 0.41 0.24 0.22 -1 -1 0.41 0.0438547 0.0388514 100 -1 156 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 10.78 vpr 65.74 MiB 0.03 7428 -1 -1 1 0.04 -1 -1 34224 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67316 30 32 371 295 1 227 81 17 17 289 -1 unnamed_device 27.1 MiB 1.29 948 15131 5887 6822 2422 65.7 MiB 0.15 0.00 2.98539 -97.6966 -2.98539 2.98539 1.20 0.000610214 0.000551879 0.0565202 0.0512528 40 3353 36 6.99608e+06 279598 706193. 2443.58 5.41 0.239509 0.211357 26914 176310 -1 2531 24 2120 2980 338372 71794 4.05451 4.05451 -136.126 -4.05451 0 0 926341. 3205.33 0.43 0.14 0.23 -1 -1 0.43 0.0366592 0.0325914 101 47 60 30 56 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 8.40 vpr 64.91 MiB 0.02 6996 -1 -1 1 0.04 -1 -1 33896 -1 -1 16 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 27 32 269 226 1 152 75 17 17 289 -1 unnamed_device 26.5 MiB 1.82 534 11925 5017 6125 783 64.9 MiB 0.10 0.00 3.14605 -88.3558 -3.14605 3.14605 1.14 0.000451963 0.000409663 0.0372609 0.0337762 40 1665 27 6.99608e+06 235451 706193. 2443.58 2.84 0.168165 0.147781 26914 176310 -1 1405 22 1271 1785 174226 40679 3.43222 3.43222 -113.951 -3.43222 0 0 926341. 3205.33 0.42 0.08 0.21 -1 -1 0.42 0.0251709 0.0223451 67 26 54 27 27 27 +fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 11.49 vpr 65.94 MiB 0.03 7312 -1 -1 1 0.05 -1 -1 34052 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67524 32 32 493 378 1 313 85 17 17 289 -1 unnamed_device 27.7 MiB 1.17 1569 16081 6200 7797 2084 65.9 MiB 0.18 0.00 3.70124 -132.966 -3.70124 3.70124 1.20 0.000750148 0.000676651 0.0697132 0.0629939 48 4608 48 6.99608e+06 309029 865456. 2994.66 5.84 0.313918 0.277265 28354 207349 -1 3773 35 4115 5776 771076 205691 4.96601 4.96601 -175.101 -4.96601 0 0 1.05005e+06 3633.38 0.51 0.31 0.27 -1 -1 0.51 0.0676968 0.0603199 141 85 62 31 95 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 12.33 vpr 66.02 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34212 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67608 31 32 455 371 1 302 85 17 17 289 -1 unnamed_device 27.8 MiB 3.81 1359 10501 2566 6527 1408 66.0 MiB 0.11 0.00 4.12662 -140.084 -4.12662 4.12662 1.18 0.000679876 0.000606479 0.0424865 0.038328 40 3753 37 6.99608e+06 323745 706193. 2443.58 4.49 0.222439 0.193917 26914 176310 -1 3113 20 2732 3103 328915 65855 5.23894 5.23894 -179.317 -5.23894 0 0 926341. 3205.33 0.42 0.14 0.22 -1 -1 0.42 0.0377395 0.0338368 138 105 0 0 124 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 14.53 vpr 65.80 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33572 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67384 32 32 355 304 1 233 79 17 17 289 -1 unnamed_device 27.1 MiB 4.01 992 11571 4040 5367 2164 65.8 MiB 0.10 0.00 2.99983 -108.221 -2.99983 2.99983 1.23 0.000522536 0.000471351 0.0405618 0.0366032 44 2713 32 6.99608e+06 220735 787024. 2723.27 6.50 0.301755 0.262579 27778 195446 -1 2027 22 1640 1968 158033 33787 3.62166 3.62166 -133.332 -3.62166 0 0 997811. 3452.63 0.47 0.08 0.22 -1 -1 0.47 0.0285734 0.0253263 102 86 0 0 89 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 19.96 vpr 65.69 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 33952 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67268 32 32 364 282 1 217 80 17 17 289 -1 unnamed_device 27.0 MiB 1.38 933 13496 4702 6629 2165 65.7 MiB 0.13 0.00 3.12745 -112.519 -3.12745 3.12745 1.23 0.00062121 0.000560093 0.05094 0.0461106 46 2926 39 6.99608e+06 235451 828058. 2865.25 14.40 0.39439 0.34417 28066 200906 -1 2218 24 1965 2606 241915 53536 4.27472 4.27472 -145.159 -4.27472 0 0 1.01997e+06 3529.29 0.47 0.12 0.25 -1 -1 0.47 0.0376086 0.0334673 92 31 90 30 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 9.32 vpr 65.98 MiB 0.03 7572 -1 -1 1 0.04 -1 -1 34412 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67564 31 32 443 336 1 261 83 17 17 289 -1 unnamed_device 27.4 MiB 2.26 1122 14123 4737 6599 2787 66.0 MiB 0.15 0.00 3.54405 -115.603 -3.54405 3.54405 1.23 0.000715147 0.000647163 0.0590621 0.0534864 46 3164 35 6.99608e+06 294314 828058. 2865.25 2.87 0.251296 0.221397 28066 200906 -1 2373 23 2414 3320 219856 49984 4.17142 4.17142 -150.871 -4.17142 0 0 1.01997e+06 3529.29 0.46 0.11 0.25 -1 -1 0.46 0.0389635 0.0347419 117 50 87 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 12.17 vpr 65.25 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 34212 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 30 32 373 297 1 228 82 17 17 289 -1 unnamed_device 26.6 MiB 1.54 1155 12720 3812 7416 1492 65.3 MiB 0.12 0.00 2.91629 -97.4384 -2.91629 2.91629 1.24 0.000604242 0.000545174 0.0473999 0.0429126 48 2777 20 6.99608e+06 294314 865456. 2994.66 6.46 0.30651 0.268292 28354 207349 -1 2294 19 1676 2354 202584 49718 3.74876 3.74876 -134.422 -3.74876 0 0 1.05005e+06 3633.38 0.48 0.10 0.27 -1 -1 0.48 0.0310183 0.0277835 101 50 58 30 58 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 10.62 vpr 65.78 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 34124 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67356 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 27.3 MiB 0.95 1054 14081 5040 6629 2412 65.8 MiB 0.15 0.00 3.42564 -122.142 -3.42564 3.42564 1.22 0.000658487 0.000586382 0.0584767 0.0529442 46 3851 32 6.99608e+06 250167 828058. 2865.25 5.53 0.224139 0.196181 28066 200906 -1 2688 21 2384 2887 248989 54096 4.4476 4.4476 -166.178 -4.4476 0 0 1.01997e+06 3529.29 0.45 0.11 0.25 -1 -1 0.45 0.0328648 0.0291761 107 61 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 9.03 vpr 66.04 MiB 0.03 7032 -1 -1 1 0.04 -1 -1 33836 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67624 32 32 405 318 1 253 82 17 17 289 -1 unnamed_device 27.2 MiB 1.05 1165 8626 1928 6090 608 66.0 MiB 0.09 0.00 2.98529 -112.735 -2.98529 2.98529 1.19 0.000650918 0.000586312 0.0352447 0.031888 40 3486 50 6.99608e+06 264882 706193. 2443.58 3.91 0.220883 0.1922 26914 176310 -1 2947 23 2367 3023 299391 67400 3.84106 3.84106 -152.781 -3.84106 0 0 926341. 3205.33 0.42 0.13 0.22 -1 -1 0.42 0.0364549 0.0323765 108 61 63 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 8.97 vpr 64.90 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 33992 -1 -1 14 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 29 32 287 238 1 172 75 17 17 289 -1 unnamed_device 26.4 MiB 1.58 638 8923 3666 4824 433 64.9 MiB 0.07 0.00 2.67044 -90.526 -2.67044 2.67044 1.18 0.000515312 0.000465116 0.0293798 0.0265832 38 2024 27 6.99608e+06 206020 678818. 2348.85 3.55 0.162076 0.14202 26626 170182 -1 1491 23 1677 2166 169982 36724 3.48231 3.48231 -118.187 -3.48231 0 0 902133. 3121.57 0.41 0.09 0.19 -1 -1 0.41 0.0282982 0.0250707 73 28 58 29 29 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 14.35 vpr 65.42 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34056 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66992 32 32 334 290 1 207 78 17 17 289 -1 unnamed_device 27.0 MiB 3.71 797 13524 4800 6639 2085 65.4 MiB 0.12 0.00 2.99983 -99.3764 -2.99983 2.99983 1.27 0.000562057 0.000509989 0.0494576 0.044851 50 2194 27 6.99608e+06 206020 902133. 3121.57 6.43 0.249368 0.217021 28642 213929 -1 1693 22 1555 1866 153221 36493 3.5947 3.5947 -125.612 -3.5947 0 0 1.08113e+06 3740.92 0.48 0.09 0.27 -1 -1 0.48 0.0304125 0.0270743 91 79 0 0 82 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 18.27 vpr 65.60 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33924 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 31 32 365 281 1 217 80 17 17 289 -1 unnamed_device 26.9 MiB 0.87 1137 12292 4035 6815 1442 65.6 MiB 0.12 0.00 3.16964 -118.943 -3.16964 3.16964 1.19 0.000598657 0.000539429 0.0461082 0.0416788 36 3232 36 6.99608e+06 250167 648988. 2245.63 13.45 0.289197 0.250558 26050 158493 -1 2657 21 2144 2799 259470 52219 4.06942 4.06942 -158.139 -4.06942 0 0 828058. 2865.25 0.37 0.11 0.19 -1 -1 0.37 0.0313527 0.0278849 92 29 93 31 31 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 18.96 vpr 64.89 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 33744 -1 -1 16 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 29 32 297 254 1 191 77 17 17 289 -1 unnamed_device 26.3 MiB 2.20 845 12628 4927 5370 2331 64.9 MiB 0.11 0.00 2.67859 -89.3377 -2.67859 2.67859 1.19 0.000497783 0.000453463 0.0410034 0.0371223 36 2763 38 6.99608e+06 235451 648988. 2245.63 12.95 0.294847 0.256843 26050 158493 -1 2102 21 1585 1819 191038 38340 3.19107 3.19107 -114.072 -3.19107 0 0 828058. 2865.25 0.36 0.08 0.18 -1 -1 0.36 0.023496 0.0208746 81 48 29 29 52 26 +fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 9.36 vpr 65.07 MiB 0.02 6940 -1 -1 1 0.04 -1 -1 33992 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 314 256 1 188 77 17 17 289 -1 unnamed_device 26.6 MiB 1.01 974 11487 4464 5917 1106 65.1 MiB 0.11 0.00 2.94309 -115.02 -2.94309 2.94309 1.24 0.000553542 0.000500041 0.0421287 0.0381464 38 2685 33 6.99608e+06 191304 678818. 2348.85 4.37 0.180335 0.157382 26626 170182 -1 2099 21 1797 2266 197350 39614 3.58916 3.58916 -144.201 -3.58916 0 0 902133. 3121.57 0.41 0.09 0.21 -1 -1 0.41 0.0294809 0.0262985 79 31 64 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 12.32 vpr 66.01 MiB 0.03 7456 -1 -1 1 0.04 -1 -1 33752 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67592 31 32 387 307 1 239 82 17 17 289 -1 unnamed_device 27.2 MiB 1.65 992 12542 4894 6307 1341 66.0 MiB 0.12 0.00 3.32078 -116.501 -3.32078 3.32078 1.23 0.000687658 0.000622533 0.049081 0.0444306 46 2788 24 6.99608e+06 279598 828058. 2865.25 6.53 0.303663 0.265791 28066 200906 -1 2228 23 2245 3040 253124 55413 4.31155 4.31155 -151.454 -4.31155 0 0 1.01997e+06 3529.29 0.46 0.11 0.25 -1 -1 0.46 0.034035 0.0301457 105 60 58 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 12.01 vpr 65.06 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 33996 -1 -1 13 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 31 32 308 262 1 192 76 17 17 289 -1 unnamed_device 26.4 MiB 3.40 716 11916 4411 5743 1762 65.1 MiB 0.10 0.00 2.73604 -87.9997 -2.73604 2.73604 1.24 0.000537133 0.000485871 0.0415755 0.0375487 46 2394 43 6.99608e+06 191304 828058. 2865.25 4.50 0.190799 0.166502 28066 200906 -1 1658 24 1439 1798 132611 33201 3.39011 3.39011 -114.052 -3.39011 0 0 1.01997e+06 3529.29 0.50 0.08 0.24 -1 -1 0.50 0.0291996 0.0258927 81 49 31 31 53 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 12.88 vpr 65.66 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 33848 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 32 32 383 307 1 232 82 17 17 289 -1 unnamed_device 27.0 MiB 2.28 1008 15034 6370 8312 352 65.7 MiB 0.14 0.00 2.90049 -103.693 -2.90049 2.90049 1.22 0.000605504 0.000543304 0.0558884 0.0505376 48 2622 21 6.99608e+06 264882 865456. 2994.66 6.42 0.278251 0.243822 28354 207349 -1 2237 21 1611 2151 189151 40975 3.62066 3.62066 -133.486 -3.62066 0 0 1.05005e+06 3633.38 0.51 0.10 0.26 -1 -1 0.51 0.0368544 0.033082 103 56 52 26 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 12.04 vpr 65.59 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 33968 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 31 32 422 339 1 272 85 17 17 289 -1 unnamed_device 27.3 MiB 1.16 1260 14407 3897 8636 1874 65.6 MiB 0.15 0.00 3.87927 -135.482 -3.87927 3.87927 1.20 0.000661523 0.000594892 0.0552317 0.0496528 42 3578 50 6.99608e+06 323745 744469. 2576.02 6.75 0.331955 0.287583 27202 183097 -1 3006 21 2508 3480 326438 69128 4.67759 4.67759 -168.75 -4.67759 0 0 949917. 3286.91 0.44 0.13 0.23 -1 -1 0.44 0.0354674 0.0316167 123 88 31 31 92 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 13.62 vpr 65.58 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 34036 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 333 279 1 208 79 17 17 289 -1 unnamed_device 27.0 MiB 3.43 1202 9036 2501 5408 1127 65.6 MiB 0.09 0.00 2.96354 -111.7 -2.96354 2.96354 1.19 0.000509569 0.000451108 0.0319473 0.028936 46 2705 21 6.99608e+06 220735 828058. 2865.25 6.19 0.241837 0.210853 28066 200906 -1 2270 18 1444 2037 175625 35841 3.25251 3.25251 -129.311 -3.25251 0 0 1.01997e+06 3529.29 0.49 0.09 0.24 -1 -1 0.49 0.0276202 0.0247871 88 54 32 32 60 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 9.95 vpr 65.48 MiB 0.03 6976 -1 -1 1 0.04 -1 -1 33816 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 32 32 339 283 1 212 78 17 17 289 -1 unnamed_device 27.1 MiB 1.07 782 11034 3077 6506 1451 65.5 MiB 0.11 0.00 2.68144 -96.9417 -2.68144 2.68144 1.20 0.000568456 0.000512141 0.0400563 0.036189 46 2456 35 6.99608e+06 206020 828058. 2865.25 4.86 0.183362 0.159645 28066 200906 -1 1547 22 1668 2075 147074 34436 3.27027 3.27027 -120.13 -3.27027 0 0 1.01997e+06 3529.29 0.46 0.08 0.25 -1 -1 0.46 0.028242 0.0248817 91 60 32 32 62 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 8.20 vpr 65.75 MiB 0.03 7088 -1 -1 1 0.04 -1 -1 34296 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67324 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 27.0 MiB 1.26 882 9516 3546 4737 1233 65.7 MiB 0.10 0.00 3.18865 -115.614 -3.18865 3.18865 1.21 0.000639435 0.000575498 0.0380585 0.0343819 48 2588 23 6.99608e+06 264882 865456. 2994.66 2.81 0.191738 0.167296 28354 207349 -1 2213 22 2252 2797 234369 53653 4.20262 4.20262 -154.754 -4.20262 0 0 1.05005e+06 3633.38 0.49 0.11 0.26 -1 -1 0.49 0.034093 0.0302047 110 49 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 12.16 vpr 65.78 MiB 0.03 7332 -1 -1 1 0.04 -1 -1 34116 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67360 29 32 367 293 1 222 82 17 17 289 -1 unnamed_device 27.0 MiB 2.21 997 12720 5109 5317 2294 65.8 MiB 0.13 0.00 2.77374 -97.0688 -2.77374 2.77374 1.23 0.000614994 0.000557419 0.04738 0.0429508 38 2817 41 6.99608e+06 309029 678818. 2348.85 5.84 0.215157 0.188317 26626 170182 -1 2405 27 2265 3132 329501 92022 3.33981 3.33981 -124.082 -3.33981 0 0 902133. 3121.57 0.41 0.15 0.21 -1 -1 0.41 0.041084 0.0364977 101 54 56 29 58 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 12.19 vpr 65.71 MiB 0.03 7412 -1 -1 1 0.04 -1 -1 34344 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67288 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 27.5 MiB 1.07 1271 15773 4421 8851 2501 65.7 MiB 0.16 0.00 3.68467 -132.727 -3.68467 3.68467 1.21 0.000727236 0.000656181 0.0641829 0.0579291 46 3570 25 6.99608e+06 323745 828058. 2865.25 6.92 0.352005 0.307404 28066 200906 -1 2962 25 3137 3711 338170 67844 5.05134 5.05134 -182.122 -5.05134 0 0 1.01997e+06 3529.29 0.47 0.14 0.23 -1 -1 0.47 0.0449885 0.040041 140 117 0 0 128 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 11.28 vpr 64.82 MiB 0.03 7160 -1 -1 1 0.04 -1 -1 33924 -1 -1 11 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 259 212 1 143 74 17 17 289 -1 unnamed_device 26.2 MiB 1.31 558 10459 4349 5630 480 64.8 MiB 0.08 0.00 2.29975 -77.3164 -2.29975 2.29975 1.18 0.000472663 0.000425915 0.0349306 0.0315934 48 1590 40 6.99608e+06 161872 865456. 2994.66 6.01 0.217602 0.188445 28354 207349 -1 1322 21 1116 1712 132422 31472 2.88962 2.88962 -103.777 -2.88962 0 0 1.05005e+06 3633.38 0.50 0.07 0.24 -1 -1 0.50 0.024725 0.0219694 57 -1 85 31 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 11.55 vpr 65.85 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 33708 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67432 32 32 418 338 1 263 83 17 17 289 -1 unnamed_device 27.3 MiB 3.92 1237 13043 4202 6747 2094 65.9 MiB 0.13 0.00 3.96833 -134.688 -3.96833 3.96833 1.20 0.000660038 0.000594148 0.051664 0.0466345 44 3669 33 6.99608e+06 279598 787024. 2723.27 3.50 0.240687 0.211992 27778 195446 -1 2844 20 2408 3081 281349 57183 5.03754 5.03754 -176.21 -5.03754 0 0 997811. 3452.63 0.48 0.13 0.25 -1 -1 0.48 0.0386516 0.0347797 118 89 28 28 92 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 10.53 vpr 65.80 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 33736 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67376 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 27.1 MiB 1.16 1323 10744 2996 6405 1343 65.8 MiB 0.11 0.00 3.76377 -142.716 -3.76377 3.76377 1.25 0.000606472 0.0005471 0.0429047 0.0388842 44 3498 40 6.99608e+06 235451 787024. 2723.27 5.20 0.229083 0.202038 27778 195446 -1 2791 20 2355 2960 282089 54310 4.44904 4.44904 -172.269 -4.44904 0 0 997811. 3452.63 0.46 0.12 0.24 -1 -1 0.46 0.0345162 0.0310536 110 93 0 0 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 9.59 vpr 65.74 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34004 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 32 32 401 316 1 247 83 17 17 289 -1 unnamed_device 26.9 MiB 1.10 1233 5843 1328 4093 422 65.7 MiB 0.07 0.00 2.94304 -113.73 -2.94304 2.94304 1.22 0.000635964 0.000571496 0.0243323 0.0220491 40 3145 28 6.99608e+06 279598 706193. 2443.58 4.46 0.183421 0.159666 26914 176310 -1 2800 22 2072 2674 320193 77387 3.71441 3.71441 -143.897 -3.71441 0 0 926341. 3205.33 0.42 0.14 0.22 -1 -1 0.42 0.0359251 0.0320091 106 59 61 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 9.03 vpr 65.79 MiB 0.03 7476 -1 -1 1 0.04 -1 -1 34344 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 32 32 500 382 1 312 86 17 17 289 -1 unnamed_device 27.5 MiB 1.05 1499 16529 6227 8360 1942 65.8 MiB 0.18 0.00 4.13248 -150.491 -4.13248 4.13248 1.21 0.000748943 0.000672787 0.0703662 0.0633944 40 3967 24 6.99608e+06 323745 706193. 2443.58 3.79 0.248854 0.217966 26914 176310 -1 3429 21 3045 3524 343317 68612 5.51435 5.51435 -202.131 -5.51435 0 0 926341. 3205.33 0.41 0.14 0.22 -1 -1 0.41 0.0398573 0.0355953 140 81 64 32 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 12.27 vpr 64.98 MiB 0.02 6968 -1 -1 1 0.03 -1 -1 33832 -1 -1 13 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 30 32 246 229 1 154 75 17 17 289 -1 unnamed_device 26.6 MiB 2.84 555 9081 3769 4952 360 65.0 MiB 0.07 0.00 2.25155 -75.007 -2.25155 2.25155 1.17 0.000390176 0.000349383 0.0258481 0.0234139 44 1694 45 6.99608e+06 191304 787024. 2723.27 5.61 0.178514 0.154168 27778 195446 -1 1143 18 735 751 58285 14196 2.27912 2.27912 -85.289 -2.27912 0 0 997811. 3452.63 0.47 0.05 0.22 -1 -1 0.47 0.0195742 0.0173847 65 51 0 0 53 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 11.45 vpr 65.03 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 34024 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 30 32 296 244 1 167 76 17 17 289 -1 unnamed_device 26.5 MiB 4.54 873 9036 3566 4539 931 65.0 MiB 0.08 0.00 2.78909 -100.953 -2.78909 2.78909 1.18 0.000502398 0.000452239 0.0313477 0.0283132 34 2359 25 6.99608e+06 206020 618332. 2139.56 3.13 0.128658 0.111979 25762 151098 -1 2040 21 1559 2252 217802 44605 3.76271 3.76271 -140.585 -3.76271 0 0 787024. 2723.27 0.36 0.09 0.19 -1 -1 0.36 0.0254829 0.0225098 72 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 11.63 vpr 65.11 MiB 0.03 6932 -1 -1 1 0.04 -1 -1 33700 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 314 256 1 192 76 17 17 289 -1 unnamed_device 26.6 MiB 0.27 819 9836 4075 5610 151 65.1 MiB 0.10 0.00 2.73464 -106.1 -2.73464 2.73464 1.19 0.000545618 0.00049188 0.0369022 0.0333421 44 2946 48 6.99608e+06 176588 787024. 2723.27 7.32 0.276004 0.239804 27778 195446 -1 2176 22 2078 3186 279851 57466 4.10561 4.10561 -138.271 -4.10561 0 0 997811. 3452.63 0.46 0.11 0.24 -1 -1 0.46 0.029727 0.0264928 80 31 64 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 8.23 vpr 64.98 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 34016 -1 -1 18 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 25 32 251 214 1 151 75 17 17 289 -1 unnamed_device 26.6 MiB 0.97 557 11767 5032 5858 877 65.0 MiB 0.09 0.00 2.79475 -75.6465 -2.79475 2.79475 1.18 0.000438562 0.000397009 0.0353871 0.0321471 36 1832 47 6.99608e+06 264882 648988. 2245.63 3.45 0.16891 0.147557 26050 158493 -1 1407 20 1025 1279 106863 24084 3.37663 3.37663 -102.066 -3.37663 0 0 828058. 2865.25 0.38 0.07 0.19 -1 -1 0.38 0.0234493 0.0208401 68 19 50 25 25 25 +fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 11.85 vpr 65.89 MiB 0.03 7416 -1 -1 1 0.04 -1 -1 33948 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67472 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 27.5 MiB 1.20 1474 15273 5152 8434 1687 65.9 MiB 0.14 0.00 3.11645 -119.592 -3.11645 3.11645 1.15 0.000599942 0.000542087 0.0567156 0.0513855 46 3551 29 6.99608e+06 294314 828058. 2865.25 6.62 0.33138 0.28987 28066 200906 -1 2988 18 2421 3405 256757 52377 3.89582 3.89582 -154.657 -3.89582 0 0 1.01997e+06 3529.29 0.46 0.11 0.25 -1 -1 0.46 0.0338026 0.0302404 125 84 32 32 94 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 12.10 vpr 65.94 MiB 0.03 7412 -1 -1 1 0.04 -1 -1 33868 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67520 31 32 421 339 1 270 85 17 17 289 -1 unnamed_device 27.4 MiB 1.20 1175 11245 3996 5507 1742 65.9 MiB 0.12 0.00 3.44908 -119.437 -3.44908 3.44908 1.20 0.000670827 0.00060699 0.0455217 0.041281 46 3313 50 6.99608e+06 323745 828058. 2865.25 6.77 0.321329 0.280315 28066 200906 -1 2528 23 2614 3430 273153 57853 4.16615 4.16615 -153.904 -4.16615 0 0 1.01997e+06 3529.29 0.46 0.12 0.26 -1 -1 0.46 0.0363689 0.0323506 121 88 29 29 93 31 +fixed_k6_frac_N8_22nm.xml mult_001.v common 9.95 vpr 65.04 MiB 0.03 7068 -1 -1 14 0.36 -1 -1 36312 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 280 312 1 207 83 17 17 289 -1 unnamed_device 26.5 MiB 2.82 1171 12323 3404 6298 2621 65.0 MiB 0.13 0.00 7.1786 -141.837 -7.1786 7.1786 1.18 0.000744866 0.000672466 0.0552799 0.0496528 46 2832 33 6.79088e+06 255968 828058. 2865.25 2.88 0.231637 0.204978 27406 200422 -1 2315 18 1250 3438 161833 37982 7.4684 7.4684 -157.535 -7.4684 0 0 1.01997e+06 3529.29 0.46 0.09 0.23 -1 -1 0.46 0.037453 0.0339097 134 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_002.v common 11.94 vpr 65.04 MiB 0.03 7036 -1 -1 14 0.43 -1 -1 36632 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 30 32 277 309 1 214 82 17 17 289 -1 unnamed_device 26.6 MiB 2.43 1287 9160 2397 5537 1226 65.0 MiB 0.11 0.00 6.84273 -137.13 -6.84273 6.84273 1.21 0.00075078 0.000672731 0.0445704 0.03994 38 3305 32 6.79088e+06 269440 678818. 2348.85 5.17 0.245456 0.2152 25966 169698 -1 2650 18 1303 3433 180147 40475 7.34393 7.34393 -156.505 -7.34393 0 0 902133. 3121.57 0.40 0.10 0.21 -1 -1 0.40 0.0375272 0.033931 132 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_003.v common 12.40 vpr 65.04 MiB 0.03 7192 -1 -1 11 0.33 -1 -1 36656 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 32 32 274 306 1 200 84 17 17 289 -1 unnamed_device 26.5 MiB 2.54 1057 12162 3360 7052 1750 65.0 MiB 0.13 0.00 5.91503 -114.436 -5.91503 5.91503 1.20 0.000719068 0.000642407 0.0529322 0.0473068 44 3084 24 6.79088e+06 269440 787024. 2723.27 5.53 0.308401 0.269074 27118 194962 -1 2322 20 1166 3711 210359 47630 6.00113 6.00113 -130.011 -6.00113 0 0 997811. 3452.63 0.45 0.10 0.24 -1 -1 0.45 0.0393268 0.0354232 138 179 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_004.v common 19.14 vpr 65.12 MiB 0.03 7020 -1 -1 12 0.52 -1 -1 36564 -1 -1 22 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 29 32 269 301 1 191 83 17 17 289 -1 unnamed_device 26.7 MiB 2.13 1073 9443 2539 5189 1715 65.1 MiB 0.10 0.00 6.07188 -117.783 -6.07188 6.07188 1.16 0.00106012 0.000982471 0.0444584 0.0400575 38 2922 26 6.79088e+06 296384 678818. 2348.85 12.60 0.380312 0.331699 25966 169698 -1 2537 20 1307 4024 197266 44904 6.37287 6.37287 -135.61 -6.37287 0 0 902133. 3121.57 0.40 0.10 0.21 -1 -1 0.40 0.0407301 0.036661 136 180 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_005.v common 10.66 vpr 65.60 MiB 0.03 7116 -1 -1 13 0.44 -1 -1 36992 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 317 349 1 251 88 17 17 289 -1 unnamed_device 26.9 MiB 2.97 1433 11788 2599 7883 1306 65.6 MiB 0.12 0.00 6.54861 -138.074 -6.54861 6.54861 1.12 0.000731856 0.000659007 0.052085 0.0469889 38 3683 20 6.79088e+06 323328 678818. 2348.85 3.47 0.264759 0.234021 25966 169698 -1 3154 17 1650 4394 221149 51103 6.96371 6.96371 -162.713 -6.96371 0 0 902133. 3121.57 0.38 0.11 0.21 -1 -1 0.38 0.0430358 0.0391316 160 222 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_006.v common 13.62 vpr 65.23 MiB 0.03 7060 -1 -1 12 0.42 -1 -1 36464 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 299 331 1 221 88 17 17 289 -1 unnamed_device 26.6 MiB 3.48 1311 5158 978 3976 204 65.2 MiB 0.07 0.00 6.25532 -135.367 -6.25532 6.25532 1.24 0.000801765 0.0007096 0.027219 0.0246157 46 3245 32 6.79088e+06 323328 828058. 2865.25 5.63 0.343706 0.302689 27406 200422 -1 2872 16 1345 4006 214392 48099 6.83487 6.83487 -156.794 -6.83487 0 0 1.01997e+06 3529.29 0.48 0.11 0.25 -1 -1 0.48 0.0396459 0.0361209 150 204 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_007.v common 10.00 vpr 64.28 MiB 0.03 6812 -1 -1 12 0.27 -1 -1 36100 -1 -1 20 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 27 32 210 242 1 166 79 17 17 289 -1 unnamed_device 25.8 MiB 2.15 972 6332 1567 4234 531 64.3 MiB 0.07 0.00 5.95433 -114.661 -5.95433 5.95433 1.20 0.000588508 0.00052677 0.0262365 0.0236909 36 2781 26 6.79088e+06 269440 648988. 2245.63 3.82 0.176129 0.153599 25390 158009 -1 2265 16 1067 2713 161897 36518 6.20493 6.20493 -129.291 -6.20493 0 0 828058. 2865.25 0.38 0.08 0.20 -1 -1 0.38 0.0279799 0.0253096 101 125 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_008.v common 12.03 vpr 64.91 MiB 0.03 7136 -1 -1 11 0.25 -1 -1 36416 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 31 32 264 296 1 191 81 17 17 289 -1 unnamed_device 26.5 MiB 1.77 1181 12331 3573 7109 1649 64.9 MiB 0.12 0.00 5.36687 -116.355 -5.36687 5.36687 1.17 0.000700614 0.00063181 0.0504557 0.0453509 44 2956 16 6.79088e+06 242496 787024. 2723.27 6.13 0.294155 0.257849 27118 194962 -1 2421 17 1089 3340 174728 38869 5.49217 5.49217 -129.512 -5.49217 0 0 997811. 3452.63 0.46 0.09 0.23 -1 -1 0.46 0.0358366 0.0323216 118 171 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_009.v common 10.25 vpr 64.64 MiB 0.03 7000 -1 -1 12 0.25 -1 -1 35996 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 31 32 234 266 1 191 81 17 17 289 -1 unnamed_device 26.1 MiB 3.75 1126 12681 3896 6610 2175 64.6 MiB 0.12 0.00 5.49223 -117.258 -5.49223 5.49223 1.20 0.000630568 0.000565098 0.0492745 0.0442535 36 2823 21 6.79088e+06 242496 648988. 2245.63 2.45 0.167564 0.147269 25390 158009 -1 2410 21 1042 2393 146346 33186 5.99343 5.99343 -133.602 -5.99343 0 0 828058. 2865.25 0.37 0.09 0.19 -1 -1 0.37 0.0356975 0.0319206 111 141 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_010.v common 13.82 vpr 64.52 MiB 0.03 7032 -1 -1 13 0.28 -1 -1 36244 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 32 32 253 285 1 183 80 17 17 289 -1 unnamed_device 26.0 MiB 2.19 1052 5240 987 4120 133 64.5 MiB 0.06 0.00 5.99697 -135.029 -5.99697 5.99697 1.17 0.000681525 0.000583435 0.0244473 0.021989 36 2963 31 6.79088e+06 215552 648988. 2245.63 7.58 0.194183 0.168686 25390 158009 -1 2529 15 1123 2788 178398 39666 6.49817 6.49817 -162.329 -6.49817 0 0 828058. 2865.25 0.37 0.09 0.19 -1 -1 0.37 0.0315003 0.0285227 107 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_011.v common 10.68 vpr 64.67 MiB 0.03 6912 -1 -1 12 0.24 -1 -1 36376 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 30 32 217 249 1 159 78 17 17 289 -1 unnamed_device 26.2 MiB 1.94 828 4892 1016 3711 165 64.7 MiB 0.06 0.00 5.99697 -122.038 -5.99697 5.99697 1.16 0.00059499 0.000524685 0.021171 0.0191513 44 2225 21 6.79088e+06 215552 787024. 2723.27 4.79 0.22015 0.192551 27118 194962 -1 1655 16 740 1935 94247 22778 6.24757 6.24757 -135.133 -6.24757 0 0 997811. 3452.63 0.44 0.06 0.22 -1 -1 0.44 0.0257654 0.0233373 93 126 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_012.v common 11.04 vpr 64.43 MiB 0.03 7064 -1 -1 12 0.21 -1 -1 36248 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 32 32 227 259 1 170 78 17 17 289 -1 unnamed_device 25.9 MiB 2.79 1053 6552 1504 4379 669 64.4 MiB 0.07 0.00 5.6029 -133.233 -5.6029 5.6029 1.21 0.000641523 0.000574188 0.0283635 0.0255102 38 2932 35 6.79088e+06 188608 678818. 2348.85 4.04 0.208244 0.183413 25966 169698 -1 2389 35 981 2519 395294 202637 5.8535 5.8535 -150.539 -5.8535 0 0 902133. 3121.57 0.40 0.21 0.21 -1 -1 0.40 0.0524673 0.0468251 94 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_013.v common 25.21 vpr 65.33 MiB 0.03 7112 -1 -1 13 0.38 -1 -1 36552 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 32 32 306 338 1 226 85 17 17 289 -1 unnamed_device 26.5 MiB 2.03 1240 14965 4802 7701 2462 65.3 MiB 0.16 0.00 6.71306 -141.158 -6.71306 6.71306 1.17 0.000808615 0.000721644 0.0685889 0.0616082 36 3919 37 6.79088e+06 282912 648988. 2245.63 18.95 0.501782 0.442269 25390 158009 -1 2859 17 1411 3939 230170 52037 6.96366 6.96366 -158.58 -6.96366 0 0 828058. 2865.25 0.36 0.10 0.19 -1 -1 0.36 0.0387377 0.0352325 148 211 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_014.v common 30.80 vpr 65.07 MiB 0.03 7000 -1 -1 14 0.49 -1 -1 36560 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 302 334 1 227 85 17 17 289 -1 unnamed_device 26.5 MiB 2.57 1378 14407 4698 7749 1960 65.1 MiB 0.16 0.00 7.55456 -155.259 -7.55456 7.55456 1.21 0.000804585 0.000717352 0.0681314 0.0608564 36 4045 44 6.79088e+06 282912 648988. 2245.63 23.66 0.479365 0.419138 25390 158009 -1 3215 29 1559 4338 344714 107911 7.67985 7.67985 -173.013 -7.67985 0 0 828058. 2865.25 0.38 0.18 0.20 -1 -1 0.38 0.059172 0.052815 149 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_015.v common 11.10 vpr 64.66 MiB 0.02 6788 -1 -1 11 0.24 -1 -1 36100 -1 -1 20 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 29 32 238 270 1 181 81 17 17 289 -1 unnamed_device 26.1 MiB 1.99 994 11981 3577 6276 2128 64.7 MiB 0.11 0.00 5.70368 -111.95 -5.70368 5.70368 1.16 0.000622066 0.00056339 0.0441973 0.039776 48 2260 18 6.79088e+06 269440 865456. 2994.66 5.00 0.253277 0.221224 27694 206865 -1 2110 14 941 2315 142218 31829 6.07958 6.07958 -126.03 -6.07958 0 0 1.05005e+06 3633.38 0.48 0.07 0.24 -1 -1 0.48 0.0265991 0.0240985 111 149 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_016.v common 14.77 vpr 64.98 MiB 0.03 7004 -1 -1 12 0.41 -1 -1 36852 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 306 338 1 232 84 17 17 289 -1 unnamed_device 26.6 MiB 3.68 1315 15639 5438 7836 2365 65.0 MiB 0.18 0.00 6.17261 -133.634 -6.17261 6.17261 1.21 0.000815672 0.000728584 0.0759056 0.0679463 46 4005 27 6.79088e+06 269440 828058. 2865.25 6.52 0.287887 0.252489 27406 200422 -1 3127 20 1720 5474 367725 110035 6.29791 6.29791 -150.35 -6.29791 0 0 1.01997e+06 3529.29 0.45 0.16 0.25 -1 -1 0.45 0.0460435 0.0416136 146 211 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_017.v common 11.40 vpr 65.51 MiB 0.03 7024 -1 -1 13 0.40 -1 -1 36636 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67084 32 32 311 343 1 226 85 17 17 289 -1 unnamed_device 26.6 MiB 2.33 1342 12733 4211 6185 2337 65.5 MiB 0.14 0.00 6.92025 -144.778 -6.92025 6.92025 1.18 0.000804236 0.000716876 0.0612457 0.0549093 36 4182 45 6.79088e+06 282912 648988. 2245.63 4.73 0.2643 0.2319 25390 158009 -1 3105 20 1680 5079 303615 66727 7.71895 7.71895 -171.137 -7.71895 0 0 828058. 2865.25 0.37 0.13 0.19 -1 -1 0.37 0.0452387 0.0408239 144 216 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_018.v common 11.82 vpr 64.85 MiB 0.02 6920 -1 -1 12 0.22 -1 -1 36100 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 230 262 1 172 80 17 17 289 -1 unnamed_device 26.3 MiB 2.84 897 7992 1874 4598 1520 64.8 MiB 0.08 0.00 5.57833 -123.346 -5.57833 5.57833 1.17 0.000632949 0.000560493 0.032924 0.0297189 36 2727 41 6.79088e+06 215552 648988. 2245.63 5.08 0.285139 0.248457 25390 158009 -1 2084 16 976 2645 149138 36291 5.86813 5.86813 -145.477 -5.86813 0 0 828058. 2865.25 0.36 0.08 0.19 -1 -1 0.36 0.0328349 0.0296906 104 135 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_019.v common 11.88 vpr 63.95 MiB 0.02 6688 -1 -1 10 0.15 -1 -1 35996 -1 -1 12 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65488 30 32 176 208 1 138 74 17 17 289 -1 unnamed_device 25.5 MiB 3.70 726 10459 2751 7298 410 64.0 MiB 0.09 0.00 4.41351 -104.197 -4.41351 4.41351 1.23 0.000467686 0.000416899 0.0346133 0.0311129 36 2092 48 6.79088e+06 161664 648988. 2245.63 4.25 0.17422 0.151824 25390 158009 -1 1843 16 829 1886 140172 31382 4.53881 4.53881 -120.352 -4.53881 0 0 828058. 2865.25 0.37 0.07 0.19 -1 -1 0.37 0.0219304 0.019711 67 85 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_020.v common 9.42 vpr 64.55 MiB 0.03 6904 -1 -1 13 0.24 -1 -1 36612 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 31 32 226 258 1 173 79 17 17 289 -1 unnamed_device 26.0 MiB 2.79 981 7346 1830 5059 457 64.6 MiB 0.07 0.00 6.53742 -143.365 -6.53742 6.53742 1.18 0.000601801 0.000538831 0.0302908 0.0273392 30 2979 37 6.79088e+06 215552 556674. 1926.21 2.68 0.146938 0.129185 24526 138013 -1 2292 16 1021 2420 134407 31074 6.53742 6.53742 -157.495 -6.53742 0 0 706193. 2443.58 0.35 0.07 0.16 -1 -1 0.35 0.0287002 0.0259363 99 133 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_021.v common 11.67 vpr 64.93 MiB 0.03 6976 -1 -1 13 0.43 -1 -1 36972 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 302 334 1 222 86 17 17 289 -1 unnamed_device 26.4 MiB 1.73 1291 8213 2024 5481 708 64.9 MiB 0.10 0.00 6.19723 -135.08 -6.19723 6.19723 1.19 0.000848212 0.000764049 0.0403886 0.0364564 44 3114 23 6.79088e+06 296384 787024. 2723.27 5.53 0.375999 0.329917 27118 194962 -1 2634 16 1285 3647 193905 43950 6.40863 6.40863 -149.72 -6.40863 0 0 997811. 3452.63 0.46 0.10 0.23 -1 -1 0.46 0.0414619 0.0376237 143 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_022.v common 18.29 vpr 64.99 MiB 0.03 7088 -1 -1 13 0.44 -1 -1 36512 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 299 331 1 221 83 17 17 289 -1 unnamed_device 26.4 MiB 2.92 1427 11243 3192 5864 2187 65.0 MiB 0.13 0.00 6.55321 -141.386 -6.55321 6.55321 1.18 0.000787603 0.000700231 0.0540024 0.0482525 36 4157 44 6.79088e+06 255968 648988. 2245.63 11.01 0.278249 0.24299 25390 158009 -1 3245 18 1506 4138 270899 58722 7.18741 7.18741 -165.792 -7.18741 0 0 828058. 2865.25 0.38 0.12 0.20 -1 -1 0.38 0.0413828 0.0374323 141 204 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_023.v common 7.35 vpr 64.10 MiB 0.02 6772 -1 -1 9 0.13 -1 -1 36080 -1 -1 16 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65640 26 32 149 181 1 119 74 17 17 289 -1 unnamed_device 25.7 MiB 2.37 609 10614 3184 5729 1701 64.1 MiB 0.08 0.00 3.9703 -76.7287 -3.9703 3.9703 1.22 0.000401545 0.00036186 0.029902 0.0269946 30 1724 25 6.79088e+06 215552 556674. 1926.21 1.18 0.0885049 0.0774296 24526 138013 -1 1358 18 635 1357 78412 19426 4.7221 4.7221 -96.8773 -4.7221 0 0 706193. 2443.58 0.33 0.05 0.16 -1 -1 0.33 0.0188369 0.0167824 64 66 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_024.v common 14.82 vpr 65.56 MiB 0.02 7028 -1 -1 13 0.43 -1 -1 36604 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 304 336 1 222 86 17 17 289 -1 unnamed_device 26.8 MiB 3.07 1392 8591 2186 5720 685 65.6 MiB 0.10 0.00 7.1002 -146.855 -7.1002 7.1002 1.18 0.000772331 0.000698193 0.0423996 0.0381775 40 3339 27 6.79088e+06 296384 706193. 2443.58 7.05 0.411607 0.35986 26254 175826 -1 3103 41 2328 7103 1106612 602833 7.47261 7.47261 -165.69 -7.47261 0 0 926341. 3205.33 0.43 0.51 0.20 -1 -1 0.43 0.0833615 0.0743792 137 209 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_025.v common 12.45 vpr 63.80 MiB 0.02 6720 -1 -1 8 0.13 -1 -1 35844 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65332 32 32 155 187 1 128 81 17 17 289 -1 unnamed_device 25.5 MiB 3.73 577 11456 4026 5365 2065 63.8 MiB 0.08 0.00 3.9703 -81.1298 -3.9703 3.9703 1.21 0.00044689 0.000404443 0.0300584 0.0270321 36 1811 25 6.79088e+06 229024 648988. 2245.63 4.88 0.152403 0.132065 25390 158009 -1 1291 16 667 1491 78196 19889 4.2209 4.2209 -96.2211 -4.2209 0 0 828058. 2865.25 0.37 0.05 0.19 -1 -1 0.37 0.0178949 0.0160594 64 60 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_026.v common 13.62 vpr 64.71 MiB 0.03 7180 -1 -1 15 0.36 -1 -1 36568 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 253 285 1 192 81 17 17 289 -1 unnamed_device 26.3 MiB 2.84 1179 11631 3583 5915 2133 64.7 MiB 0.12 0.00 7.29713 -149.008 -7.29713 7.29713 1.22 0.000689121 0.000616715 0.0499609 0.0449022 44 3027 27 6.79088e+06 229024 787024. 2723.27 6.42 0.290232 0.253953 27118 194962 -1 2394 16 1128 3033 168910 38083 7.67303 7.67303 -163.829 -7.67303 0 0 997811. 3452.63 0.46 0.09 0.24 -1 -1 0.46 0.0328622 0.0297598 118 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_027.v common 12.16 vpr 65.40 MiB 0.03 6900 -1 -1 12 0.36 -1 -1 36332 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 32 32 309 341 1 218 86 17 17 289 -1 unnamed_device 26.5 MiB 2.27 1276 11993 2910 7652 1431 65.4 MiB 0.12 0.00 6.08302 -130.979 -6.08302 6.08302 1.15 0.000770035 0.000691896 0.0543809 0.0488724 40 2988 24 6.79088e+06 296384 706193. 2443.58 5.67 0.375691 0.331078 26254 175826 -1 2984 16 1374 4179 256084 56601 6.08302 6.08302 -143.356 -6.08302 0 0 926341. 3205.33 0.41 0.11 0.21 -1 -1 0.41 0.0373828 0.0339314 145 214 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_028.v common 10.47 vpr 65.03 MiB 0.03 7044 -1 -1 13 0.42 -1 -1 36648 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 289 321 1 212 84 17 17 289 -1 unnamed_device 26.5 MiB 2.07 1201 5025 880 3885 260 65.0 MiB 0.07 0.00 6.84955 -138.036 -6.84955 6.84955 1.21 0.00075433 0.000680748 0.025927 0.0235198 36 3358 27 6.79088e+06 269440 648988. 2245.63 4.07 0.233418 0.205395 25390 158009 -1 2854 19 1344 3760 228012 52300 7.22545 7.22545 -160.329 -7.22545 0 0 828058. 2865.25 0.38 0.12 0.19 -1 -1 0.38 0.0439202 0.039695 136 194 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_029.v common 11.72 vpr 64.80 MiB 0.02 6912 -1 -1 12 0.25 -1 -1 35904 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 239 271 1 179 83 17 17 289 -1 unnamed_device 26.3 MiB 3.08 1099 8363 1933 5634 796 64.8 MiB 0.09 0.00 5.40269 -124.362 -5.40269 5.40269 1.17 0.000623842 0.000560556 0.0334415 0.030132 36 2823 30 6.79088e+06 255968 648988. 2245.63 4.62 0.206123 0.180577 25390 158009 -1 2424 18 1066 2849 171850 37345 5.52799 5.52799 -140.149 -5.52799 0 0 828058. 2865.25 0.38 0.09 0.19 -1 -1 0.38 0.0332782 0.0300465 106 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_030.v common 12.53 vpr 64.50 MiB 0.03 6848 -1 -1 11 0.23 -1 -1 36388 -1 -1 20 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 30 32 213 245 1 166 82 17 17 289 -1 unnamed_device 26.0 MiB 3.03 976 9694 2441 6317 936 64.5 MiB 0.09 0.00 5.19894 -112.905 -5.19894 5.19894 1.18 0.0005584 0.000499599 0.034235 0.0307712 40 2231 23 6.79088e+06 269440 706193. 2443.58 5.47 0.218102 0.189078 26254 175826 -1 2107 18 1023 2591 161388 36479 5.43835 5.43835 -129.332 -5.43835 0 0 926341. 3205.33 0.41 0.08 0.22 -1 -1 0.41 0.0278989 0.0250454 97 122 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_031.v common 10.33 vpr 64.61 MiB 0.02 6964 -1 -1 11 0.22 -1 -1 36676 -1 -1 19 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 28 32 221 253 1 179 79 17 17 289 -1 unnamed_device 26.1 MiB 1.70 998 9374 2550 6233 591 64.6 MiB 0.09 0.00 5.52794 -112.188 -5.52794 5.52794 1.17 0.000559647 0.000508514 0.0364895 0.0330295 36 2766 20 6.79088e+06 255968 648988. 2245.63 4.70 0.197307 0.173773 25390 158009 -1 2301 15 1039 2637 162412 36660 5.74283 5.74283 -126.312 -5.74283 0 0 828058. 2865.25 0.38 0.07 0.18 -1 -1 0.38 0.0268679 0.0243655 107 134 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_032.v common 11.19 vpr 64.70 MiB 0.02 6972 -1 -1 12 0.28 -1 -1 36196 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 273 305 1 207 83 17 17 289 -1 unnamed_device 26.3 MiB 3.06 1284 8903 2302 5113 1488 64.7 MiB 0.10 0.00 5.78978 -138.424 -5.78978 5.78978 1.20 0.000700658 0.00062382 0.0395774 0.0355656 38 3352 47 6.79088e+06 255968 678818. 2348.85 3.99 0.245281 0.214226 25966 169698 -1 2681 17 1326 3218 169851 38036 6.29098 6.29098 -161.435 -6.29098 0 0 902133. 3121.57 0.40 0.09 0.21 -1 -1 0.40 0.0335783 0.0302968 119 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_033.v common 9.22 vpr 64.39 MiB 0.02 6812 -1 -1 11 0.24 -1 -1 36348 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 31 32 238 270 1 181 80 17 17 289 -1 unnamed_device 25.9 MiB 2.25 933 10228 3104 5099 2025 64.4 MiB 0.10 0.00 5.15968 -117.446 -5.15968 5.15968 1.18 0.000671247 0.000601556 0.0400365 0.0361862 36 3199 48 6.79088e+06 229024 648988. 2245.63 2.97 0.219023 0.192741 25390 158009 -1 2398 19 1150 3030 187776 42895 5.66088 5.66088 -141.989 -5.66088 0 0 828058. 2865.25 0.37 0.09 0.18 -1 -1 0.37 0.0331423 0.0297588 107 145 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_034.v common 16.63 vpr 64.55 MiB 0.02 7088 -1 -1 10 0.20 -1 -1 36500 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 29 32 221 253 1 160 79 17 17 289 -1 unnamed_device 26.0 MiB 2.06 957 10219 2846 5746 1627 64.5 MiB 0.09 0.00 4.95172 -107.836 -4.95172 4.95172 1.18 0.000579438 0.000518871 0.0396174 0.0357091 28 2800 42 6.79088e+06 242496 531479. 1839.03 10.73 0.282375 0.24789 23950 126010 -1 2207 19 887 2406 187912 48386 5.57822 5.57822 -131.565 -5.57822 0 0 648988. 2245.63 0.30 0.09 0.14 -1 -1 0.30 0.0308649 0.0275902 103 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_035.v common 14.04 vpr 65.20 MiB 0.03 7308 -1 -1 13 0.50 -1 -1 37292 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 333 365 1 236 86 17 17 289 -1 unnamed_device 26.8 MiB 2.27 1470 6323 1367 4581 375 65.2 MiB 0.08 0.00 6.50941 -142.111 -6.50941 6.50941 1.17 0.000850461 0.000754308 0.0334211 0.030005 38 3874 32 6.79088e+06 296384 678818. 2348.85 7.40 0.393554 0.345201 25966 169698 -1 3190 17 1603 5221 269689 60172 6.79921 6.79921 -159.387 -6.79921 0 0 902133. 3121.57 0.37 0.12 0.19 -1 -1 0.37 0.0427006 0.0387846 162 238 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_036.v common 15.87 vpr 65.29 MiB 0.03 7204 -1 -1 13 0.45 -1 -1 36520 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 32 32 297 329 1 231 85 17 17 289 -1 unnamed_device 27.0 MiB 2.69 1307 14593 5126 7256 2211 65.3 MiB 0.16 0.00 6.38406 -137.253 -6.38406 6.38406 1.22 0.000809888 0.000730349 0.0696977 0.0623126 38 4033 29 6.79088e+06 282912 678818. 2348.85 8.62 0.308902 0.273228 25966 169698 -1 2986 20 1632 4776 258015 58388 6.83492 6.83492 -160.136 -6.83492 0 0 902133. 3121.57 0.42 0.13 0.21 -1 -1 0.42 0.0478906 0.0435083 152 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_037.v common 21.72 vpr 64.72 MiB 0.03 7056 -1 -1 12 0.22 -1 -1 36460 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 31 32 234 266 1 169 81 17 17 289 -1 unnamed_device 26.2 MiB 1.97 946 9356 3043 4641 1672 64.7 MiB 0.09 0.00 5.78978 -125.721 -5.78978 5.78978 1.25 0.000616099 0.000552991 0.0375418 0.0338188 36 2832 32 6.79088e+06 242496 648988. 2245.63 15.68 0.350697 0.305906 25390 158009 -1 2327 18 1115 2967 195989 45223 6.25173 6.25173 -150.218 -6.25173 0 0 828058. 2865.25 0.37 0.09 0.19 -1 -1 0.37 0.0317131 0.0285701 102 141 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_038.v common 12.09 vpr 65.51 MiB 0.03 7016 -1 -1 12 0.39 -1 -1 36908 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67080 31 32 310 342 1 217 86 17 17 289 -1 unnamed_device 26.7 MiB 1.89 1373 13694 4387 6924 2383 65.5 MiB 0.15 0.00 6.29452 -134.455 -6.29452 6.29452 1.19 0.000844369 0.000752052 0.064482 0.0577602 44 3522 18 6.79088e+06 309856 787024. 2723.27 5.76 0.337131 0.294687 27118 194962 -1 2838 17 1354 4250 216563 48739 6.71732 6.71732 -148.911 -6.71732 0 0 997811. 3452.63 0.46 0.11 0.24 -1 -1 0.46 0.0404865 0.0367006 148 217 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_039.v common 23.34 vpr 65.06 MiB 0.03 7300 -1 -1 14 0.53 -1 -1 37068 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 31 32 284 316 1 219 84 17 17 289 -1 unnamed_device 26.5 MiB 1.77 1374 6855 1635 4548 672 65.1 MiB 0.09 0.00 6.92457 -144.114 -6.92457 6.92457 1.22 0.000791041 0.000701059 0.0348805 0.0312682 40 3417 25 6.79088e+06 282912 706193. 2443.58 17.06 0.404832 0.351582 26254 175826 -1 3083 17 1369 3814 224838 51373 7.22207 7.22207 -165.29 -7.22207 0 0 926341. 3205.33 0.42 0.11 0.22 -1 -1 0.42 0.0391713 0.0355455 146 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_040.v common 13.69 vpr 65.15 MiB 0.03 7228 -1 -1 13 0.40 -1 -1 36880 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 31 32 271 303 1 211 84 17 17 289 -1 unnamed_device 26.6 MiB 3.43 1281 12345 3910 6076 2359 65.2 MiB 0.13 0.00 6.45902 -136.076 -6.45902 6.45902 1.22 0.00076616 0.000690392 0.0540798 0.0485127 44 3412 33 6.79088e+06 282912 787024. 2723.27 5.82 0.324038 0.283284 27118 194962 -1 2692 17 1324 3448 192343 43325 6.95679 6.95679 -154.307 -6.95679 0 0 997811. 3452.63 0.45 0.10 0.24 -1 -1 0.45 0.0358636 0.0324687 126 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_041.v common 10.66 vpr 64.80 MiB 0.03 7008 -1 -1 12 0.37 -1 -1 36552 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 31 32 280 312 1 206 86 17 17 289 -1 unnamed_device 26.3 MiB 1.37 1334 10103 2806 6088 1209 64.8 MiB 0.11 0.00 6.29447 -134.048 -6.29447 6.29447 1.19 0.000771336 0.000693986 0.0460005 0.0415054 38 3448 27 6.79088e+06 309856 678818. 2348.85 5.05 0.273442 0.241113 25966 169698 -1 2724 14 1149 3446 177563 39651 6.67037 6.67037 -150.6 -6.67037 0 0 902133. 3121.57 0.40 0.09 0.20 -1 -1 0.40 0.0356237 0.0323497 135 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_042.v common 11.91 vpr 64.46 MiB 0.03 7272 -1 -1 12 0.28 -1 -1 36320 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 264 296 1 188 81 17 17 289 -1 unnamed_device 26.1 MiB 1.68 1085 11106 4075 5060 1971 64.5 MiB 0.11 0.00 6.03612 -122.551 -6.03612 6.03612 1.23 0.000689067 0.000618855 0.0483863 0.0435518 36 3113 34 6.79088e+06 229024 648988. 2245.63 6.06 0.256521 0.226145 25390 158009 -1 2469 19 1174 3123 187299 42600 6.36157 6.36157 -140.479 -6.36157 0 0 828058. 2865.25 0.38 0.10 0.20 -1 -1 0.38 0.0371517 0.0333131 113 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_043.v common 12.88 vpr 65.23 MiB 0.03 7408 -1 -1 14 0.64 -1 -1 36680 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 32 32 339 371 1 247 89 17 17 289 -1 unnamed_device 26.8 MiB 1.89 1630 10187 2514 6182 1491 65.2 MiB 0.11 0.00 6.99643 -149.016 -6.99643 6.99643 1.13 0.000775113 0.000699803 0.048452 0.0437073 46 3885 22 6.79088e+06 336800 828058. 2865.25 6.47 0.353983 0.312236 27406 200422 -1 3246 18 1538 4744 243892 53285 7.28623 7.28623 -166.811 -7.28623 0 0 1.01997e+06 3529.29 0.44 0.12 0.25 -1 -1 0.44 0.0466679 0.0425037 169 244 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_044.v common 13.29 vpr 64.31 MiB 0.03 6872 -1 -1 11 0.29 -1 -1 35960 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 31 32 246 278 1 185 81 17 17 289 -1 unnamed_device 25.7 MiB 2.67 1108 9531 2615 5195 1721 64.3 MiB 0.09 0.00 5.57057 -117.295 -5.57057 5.57057 1.20 0.000747058 0.00067319 0.0405659 0.0364808 38 2919 30 6.79088e+06 242496 678818. 2348.85 6.48 0.35894 0.314736 25966 169698 -1 2345 17 1214 3150 175011 39866 5.69587 5.69587 -133.623 -5.69587 0 0 902133. 3121.57 0.40 0.09 0.21 -1 -1 0.40 0.03163 0.0284989 113 153 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_045.v common 12.16 vpr 65.14 MiB 0.03 7208 -1 -1 13 0.42 -1 -1 37068 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 268 300 1 191 82 17 17 289 -1 unnamed_device 26.7 MiB 2.41 1202 5422 1141 3981 300 65.1 MiB 0.07 0.00 6.34486 -127.069 -6.34486 6.34486 1.19 0.00102749 0.000928358 0.0275766 0.0248566 44 2793 19 6.79088e+06 255968 787024. 2723.27 5.42 0.264884 0.230497 27118 194962 -1 2302 15 1021 3246 167429 37221 6.54507 6.54507 -140.232 -6.54507 0 0 997811. 3452.63 0.45 0.09 0.24 -1 -1 0.45 0.0333068 0.0301318 132 175 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_046.v common 12.78 vpr 65.52 MiB 0.03 6984 -1 -1 12 0.40 -1 -1 36436 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67096 32 32 318 350 1 227 85 17 17 289 -1 unnamed_device 26.6 MiB 2.11 1296 14965 4146 8867 1952 65.5 MiB 0.16 0.00 6.04038 -128.677 -6.04038 6.04038 1.19 0.000822095 0.000735831 0.0725843 0.0650539 44 3465 19 6.79088e+06 282912 787024. 2723.27 6.20 0.374396 0.328898 27118 194962 -1 2711 17 1394 4291 212277 50098 6.29098 6.29098 -142.395 -6.29098 0 0 997811. 3452.63 0.46 0.11 0.24 -1 -1 0.46 0.0409825 0.0371122 153 223 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_047.v common 10.63 vpr 65.00 MiB 0.02 6992 -1 -1 13 0.34 -1 -1 36388 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 273 305 1 198 83 17 17 289 -1 unnamed_device 26.6 MiB 1.81 1205 13763 4977 6597 2189 65.0 MiB 0.14 0.00 5.99697 -128.982 -5.99697 5.99697 1.13 0.000743432 0.000659944 0.0621022 0.0557691 38 3403 32 6.79088e+06 255968 678818. 2348.85 4.70 0.266034 0.234757 25966 169698 -1 2678 22 1419 3959 218728 49470 6.66688 6.66688 -150.34 -6.66688 0 0 902133. 3121.57 0.39 0.11 0.21 -1 -1 0.39 0.0414902 0.0371883 131 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_048.v common 10.70 vpr 64.96 MiB 0.03 7156 -1 -1 13 0.34 -1 -1 36788 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 26.5 MiB 2.96 1142 9706 2833 4964 1909 65.0 MiB 0.10 0.00 6.79572 -137.321 -6.79572 6.79572 1.17 0.000684969 0.000611136 0.0433665 0.0387064 36 3646 39 6.79088e+06 229024 648988. 2245.63 3.59 0.229038 0.200364 25390 158009 -1 2567 24 1207 3316 261278 85018 7.16043 7.16043 -156.937 -7.16043 0 0 828058. 2865.25 0.37 0.12 0.17 -1 -1 0.37 0.0393372 0.0352479 118 174 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_049.v common 12.08 vpr 65.07 MiB 0.03 7244 -1 -1 12 0.41 -1 -1 36968 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 298 330 1 217 87 17 17 289 -1 unnamed_device 26.5 MiB 2.79 1451 6039 1220 4218 601 65.1 MiB 0.08 0.00 6.49047 -143.269 -6.49047 6.49047 1.17 0.000791178 0.000698284 0.0305598 0.0276498 38 3565 21 6.79088e+06 309856 678818. 2348.85 5.05 0.249206 0.219409 25966 169698 -1 2930 18 1403 4283 234258 51054 6.62347 6.62347 -157.885 -6.62347 0 0 902133. 3121.57 0.40 0.11 0.21 -1 -1 0.40 0.0409703 0.0370266 150 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_050.v common 26.21 vpr 65.08 MiB 0.03 7108 -1 -1 13 0.40 -1 -1 36680 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 299 331 1 229 84 17 17 289 -1 unnamed_device 26.6 MiB 2.88 1376 11613 2958 6676 1979 65.1 MiB 0.12 0.00 6.71306 -143.577 -6.71306 6.71306 1.17 0.00074998 0.00067355 0.0543014 0.0487906 40 3175 24 6.79088e+06 269440 706193. 2443.58 19.00 0.477406 0.419632 26254 175826 -1 3005 16 1338 3751 219672 49107 6.96366 6.96366 -160.33 -6.96366 0 0 926341. 3205.33 0.44 0.11 0.22 -1 -1 0.44 0.0418439 0.0379631 143 204 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_051.v common 12.96 vpr 64.89 MiB 0.03 7120 -1 -1 14 0.39 -1 -1 36728 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 259 291 1 195 82 17 17 289 -1 unnamed_device 26.4 MiB 3.24 1167 8092 1853 5907 332 64.9 MiB 0.09 0.00 6.96377 -144.578 -6.96377 6.96377 1.21 0.000736905 0.000663405 0.0377798 0.034058 38 3344 26 6.79088e+06 242496 678818. 2348.85 5.42 0.242734 0.214679 25966 169698 -1 2617 18 1229 3476 191835 43058 7.29349 7.29349 -162.989 -7.29349 0 0 902133. 3121.57 0.40 0.10 0.21 -1 -1 0.40 0.0369354 0.0333405 123 164 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_052.v common 13.02 vpr 64.89 MiB 0.02 7032 -1 -1 13 0.37 -1 -1 36536 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 293 325 1 216 84 17 17 289 -1 unnamed_device 26.4 MiB 4.31 1273 8502 2009 5793 700 64.9 MiB 0.09 0.00 6.91681 -139.809 -6.91681 6.91681 1.11 0.000710647 0.000639237 0.0376732 0.0338907 36 3469 22 6.79088e+06 269440 648988. 2245.63 4.75 0.298006 0.261875 25390 158009 -1 2910 19 1471 3848 209401 49153 7.04211 7.04211 -159.933 -7.04211 0 0 828058. 2865.25 0.35 0.11 0.17 -1 -1 0.35 0.0414361 0.0375035 134 198 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_053.v common 28.10 vpr 65.23 MiB 0.03 7208 -1 -1 13 0.44 -1 -1 36592 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 31 32 311 343 1 236 86 17 17 289 -1 unnamed_device 26.9 MiB 1.86 1315 9347 2397 6636 314 65.2 MiB 0.11 0.00 6.76001 -146.752 -6.76001 6.76001 1.20 0.000813218 0.000725869 0.0462062 0.0411319 38 3765 50 6.79088e+06 309856 678818. 2348.85 21.82 0.454798 0.395148 25966 169698 -1 2951 18 1650 4827 247802 57106 7.04283 7.04283 -165.525 -7.04283 0 0 902133. 3121.57 0.41 0.12 0.21 -1 -1 0.41 0.0427709 0.0386962 154 218 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_054.v common 11.83 vpr 65.20 MiB 0.03 7024 -1 -1 12 0.47 -1 -1 36588 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 324 356 1 230 88 17 17 289 -1 unnamed_device 26.8 MiB 1.96 1348 11203 2729 6471 2003 65.2 MiB 0.13 0.00 6.37282 -138.756 -6.37282 6.37282 1.19 0.000829938 0.000741227 0.0535562 0.0476915 38 4284 39 6.79088e+06 323328 678818. 2348.85 5.29 0.281119 0.245085 25966 169698 -1 2999 28 2249 6798 578289 213858 6.62347 6.62347 -162.864 -6.62347 0 0 902133. 3121.57 0.40 0.25 0.21 -1 -1 0.40 0.0586015 0.0522256 157 229 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_055.v common 22.28 vpr 64.50 MiB 0.03 6888 -1 -1 11 0.19 -1 -1 36464 -1 -1 13 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 32 32 216 248 1 162 77 17 17 289 -1 unnamed_device 26.1 MiB 2.11 905 10183 2784 6110 1289 64.5 MiB 0.10 0.00 5.1486 -113.282 -5.1486 5.1486 1.22 0.00058479 0.000526324 0.040355 0.0364346 38 2489 47 6.79088e+06 175136 678818. 2348.85 16.10 0.35295 0.309558 25966 169698 -1 1950 17 1000 2449 133641 33017 5.59941 5.59941 -136.881 -5.59941 0 0 902133. 3121.57 0.39 0.07 0.21 -1 -1 0.39 0.0272907 0.0245862 90 121 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_056.v common 11.08 vpr 64.52 MiB 0.03 6924 -1 -1 13 0.28 -1 -1 36288 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 32 32 245 277 1 192 81 17 17 289 -1 unnamed_device 26.2 MiB 3.65 1100 10581 3170 5156 2255 64.5 MiB 0.11 0.00 6.38411 -139.812 -6.38411 6.38411 1.22 0.000631122 0.00056684 0.0459329 0.0414705 38 2835 38 6.79088e+06 229024 678818. 2348.85 3.23 0.245122 0.216502 25966 169698 -1 2251 16 1054 2744 143836 33223 7.13591 7.13591 -159.517 -7.13591 0 0 902133. 3121.57 0.40 0.08 0.21 -1 -1 0.40 0.031593 0.0285511 113 150 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_057.v common 14.08 vpr 65.69 MiB 0.03 7380 -1 -1 14 0.68 -1 -1 36880 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67264 32 32 361 393 1 262 88 17 17 289 -1 unnamed_device 27.1 MiB 1.66 1451 15103 4235 8170 2698 65.7 MiB 0.18 0.00 7.1786 -148.537 -7.1786 7.1786 1.20 0.000987472 0.00088896 0.0807201 0.0724163 44 4867 46 6.79088e+06 323328 787024. 2723.27 7.54 0.323526 0.284604 27118 194962 -1 3570 21 2306 7102 395719 88880 7.6798 7.6798 -173.512 -7.6798 0 0 997811. 3452.63 0.45 0.17 0.24 -1 -1 0.45 0.055005 0.0496828 180 266 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_058.v common 23.10 vpr 65.25 MiB 0.03 7080 -1 -1 13 0.50 -1 -1 37068 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 318 350 1 242 85 17 17 289 -1 unnamed_device 26.8 MiB 3.38 1494 10129 2614 6422 1093 65.2 MiB 0.12 0.00 6.72087 -147.435 -6.72087 6.72087 1.20 0.000771956 0.000692189 0.0523579 0.0467714 34 4267 36 6.79088e+06 282912 618332. 2139.56 15.38 0.473148 0.416438 25102 150614 -1 3276 18 1498 4039 256619 56590 7.1863 7.1863 -169.467 -7.1863 0 0 787024. 2723.27 0.35 0.12 0.16 -1 -1 0.35 0.0459752 0.0417282 154 223 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_059.v common 9.70 vpr 64.54 MiB 0.03 6992 -1 -1 11 0.25 -1 -1 36316 -1 -1 17 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66092 30 32 223 255 1 164 79 17 17 289 -1 unnamed_device 26.1 MiB 1.06 862 13768 5629 6168 1971 64.5 MiB 0.12 0.00 5.53143 -117.9 -5.53143 5.53143 1.20 0.000614034 0.000554493 0.052659 0.0472395 34 2771 40 6.79088e+06 229024 618332. 2139.56 4.55 0.219206 0.191182 25102 150614 -1 2244 17 1097 3042 185868 42421 5.90733 5.90733 -138.756 -5.90733 0 0 787024. 2723.27 0.37 0.09 0.18 -1 -1 0.37 0.0311062 0.0281778 99 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_060.v common 14.07 vpr 65.43 MiB 0.03 7360 -1 -1 15 0.68 -1 -1 37548 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 32 32 335 367 1 254 88 17 17 289 -1 unnamed_device 26.9 MiB 1.95 1646 7693 1869 5001 823 65.4 MiB 0.10 0.00 7.5189 -157.368 -7.5189 7.5189 1.23 0.000952529 0.000856065 0.0436723 0.0393555 44 4142 42 6.79088e+06 323328 787024. 2723.27 7.26 0.452148 0.399582 27118 194962 -1 3347 17 1535 4780 259546 56922 8.1454 8.1454 -177.638 -8.1454 0 0 997811. 3452.63 0.46 0.13 0.25 -1 -1 0.46 0.0496142 0.0453275 172 240 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_061.v common 12.10 vpr 65.11 MiB 0.03 7116 -1 -1 13 0.47 -1 -1 36244 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 301 333 1 229 86 17 17 289 -1 unnamed_device 26.5 MiB 1.71 1396 10103 2895 6092 1116 65.1 MiB 0.12 0.00 6.61551 -143.991 -6.61551 6.61551 1.23 0.000866978 0.000782284 0.051268 0.0462943 36 3715 21 6.79088e+06 296384 648988. 2245.63 5.86 0.345564 0.305516 25390 158009 -1 3145 18 1584 4242 246457 55950 6.9557 6.9557 -168.234 -6.9557 0 0 828058. 2865.25 0.39 0.12 0.20 -1 -1 0.39 0.0457847 0.0416273 149 206 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_062.v common 18.54 vpr 64.50 MiB 0.03 7036 -1 -1 11 0.19 -1 -1 36584 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 32 32 238 270 1 173 80 17 17 289 -1 unnamed_device 26.1 MiB 2.41 1004 11432 3918 5353 2161 64.5 MiB 0.11 0.00 5.82549 -130.589 -5.82549 5.82549 1.20 0.000612366 0.000548418 0.0440929 0.0396033 30 2925 36 6.79088e+06 215552 556674. 1926.21 12.18 0.263954 0.228926 24526 138013 -1 2211 20 1031 2616 138876 32034 5.95079 5.95079 -146.502 -5.95079 0 0 706193. 2443.58 0.33 0.08 0.17 -1 -1 0.33 0.0328406 0.0294296 97 143 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_063.v common 27.60 vpr 65.59 MiB 0.03 7388 -1 -1 12 0.45 -1 -1 36504 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 32 32 308 340 1 226 85 17 17 289 -1 unnamed_device 26.8 MiB 2.33 1356 13663 3509 8297 1857 65.6 MiB 0.15 0.00 6.32253 -138.894 -6.32253 6.32253 1.22 0.000862919 0.000762677 0.0673996 0.0604031 36 3993 42 6.79088e+06 282912 648988. 2245.63 20.63 0.486899 0.427382 25390 158009 -1 3200 30 1871 6445 661913 230628 6.70608 6.70608 -159.747 -6.70608 0 0 828058. 2865.25 0.38 0.29 0.20 -1 -1 0.38 0.0688717 0.0620301 152 213 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_064.v common 29.70 vpr 64.64 MiB 0.03 7004 -1 -1 12 0.30 -1 -1 36196 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 253 285 1 190 80 17 17 289 -1 unnamed_device 26.3 MiB 2.52 1037 7820 2590 3887 1343 64.6 MiB 0.09 0.00 6.04387 -130.269 -6.04387 6.04387 1.22 0.000694524 0.000622978 0.0363355 0.0327739 40 2982 32 6.79088e+06 215552 706193. 2443.58 22.41 0.4427 0.388136 26254 175826 -1 2794 49 2237 6933 1465205 730357 6.54158 6.54158 -157.455 -6.54158 0 0 926341. 3205.33 0.42 0.64 0.22 -1 -1 0.42 0.0849329 0.0759157 115 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_065.v common 7.68 vpr 64.53 MiB 0.03 7080 -1 -1 12 0.27 -1 -1 36352 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 30 32 227 259 1 163 81 17 17 289 -1 unnamed_device 26.0 MiB 1.95 881 12856 3743 7194 1919 64.5 MiB 0.11 0.00 6.34486 -126.195 -6.34486 6.34486 1.20 0.000620337 0.000557372 0.0494478 0.0445816 30 2376 35 6.79088e+06 255968 556674. 1926.21 1.74 0.165165 0.145912 24526 138013 -1 1804 14 829 2251 105886 26577 6.59546 6.59546 -140.616 -6.59546 0 0 706193. 2443.58 0.34 0.07 0.17 -1 -1 0.34 0.0284659 0.0259438 105 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_066.v common 11.19 vpr 65.09 MiB 0.03 7284 -1 -1 12 0.39 -1 -1 36484 -1 -1 24 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 29 32 292 324 1 202 85 17 17 289 -1 unnamed_device 26.5 MiB 1.92 1153 8269 2129 5014 1126 65.1 MiB 0.10 0.00 6.55742 -124.037 -6.55742 6.55742 1.17 0.000816184 0.000733131 0.041161 0.0369826 34 3475 44 6.79088e+06 323328 618332. 2139.56 5.09 0.284701 0.250379 25102 150614 -1 2822 18 1278 4081 295329 73598 6.83487 6.83487 -143.548 -6.83487 0 0 787024. 2723.27 0.36 0.14 0.17 -1 -1 0.36 0.046951 0.0425039 144 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_067.v common 11.74 vpr 64.99 MiB 0.03 6980 -1 -1 14 0.49 -1 -1 36560 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 316 348 1 248 86 17 17 289 -1 unnamed_device 26.6 MiB 3.62 1427 8780 2238 5534 1008 65.0 MiB 0.11 0.00 6.92451 -144.913 -6.92451 6.92451 1.19 0.000854427 0.000759869 0.044929 0.0403297 42 4123 45 6.79088e+06 296384 744469. 2576.02 3.53 0.252495 0.221711 26542 182613 -1 3437 26 2174 5715 524240 168523 7.42571 7.42571 -171.228 -7.42571 0 0 949917. 3286.91 0.44 0.22 0.23 -1 -1 0.44 0.0559217 0.0500861 155 221 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_068.v common 12.22 vpr 65.15 MiB 0.03 6992 -1 -1 12 0.35 -1 -1 36512 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 286 318 1 212 83 17 17 289 -1 unnamed_device 26.6 MiB 2.09 1248 11243 3116 6113 2014 65.2 MiB 0.13 0.00 6.20837 -138.563 -6.20837 6.20837 1.23 0.000775927 0.000687204 0.0541486 0.0485217 38 3489 49 6.79088e+06 255968 678818. 2348.85 5.75 0.297676 0.261928 25966 169698 -1 2856 18 1421 4015 230754 50366 6.45897 6.45897 -155.78 -6.45897 0 0 902133. 3121.57 0.41 0.12 0.21 -1 -1 0.41 0.0427814 0.0387248 137 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_069.v common 8.35 vpr 64.49 MiB 0.03 6864 -1 -1 12 0.21 -1 -1 36352 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 221 253 1 164 79 17 17 289 -1 unnamed_device 26.0 MiB 1.95 985 9036 2218 6308 510 64.5 MiB 0.09 0.00 5.91857 -125.482 -5.91857 5.91857 1.18 0.000588229 0.000528007 0.0348357 0.0313616 34 2668 35 6.79088e+06 202080 618332. 2139.56 2.42 0.202403 0.177545 25102 150614 -1 2229 15 868 2308 144267 31883 6.04387 6.04387 -138.375 -6.04387 0 0 787024. 2723.27 0.38 0.08 0.18 -1 -1 0.38 0.0293833 0.0267132 95 126 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_070.v common 11.49 vpr 64.84 MiB 0.03 6880 -1 -1 12 0.32 -1 -1 36116 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 31 32 261 293 1 187 81 17 17 289 -1 unnamed_device 26.5 MiB 2.94 1080 7606 1876 4477 1253 64.8 MiB 0.09 0.00 6.07958 -129.05 -6.07958 6.07958 1.22 0.00070602 0.000634308 0.0349639 0.0315183 36 2961 45 6.79088e+06 242496 648988. 2245.63 4.40 0.22772 0.19835 25390 158009 -1 2370 16 1147 3135 187140 41798 6.36938 6.36938 -146.632 -6.36938 0 0 828058. 2865.25 0.37 0.09 0.19 -1 -1 0.37 0.0323274 0.0292391 114 168 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_071.v common 14.73 vpr 64.98 MiB 0.03 7016 -1 -1 11 0.29 -1 -1 36184 -1 -1 22 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 30 32 277 309 1 200 84 17 17 289 -1 unnamed_device 26.4 MiB 4.09 1171 10149 2747 5514 1888 65.0 MiB 0.11 0.00 5.61753 -118.579 -5.61753 5.61753 1.24 0.000697678 0.000619973 0.0454043 0.0405715 44 3152 29 6.79088e+06 296384 787024. 2723.27 6.31 0.322883 0.281507 27118 194962 -1 2550 16 1184 3825 217400 47474 5.91503 5.91503 -132.037 -5.91503 0 0 997811. 3452.63 0.46 0.10 0.24 -1 -1 0.46 0.0349765 0.0315151 129 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_072.v common 9.44 vpr 64.70 MiB 0.03 7052 -1 -1 11 0.29 -1 -1 36324 -1 -1 21 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 28 32 251 283 1 191 81 17 17 289 -1 unnamed_device 26.3 MiB 1.85 968 12331 5121 6767 443 64.7 MiB 0.12 0.00 5.70363 -105.841 -5.70363 5.70363 1.15 0.000695161 0.000623706 0.0519776 0.0469311 44 2925 46 6.79088e+06 282912 787024. 2723.27 3.51 0.266331 0.235352 27118 194962 -1 2242 17 1233 3476 189523 44600 5.82893 5.82893 -121.515 -5.82893 0 0 997811. 3452.63 0.45 0.09 0.21 -1 -1 0.45 0.0349106 0.0317124 125 164 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_073.v common 12.29 vpr 64.65 MiB 0.03 7056 -1 -1 13 0.27 -1 -1 36132 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 30 32 223 255 1 173 78 17 17 289 -1 unnamed_device 26.2 MiB 4.21 1091 11532 3532 6500 1500 64.7 MiB 0.12 0.00 6.25532 -124.609 -6.25532 6.25532 1.23 0.000625063 0.000554237 0.0499631 0.045093 36 2760 22 6.79088e+06 215552 648988. 2245.63 3.92 0.219687 0.194111 25390 158009 -1 2408 15 1016 2563 138874 32413 6.50592 6.50592 -142.959 -6.50592 0 0 828058. 2865.25 0.38 0.07 0.20 -1 -1 0.38 0.0270168 0.0244793 104 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_074.v common 12.54 vpr 64.98 MiB 0.03 6868 -1 -1 12 0.29 -1 -1 36048 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 269 301 1 197 84 17 17 289 -1 unnamed_device 26.6 MiB 2.88 1227 4659 829 3322 508 65.0 MiB 0.06 0.00 6.07958 -132.59 -6.07958 6.07958 1.19 0.000697948 0.000624201 0.022573 0.0204106 36 3022 47 6.79088e+06 269440 648988. 2245.63 5.58 0.260207 0.229413 25390 158009 -1 2544 16 1140 2945 177753 39725 6.33018 6.33018 -152.179 -6.33018 0 0 828058. 2865.25 0.39 0.09 0.20 -1 -1 0.39 0.0352514 0.0319829 125 174 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_075.v common 12.84 vpr 65.07 MiB 0.03 7036 -1 -1 13 0.43 -1 -1 36684 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 31 32 283 315 1 193 83 17 17 289 -1 unnamed_device 26.4 MiB 2.81 1211 9983 2563 5846 1574 65.1 MiB 0.11 0.00 6.54518 -134.181 -6.54518 6.54518 1.17 0.00082882 0.000748043 0.0461657 0.0413721 38 2991 23 6.79088e+06 269440 678818. 2348.85 5.73 0.322582 0.281425 25966 169698 -1 2508 17 1161 3551 175181 39545 7.13248 7.13248 -152.518 -7.13248 0 0 902133. 3121.57 0.40 0.09 0.21 -1 -1 0.40 0.0368663 0.0333541 137 190 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_076.v common 10.65 vpr 65.44 MiB 0.03 7112 -1 -1 14 0.42 -1 -1 37276 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67012 32 32 308 340 1 224 85 17 17 289 -1 unnamed_device 26.6 MiB 2.23 1335 13849 3841 7381 2627 65.4 MiB 0.15 0.00 7.22905 -148.195 -7.22905 7.22905 1.20 0.000816719 0.000723036 0.0664542 0.0594781 34 3691 31 6.79088e+06 282912 618332. 2139.56 3.97 0.241061 0.211758 25102 150614 -1 3204 23 1583 4446 416833 140148 7.72676 7.72676 -175.845 -7.72676 0 0 787024. 2723.27 0.36 0.18 0.18 -1 -1 0.36 0.0502506 0.0452712 149 213 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_077.v common 13.99 vpr 65.08 MiB 0.03 7168 -1 -1 14 0.39 -1 -1 36480 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 32 32 277 309 1 209 84 17 17 289 -1 unnamed_device 26.6 MiB 3.43 1267 13260 4128 6827 2305 65.1 MiB 0.14 0.00 6.79583 -138.47 -6.79583 6.79583 1.21 0.000782512 0.00070412 0.0600471 0.0540545 44 3128 17 6.79088e+06 269440 787024. 2723.27 6.02 0.365913 0.3221 27118 194962 -1 2621 24 1261 3673 288181 92688 7.17173 7.17173 -154.157 -7.17173 0 0 997811. 3452.63 0.47 0.16 0.24 -1 -1 0.47 0.0518994 0.0467488 136 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_078.v common 11.17 vpr 65.18 MiB 0.03 7164 -1 -1 13 0.52 -1 -1 37140 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 32 32 288 320 1 210 83 17 17 289 -1 unnamed_device 26.6 MiB 3.05 1211 9803 2713 5326 1764 65.2 MiB 0.11 0.00 6.67391 -137.428 -6.67391 6.67391 1.19 0.000808219 0.00072548 0.0488301 0.043913 38 3402 49 6.79088e+06 255968 678818. 2348.85 3.62 0.262811 0.232376 25966 169698 -1 2798 19 1373 4002 221663 49345 7.04981 7.04981 -155.851 -7.04981 0 0 902133. 3121.57 0.41 0.11 0.21 -1 -1 0.41 0.043247 0.0391897 139 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_079.v common 10.12 vpr 64.67 MiB 0.03 6856 -1 -1 13 0.26 -1 -1 36404 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 30 32 230 262 1 176 78 17 17 289 -1 unnamed_device 26.1 MiB 2.19 1056 10204 2997 6469 738 64.7 MiB 0.10 0.00 5.84133 -125.224 -5.84133 5.84133 1.14 0.00061883 0.000554042 0.0416431 0.0376572 36 2869 40 6.79088e+06 215552 648988. 2245.63 4.03 0.231846 0.204302 25390 158009 -1 2250 15 1030 2455 141993 32055 6.47553 6.47553 -144.68 -6.47553 0 0 828058. 2865.25 0.37 0.07 0.17 -1 -1 0.37 0.0282911 0.0256433 106 139 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_080.v common 13.66 vpr 65.07 MiB 0.03 7220 -1 -1 13 0.69 -1 -1 36424 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 30 32 294 326 1 222 85 17 17 289 -1 unnamed_device 26.5 MiB 2.15 1353 11989 3177 6595 2217 65.1 MiB 0.13 0.00 6.80265 -142.99 -6.80265 6.80265 1.18 0.000798324 0.000713668 0.057247 0.051382 34 3873 41 6.79088e+06 309856 618332. 2139.56 6.93 0.283328 0.248157 25102 150614 -1 3253 18 1612 4059 275900 59953 7.71551 7.71551 -168.871 -7.71551 0 0 787024. 2723.27 0.36 0.12 0.18 -1 -1 0.36 0.0411319 0.0371675 144 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_081.v common 11.17 vpr 64.99 MiB 0.03 7204 -1 -1 14 0.45 -1 -1 36388 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 276 308 1 206 84 17 17 289 -1 unnamed_device 26.5 MiB 2.51 1338 11979 3434 6428 2117 65.0 MiB 0.13 0.00 6.68167 -146.217 -6.68167 6.68167 1.19 0.000738621 0.000662304 0.0547967 0.0493149 38 3323 29 6.79088e+06 269440 678818. 2348.85 4.22 0.259542 0.228746 25966 169698 -1 2776 18 1255 3621 195871 42987 7.18287 7.18287 -171.214 -7.18287 0 0 902133. 3121.57 0.41 0.11 0.21 -1 -1 0.41 0.0426585 0.0386619 133 181 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_082.v common 13.01 vpr 64.96 MiB 0.03 7088 -1 -1 12 0.39 -1 -1 37064 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 293 325 1 212 84 17 17 289 -1 unnamed_device 26.5 MiB 2.66 1193 11979 3443 6000 2536 65.0 MiB 0.13 0.00 6.54856 -132.625 -6.54856 6.54856 1.20 0.000771714 0.000678997 0.0555402 0.0498093 36 3397 29 6.79088e+06 282912 648988. 2245.63 5.98 0.349085 0.305298 25390 158009 -1 2787 23 1600 4814 402972 129379 7.04976 7.04976 -157.872 -7.04976 0 0 828058. 2865.25 0.37 0.17 0.20 -1 -1 0.37 0.0457876 0.0410829 143 200 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_083.v common 10.94 vpr 64.87 MiB 0.03 7108 -1 -1 13 0.36 -1 -1 36508 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 30 32 273 305 1 208 83 17 17 289 -1 unnamed_device 26.4 MiB 3.02 1262 12323 3382 7149 1792 64.9 MiB 0.13 0.00 6.93338 -129.368 -6.93338 6.93338 1.27 0.000727891 0.000655812 0.0551639 0.0496665 36 3554 36 6.79088e+06 282912 648988. 2245.63 3.51 0.228205 0.201819 25390 158009 -1 2902 19 1315 3675 227145 49430 7.12477 7.12477 -148.189 -7.12477 0 0 828058. 2865.25 0.37 0.11 0.19 -1 -1 0.37 0.0378641 0.0341325 126 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_084.v common 10.20 vpr 65.51 MiB 0.03 7228 -1 -1 14 0.54 -1 -1 37032 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67080 32 32 310 342 1 235 85 17 17 289 -1 unnamed_device 26.6 MiB 2.28 1496 5851 1160 4193 498 65.5 MiB 0.08 0.00 6.83847 -145.508 -6.83847 6.83847 1.23 0.000860546 0.000770318 0.0334053 0.0300657 38 3838 19 6.79088e+06 282912 678818. 2348.85 3.39 0.19023 0.167448 25966 169698 -1 3186 19 1630 4480 256196 56411 7.08563 7.08563 -164.999 -7.08563 0 0 902133. 3121.57 0.39 0.12 0.21 -1 -1 0.39 0.0442322 0.0399234 154 215 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_085.v common 14.06 vpr 64.96 MiB 0.03 7252 -1 -1 11 0.44 -1 -1 36512 -1 -1 22 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 29 32 259 291 1 194 83 17 17 289 -1 unnamed_device 26.5 MiB 1.92 1099 13763 4218 7150 2395 65.0 MiB 0.14 0.00 5.74283 -113.79 -5.74283 5.74283 1.20 0.000711489 0.000626298 0.0611979 0.0547313 36 3232 34 6.79088e+06 296384 648988. 2245.63 7.73 0.275991 0.243257 25390 158009 -1 2524 18 1202 3677 207108 46301 6.15444 6.15444 -128.807 -6.15444 0 0 828058. 2865.25 0.38 0.10 0.19 -1 -1 0.38 0.0377957 0.0341999 130 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_086.v common 13.06 vpr 64.58 MiB 0.02 6940 -1 -1 13 0.24 -1 -1 36344 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 225 257 1 182 78 17 17 289 -1 unnamed_device 26.1 MiB 4.31 956 3896 751 3064 81 64.6 MiB 0.05 0.00 5.77864 -135.969 -5.77864 5.77864 1.16 0.000585888 0.000507263 0.0187676 0.0169663 36 3127 24 6.79088e+06 188608 648988. 2245.63 4.79 0.205652 0.180775 25390 158009 -1 2367 17 1184 2795 176019 40925 5.99004 5.99004 -154.525 -5.99004 0 0 828058. 2865.25 0.39 0.09 0.19 -1 -1 0.39 0.0333524 0.0301977 99 130 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_087.v common 12.76 vpr 64.84 MiB 0.03 7116 -1 -1 14 0.35 -1 -1 36476 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 273 305 1 212 83 17 17 289 -1 unnamed_device 26.4 MiB 2.78 1305 5123 1053 3790 280 64.8 MiB 0.07 0.00 7.04217 -146.535 -7.04217 7.04217 1.21 0.000722295 0.000644483 0.0253051 0.02279 38 3176 17 6.79088e+06 255968 678818. 2348.85 5.69 0.280531 0.244107 25966 169698 -1 2672 16 1192 3263 170942 37982 7.84435 7.84435 -170.605 -7.84435 0 0 902133. 3121.57 0.41 0.09 0.21 -1 -1 0.41 0.0354938 0.0322536 129 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_088.v common 15.87 vpr 65.44 MiB 0.03 7008 -1 -1 15 0.56 -1 -1 36776 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 322 354 1 240 86 17 17 289 -1 unnamed_device 26.8 MiB 2.90 1452 14828 4097 8696 2035 65.4 MiB 0.17 0.00 7.3152 -155.529 -7.3152 7.3152 1.25 0.000901934 0.000802658 0.0760578 0.0682795 36 4186 25 6.79088e+06 296384 648988. 2245.63 8.09 0.324719 0.287235 25390 158009 -1 3359 35 2205 6010 534536 181414 7.94164 7.94164 -181.172 -7.94164 0 0 828058. 2865.25 0.40 0.28 0.20 -1 -1 0.40 0.0830601 0.0746023 153 227 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_089.v common 12.06 vpr 64.54 MiB 0.03 7000 -1 -1 11 0.24 -1 -1 36292 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 218 250 1 160 78 17 17 289 -1 unnamed_device 26.1 MiB 3.07 1007 7714 2045 5188 481 64.5 MiB 0.08 0.00 5.37463 -117.408 -5.37463 5.37463 1.25 0.000578893 0.000518698 0.0306486 0.0275849 36 2518 16 6.79088e+06 188608 648988. 2245.63 4.88 0.19922 0.173513 25390 158009 -1 2166 15 863 2188 137986 30844 5.75053 5.75053 -134.449 -5.75053 0 0 828058. 2865.25 0.37 0.07 0.19 -1 -1 0.37 0.0254035 0.0229293 91 123 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_090.v common 10.73 vpr 64.70 MiB 0.03 6856 -1 -1 12 0.27 -1 -1 36396 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 31 32 244 276 1 185 79 17 17 289 -1 unnamed_device 26.2 MiB 2.21 1069 11402 3699 5509 2194 64.7 MiB 0.11 0.00 5.82898 -130.331 -5.82898 5.82898 1.19 0.000634928 0.00057005 0.0470022 0.0423746 34 3290 34 6.79088e+06 215552 618332. 2139.56 4.32 0.24493 0.216553 25102 150614 -1 2661 31 1511 4020 358695 124870 6.29098 6.29098 -152.911 -6.29098 0 0 787024. 2723.27 0.38 0.19 0.18 -1 -1 0.38 0.0578626 0.0516169 111 151 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_091.v common 13.02 vpr 65.20 MiB 0.03 7088 -1 -1 12 0.47 -1 -1 36540 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 301 333 1 214 84 17 17 289 -1 unnamed_device 26.6 MiB 2.10 1333 7770 1879 4830 1061 65.2 MiB 0.10 0.00 6.42321 -133.875 -6.42321 6.42321 1.24 0.000858212 0.000771452 0.041996 0.0379128 36 3385 23 6.79088e+06 269440 648988. 2245.63 6.38 0.287015 0.254418 25390 158009 -1 2912 15 1327 3565 202251 46285 6.54851 6.54851 -152.395 -6.54851 0 0 828058. 2865.25 0.40 0.11 0.20 -1 -1 0.40 0.0431228 0.0393618 145 206 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_092.v common 27.30 vpr 64.75 MiB 0.03 7020 -1 -1 12 0.37 -1 -1 36844 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 278 310 1 207 83 17 17 289 -1 unnamed_device 26.3 MiB 2.53 1323 13043 3771 7206 2066 64.8 MiB 0.14 0.00 6.47021 -137.3 -6.47021 6.47021 1.19 0.000736524 0.000658016 0.0577814 0.051773 36 3893 38 6.79088e+06 255968 648988. 2245.63 20.37 0.428821 0.375315 25390 158009 -1 3099 28 1330 3959 476785 199269 7.05751 7.05751 -161.409 -7.05751 0 0 828058. 2865.25 0.38 0.22 0.19 -1 -1 0.38 0.0545816 0.0491382 133 183 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_093.v common 12.23 vpr 65.55 MiB 0.03 7244 -1 -1 14 0.69 -1 -1 36780 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67124 32 32 333 365 1 242 87 17 17 289 -1 unnamed_device 27.0 MiB 2.02 1389 5271 1002 4135 134 65.6 MiB 0.08 0.00 7.34316 -151.316 -7.34316 7.34316 1.22 0.00095211 0.000849018 0.0317041 0.028611 38 4073 29 6.79088e+06 309856 678818. 2348.85 5.49 0.300972 0.266681 25966 169698 -1 3086 20 1649 4974 243030 56516 7.46846 7.46846 -169.094 -7.46846 0 0 902133. 3121.57 0.41 0.13 0.21 -1 -1 0.41 0.0545104 0.0495816 170 238 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_094.v common 14.50 vpr 64.83 MiB 0.03 6984 -1 -1 11 0.35 -1 -1 36424 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 30 32 261 293 1 195 83 17 17 289 -1 unnamed_device 26.4 MiB 2.96 1141 13583 4534 6898 2151 64.8 MiB 0.14 0.00 5.74632 -117.652 -5.74632 5.74632 1.20 0.000720218 0.000645666 0.0588351 0.0527358 36 3441 31 6.79088e+06 282912 648988. 2245.63 7.29 0.249875 0.218984 25390 158009 -1 2735 18 1361 3878 223246 49296 6.12222 6.12222 -137.715 -6.12222 0 0 828058. 2865.25 0.38 0.11 0.19 -1 -1 0.38 0.0381631 0.0344471 128 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_095.v common 7.57 vpr 64.73 MiB 0.02 7024 -1 -1 11 0.24 -1 -1 36280 -1 -1 19 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 27 32 217 249 1 154 78 17 17 289 -1 unnamed_device 26.2 MiB 1.63 905 9706 2487 6569 650 64.7 MiB 0.09 0.00 5.56719 -104.624 -5.56719 5.56719 1.17 0.00059143 0.000531752 0.0382542 0.0345185 30 2366 39 6.79088e+06 255968 556674. 1926.21 2.02 0.152613 0.134725 24526 138013 -1 2039 17 944 2489 141909 32801 5.94309 5.94309 -123.802 -5.94309 0 0 706193. 2443.58 0.34 0.07 0.17 -1 -1 0.34 0.0286009 0.0257758 101 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_096.v common 13.93 vpr 65.40 MiB 0.03 7136 -1 -1 13 0.65 -1 -1 36588 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66968 32 32 373 405 1 276 93 17 17 289 -1 unnamed_device 27.2 MiB 2.66 1666 15003 4055 7926 3022 65.4 MiB 0.19 0.00 6.72081 -139.329 -6.72081 6.72081 1.20 0.00103261 0.00090764 0.0797032 0.070878 40 4426 30 6.79088e+06 390688 706193. 2443.58 6.43 0.343942 0.30206 26254 175826 -1 4086 20 2120 6297 415026 89578 7.34726 7.34726 -165.402 -7.34726 0 0 926341. 3205.33 0.42 0.17 0.22 -1 -1 0.42 0.0560728 0.0506548 191 278 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_097.v common 11.17 vpr 65.05 MiB 0.03 7156 -1 -1 14 0.41 -1 -1 36844 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 31 32 269 301 1 201 83 17 17 289 -1 unnamed_device 26.6 MiB 2.05 1146 8903 2281 5745 877 65.1 MiB 0.10 0.00 7.18979 -146.393 -7.18979 7.18979 1.19 0.000742008 0.0006607 0.0403941 0.036298 30 3618 37 6.79088e+06 269440 556674. 1926.21 4.86 0.173356 0.152513 24526 138013 -1 2787 27 1600 4479 352544 104932 7.31509 7.31509 -165.024 -7.31509 0 0 706193. 2443.58 0.33 0.17 0.17 -1 -1 0.33 0.0497102 0.044384 128 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_098.v common 31.84 vpr 64.57 MiB 0.02 6868 -1 -1 12 0.23 -1 -1 36176 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 32 32 228 260 1 188 83 17 17 289 -1 unnamed_device 26.1 MiB 3.34 1175 8183 2029 5543 611 64.6 MiB 0.08 0.00 5.79327 -138.237 -5.79327 5.79327 1.20 0.000636831 0.000572168 0.0325377 0.0293865 40 2904 29 6.79088e+06 255968 706193. 2443.58 24.31 0.336063 0.294024 26254 175826 -1 2688 18 1136 2804 250846 70621 6.38057 6.38057 -159.412 -6.38057 0 0 926341. 3205.33 0.42 0.12 0.22 -1 -1 0.42 0.035083 0.0317581 109 133 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_099.v common 28.36 vpr 64.87 MiB 0.03 6920 -1 -1 13 0.45 -1 -1 36564 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 265 297 1 195 82 17 17 289 -1 unnamed_device 26.5 MiB 4.06 1160 6312 1404 4514 394 64.9 MiB 0.08 0.00 6.92457 -143.534 -6.92457 6.92457 1.22 0.000741372 0.000646943 0.0311554 0.0279635 36 3713 38 6.79088e+06 242496 648988. 2245.63 19.84 0.386863 0.338187 25390 158009 -1 2840 29 1433 4129 393901 145426 7.04987 7.04987 -160.372 -7.04987 0 0 828058. 2865.25 0.39 0.20 0.20 -1 -1 0.39 0.0590456 0.053229 125 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_100.v common 14.08 vpr 65.49 MiB 0.03 7248 -1 -1 13 0.46 -1 -1 37204 -1 -1 25 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67060 31 32 325 357 1 249 88 17 17 289 -1 unnamed_device 27.1 MiB 2.87 1512 12568 3220 7578 1770 65.5 MiB 0.15 0.00 6.12997 -135.199 -6.12997 6.12997 1.19 0.000881048 0.000774774 0.061129 0.0545004 44 3833 19 6.79088e+06 336800 787024. 2723.27 6.48 0.414262 0.364779 27118 194962 -1 3176 27 1837 5651 487204 183468 6.41633 6.41633 -154.301 -6.41633 0 0 997811. 3452.63 0.48 0.24 0.25 -1 -1 0.48 0.0665647 0.0600363 159 232 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_101.v common 11.20 vpr 65.06 MiB 0.03 7076 -1 -1 11 0.35 -1 -1 36416 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 30 32 287 319 1 197 85 17 17 289 -1 unnamed_device 26.6 MiB 2.25 1073 12175 3171 6460 2544 65.1 MiB 0.13 0.00 5.83242 -116.072 -5.83242 5.83242 1.22 0.000750999 0.000661649 0.0560878 0.0498579 38 3233 41 6.79088e+06 309856 678818. 2348.85 4.69 0.280509 0.245903 25966 169698 -1 2506 16 1180 3756 187488 44363 6.33362 6.33362 -136.245 -6.33362 0 0 902133. 3121.57 0.40 0.10 0.21 -1 -1 0.40 0.041312 0.0376642 140 196 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_102.v common 12.99 vpr 65.12 MiB 0.03 7032 -1 -1 15 0.50 -1 -1 36416 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 297 329 1 220 83 17 17 289 -1 unnamed_device 26.5 MiB 2.33 1286 11243 3039 6558 1646 65.1 MiB 0.12 0.00 7.46856 -150.693 -7.46856 7.46856 1.20 0.00081332 0.000726591 0.0545557 0.0488015 44 3068 34 6.79088e+06 255968 787024. 2723.27 6.10 0.369867 0.322553 27118 194962 -1 2551 16 1239 3488 203317 47542 7.71916 7.71916 -164.967 -7.71916 0 0 997811. 3452.63 0.46 0.10 0.24 -1 -1 0.46 0.0383448 0.034885 142 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_103.v common 13.06 vpr 65.46 MiB 0.03 6932 -1 -1 13 0.49 -1 -1 36628 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67036 32 32 311 343 1 230 87 17 17 289 -1 unnamed_device 27.1 MiB 3.07 1370 8151 1826 5570 755 65.5 MiB 0.10 0.00 6.80265 -145.399 -6.80265 6.80265 1.20 0.000826291 0.000736661 0.0410757 0.0367717 46 3281 16 6.79088e+06 309856 828058. 2865.25 5.43 0.320769 0.280554 27406 200422 -1 2741 17 1269 4021 198775 44185 7.42915 7.42915 -165.718 -7.42915 0 0 1.01997e+06 3529.29 0.47 0.10 0.25 -1 -1 0.47 0.0421434 0.0382712 154 216 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_104.v common 14.48 vpr 64.68 MiB 0.03 6808 -1 -1 12 0.27 -1 -1 36188 -1 -1 18 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 29 32 236 268 1 182 79 17 17 289 -1 unnamed_device 26.1 MiB 2.76 964 11909 4050 5724 2135 64.7 MiB 0.12 0.00 6.04736 -125.367 -6.04736 6.04736 1.17 0.000685724 0.000610862 0.0516932 0.0468016 28 3432 40 6.79088e+06 242496 531479. 1839.03 7.77 0.283765 0.249051 23950 126010 -1 2537 17 1226 2872 180981 42194 6.67386 6.67386 -155.722 -6.67386 0 0 648988. 2245.63 0.30 0.09 0.14 -1 -1 0.30 0.0329187 0.0297066 109 147 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_105.v common 11.21 vpr 64.59 MiB 0.02 6884 -1 -1 11 0.21 -1 -1 36236 -1 -1 14 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 231 263 1 184 78 17 17 289 -1 unnamed_device 26.1 MiB 1.93 1145 7216 1861 4799 556 64.6 MiB 0.08 0.00 5.71482 -126.252 -5.71482 5.71482 1.15 0.000562153 0.000508744 0.0297187 0.026821 44 2850 18 6.79088e+06 188608 787024. 2723.27 5.32 0.252082 0.222164 27118 194962 -1 2350 17 1024 2637 150179 33195 5.96542 5.96542 -141.747 -5.96542 0 0 997811. 3452.63 0.45 0.08 0.21 -1 -1 0.45 0.0315114 0.0285966 98 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_106.v common 12.06 vpr 64.94 MiB 0.03 6988 -1 -1 13 0.47 -1 -1 36748 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 294 326 1 214 85 17 17 289 -1 unnamed_device 26.4 MiB 1.70 1146 13105 4549 6597 1959 64.9 MiB 0.14 0.00 6.58427 -131.594 -6.58427 6.58427 1.14 0.000781452 0.000703366 0.0612669 0.0552797 38 3620 44 6.79088e+06 296384 678818. 2348.85 5.85 0.322615 0.285657 25966 169698 -1 2739 19 1594 4338 253514 56794 6.96017 6.96017 -152.525 -6.96017 0 0 902133. 3121.57 0.42 0.12 0.21 -1 -1 0.42 0.0440999 0.0398409 144 201 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_107.v common 12.15 vpr 64.67 MiB 0.03 6816 -1 -1 10 0.26 -1 -1 36132 -1 -1 17 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 29 32 221 253 1 164 78 17 17 289 -1 unnamed_device 26.2 MiB 2.56 866 7216 1729 5173 314 64.7 MiB 0.08 0.00 4.98748 -104.487 -4.98748 4.98748 1.27 0.000584126 0.000515001 0.0304894 0.0274454 34 2815 42 6.79088e+06 229024 618332. 2139.56 5.45 0.269564 0.233641 25102 150614 -1 2152 20 1133 3091 180891 42577 5.44943 5.44943 -125.451 -5.44943 0 0 787024. 2723.27 0.37 0.09 0.19 -1 -1 0.37 0.0328616 0.0294395 98 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_108.v common 13.79 vpr 64.63 MiB 0.03 6832 -1 -1 14 0.28 -1 -1 36224 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 32 32 240 272 1 188 82 17 17 289 -1 unnamed_device 26.0 MiB 4.13 1120 5778 1200 4327 251 64.6 MiB 0.07 0.00 6.37298 -133.274 -6.37298 6.37298 1.22 0.000658602 0.000592308 0.0253741 0.0229839 44 2694 16 6.79088e+06 242496 787024. 2723.27 5.36 0.261167 0.228787 27118 194962 -1 2388 18 1008 2641 154978 33961 7.08552 7.08552 -155.032 -7.08552 0 0 997811. 3452.63 0.48 0.10 0.24 -1 -1 0.48 0.0391603 0.0353572 110 145 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_109.v common 11.24 vpr 64.96 MiB 0.03 7200 -1 -1 12 0.47 -1 -1 36340 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 292 324 1 210 85 17 17 289 -1 unnamed_device 26.4 MiB 1.74 1228 12175 3322 6427 2426 65.0 MiB 0.14 0.00 6.13341 -132.612 -6.13341 6.13341 1.24 0.000811782 0.000723316 0.0584351 0.05217 36 3649 36 6.79088e+06 296384 648988. 2245.63 5.04 0.307393 0.271798 25390 158009 -1 2799 19 1326 3901 212829 47654 6.54851 6.54851 -152.337 -6.54851 0 0 828058. 2865.25 0.38 0.11 0.19 -1 -1 0.38 0.0407802 0.0366942 143 199 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_110.v common 11.79 vpr 64.64 MiB 0.02 7012 -1 -1 12 0.22 -1 -1 36148 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 31 32 229 261 1 179 79 17 17 289 -1 unnamed_device 26.2 MiB 2.95 1035 7684 2025 5265 394 64.6 MiB 0.08 0.00 5.4976 -121.005 -5.4976 5.4976 1.20 0.000646285 0.000581255 0.0319223 0.0288668 36 2876 31 6.79088e+06 215552 648988. 2245.63 4.90 0.23915 0.209755 25390 158009 -1 2277 15 948 2307 138818 32158 6.11529 6.11529 -142.173 -6.11529 0 0 828058. 2865.25 0.36 0.08 0.18 -1 -1 0.36 0.0292704 0.0266069 101 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_111.v common 11.25 vpr 65.14 MiB 0.03 7000 -1 -1 12 0.29 -1 -1 36424 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 32 32 282 314 1 202 82 17 17 289 -1 unnamed_device 26.4 MiB 1.88 1236 7914 1783 5757 374 65.1 MiB 0.09 0.00 6.25876 -129.091 -6.25876 6.25876 1.12 0.000675168 0.000609916 0.0347859 0.0314329 44 3071 18 6.79088e+06 242496 787024. 2723.27 5.26 0.313349 0.276545 27118 194962 -1 2596 18 1146 3392 189020 41146 6.50936 6.50936 -148.352 -6.50936 0 0 997811. 3452.63 0.45 0.09 0.24 -1 -1 0.45 0.0368709 0.0333468 123 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_112.v common 11.28 vpr 65.07 MiB 0.03 7184 -1 -1 13 0.43 -1 -1 36620 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 269 301 1 204 82 17 17 289 -1 unnamed_device 26.4 MiB 2.24 1279 10050 2777 5979 1294 65.1 MiB 0.11 0.00 6.33367 -133.326 -6.33367 6.33367 1.22 0.000762089 0.00068311 0.0468116 0.0420361 38 3215 46 6.79088e+06 255968 678818. 2348.85 4.58 0.267596 0.234557 25966 169698 -1 2714 24 1342 4027 231677 50387 6.79567 6.79567 -152.159 -6.79567 0 0 902133. 3121.57 0.41 0.12 0.21 -1 -1 0.41 0.0461187 0.0413303 134 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_113.v common 16.83 vpr 64.66 MiB 0.03 7004 -1 -1 11 0.24 -1 -1 35880 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 32 32 237 269 1 188 79 17 17 289 -1 unnamed_device 26.1 MiB 1.88 1170 5825 1263 4080 482 64.7 MiB 0.06 0.00 5.66792 -123.968 -5.66792 5.66792 1.15 0.00059222 0.000535343 0.0244142 0.022085 30 3670 41 6.79088e+06 202080 556674. 1926.21 11.06 0.33429 0.294432 24526 138013 -1 2769 18 1254 3325 216533 47181 6.16912 6.16912 -146.626 -6.16912 0 0 706193. 2443.58 0.34 0.10 0.17 -1 -1 0.34 0.0329507 0.0296204 105 142 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_114.v common 12.85 vpr 64.95 MiB 0.03 6832 -1 -1 13 0.30 -1 -1 36388 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 259 291 1 191 81 17 17 289 -1 unnamed_device 26.6 MiB 2.80 1063 13556 4573 7043 1940 64.9 MiB 0.13 0.00 6.03617 -131.152 -6.03617 6.03617 1.26 0.00071292 0.000641122 0.0581584 0.0522153 40 2333 17 6.79088e+06 229024 706193. 2443.58 5.62 0.305356 0.268819 26254 175826 -1 2500 27 1311 3740 388480 157352 6.31904 6.31904 -150.213 -6.31904 0 0 926341. 3205.33 0.40 0.19 0.22 -1 -1 0.40 0.0509893 0.045831 116 164 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_115.v common 25.37 vpr 64.98 MiB 0.03 7052 -1 -1 13 0.39 -1 -1 36496 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 277 309 1 213 82 17 17 289 -1 unnamed_device 26.5 MiB 2.42 1354 12720 3433 7838 1449 65.0 MiB 0.14 0.00 6.11878 -133.886 -6.11878 6.11878 1.22 0.000791302 0.000696476 0.0596693 0.053704 46 3253 33 6.79088e+06 242496 828058. 2865.25 18.46 0.437713 0.381154 27406 200422 -1 2650 19 1348 3781 194559 43406 6.28328 6.28328 -147.861 -6.28328 0 0 1.01997e+06 3529.29 0.46 0.10 0.25 -1 -1 0.46 0.0400606 0.0361788 130 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_116.v common 10.66 vpr 64.82 MiB 0.03 7024 -1 -1 11 0.28 -1 -1 36428 -1 -1 22 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 29 32 245 277 1 176 83 17 17 289 -1 unnamed_device 26.1 MiB 2.26 964 11243 3400 5698 2145 64.8 MiB 0.11 0.00 5.53486 -106.345 -5.53486 5.53486 1.22 0.000631101 0.000565437 0.0453366 0.0408085 36 2766 24 6.79088e+06 296384 648988. 2245.63 4.25 0.223875 0.19665 25390 158009 -1 2197 14 953 2775 172697 38122 5.66016 5.66016 -120.758 -5.66016 0 0 828058. 2865.25 0.38 0.08 0.19 -1 -1 0.38 0.0293625 0.0266627 115 156 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_117.v common 12.10 vpr 65.71 MiB 0.03 7220 -1 -1 14 0.48 -1 -1 37456 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67292 32 32 316 348 1 232 86 17 17 289 -1 unnamed_device 27.0 MiB 2.20 1491 8213 2026 5627 560 65.7 MiB 0.10 0.00 7.40125 -159.232 -7.40125 7.40125 1.21 0.000842839 0.000751915 0.0431181 0.038595 44 3508 16 6.79088e+06 296384 787024. 2723.27 5.33 0.325957 0.284608 27118 194962 -1 2886 17 1364 3959 202519 45839 8.02774 8.02774 -179.428 -8.02774 0 0 997811. 3452.63 0.47 0.11 0.25 -1 -1 0.47 0.0443264 0.0402936 160 221 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_118.v common 10.78 vpr 64.62 MiB 0.03 7012 -1 -1 12 0.24 -1 -1 36228 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 31 32 230 262 1 188 81 17 17 289 -1 unnamed_device 26.1 MiB 4.04 1073 12856 3930 7113 1813 64.6 MiB 0.11 0.00 5.82893 -123.636 -5.82893 5.82893 1.11 0.000576324 0.000505535 0.046261 0.0413696 38 2815 46 6.79088e+06 242496 678818. 2348.85 2.87 0.246457 0.218186 25966 169698 -1 2249 15 1086 2565 133678 30919 5.82893 5.82893 -135.847 -5.82893 0 0 902133. 3121.57 0.39 0.07 0.18 -1 -1 0.39 0.0300768 0.027447 108 137 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_119.v common 12.54 vpr 64.79 MiB 0.03 7036 -1 -1 13 0.41 -1 -1 36640 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 282 314 1 208 83 17 17 289 -1 unnamed_device 26.2 MiB 2.66 1246 13223 4375 6451 2397 64.8 MiB 0.13 0.00 6.37287 -130.265 -6.37287 6.37287 1.11 0.000700558 0.000630028 0.0553297 0.0497923 38 3631 31 6.79088e+06 255968 678818. 2348.85 5.76 0.288652 0.256275 25966 169698 -1 2772 22 1520 4430 241685 53946 6.96017 6.96017 -152.391 -6.96017 0 0 902133. 3121.57 0.39 0.12 0.18 -1 -1 0.39 0.0470802 0.0425768 132 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_120.v common 11.24 vpr 64.71 MiB 0.02 6848 -1 -1 13 0.26 -1 -1 36024 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 235 267 1 182 80 17 17 289 -1 unnamed_device 26.2 MiB 3.04 1106 12292 3626 6609 2057 64.7 MiB 0.12 0.00 6.20842 -140.032 -6.20842 6.20842 1.23 0.000634508 0.000568613 0.0486053 0.0436731 36 2974 26 6.79088e+06 215552 648988. 2245.63 4.08 0.226973 0.19963 25390 158009 -1 2421 16 1063 2614 150638 34394 6.45902 6.45902 -160.664 -6.45902 0 0 828058. 2865.25 0.38 0.08 0.19 -1 -1 0.38 0.0295307 0.0266585 104 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_121.v common 12.75 vpr 65.01 MiB 0.03 7036 -1 -1 12 0.31 -1 -1 36420 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 265 297 1 189 83 17 17 289 -1 unnamed_device 26.6 MiB 2.93 1222 10343 2693 5983 1667 65.0 MiB 0.11 0.00 5.83242 -130.968 -5.83242 5.83242 1.20 0.000686612 0.000610769 0.0453117 0.0405738 36 3293 43 6.79088e+06 255968 648988. 2245.63 5.62 0.254752 0.223166 25390 158009 -1 2635 19 1136 3594 208218 45870 6.08302 6.08302 -150.322 -6.08302 0 0 828058. 2865.25 0.38 0.10 0.18 -1 -1 0.38 0.0375234 0.033818 121 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_122.v common 16.48 vpr 65.52 MiB 0.03 7336 -1 -1 15 0.69 -1 -1 36692 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67096 32 32 344 376 1 260 88 17 17 289 -1 unnamed_device 26.9 MiB 2.94 1464 11788 3306 6614 1868 65.5 MiB 0.15 0.00 8.1062 -160.068 -8.1062 8.1062 1.24 0.000948937 0.000848948 0.0639831 0.0571881 54 3497 21 6.79088e+06 323328 949917. 3286.91 8.43 0.485401 0.428305 28846 232421 -1 3038 19 1679 5268 271676 60683 8.39256 8.39256 -177.859 -8.39256 0 0 1.17392e+06 4061.99 0.56 0.14 0.29 -1 -1 0.56 0.0531183 0.0483357 176 249 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_123.v common 8.10 vpr 64.23 MiB 0.02 6768 -1 -1 10 0.13 -1 -1 36064 -1 -1 11 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 30 32 173 205 1 129 73 17 17 289 -1 unnamed_device 25.8 MiB 2.19 720 9801 3395 4774 1632 64.2 MiB 0.07 0.00 4.44354 -100.588 -4.44354 4.44354 1.11 0.000393359 0.000353384 0.0287573 0.0260176 34 1681 14 6.79088e+06 148192 618332. 2139.56 2.22 0.147507 0.130516 25102 150614 -1 1625 23 651 1499 89213 21075 4.44354 4.44354 -111.171 -4.44354 0 0 787024. 2723.27 0.38 0.06 0.18 -1 -1 0.38 0.0248033 0.0219753 63 82 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_124.v common 13.76 vpr 64.56 MiB 0.02 6976 -1 -1 13 0.25 -1 -1 36148 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66108 30 32 229 261 1 179 81 17 17 289 -1 unnamed_device 26.0 MiB 2.65 1009 10581 3564 5329 1688 64.6 MiB 0.10 0.00 6.07969 -127.299 -6.07969 6.07969 1.21 0.000612504 0.000548747 0.0418542 0.0376606 34 3072 31 6.79088e+06 255968 618332. 2139.56 7.02 0.329852 0.289871 25102 150614 -1 2387 19 1317 3332 197243 45851 6.20499 6.20499 -144.676 -6.20499 0 0 787024. 2723.27 0.36 0.10 0.17 -1 -1 0.36 0.036596 0.0330902 105 138 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_125.v common 12.78 vpr 64.94 MiB 0.03 7032 -1 -1 12 0.28 -1 -1 36372 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 261 293 1 204 81 17 17 289 -1 unnamed_device 26.5 MiB 2.94 1055 12506 5150 7144 212 64.9 MiB 0.13 0.00 6.40514 -135.594 -6.40514 6.40514 1.21 0.000712861 0.000626098 0.0543302 0.0486776 46 3014 38 6.79088e+06 229024 828058. 2865.25 5.46 0.32235 0.280827 27406 200422 -1 2220 16 1301 3351 170145 39804 6.53044 6.53044 -149.512 -6.53044 0 0 1.01997e+06 3529.29 0.47 0.09 0.25 -1 -1 0.47 0.0364553 0.033264 115 166 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_126.v common 7.10 vpr 64.25 MiB 0.03 6888 -1 -1 9 0.19 -1 -1 36416 -1 -1 20 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65792 25 32 184 216 1 138 77 17 17 289 -1 unnamed_device 25.7 MiB 1.65 775 9368 2461 5476 1431 64.2 MiB 0.08 0.00 4.29134 -83.4176 -4.29134 4.29134 1.21 0.000529181 0.000469006 0.0326631 0.0294603 28 2162 45 6.79088e+06 269440 531479. 1839.03 1.58 0.139057 0.122286 23950 126010 -1 1899 18 787 2147 144173 31987 4.85859 4.85859 -104.142 -4.85859 0 0 648988. 2245.63 0.33 0.08 0.17 -1 -1 0.33 0.0286214 0.0259099 86 103 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_127.v common 11.49 vpr 65.09 MiB 0.03 7024 -1 -1 12 0.40 -1 -1 36156 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 302 334 1 236 87 17 17 289 -1 unnamed_device 26.5 MiB 3.56 1469 12375 3106 7573 1696 65.1 MiB 0.13 0.00 6.34142 -146.405 -6.34142 6.34142 1.14 0.000750274 0.000672146 0.0532541 0.0477235 38 3988 48 6.79088e+06 309856 678818. 2348.85 3.67 0.289693 0.257608 25966 169698 -1 3246 17 1629 4196 239087 52441 6.71732 6.71732 -162.84 -6.71732 0 0 902133. 3121.57 0.42 0.12 0.21 -1 -1 0.42 0.0414305 0.0375652 146 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_128.v common 10.96 vpr 65.25 MiB 0.03 7068 -1 -1 14 0.47 -1 -1 36912 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 31 32 295 327 1 217 85 17 17 289 -1 unnamed_device 26.9 MiB 1.86 1254 11989 3109 6424 2456 65.2 MiB 0.13 0.00 7.22905 -149.343 -7.22905 7.22905 1.21 0.000790677 0.000708288 0.0580821 0.0522308 38 3457 44 6.79088e+06 296384 678818. 2348.85 4.60 0.306161 0.270127 25966 169698 -1 2869 16 1379 3997 212134 48043 7.47965 7.47965 -168.193 -7.47965 0 0 902133. 3121.57 0.43 0.11 0.22 -1 -1 0.43 0.044861 0.0409075 151 202 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 7.05 vpr 65.77 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 34104 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67344 32 32 438 350 1 202 101 17 17 289 -1 unnamed_device 27.0 MiB 1.76 944 12556 3244 8430 882 65.8 MiB 0.14 0.00 3.32249 -114.905 -3.32249 3.32249 1.09 0.000643774 0.000581251 0.0370805 0.0335218 30 2945 27 6.87369e+06 517032 556674. 1926.21 1.73 0.155279 0.137372 25186 138497 -1 2067 21 1590 2546 135199 35279 3.935 3.935 -145.022 -3.935 0 0 706193. 2443.58 0.32 0.08 0.15 -1 -1 0.32 0.0320423 0.0283534 155 80 32 32 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 10.89 vpr 65.71 MiB 0.03 7440 -1 -1 1 0.04 -1 -1 33924 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 30 32 409 330 1 192 85 17 17 289 -1 unnamed_device 27.1 MiB 5.34 820 11803 2959 7058 1786 65.7 MiB 0.14 0.00 3.28949 -104.618 -3.28949 3.28949 1.22 0.000662681 0.000597567 0.0447652 0.0404337 32 2966 37 6.87369e+06 321398 586450. 2029.24 1.62 0.15273 0.133502 25474 144626 -1 2110 21 1882 3120 265630 63425 4.043 4.043 -141.247 -4.043 0 0 744469. 2576.02 0.35 0.11 0.18 -1 -1 0.35 0.0311449 0.0274829 141 78 30 30 89 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 7.71 vpr 65.56 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 33812 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 387 309 1 191 100 17 17 289 -1 unnamed_device 26.9 MiB 2.78 1048 14716 4083 9435 1198 65.6 MiB 0.14 0.00 3.09176 -110.61 -3.09176 3.09176 1.11 0.000620199 0.000563757 0.040259 0.0363013 28 2758 24 6.87369e+06 503058 531479. 1839.03 1.21 0.130532 0.114838 24610 126494 -1 2419 22 1603 2556 220138 49635 3.6481 3.6481 -142.599 -3.6481 0 0 648988. 2245.63 0.31 0.10 0.16 -1 -1 0.31 0.0309638 0.027272 145 50 54 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 8.59 vpr 65.33 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 34108 -1 -1 23 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 29 32 343 267 1 184 84 17 17 289 -1 unnamed_device 26.5 MiB 1.94 811 14724 5436 6558 2730 65.3 MiB 0.14 0.00 3.28949 -101.39 -3.28949 3.28949 1.21 0.000591185 0.000533248 0.0494941 0.0447652 34 3020 42 6.87369e+06 321398 618332. 2139.56 2.78 0.190046 0.166955 25762 151098 -1 2018 21 1884 3178 246000 63032 4.14 4.14 -140.815 -4.14 0 0 787024. 2723.27 0.36 0.11 0.17 -1 -1 0.36 0.0301102 0.0266396 136 25 87 29 29 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 10.60 vpr 65.59 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 33896 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67160 32 32 376 288 1 202 85 17 17 289 -1 unnamed_device 26.9 MiB 2.70 988 14779 5494 6432 2853 65.6 MiB 0.16 0.00 3.44779 -122.107 -3.44779 3.44779 1.21 0.000619544 0.000557551 0.0524267 0.0472756 34 3478 28 6.87369e+06 293451 618332. 2139.56 3.91 0.206617 0.180415 25762 151098 -1 2577 23 2264 4169 346770 77873 4.2713 4.2713 -158.278 -4.2713 0 0 787024. 2723.27 0.36 0.13 0.19 -1 -1 0.36 0.032833 0.0290417 147 31 96 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 7.16 vpr 65.67 MiB 0.03 7380 -1 -1 1 0.04 -1 -1 33832 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 402 316 1 200 103 17 17 289 -1 unnamed_device 27.0 MiB 1.84 1085 13840 4071 8630 1139 65.7 MiB 0.15 0.00 2.83325 -102.585 -2.83325 2.83325 1.21 0.000776559 0.000707867 0.0430445 0.038909 28 2381 21 6.87369e+06 544980 531479. 1839.03 1.44 0.144065 0.127257 24610 126494 -1 2224 20 1585 2473 163540 38754 2.92596 2.92596 -123.4 -2.92596 0 0 648988. 2245.63 0.31 0.09 0.16 -1 -1 0.31 0.0311615 0.0275523 154 61 63 32 63 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 9.04 vpr 64.70 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 34088 -1 -1 20 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 27 32 269 226 1 146 79 17 17 289 -1 unnamed_device 26.4 MiB 3.25 819 13261 4441 7277 1543 64.7 MiB 0.12 0.00 2.9476 -92.9982 -2.9476 2.9476 1.23 0.000486199 0.000440769 0.0393181 0.035615 34 1879 36 6.87369e+06 279477 618332. 2139.56 1.94 0.15994 0.139579 25762 151098 -1 1622 22 1205 2024 147119 34293 2.79571 2.79571 -106.263 -2.79571 0 0 787024. 2723.27 0.36 0.07 0.19 -1 -1 0.36 0.0227971 0.0200056 102 26 54 27 27 27 +fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 6.59 vpr 65.43 MiB 0.03 7148 -1 -1 1 0.04 -1 -1 33828 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 26.6 MiB 1.38 1029 12023 3097 7616 1310 65.4 MiB 0.12 0.00 2.67795 -92.7667 -2.67795 2.67795 1.22 0.000560051 0.000505419 0.0323868 0.0291668 28 2645 20 6.87369e+06 489084 531479. 1839.03 1.44 0.110055 0.0964794 24610 126494 -1 2292 22 1414 2255 172360 40418 3.00226 3.00226 -115.995 -3.00226 0 0 648988. 2245.63 0.31 0.09 0.15 -1 -1 0.31 0.0276965 0.0243916 141 -1 115 31 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 8.25 vpr 65.01 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 33732 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 31 32 338 292 1 153 79 17 17 289 -1 unnamed_device 26.6 MiB 3.71 728 10219 2495 6935 789 65.0 MiB 0.09 0.00 2.60257 -87.8304 -2.60257 2.60257 1.11 0.000503959 0.000455534 0.0325616 0.0295139 30 1858 21 6.87369e+06 223581 556674. 1926.21 1.06 0.103774 0.0910772 25186 138497 -1 1550 18 863 1363 83778 21301 2.78301 2.78301 -105.737 -2.78301 0 0 706193. 2443.58 0.32 0.06 0.14 -1 -1 0.32 0.0231828 0.02056 103 81 0 0 84 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 11.11 vpr 65.05 MiB 0.03 6980 -1 -1 1 0.04 -1 -1 33696 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.4 MiB 5.60 754 14528 4419 8161 1948 65.1 MiB 0.13 0.00 3.0558 -108.546 -3.0558 3.0558 1.14 0.000492982 0.000446435 0.0441522 0.0400478 34 2244 20 6.87369e+06 223581 618332. 2139.56 1.85 0.16916 0.14876 25762 151098 -1 1778 20 1522 2362 163410 39067 3.15451 3.15451 -128.841 -3.15451 0 0 787024. 2723.27 0.34 0.08 0.16 -1 -1 0.34 0.0253392 0.022412 114 31 64 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 9.71 vpr 64.86 MiB 0.03 7080 -1 -1 1 0.04 -1 -1 33892 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 30 32 325 273 1 160 80 17 17 289 -1 unnamed_device 26.4 MiB 4.73 858 14528 4543 7906 2079 64.9 MiB 0.13 0.00 2.9678 -101.964 -2.9678 2.9678 1.18 0.000535963 0.000484015 0.04784 0.0432221 30 1878 36 6.87369e+06 251529 556674. 1926.21 1.22 0.132282 0.115564 25186 138497 -1 1525 21 1093 1652 98779 23250 2.81591 2.81591 -115.331 -2.81591 0 0 706193. 2443.58 0.33 0.07 0.17 -1 -1 0.33 0.025035 0.022024 109 58 30 30 60 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 7.99 vpr 65.46 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 34060 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 32 32 331 280 1 161 96 17 17 289 -1 unnamed_device 26.7 MiB 2.14 954 15426 4475 9001 1950 65.5 MiB 0.14 0.00 2.77825 -99.3641 -2.77825 2.77825 1.22 0.000555313 0.000500851 0.0418748 0.0378063 34 2286 22 6.87369e+06 447163 618332. 2139.56 1.94 0.166088 0.144713 25762 151098 -1 1960 23 1196 1973 142155 33072 2.85696 2.85696 -118.217 -2.85696 0 0 787024. 2723.27 0.36 0.08 0.19 -1 -1 0.36 0.0287424 0.0252347 116 57 25 25 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 11.39 vpr 65.58 MiB 0.03 7352 -1 -1 1 0.04 -1 -1 33968 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 386 305 1 195 99 17 17 289 -1 unnamed_device 26.9 MiB 6.43 857 14691 3897 9448 1346 65.6 MiB 0.15 0.00 2.84425 -98.8761 -2.84425 2.84425 1.12 0.000632824 0.00057339 0.0409391 0.0368614 30 2514 29 6.87369e+06 489084 556674. 1926.21 1.39 0.138161 0.121437 25186 138497 -1 1796 21 1463 2594 135766 34501 2.89626 2.89626 -116.52 -2.89626 0 0 706193. 2443.58 0.31 0.07 0.15 -1 -1 0.31 0.0285907 0.0253067 147 55 64 32 57 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 9.62 vpr 65.64 MiB 0.03 7148 -1 -1 1 0.04 -1 -1 34028 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.9 MiB 3.37 954 21016 6899 11253 2864 65.6 MiB 0.22 0.00 3.42579 -119.662 -3.42579 3.42579 1.23 0.000706582 0.000640061 0.0665023 0.0602178 34 2675 23 6.87369e+06 517032 618332. 2139.56 2.16 0.227323 0.199736 25762 151098 -1 2209 25 2313 3509 258704 61637 3.7814 3.7814 -145.774 -3.7814 0 0 787024. 2723.27 0.37 0.12 0.19 -1 -1 0.37 0.0360304 0.0316297 155 60 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 7.47 vpr 64.62 MiB 0.03 7180 -1 -1 1 0.04 -1 -1 34028 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 29 32 272 228 1 148 80 17 17 289 -1 unnamed_device 26.3 MiB 2.83 728 7992 2202 5388 402 64.6 MiB 0.08 0.00 3.0099 -92.6559 -3.0099 3.0099 1.13 0.00044382 0.000403027 0.0226048 0.0205263 30 1968 22 6.87369e+06 265503 556674. 1926.21 1.13 0.090157 0.0791574 25186 138497 -1 1641 20 1006 1758 127126 29312 2.91931 2.91931 -105.855 -2.91931 0 0 706193. 2443.58 0.31 0.06 0.14 -1 -1 0.31 0.0205688 0.0181618 102 21 58 29 24 24 +fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 12.37 vpr 65.57 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 34008 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 32 32 401 315 1 200 85 17 17 289 -1 unnamed_device 26.9 MiB 3.69 1087 16639 6456 7976 2207 65.6 MiB 0.17 0.00 2.77395 -102.972 -2.77395 2.77395 1.13 0.000575075 0.000518417 0.0561167 0.0506891 36 2748 22 6.87369e+06 293451 648988. 2245.63 4.90 0.29575 0.257491 26050 158493 -1 2198 21 1912 3342 224174 51652 3.06026 3.06026 -128.292 -3.06026 0 0 828058. 2865.25 0.38 0.10 0.19 -1 -1 0.38 0.0315052 0.0277655 145 60 64 32 62 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 12.00 vpr 65.51 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 33692 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67080 32 32 383 303 1 193 102 17 17 289 -1 unnamed_device 26.8 MiB 6.88 1059 15096 3849 9673 1574 65.5 MiB 0.14 0.00 2.91945 -107.862 -2.91945 2.91945 1.21 0.000624393 0.000560424 0.0424389 0.0382511 28 2570 26 6.87369e+06 531006 531479. 1839.03 1.27 0.131752 0.115619 24610 126494 -1 2220 20 1615 2468 170462 39652 3.05126 3.05126 -128.599 -3.05126 0 0 648988. 2245.63 0.31 0.09 0.15 -1 -1 0.31 0.0277998 0.0244591 148 54 64 32 56 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 8.89 vpr 65.30 MiB 0.03 7004 -1 -1 1 0.04 -1 -1 33796 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 339 284 1 165 93 17 17 289 -1 unnamed_device 26.6 MiB 3.44 877 16893 4766 9816 2311 65.3 MiB 0.15 0.00 2.46506 -92.5133 -2.46506 2.46506 1.11 0.000527125 0.000478255 0.0443194 0.0400539 34 2194 21 6.87369e+06 405241 618332. 2139.56 1.72 0.160512 0.139985 25762 151098 -1 1800 20 1203 1768 133134 31663 2.32117 2.32117 -106.625 -2.32117 0 0 787024. 2723.27 0.37 0.08 0.19 -1 -1 0.37 0.0262984 0.0230372 117 62 29 29 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 5.33 vpr 64.41 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 33820 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 30 32 226 208 1 119 76 17 17 289 -1 unnamed_device 26.0 MiB 0.77 712 11276 3881 6002 1393 64.4 MiB 0.08 0.00 2.31406 -79.4466 -2.31406 2.31406 1.12 0.000385579 0.000340891 0.0289313 0.026243 32 1624 19 6.87369e+06 195634 586450. 2029.24 1.03 0.077962 0.0684973 25474 144626 -1 1413 17 659 957 90765 18815 2.10812 2.10812 -91.6978 -2.10812 0 0 744469. 2576.02 0.35 0.05 0.18 -1 -1 0.35 0.0170564 0.0150421 73 29 24 24 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 6.52 vpr 64.98 MiB 0.03 7084 -1 -1 1 0.05 -1 -1 34088 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 31 32 335 280 1 165 80 17 17 289 -1 unnamed_device 26.6 MiB 1.66 903 11260 2984 6988 1288 65.0 MiB 0.11 0.00 3.53045 -113.667 -3.53045 3.53045 1.14 0.000545404 0.000496531 0.038184 0.0345821 32 2328 25 6.87369e+06 237555 586450. 2029.24 1.18 0.11347 0.09972 25474 144626 -1 1943 18 1081 1633 138059 31527 3.3445 3.3445 -129.522 -3.3445 0 0 744469. 2576.02 0.35 0.07 0.16 -1 -1 0.35 0.0241744 0.0213695 113 55 31 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 6.73 vpr 65.39 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 33840 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 366 283 1 197 100 17 17 289 -1 unnamed_device 26.7 MiB 1.41 1128 19124 5915 10897 2312 65.4 MiB 0.18 0.00 3.42399 -119.998 -3.42399 3.42399 1.21 0.000624202 0.000556689 0.0548242 0.0492878 30 2367 37 6.87369e+06 503058 556674. 1926.21 1.42 0.164044 0.144307 25186 138497 -1 2057 20 1514 2168 123530 30317 3.5027 3.5027 -136.158 -3.5027 0 0 706193. 2443.58 0.34 0.08 0.17 -1 -1 0.34 0.0285007 0.0251362 150 31 91 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 12.38 vpr 65.64 MiB 0.03 7512 -1 -1 1 0.04 -1 -1 34216 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67216 32 32 460 375 1 199 104 17 17 289 -1 unnamed_device 27.1 MiB 4.64 939 19380 5611 10123 3646 65.6 MiB 0.19 0.00 3.04776 -103.548 -3.04776 3.04776 1.21 0.000692875 0.000615846 0.0577563 0.0519007 34 3288 49 6.87369e+06 558954 618332. 2139.56 3.76 0.220601 0.191983 25762 151098 -1 2059 18 1479 2256 173619 41396 3.7816 3.7816 -131.184 -3.7816 0 0 787024. 2723.27 0.36 0.09 0.19 -1 -1 0.36 0.0305062 0.0269466 154 108 0 0 125 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 7.71 vpr 64.25 MiB 0.02 7064 -1 -1 1 0.03 -1 -1 34028 -1 -1 16 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 26 32 198 186 1 109 74 17 17 289 -1 unnamed_device 25.7 MiB 2.87 459 11544 5029 5637 878 64.3 MiB 0.08 0.00 2.29206 -62.1231 -2.29206 2.29206 1.20 0.000370069 0.000334836 0.0293196 0.0265565 32 1280 22 6.87369e+06 223581 586450. 2029.24 1.14 0.0775538 0.0679802 25474 144626 -1 996 18 659 1035 82327 21086 2.25347 2.25347 -74.0718 -2.25347 0 0 744469. 2576.02 0.35 0.05 0.18 -1 -1 0.35 0.0157769 0.0138922 69 21 26 26 22 22 +fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 8.04 vpr 65.31 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 33676 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 26.7 MiB 2.06 1069 15895 5601 7736 2558 65.3 MiB 0.17 0.00 3.28949 -115.813 -3.28949 3.28949 1.19 0.000577252 0.000520719 0.0525637 0.0474381 28 3074 40 6.87369e+06 293451 531479. 1839.03 2.12 0.155056 0.135861 24610 126494 -1 2575 22 2163 3578 287047 66144 4.132 4.132 -158.426 -4.132 0 0 648988. 2245.63 0.31 0.12 0.15 -1 -1 0.31 0.0303333 0.0267763 141 -1 122 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 6.09 vpr 64.57 MiB 0.02 6808 -1 -1 1 0.04 -1 -1 34008 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 25.9 MiB 0.62 773 12076 4224 6363 1489 64.6 MiB 0.09 0.00 2.05403 -78.601 -2.05403 2.05403 1.21 0.000394601 0.000356393 0.0313581 0.0283887 34 1486 19 6.87369e+06 167686 618332. 2139.56 1.72 0.113717 0.0989223 25762 151098 -1 1378 17 584 783 58186 13930 2.07512 2.07512 -89.3221 -2.07512 0 0 787024. 2723.27 0.37 0.05 0.18 -1 -1 0.37 0.0169693 0.0151019 71 -1 53 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 6.45 vpr 65.57 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 34236 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 32 32 376 288 1 202 100 17 17 289 -1 unnamed_device 26.9 MiB 1.18 1107 18428 5086 11458 1884 65.6 MiB 0.18 0.00 3.42579 -123.797 -3.42579 3.42579 1.20 0.000613437 0.000552132 0.0515341 0.0463755 30 2840 22 6.87369e+06 503058 556674. 1926.21 1.36 0.135525 0.118872 25186 138497 -1 2267 22 1700 2601 162393 38285 3.67 3.67 -146.186 -3.67 0 0 706193. 2443.58 0.34 0.09 0.17 -1 -1 0.34 0.0298176 0.0262767 155 21 96 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 8.27 vpr 65.67 MiB 0.03 7044 -1 -1 1 0.04 -1 -1 33972 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 27.0 MiB 1.28 1070 14716 3923 9340 1453 65.7 MiB 0.14 0.00 2.87545 -103.34 -2.87545 2.87545 1.11 0.000534785 0.000484834 0.0368117 0.0333375 28 2505 25 6.87369e+06 503058 531479. 1839.03 3.39 0.215109 0.187897 24610 126494 -1 2237 21 1578 2594 169313 40783 3.19186 3.19186 -124.995 -3.19186 0 0 648988. 2245.63 0.29 0.08 0.14 -1 -1 0.29 0.0272349 0.0240314 151 -1 124 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 7.47 vpr 65.82 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 34224 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67396 32 32 407 319 1 202 103 17 17 289 -1 unnamed_device 27.1 MiB 1.48 1138 17455 4899 10679 1877 65.8 MiB 0.18 0.00 3.42579 -122.881 -3.42579 3.42579 1.21 0.000707165 0.000640976 0.0517154 0.0467096 28 3100 25 6.87369e+06 544980 531479. 1839.03 2.04 0.160394 0.142116 24610 126494 -1 2655 23 2155 3710 310946 69880 4.2683 4.2683 -158.38 -4.2683 0 0 648988. 2245.63 0.31 0.13 0.16 -1 -1 0.31 0.0337707 0.0297202 156 54 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 8.19 vpr 64.84 MiB 0.03 7148 -1 -1 1 0.04 -1 -1 34036 -1 -1 15 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 294 246 1 157 79 17 17 289 -1 unnamed_device 26.4 MiB 1.43 804 12585 4341 6355 1889 64.8 MiB 0.11 0.00 2.42892 -87.9121 -2.42892 2.42892 1.19 0.000488563 0.000441236 0.0385726 0.0349613 30 2177 21 6.87369e+06 209608 556674. 1926.21 3.00 0.175023 0.152718 25186 138497 -1 1705 20 942 1579 91811 22302 2.82396 2.82396 -111.886 -2.82396 0 0 706193. 2443.58 0.33 0.06 0.16 -1 -1 0.33 0.0215033 0.019016 104 31 54 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 6.73 vpr 64.79 MiB 0.03 6980 -1 -1 1 0.04 -1 -1 33544 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 30 32 296 244 1 160 80 17 17 289 -1 unnamed_device 26.4 MiB 1.62 712 9368 2717 5200 1451 64.8 MiB 0.09 0.00 3.0418 -101.17 -3.0418 3.0418 1.21 0.000505649 0.000456332 0.0298813 0.0270539 32 2037 33 6.87369e+06 251529 586450. 2029.24 1.32 0.107407 0.0937375 25474 144626 -1 1603 24 1364 2025 155148 37662 2.95396 2.95396 -117.48 -2.95396 0 0 744469. 2576.02 0.34 0.08 0.18 -1 -1 0.34 0.0266044 0.0233015 109 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 7.38 vpr 64.64 MiB 0.03 6908 -1 -1 1 0.04 -1 -1 33552 -1 -1 19 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 28 32 278 232 1 150 79 17 17 289 -1 unnamed_device 26.3 MiB 1.61 828 13430 4279 7534 1617 64.6 MiB 0.12 0.00 2.72995 -88.9979 -2.72995 2.72995 1.21 0.000480198 0.000433036 0.0402757 0.03637 34 2045 21 6.87369e+06 265503 618332. 2139.56 1.92 0.146445 0.127224 25762 151098 -1 1802 17 1164 1942 142109 34034 3.07756 3.07756 -114.115 -3.07756 0 0 787024. 2723.27 0.36 0.07 0.19 -1 -1 0.36 0.0208775 0.0184046 104 27 56 28 28 28 +fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 7.34 vpr 64.90 MiB 0.02 6932 -1 -1 1 0.04 -1 -1 33824 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 1.74 885 15216 6085 7141 1990 64.9 MiB 0.13 0.00 2.77395 -103.31 -2.77395 2.77395 1.12 0.000460239 0.000417253 0.0434207 0.0393108 34 2292 23 6.87369e+06 223581 618332. 2139.56 1.98 0.175176 0.15437 25762 151098 -1 1886 22 1551 2589 196234 44928 3.12156 3.12156 -128.266 -3.12156 0 0 787024. 2723.27 0.35 0.09 0.16 -1 -1 0.35 0.0254764 0.0225857 114 -1 96 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 6.25 vpr 64.68 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 33680 -1 -1 32 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 31 32 303 249 1 163 95 17 17 289 -1 unnamed_device 26.2 MiB 1.17 869 16295 4659 9171 2465 64.7 MiB 0.15 0.00 2.81125 -98.524 -2.81125 2.81125 1.23 0.000532616 0.000471753 0.0418063 0.0377492 30 2049 24 6.87369e+06 447163 556674. 1926.21 1.22 0.116997 0.102988 25186 138497 -1 1693 21 1064 1827 102006 25079 2.87096 2.87096 -113.586 -2.87096 0 0 706193. 2443.58 0.34 0.07 0.17 -1 -1 0.34 0.0252769 0.02229 119 26 61 31 31 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 9.24 vpr 64.95 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 33876 -1 -1 32 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 29 32 312 264 1 155 93 17 17 289 -1 unnamed_device 26.4 MiB 4.21 809 13743 3545 7817 2381 65.0 MiB 0.13 0.00 2.30671 -78.6912 -2.30671 2.30671 1.24 0.000524454 0.000471796 0.0382703 0.0343496 28 1875 22 6.87369e+06 447163 531479. 1839.03 1.21 0.11022 0.0963852 24610 126494 -1 1619 17 1156 1919 122091 30086 2.24712 2.24712 -92.6406 -2.24712 0 0 648988. 2245.63 0.32 0.07 0.15 -1 -1 0.32 0.0222252 0.0196938 113 55 29 29 57 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 21.79 vpr 65.48 MiB 0.03 7140 -1 -1 1 0.04 -1 -1 34100 -1 -1 44 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 423 310 1 231 108 17 17 289 -1 unnamed_device 26.9 MiB 5.84 1315 21696 6540 12127 3029 65.5 MiB 0.23 0.00 3.55109 -125.266 -3.55109 3.55109 1.12 0.000705377 0.00064279 0.0634253 0.0577736 28 4341 36 6.87369e+06 614849 531479. 1839.03 12.11 0.365125 0.322378 24610 126494 -1 3263 23 2303 4039 402931 88072 4.7868 4.7868 -169.209 -4.7868 0 0 648988. 2245.63 0.31 0.16 0.15 -1 -1 0.31 0.0415138 0.0370521 184 26 128 32 27 27 +fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 10.64 vpr 65.54 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 34172 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67116 32 32 403 317 1 200 103 17 17 289 -1 unnamed_device 26.9 MiB 4.58 918 18901 5881 10046 2974 65.5 MiB 0.20 0.00 2.91945 -102.635 -2.91945 2.91945 1.20 0.000706979 0.000641026 0.0588255 0.0531243 28 2913 36 6.87369e+06 544980 531479. 1839.03 2.14 0.187503 0.165776 24610 126494 -1 2227 22 1997 3022 242675 57946 3.23586 3.23586 -131.336 -3.23586 0 0 648988. 2245.63 0.32 0.12 0.16 -1 -1 0.32 0.0368471 0.0327614 154 62 62 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 12.48 vpr 65.04 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 34208 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 31 32 353 302 1 160 94 17 17 289 -1 unnamed_device 26.3 MiB 5.04 677 13939 4058 6341 3540 65.0 MiB 0.11 0.00 2.71895 -90.254 -2.71895 2.71895 1.20 0.000542228 0.00048936 0.0380201 0.0343237 36 2246 24 6.87369e+06 433189 648988. 2245.63 3.54 0.198828 0.174667 26050 158493 -1 1553 36 1590 2457 164050 40782 2.99161 2.99161 -109.503 -2.99161 0 0 828058. 2865.25 0.39 0.11 0.18 -1 -1 0.39 0.0412012 0.0359185 116 77 0 0 89 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 8.45 vpr 65.53 MiB 0.03 7232 -1 -1 1 0.04 -1 -1 33696 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 31 32 391 309 1 195 85 17 17 289 -1 unnamed_device 26.9 MiB 2.78 1087 14035 4790 7047 2198 65.5 MiB 0.14 0.00 2.78315 -101.221 -2.78315 2.78315 1.11 0.000600351 0.000544862 0.0466884 0.0423303 34 2692 23 6.87369e+06 307425 618332. 2139.56 1.95 0.201123 0.176269 25762 151098 -1 2176 22 1916 3160 227170 51702 3.02456 3.02456 -120.451 -3.02456 0 0 787024. 2723.27 0.36 0.10 0.19 -1 -1 0.36 0.0312843 0.0275005 141 59 60 30 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 14.07 vpr 65.50 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 34244 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 31 32 455 371 1 198 85 17 17 289 -1 unnamed_device 26.7 MiB 7.61 1028 16081 5039 8732 2310 65.5 MiB 0.18 0.00 3.93354 -120.546 -3.93354 3.93354 1.19 0.000678454 0.00061049 0.0626031 0.0563556 34 2701 29 6.87369e+06 307425 618332. 2139.56 2.49 0.227413 0.198067 25762 151098 -1 2156 20 1722 2906 219486 50917 4.14955 4.14955 -149.662 -4.14955 0 0 787024. 2723.27 0.37 0.10 0.19 -1 -1 0.37 0.0329565 0.0291665 145 111 0 0 124 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 9.01 vpr 65.57 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 33872 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 31 32 413 333 1 195 85 17 17 289 -1 unnamed_device 26.9 MiB 2.84 924 16639 6047 8343 2249 65.6 MiB 0.18 0.00 3.73124 -110.708 -3.73124 3.73124 1.19 0.00067392 0.000607966 0.0604892 0.0543675 34 2806 22 6.87369e+06 307425 618332. 2139.56 2.22 0.206047 0.179654 25762 151098 -1 2213 23 1769 2855 223602 52873 3.9647 3.9647 -142.675 -3.9647 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.0346148 0.0304564 141 86 31 31 89 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 9.18 vpr 65.38 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33740 -1 -1 36 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 31 32 391 309 1 195 99 17 17 289 -1 unnamed_device 26.7 MiB 3.52 1072 16971 5085 10146 1740 65.4 MiB 0.17 0.00 2.86625 -102.62 -2.86625 2.86625 1.10 0.000630749 0.000564199 0.0487058 0.0437681 34 2558 22 6.87369e+06 503058 618332. 2139.56 1.96 0.199967 0.175185 25762 151098 -1 2202 24 1944 3396 233361 55525 2.85366 2.85366 -119.739 -2.85366 0 0 787024. 2723.27 0.35 0.11 0.16 -1 -1 0.35 0.0362052 0.0320013 148 58 60 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 8.25 vpr 65.38 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 33980 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66952 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 26.7 MiB 2.79 1119 16286 4870 10211 1205 65.4 MiB 0.17 0.00 3.43679 -123.815 -3.43679 3.43679 1.13 0.000646176 0.000581903 0.0471344 0.0423931 28 2968 26 6.87369e+06 531006 531479. 1839.03 1.73 0.143383 0.125737 24610 126494 -1 2586 22 2117 3519 306029 67633 4.0287 4.0287 -155.011 -4.0287 0 0 648988. 2245.63 0.31 0.12 0.15 -1 -1 0.31 0.0322998 0.0284302 156 42 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 12.22 vpr 65.95 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 34180 -1 -1 42 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67532 32 32 496 380 1 234 106 17 17 289 -1 unnamed_device 27.2 MiB 5.10 1059 16106 4216 8768 3122 65.9 MiB 0.18 0.00 3.42399 -117.379 -3.42399 3.42399 1.20 0.000760718 0.000680489 0.052939 0.0475867 34 3419 32 6.87369e+06 586901 618332. 2139.56 3.10 0.238928 0.208023 25762 151098 -1 2309 21 2163 3636 241082 59299 4.0239 4.0239 -148.384 -4.0239 0 0 787024. 2723.27 0.36 0.12 0.19 -1 -1 0.36 0.0377936 0.0335216 186 91 62 32 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 8.12 vpr 64.77 MiB 0.03 6992 -1 -1 1 0.05 -1 -1 34148 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 31 32 305 250 1 164 80 17 17 289 -1 unnamed_device 26.3 MiB 2.85 821 12292 4621 5787 1884 64.8 MiB 0.12 0.00 3.0136 -106.719 -3.0136 3.0136 1.21 0.000490997 0.000444612 0.0382971 0.0346707 32 2493 37 6.87369e+06 237555 586450. 2029.24 1.41 0.129853 0.114122 25474 144626 -1 1784 21 1395 2195 169652 39337 3.11231 3.11231 -125.816 -3.11231 0 0 744469. 2576.02 0.35 0.08 0.17 -1 -1 0.35 0.0257252 0.022684 112 24 62 31 31 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 9.73 vpr 65.46 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34032 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 31 32 395 311 1 198 100 17 17 289 -1 unnamed_device 26.8 MiB 4.12 1111 16804 4758 10546 1500 65.5 MiB 0.19 0.00 3.52909 -121.169 -3.52909 3.52909 1.21 0.000664298 0.000597986 0.0490652 0.0440476 28 2887 23 6.87369e+06 517032 531479. 1839.03 1.73 0.152798 0.1353 24610 126494 -1 2606 27 2272 3710 322491 72703 4.2713 4.2713 -154.044 -4.2713 0 0 648988. 2245.63 0.29 0.13 0.14 -1 -1 0.29 0.0393635 0.0346026 152 59 62 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 8.05 vpr 65.49 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 34144 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67060 32 32 397 313 1 198 99 17 17 289 -1 unnamed_device 26.8 MiB 2.87 1115 14007 3900 9140 967 65.5 MiB 0.15 0.00 2.75195 -102.728 -2.75195 2.75195 1.15 0.000662198 0.000594898 0.0401201 0.0363394 28 3053 25 6.87369e+06 489084 531479. 1839.03 1.46 0.146253 0.129871 24610 126494 -1 2584 23 1896 3408 293409 64324 3.43816 3.43816 -131.927 -3.43816 0 0 648988. 2245.63 0.30 0.12 0.13 -1 -1 0.30 0.0360607 0.0319342 150 54 62 32 62 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 8.30 vpr 65.36 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33780 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 26.8 MiB 2.14 1010 12175 3600 7903 672 65.4 MiB 0.13 0.00 3.32249 -118.267 -3.32249 3.32249 1.14 0.00057701 0.000525162 0.0384819 0.0348865 34 3012 25 6.87369e+06 293451 618332. 2139.56 2.31 0.197779 0.174479 25762 151098 -1 2544 22 2149 3747 292261 69600 4.2603 4.2603 -160.112 -4.2603 0 0 787024. 2723.27 0.37 0.12 0.19 -1 -1 0.37 0.0333014 0.0296342 147 -1 128 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 12.50 vpr 65.50 MiB 0.03 7504 -1 -1 1 0.04 -1 -1 34016 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 32 32 424 343 1 195 100 17 17 289 -1 unnamed_device 26.8 MiB 5.99 986 18892 5672 10706 2514 65.5 MiB 0.20 0.00 2.84425 -101.991 -2.84425 2.84425 1.24 0.000705346 0.000638239 0.0603666 0.0544639 34 2629 23 6.87369e+06 503058 618332. 2139.56 2.39 0.224029 0.196513 25762 151098 -1 2255 21 1782 2766 211972 50572 3.11526 3.11526 -125.592 -3.11526 0 0 787024. 2723.27 0.37 0.10 0.19 -1 -1 0.37 0.0335504 0.0297715 148 81 25 25 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 13.83 vpr 65.52 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 33944 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67088 32 32 395 311 1 198 103 17 17 289 -1 unnamed_device 26.8 MiB 5.81 959 9984 2079 6911 994 65.5 MiB 0.12 0.00 2.85525 -99.3334 -2.85525 2.85525 1.25 0.000714605 0.000646683 0.0330305 0.0299831 28 2581 23 6.87369e+06 544980 531479. 1839.03 4.04 0.248032 0.216234 24610 126494 -1 2316 22 1663 2970 212899 50920 3.08226 3.08226 -127.374 -3.08226 0 0 648988. 2245.63 0.32 0.11 0.16 -1 -1 0.32 0.0342345 0.030337 152 58 64 32 60 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 9.95 vpr 65.48 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34232 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 405 318 1 201 104 17 17 289 -1 unnamed_device 26.7 MiB 4.23 1140 20112 6106 11491 2515 65.5 MiB 0.21 0.00 2.83325 -103.321 -2.83325 2.83325 1.29 0.000722824 0.000654831 0.0629264 0.0565797 32 3005 29 6.87369e+06 558954 586450. 2029.24 1.57 0.173456 0.153012 25474 144626 -1 2515 23 1972 3320 303945 65365 3.01346 3.01346 -125.06 -3.01346 0 0 744469. 2576.02 0.35 0.12 0.18 -1 -1 0.35 0.0346096 0.030438 156 61 63 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 7.58 vpr 65.73 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 34204 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67304 32 32 376 288 1 202 103 17 17 289 -1 unnamed_device 27.0 MiB 1.28 1142 20588 6044 12140 2404 65.7 MiB 0.21 0.00 3.32249 -120.378 -3.32249 3.32249 1.22 0.000624867 0.000563475 0.056048 0.0504871 32 3203 33 6.87369e+06 544980 586450. 2029.24 2.20 0.179821 0.157514 25474 144626 -1 2332 23 2038 3293 267526 62402 3.7844 3.7844 -149.451 -3.7844 0 0 744469. 2576.02 0.37 0.13 0.18 -1 -1 0.37 0.0374279 0.0332533 156 21 96 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 9.08 vpr 65.77 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 34228 -1 -1 41 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67352 32 32 407 319 1 202 105 17 17 289 -1 unnamed_device 27.0 MiB 3.97 1053 19124 5219 11243 2662 65.8 MiB 0.19 0.00 3.41479 -122.555 -3.41479 3.41479 1.14 0.000656274 0.000593807 0.0581397 0.0528386 28 2858 24 6.87369e+06 572927 531479. 1839.03 1.43 0.168189 0.149802 24610 126494 -1 2503 21 2054 3360 258934 59198 4.165 4.165 -162.47 -4.165 0 0 648988. 2245.63 0.31 0.12 0.14 -1 -1 0.31 0.037226 0.033145 157 50 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 13.24 vpr 65.59 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34228 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 31 32 449 367 1 195 100 17 17 289 -1 unnamed_device 26.8 MiB 6.09 1020 19124 5956 9970 3198 65.6 MiB 0.22 0.00 3.32679 -109.604 -3.32679 3.32679 1.28 0.000812482 0.000729403 0.065949 0.0594045 28 3253 40 6.87369e+06 517032 531479. 1839.03 3.03 0.189718 0.166235 24610 126494 -1 2516 21 1883 3354 286931 68015 3.8064 3.8064 -141.066 -3.8064 0 0 648988. 2245.63 0.33 0.13 0.16 -1 -1 0.33 0.0371998 0.0329889 150 110 0 0 122 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 14.40 vpr 65.50 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 34008 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 26.8 MiB 4.46 1062 15523 6293 6771 2459 65.5 MiB 0.17 0.00 3.38179 -118.928 -3.38179 3.38179 1.20 0.000663095 0.000596118 0.0590147 0.0531324 34 3176 27 6.87369e+06 293451 618332. 2139.56 5.90 0.306365 0.264845 25762 151098 -1 2510 23 1953 3544 296517 66175 3.9507 3.9507 -151.203 -3.9507 0 0 787024. 2723.27 0.38 0.13 0.19 -1 -1 0.38 0.0362269 0.0319141 145 86 32 32 94 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 6.00 vpr 65.25 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 33912 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 312 255 1 167 96 17 17 289 -1 unnamed_device 26.5 MiB 1.27 910 15207 4131 9370 1706 65.2 MiB 0.13 0.00 2.82225 -103.613 -2.82225 2.82225 1.11 0.000491183 0.000447006 0.0364729 0.0329874 32 2404 26 6.87369e+06 447163 586450. 2029.24 1.19 0.112391 0.0989522 25474 144626 -1 2068 19 1419 2254 199067 45372 2.95396 2.95396 -124.301 -2.95396 0 0 744469. 2576.02 0.33 0.09 0.16 -1 -1 0.33 0.026214 0.0233863 121 20 63 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 9.88 vpr 65.39 MiB 0.03 7304 -1 -1 1 0.04 -1 -1 33740 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 370 314 1 166 80 17 17 289 -1 unnamed_device 26.7 MiB 4.68 913 14700 5558 7061 2081 65.4 MiB 0.15 0.00 2.9366 -106.699 -2.9366 2.9366 1.20 0.000590484 0.000533773 0.0533988 0.0481061 30 2432 26 6.87369e+06 223581 556674. 1926.21 1.35 0.145153 0.12768 25186 138497 -1 1880 21 1177 1884 124363 28367 3.01516 3.01516 -124.409 -3.01516 0 0 706193. 2443.58 0.34 0.08 0.17 -1 -1 0.34 0.0294607 0.0259792 112 91 0 0 94 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 10.67 vpr 65.63 MiB 0.03 7524 -1 -1 1 0.05 -1 -1 34204 -1 -1 44 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67208 32 32 469 351 1 236 108 17 17 289 -1 unnamed_device 27.2 MiB 3.09 1287 19383 5417 10764 3202 65.6 MiB 0.22 0.00 3.99454 -135.36 -3.99454 3.99454 1.14 0.000786286 0.000713098 0.0588303 0.0532253 34 3739 26 6.87369e+06 614849 618332. 2139.56 3.52 0.265129 0.234767 25762 151098 -1 2833 23 2469 4252 368366 82303 4.86715 4.86715 -170.653 -4.86715 0 0 787024. 2723.27 0.38 0.15 0.19 -1 -1 0.38 0.0391798 0.0346075 189 53 96 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 9.97 vpr 65.53 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34172 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 368 284 1 198 99 17 17 289 -1 unnamed_device 26.9 MiB 4.11 988 18795 5684 10177 2934 65.5 MiB 0.19 0.00 2.87545 -103.568 -2.87545 2.87545 1.21 0.000658059 0.000595245 0.0552255 0.0495479 34 2357 23 6.87369e+06 489084 618332. 2139.56 1.92 0.189821 0.165345 25762 151098 -1 1875 21 1563 2308 144063 35132 2.88186 2.88186 -119.864 -2.88186 0 0 787024. 2723.27 0.36 0.08 0.19 -1 -1 0.36 0.0289606 0.0254684 150 31 92 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.84 vpr 64.80 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 33788 -1 -1 31 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 30 32 296 244 1 160 93 17 17 289 -1 unnamed_device 26.1 MiB 0.99 856 16473 5224 8613 2636 64.8 MiB 0.14 0.00 2.84425 -97.6974 -2.84425 2.84425 1.14 0.000496812 0.000439869 0.040904 0.0366778 28 2002 21 6.87369e+06 433189 531479. 1839.03 1.23 0.113428 0.0998166 24610 126494 -1 1833 18 1189 1785 144672 33091 2.95396 2.95396 -117.062 -2.95396 0 0 648988. 2245.63 0.30 0.07 0.14 -1 -1 0.30 0.022608 0.0200312 116 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 17.51 vpr 65.82 MiB 0.03 7652 -1 -1 1 0.04 -1 -1 34368 -1 -1 47 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 531 413 1 236 111 17 17 289 -1 unnamed_device 27.4 MiB 8.16 1066 12879 3218 8459 1202 65.8 MiB 0.15 0.00 3.99154 -133.476 -3.99154 3.99154 1.10 0.000828837 0.000755174 0.044012 0.0399444 32 3830 35 6.87369e+06 656770 586450. 2029.24 5.57 0.364107 0.319627 25474 144626 -1 2623 22 2566 4224 421542 97335 5.00335 5.00335 -179.131 -5.00335 0 0 744469. 2576.02 0.34 0.17 0.16 -1 -1 0.34 0.0470529 0.042128 190 109 32 32 128 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 10.05 vpr 65.51 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 34136 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67084 32 32 376 288 1 202 104 17 17 289 -1 unnamed_device 26.8 MiB 4.75 1006 19136 5460 10723 2953 65.5 MiB 0.18 0.00 3.43499 -121.273 -3.43499 3.43499 1.22 0.000612958 0.000553001 0.052216 0.0469648 32 2679 22 6.87369e+06 558954 586450. 2029.24 1.35 0.142476 0.125228 25474 144626 -1 2116 20 1810 2747 220440 49442 3.7811 3.7811 -148.342 -3.7811 0 0 744469. 2576.02 0.35 0.11 0.18 -1 -1 0.35 0.0322961 0.0287708 156 31 96 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 5.94 vpr 64.88 MiB 0.03 7012 -1 -1 1 0.04 -1 -1 33608 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 26.3 MiB 0.93 798 9865 2406 6573 886 64.9 MiB 0.10 0.00 2.85525 -100.353 -2.85525 2.85525 1.11 0.000507462 0.000461616 0.0236537 0.0214642 28 2330 23 6.87369e+06 461137 531479. 1839.03 1.48 0.104202 0.0921336 24610 126494 -1 2070 21 1609 2680 213357 50005 3.07926 3.07926 -127.492 -3.07926 0 0 648988. 2245.63 0.30 0.09 0.13 -1 -1 0.30 0.0255791 0.0226368 123 -1 96 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 13.07 vpr 65.59 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 34152 -1 -1 45 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 32 32 438 320 1 236 109 17 17 289 -1 unnamed_device 27.0 MiB 4.06 1173 21429 5899 12551 2979 65.6 MiB 0.22 0.00 3.97434 -135.836 -3.97434 3.97434 1.20 0.000696366 0.000626511 0.060617 0.0544899 30 3306 24 6.87369e+06 628823 556674. 1926.21 5.02 0.295664 0.25679 25186 138497 -1 2539 21 2193 3869 264962 59095 4.18235 4.18235 -160.359 -4.18235 0 0 706193. 2443.58 0.33 0.11 0.17 -1 -1 0.33 0.0338475 0.0299772 189 26 128 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 7.08 vpr 64.98 MiB 0.03 6792 -1 -1 1 0.04 -1 -1 33896 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.6 MiB 1.45 777 10400 3077 6518 805 65.0 MiB 0.10 0.00 3.0246 -107.986 -3.0246 3.0246 1.12 0.000514049 0.000467731 0.0306325 0.0278411 34 2300 23 6.87369e+06 223581 618332. 2139.56 1.94 0.15524 0.136443 25762 151098 -1 1823 19 1454 2386 175246 41388 3.08856 3.08856 -124.976 -3.08856 0 0 787024. 2723.27 0.38 0.09 0.19 -1 -1 0.38 0.0269768 0.0240057 114 -1 96 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 8.97 vpr 64.66 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 34008 -1 -1 33 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 30 32 296 244 1 162 95 17 17 289 -1 unnamed_device 26.1 MiB 3.25 847 14999 4547 8104 2348 64.7 MiB 0.13 0.00 2.75195 -95.6698 -2.75195 2.75195 1.11 0.000487416 0.000442841 0.0357967 0.0323951 26 2284 23 6.87369e+06 461137 503264. 1741.40 2.19 0.119932 0.106094 24322 120374 -1 2076 25 1649 2760 229967 51815 3.09656 3.09656 -123.758 -3.09656 0 0 618332. 2139.56 0.29 0.10 0.13 -1 -1 0.29 0.0289885 0.025565 118 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 10.28 vpr 65.57 MiB 0.03 7508 -1 -1 1 0.04 -1 -1 34068 -1 -1 35 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67140 29 32 393 319 1 186 96 17 17 289 -1 unnamed_device 26.8 MiB 5.08 959 10170 2412 6830 928 65.6 MiB 0.12 0.00 2.81125 -89.2201 -2.81125 2.81125 1.26 0.000753771 0.000689445 0.0357576 0.0323328 30 2481 22 6.87369e+06 489084 556674. 1926.21 1.27 0.121551 0.106496 25186 138497 -1 1891 22 1145 2144 125586 29915 3.04956 3.04956 -110.16 -3.04956 0 0 706193. 2443.58 0.34 0.08 0.17 -1 -1 0.34 0.0298466 0.0262299 141 81 29 29 85 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 11.80 vpr 65.43 MiB 0.03 7376 -1 -1 1 0.04 -1 -1 33948 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 26.8 MiB 3.33 899 11617 2607 8397 613 65.4 MiB 0.14 0.00 3.36169 -116.932 -3.36169 3.36169 1.23 0.000765968 0.000699022 0.0473258 0.0430041 34 2783 21 6.87369e+06 293451 618332. 2139.56 4.44 0.261077 0.228757 25762 151098 -1 2040 22 2231 3334 218063 55278 3.8064 3.8064 -151.207 -3.8064 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.0340095 0.0300254 147 53 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 13.14 vpr 65.67 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 34280 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.9 MiB 6.02 906 18666 6095 9245 3326 65.7 MiB 0.20 0.00 3.40379 -116.982 -3.40379 3.40379 1.24 0.000743888 0.000675792 0.0590729 0.0535659 34 3188 44 6.87369e+06 517032 618332. 2139.56 3.04 0.255012 0.224533 25762 151098 -1 2184 24 2121 3563 267131 65902 3.9957 3.9957 -149.951 -3.9957 0 0 787024. 2723.27 0.37 0.12 0.19 -1 -1 0.37 0.036671 0.0324819 155 55 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 9.83 vpr 65.30 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 34036 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 345 287 1 168 97 17 17 289 -1 unnamed_device 26.5 MiB 4.68 820 18079 6173 9267 2639 65.3 MiB 0.16 0.00 2.88825 -103.968 -2.88825 2.88825 1.19 0.000563945 0.000507488 0.0484422 0.0435908 30 2354 21 6.87369e+06 461137 556674. 1926.21 1.29 0.126324 0.110738 25186 138497 -1 1723 24 1329 2122 135719 32214 2.97596 2.97596 -122.02 -2.97596 0 0 706193. 2443.58 0.33 0.09 0.17 -1 -1 0.33 0.0319264 0.0282348 123 55 32 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 13.52 vpr 65.17 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 34116 -1 -1 18 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 31 32 353 302 1 160 81 17 17 289 -1 unnamed_device 26.5 MiB 5.33 919 15131 5160 8055 1916 65.2 MiB 0.15 0.00 2.9476 -103.694 -2.9476 2.9476 1.12 0.000550753 0.000501299 0.0514858 0.0468374 36 1962 21 6.87369e+06 251529 648988. 2245.63 4.50 0.240981 0.210304 26050 158493 -1 1764 16 937 1690 114776 26767 3.01831 3.01831 -117.34 -3.01831 0 0 828058. 2865.25 0.39 0.06 0.18 -1 -1 0.39 0.0235367 0.0209638 108 82 0 0 89 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 8.92 vpr 65.62 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 33952 -1 -1 34 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67192 30 32 374 297 1 189 96 17 17 289 -1 unnamed_device 27.0 MiB 4.15 930 11484 3144 7338 1002 65.6 MiB 0.12 0.00 2.83325 -94.1383 -2.83325 2.83325 1.11 0.00058408 0.000524114 0.0329511 0.0297731 28 2345 23 6.87369e+06 475111 531479. 1839.03 1.16 0.123421 0.108643 24610 126494 -1 2115 22 1556 2428 174109 42496 2.96796 2.96796 -119.177 -2.96796 0 0 648988. 2245.63 0.31 0.09 0.15 -1 -1 0.31 0.0293936 0.0256192 143 52 60 30 57 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 7.76 vpr 65.12 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 34088 -1 -1 35 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 28 32 332 260 1 180 95 17 17 289 -1 unnamed_device 26.5 MiB 2.29 922 15431 4411 8454 2566 65.1 MiB 0.15 0.00 3.34879 -104.314 -3.34879 3.34879 1.22 0.000566606 0.000512992 0.0438759 0.0397576 32 2516 36 6.87369e+06 489084 586450. 2029.24 1.54 0.145683 0.128954 25474 144626 -1 1941 22 1515 2481 208822 46654 3.9327 3.9327 -131.204 -3.9327 0 0 744469. 2576.02 0.36 0.09 0.19 -1 -1 0.36 0.0284373 0.025018 139 20 84 28 28 28 +fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 8.38 vpr 64.68 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34040 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 30 32 325 273 1 161 80 17 17 289 -1 unnamed_device 26.2 MiB 3.58 831 11260 4335 5899 1026 64.7 MiB 0.11 0.00 2.9806 -103.125 -2.9806 2.9806 1.10 0.000517033 0.000470174 0.0348095 0.0315512 30 2147 20 6.87369e+06 251529 556674. 1926.21 1.18 0.109491 0.0964241 25186 138497 -1 1736 24 1272 2160 139584 32080 2.97426 2.97426 -117.913 -2.97426 0 0 706193. 2443.58 0.34 0.08 0.17 -1 -1 0.34 0.0287992 0.0253303 110 58 30 30 60 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 10.73 vpr 64.88 MiB 0.03 7280 -1 -1 1 0.04 -1 -1 33908 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 361 308 1 163 81 17 17 289 -1 unnamed_device 26.4 MiB 4.88 993 14256 4532 8202 1522 64.9 MiB 0.14 0.00 2.8626 -100.833 -2.8626 2.8626 1.21 0.000578629 0.000521227 0.0500671 0.0451638 34 2339 20 6.87369e+06 237555 618332. 2139.56 1.92 0.179327 0.15624 25762 151098 -1 1965 22 1256 2079 154050 35596 2.77101 2.77101 -117.445 -2.77101 0 0 787024. 2723.27 0.37 0.08 0.19 -1 -1 0.37 0.0284177 0.0248902 110 88 0 0 91 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 6.45 vpr 65.00 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 34064 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 26.6 MiB 1.27 1122 12860 3357 8527 976 65.0 MiB 0.13 0.00 3.31149 -115.679 -3.31149 3.31149 1.12 0.000583651 0.000529182 0.0329179 0.0297899 28 2819 21 6.87369e+06 517032 531479. 1839.03 1.47 0.121286 0.107378 24610 126494 -1 2538 21 1865 2994 232347 54166 4.154 4.154 -154.134 -4.154 0 0 648988. 2245.63 0.30 0.11 0.15 -1 -1 0.30 0.0304624 0.0270605 151 -1 124 31 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 13.62 vpr 65.42 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 34320 -1 -1 38 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66992 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 26.7 MiB 6.13 906 17476 5430 9168 2878 65.4 MiB 0.18 0.00 3.41479 -117.207 -3.41479 3.41479 1.20 0.000670602 0.000608412 0.0537133 0.0486785 34 3092 48 6.87369e+06 531006 618332. 2139.56 3.52 0.218694 0.192648 25762 151098 -1 2286 23 2094 3533 277056 67546 4.143 4.143 -153.08 -4.143 0 0 787024. 2723.27 0.35 0.12 0.17 -1 -1 0.35 0.0363873 0.032281 156 57 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 11.87 vpr 65.23 MiB 0.03 7160 -1 -1 1 0.04 -1 -1 33932 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.5 MiB 5.74 1142 14671 4032 9052 1587 65.2 MiB 0.16 0.00 3.40379 -123.122 -3.40379 3.40379 1.20 0.000654302 0.000583985 0.043642 0.0393178 28 3204 36 6.87369e+06 517032 531479. 1839.03 2.26 0.153461 0.134498 24610 126494 -1 2668 20 1957 3260 274328 62480 4.0067 4.0067 -156.626 -4.0067 0 0 648988. 2245.63 0.32 0.12 0.16 -1 -1 0.32 0.0333749 0.0296346 155 62 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 10.75 vpr 65.43 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 34052 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 399 315 1 198 103 17 17 289 -1 unnamed_device 26.8 MiB 4.59 1153 19865 5993 11336 2536 65.4 MiB 0.20 0.00 3.33779 -116.467 -3.33779 3.33779 1.20 0.000683211 0.000573704 0.0564856 0.050721 28 2848 27 6.87369e+06 544980 531479. 1839.03 2.19 0.157367 0.13847 24610 126494 -1 2494 24 1991 3485 276295 63355 3.972 3.972 -147.085 -3.972 0 0 648988. 2245.63 0.31 0.12 0.15 -1 -1 0.31 0.0346473 0.0305005 152 62 60 30 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 9.05 vpr 65.02 MiB 0.03 6984 -1 -1 1 0.04 -1 -1 33496 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 30 32 296 244 1 160 81 17 17 289 -1 unnamed_device 26.6 MiB 3.79 732 15131 5119 7935 2077 65.0 MiB 0.14 0.00 2.9806 -100.661 -2.9806 2.9806 1.22 0.00052345 0.000473131 0.0465769 0.042082 32 2201 41 6.87369e+06 265503 586450. 2029.24 1.41 0.138555 0.12177 25474 144626 -1 1742 22 1337 2149 181517 42899 3.07131 3.07131 -122.27 -3.07131 0 0 744469. 2576.02 0.35 0.08 0.18 -1 -1 0.35 0.0253334 0.0222222 110 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 10.27 vpr 65.45 MiB 0.03 7232 -1 -1 1 0.04 -1 -1 34080 -1 -1 23 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 30 32 383 303 1 192 85 17 17 289 -1 unnamed_device 26.8 MiB 4.55 1002 14407 4631 7512 2264 65.5 MiB 0.14 0.00 3.36289 -115.283 -3.36289 3.36289 1.13 0.000565503 0.000511213 0.0475139 0.0429293 34 2445 23 6.87369e+06 321398 618332. 2139.56 2.03 0.2101 0.184403 25762 151098 -1 2016 19 1712 2619 197281 44305 3.7591 3.7591 -143.39 -3.7591 0 0 787024. 2723.27 0.35 0.09 0.16 -1 -1 0.35 0.0286493 0.0254333 140 58 60 30 60 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 15.67 vpr 65.56 MiB 0.03 7420 -1 -1 1 0.05 -1 -1 34028 -1 -1 43 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 469 381 1 202 107 17 17 289 -1 unnamed_device 27.0 MiB 7.32 1147 19335 4886 12439 2010 65.6 MiB 0.20 0.00 3.55109 -125.705 -3.55109 3.55109 1.16 0.000714069 0.000648841 0.0559711 0.0506336 34 2794 22 6.87369e+06 600875 618332. 2139.56 4.43 0.286696 0.25223 25762 151098 -1 2424 25 2156 3454 279239 63346 3.7971 3.7971 -146.357 -3.7971 0 0 787024. 2723.27 0.37 0.14 0.19 -1 -1 0.37 0.0431597 0.0381964 158 106 0 0 128 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 9.65 vpr 65.66 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 34064 -1 -1 33 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 31 32 425 341 1 197 96 17 17 289 -1 unnamed_device 27.0 MiB 1.70 973 11046 2535 7785 726 65.7 MiB 0.13 0.00 3.40379 -115.856 -3.40379 3.40379 1.11 0.000680974 0.000617315 0.0359442 0.0323623 34 2655 24 6.87369e+06 461137 618332. 2139.56 4.26 0.299129 0.261735 25762 151098 -1 2117 23 2160 3581 219242 57093 4.057 4.057 -145.828 -4.057 0 0 787024. 2723.27 0.35 0.11 0.16 -1 -1 0.35 0.0390065 0.0346288 149 79 31 31 93 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 8.79 vpr 65.38 MiB 0.03 7552 -1 -1 1 0.04 -1 -1 34128 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 30 32 404 328 1 188 94 17 17 289 -1 unnamed_device 26.8 MiB 3.45 860 17560 5576 8756 3228 65.4 MiB 0.18 0.00 2.82225 -92.1598 -2.82225 2.82225 1.19 0.000646771 0.000574231 0.0550474 0.0493782 30 2297 22 6.87369e+06 447163 556674. 1926.21 1.48 0.144214 0.126339 25186 138497 -1 1587 21 1278 2055 111198 28491 2.77666 2.77666 -109.579 -2.77666 0 0 706193. 2443.58 0.33 0.07 0.17 -1 -1 0.33 0.0289496 0.0254655 141 83 26 26 90 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 13.27 vpr 65.64 MiB 0.03 7384 -1 -1 1 0.04 -1 -1 33936 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67212 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 26.9 MiB 6.94 1083 14965 4487 8867 1611 65.6 MiB 0.17 0.00 3.32249 -123.566 -3.32249 3.32249 1.20 0.000646037 0.000582778 0.0549037 0.0494706 34 2955 23 6.87369e+06 293451 618332. 2139.56 2.35 0.211355 0.184514 25762 151098 -1 2570 21 2120 3672 305806 68769 4.132 4.132 -158.666 -4.132 0 0 787024. 2723.27 0.36 0.12 0.19 -1 -1 0.36 0.0320374 0.0282791 147 58 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 8.10 vpr 65.17 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 34100 -1 -1 36 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 29 32 387 316 1 181 97 17 17 289 -1 unnamed_device 26.5 MiB 3.14 933 18745 5615 10170 2960 65.2 MiB 0.18 0.00 2.83325 -92.5557 -2.83325 2.83325 1.12 0.000591424 0.000536102 0.0504984 0.0453992 32 2480 20 6.87369e+06 503058 586450. 2029.24 1.19 0.133089 0.116885 25474 144626 -1 2064 22 1697 2783 235351 54646 3.01626 3.01626 -111.575 -3.01626 0 0 744469. 2576.02 0.35 0.11 0.18 -1 -1 0.35 0.0324115 0.0286516 138 81 26 26 85 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 6.88 vpr 64.80 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 33852 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.3 MiB 0.95 884 12980 3741 7931 1308 64.8 MiB 0.12 0.00 2.9586 -110.092 -2.9586 2.9586 1.26 0.000523371 0.000475344 0.0410341 0.0372343 34 2313 20 6.87369e+06 223581 618332. 2139.56 2.00 0.160728 0.140736 25762 151098 -1 1954 23 1469 2239 185927 40782 3.04931 3.04931 -130.188 -3.04931 0 0 787024. 2723.27 0.37 0.09 0.19 -1 -1 0.37 0.0262751 0.0231008 114 -1 96 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 13.21 vpr 65.38 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 33984 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.7 MiB 6.96 1193 12086 2795 8192 1099 65.4 MiB 0.13 0.00 3.41479 -123.887 -3.41479 3.41479 1.23 0.000692327 0.000626748 0.0377747 0.0341181 32 3256 37 6.87369e+06 517032 586450. 2029.24 2.27 0.181727 0.158584 25474 144626 -1 2561 22 2002 3148 341805 74327 4.0287 4.0287 -156.738 -4.0287 0 0 744469. 2576.02 0.35 0.14 0.18 -1 -1 0.35 0.0332976 0.0293514 155 62 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 11.68 vpr 65.47 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 34104 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 26.8 MiB 5.70 1115 15337 4738 8799 1800 65.5 MiB 0.17 0.00 3.36169 -124.074 -3.36169 3.36169 1.13 0.000602878 0.000546111 0.0550857 0.0499436 34 2824 21 6.87369e+06 293451 618332. 2139.56 2.15 0.220741 0.194498 25762 151098 -1 2399 19 1903 3053 246549 53504 3.9207 3.9207 -156.05 -3.9207 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.033243 0.0296061 147 62 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 10.27 vpr 65.25 MiB 0.02 6976 -1 -1 1 0.04 -1 -1 34004 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 26.5 MiB 4.91 882 16921 5326 9162 2433 65.2 MiB 0.14 0.00 2.71895 -96.9431 -2.71895 2.71895 1.12 0.000482777 0.000436577 0.0410048 0.0370557 34 1966 22 6.87369e+06 419215 618332. 2139.56 1.74 0.156794 0.137091 25762 151098 -1 1735 22 1312 2110 155984 36923 2.79766 2.79766 -113.164 -2.79766 0 0 787024. 2723.27 0.35 0.08 0.16 -1 -1 0.35 0.0264223 0.0233644 112 47 32 32 54 27 +fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 6.38 vpr 64.55 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 34048 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 26.2 MiB 1.33 869 12636 4520 6006 2110 64.5 MiB 0.12 0.00 2.9916 -107.452 -2.9916 2.9916 1.18 0.000488812 0.000441127 0.0384724 0.0347757 32 2293 23 6.87369e+06 237555 586450. 2029.24 1.28 0.108857 0.0954893 25474 144626 -1 1863 20 1391 2178 155310 36240 3.08231 3.08231 -126.456 -3.08231 0 0 744469. 2576.02 0.36 0.08 0.18 -1 -1 0.36 0.0234089 0.0206879 112 -1 93 31 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 9.65 vpr 65.44 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 33644 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67012 32 32 381 303 1 194 99 17 17 289 -1 unnamed_device 26.8 MiB 4.32 987 19251 5929 10746 2576 65.4 MiB 0.19 0.00 3.41299 -116.064 -3.41299 3.41299 1.24 0.000646106 0.000584447 0.0579522 0.0522926 32 2742 25 6.87369e+06 489084 586450. 2029.24 1.32 0.150618 0.132865 25474 144626 -1 2167 22 1790 2645 220421 50449 3.7824 3.7824 -139.483 -3.7824 0 0 744469. 2576.02 0.35 0.10 0.18 -1 -1 0.35 0.0305788 0.0269193 144 56 60 32 58 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 7.15 vpr 65.48 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34124 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 32 32 406 330 1 191 97 17 17 289 -1 unnamed_device 26.8 MiB 1.77 922 10975 2473 6923 1579 65.5 MiB 0.10 0.00 3.40379 -111.965 -3.40379 3.40379 1.20 0.000587076 0.000531279 0.0319452 0.028882 30 2672 28 6.87369e+06 461137 556674. 1926.21 1.54 0.137827 0.12058 25186 138497 -1 1882 22 1507 2521 139023 36543 3.6701 3.6701 -134.502 -3.6701 0 0 706193. 2443.58 0.33 0.08 0.17 -1 -1 0.33 0.0309617 0.027185 142 81 28 28 88 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 8.21 vpr 65.41 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 34196 -1 -1 41 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 26.9 MiB 1.40 1232 12455 3138 8098 1219 65.4 MiB 0.15 0.00 3.96154 -133.42 -3.96154 3.96154 1.20 0.000701333 0.000635141 0.0369942 0.0333039 34 3267 24 6.87369e+06 572927 618332. 2139.56 2.84 0.199087 0.173972 25762 151098 -1 2621 23 2163 3416 254375 57882 4.70875 4.70875 -168.367 -4.70875 0 0 787024. 2723.27 0.36 0.12 0.19 -1 -1 0.36 0.0355596 0.031443 183 -1 156 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 9.31 vpr 65.43 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34280 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 30 32 371 295 1 188 94 17 17 289 -1 unnamed_device 26.9 MiB 3.69 974 17560 5334 9519 2707 65.4 MiB 0.17 0.00 2.83325 -97.4951 -2.83325 2.83325 1.12 0.000553969 0.000495606 0.0525553 0.0473641 34 2487 22 6.87369e+06 447163 618332. 2139.56 1.94 0.196005 0.172022 25762 151098 -1 1949 22 1861 3013 189003 47050 2.96796 2.96796 -115.448 -2.96796 0 0 787024. 2723.27 0.35 0.09 0.16 -1 -1 0.35 0.0301845 0.0266368 141 47 60 30 56 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 6.28 vpr 64.90 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 34028 -1 -1 20 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 27 32 269 226 1 145 79 17 17 289 -1 unnamed_device 26.3 MiB 1.17 655 12585 5280 6438 867 64.9 MiB 0.11 0.00 3.0601 -89.8127 -3.0601 3.0601 1.23 0.000481684 0.00043444 0.0380115 0.0343864 32 1771 23 6.87369e+06 279477 586450. 2029.24 1.26 0.107153 0.0943708 25474 144626 -1 1402 21 1182 1690 149973 33558 2.99431 2.99431 -105.296 -2.99431 0 0 744469. 2576.02 0.35 0.07 0.18 -1 -1 0.35 0.0224316 0.0197106 102 26 54 27 27 27 +fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 10.34 vpr 66.03 MiB 0.03 7572 -1 -1 1 0.04 -1 -1 34224 -1 -1 42 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67616 32 32 493 378 1 233 106 17 17 289 -1 unnamed_device 27.3 MiB 3.62 1373 12356 2983 8475 898 66.0 MiB 0.15 0.00 3.39279 -120.472 -3.39279 3.39279 1.14 0.000931204 0.000836802 0.0416328 0.0376697 28 4176 39 6.87369e+06 586901 531479. 1839.03 2.98 0.194333 0.172486 24610 126494 -1 3369 22 2400 4208 383712 82942 4.118 4.118 -153.014 -4.118 0 0 648988. 2245.63 0.30 0.15 0.14 -1 -1 0.30 0.0423737 0.0375729 184 85 62 31 95 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 11.16 vpr 65.81 MiB 0.03 7604 -1 -1 1 0.04 -1 -1 33836 -1 -1 23 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 31 32 455 371 1 199 86 17 17 289 -1 unnamed_device 27.0 MiB 5.16 1041 15962 6227 8261 1474 65.8 MiB 0.17 0.00 3.97274 -128.634 -3.97274 3.97274 1.11 0.000776551 0.000698871 0.0574265 0.0520343 34 2673 23 6.87369e+06 321398 618332. 2139.56 2.14 0.222837 0.195145 25762 151098 -1 2294 23 1624 2517 187816 44421 4.38915 4.38915 -157.257 -4.38915 0 0 787024. 2723.27 0.35 0.11 0.19 -1 -1 0.35 0.0370642 0.0327235 144 105 0 0 124 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 10.28 vpr 65.02 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 33816 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 32 32 355 304 1 162 80 17 17 289 -1 unnamed_device 26.3 MiB 4.54 877 14356 5084 7335 1937 65.0 MiB 0.15 0.00 3.8283 -108.62 -3.8283 3.8283 1.17 0.000607903 0.000543813 0.0522958 0.0468247 34 2252 24 6.87369e+06 223581 618332. 2139.56 2.05 0.185267 0.161384 25762 151098 -1 1902 13 789 1181 101424 23397 3.18321 3.18321 -123.776 -3.18321 0 0 787024. 2723.27 0.34 0.05 0.16 -1 -1 0.34 0.0194828 0.017437 107 86 0 0 89 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 6.47 vpr 65.59 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34088 -1 -1 34 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 32 32 364 282 1 196 98 17 17 289 -1 unnamed_device 26.9 MiB 1.36 1109 14273 4195 8974 1104 65.6 MiB 0.14 0.00 3.30669 -118.161 -3.30669 3.30669 1.12 0.000668958 0.000612127 0.0399098 0.0361275 28 2975 24 6.87369e+06 475111 531479. 1839.03 1.49 0.140042 0.12437 24610 126494 -1 2631 23 1851 2690 257018 57384 4.135 4.135 -150.061 -4.135 0 0 648988. 2245.63 0.30 0.11 0.14 -1 -1 0.30 0.0346631 0.0308014 147 31 90 30 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 8.13 vpr 65.73 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34024 -1 -1 40 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67308 31 32 443 336 1 224 103 17 17 289 -1 unnamed_device 27.2 MiB 2.78 1157 19142 5402 10918 2822 65.7 MiB 0.21 0.00 3.42399 -118.311 -3.42399 3.42399 1.23 0.000709786 0.000641447 0.0610762 0.0552823 32 3279 26 6.87369e+06 558954 586450. 2029.24 1.41 0.17222 0.152112 25474 144626 -1 2486 22 1904 2787 212511 48920 3.7701 3.7701 -142.537 -3.7701 0 0 744469. 2576.02 0.33 0.11 0.15 -1 -1 0.33 0.0373721 0.033149 176 50 87 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 8.43 vpr 65.60 MiB 0.03 7324 -1 -1 1 0.04 -1 -1 34236 -1 -1 36 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 30 32 373 297 1 188 98 17 17 289 -1 unnamed_device 27.0 MiB 2.85 1022 17423 5214 9375 2834 65.6 MiB 0.18 0.00 2.78925 -94.8725 -2.78925 2.78925 1.22 0.000597013 0.000537013 0.0506406 0.045589 28 2742 20 6.87369e+06 503058 531479. 1839.03 1.71 0.133004 0.116754 24610 126494 -1 2324 24 1798 3038 247651 56036 2.94296 2.94296 -116.681 -2.94296 0 0 648988. 2245.63 0.31 0.11 0.16 -1 -1 0.31 0.032106 0.0281392 144 50 58 30 58 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 10.37 vpr 65.69 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 33840 -1 -1 46 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67268 32 32 407 319 1 202 110 17 17 289 -1 unnamed_device 26.9 MiB 3.74 986 11682 2804 7883 995 65.7 MiB 0.13 0.00 3.32249 -113.143 -3.32249 3.32249 1.22 0.000674917 0.000609277 0.0325573 0.0292914 28 3027 31 6.87369e+06 642796 531479. 1839.03 2.73 0.136204 0.118908 24610 126494 -1 2431 26 2203 3632 338236 72733 4.1933 4.1933 -151.535 -4.1933 0 0 648988. 2245.63 0.31 0.14 0.15 -1 -1 0.31 0.0376888 0.0330074 160 61 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 9.68 vpr 65.68 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 33932 -1 -1 42 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 405 318 1 201 106 17 17 289 -1 unnamed_device 27.0 MiB 4.07 1072 19606 5850 10926 2830 65.7 MiB 0.18 0.00 2.89925 -105.07 -2.89925 2.89925 1.14 0.000592647 0.000531772 0.0529696 0.0479344 32 2889 49 6.87369e+06 586901 586450. 2029.24 1.92 0.202717 0.178095 25474 144626 -1 2324 23 1766 2682 212840 48014 3.04026 3.04026 -128.834 -3.04026 0 0 744469. 2576.02 0.33 0.10 0.16 -1 -1 0.33 0.0324075 0.0285237 157 61 63 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 8.22 vpr 64.62 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 33984 -1 -1 19 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 29 32 287 238 1 155 80 17 17 289 -1 unnamed_device 26.2 MiB 2.54 752 13668 5257 6397 2014 64.6 MiB 0.12 0.00 2.9256 -97.8367 -2.9256 2.9256 1.21 0.000492002 0.000444401 0.0414796 0.0375084 34 1783 23 6.87369e+06 265503 618332. 2139.56 1.85 0.156274 0.135789 25762 151098 -1 1563 20 1176 1694 119490 27402 3.26691 3.26691 -118.507 -3.26691 0 0 787024. 2723.27 0.36 0.07 0.19 -1 -1 0.36 0.0245953 0.0218181 107 28 58 29 29 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 8.40 vpr 65.25 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34076 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 334 290 1 156 81 17 17 289 -1 unnamed_device 26.8 MiB 3.19 909 11631 2934 7259 1438 65.3 MiB 0.10 0.00 3.34714 -99.6011 -3.34714 3.34714 1.09 0.000515522 0.000466921 0.0361924 0.0327595 34 1944 21 6.87369e+06 237555 618332. 2139.56 1.70 0.15395 0.134311 25762 151098 -1 1711 16 836 1256 98604 22408 3.01526 3.01526 -118.608 -3.01526 0 0 787024. 2723.27 0.34 0.06 0.16 -1 -1 0.34 0.0227208 0.0202957 102 79 0 0 82 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 8.74 vpr 65.69 MiB 0.03 7332 -1 -1 1 0.04 -1 -1 33784 -1 -1 39 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67264 31 32 365 281 1 197 102 17 17 289 -1 unnamed_device 27.0 MiB 2.74 1154 20094 6021 11708 2365 65.7 MiB 0.20 0.00 3.31149 -115.219 -3.31149 3.31149 1.19 0.000597683 0.000537387 0.0537217 0.048367 28 2885 27 6.87369e+06 544980 531479. 1839.03 2.12 0.145394 0.127825 24610 126494 -1 2533 23 1953 3282 273229 58589 4.024 4.024 -147.051 -4.024 0 0 648988. 2245.63 0.31 0.11 0.15 -1 -1 0.31 0.0308585 0.0271453 152 29 93 31 31 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 10.01 vpr 64.90 MiB 0.02 7192 -1 -1 1 0.04 -1 -1 34008 -1 -1 32 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 29 32 297 254 1 148 93 17 17 289 -1 unnamed_device 26.4 MiB 4.70 774 17523 6697 8650 2176 64.9 MiB 0.15 0.00 2.76725 -88.0741 -2.76725 2.76725 1.16 0.000505224 0.000457881 0.0440861 0.0398261 26 2095 24 6.87369e+06 447163 503264. 1741.40 1.65 0.127831 0.112762 24322 120374 -1 1806 22 1307 2168 184155 42005 3.06356 3.06356 -110.538 -3.06356 0 0 618332. 2139.56 0.29 0.09 0.13 -1 -1 0.29 0.0254735 0.0224007 108 48 29 29 52 26 +fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 10.90 vpr 64.96 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 34052 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 4.76 917 13152 5075 7153 924 65.0 MiB 0.14 0.00 2.9586 -109.476 -2.9586 2.9586 1.19 0.000588046 0.000521932 0.0453961 0.040935 34 2499 24 6.87369e+06 223581 618332. 2139.56 2.32 0.187896 0.164719 25762 151098 -1 2117 24 1756 2863 261058 57337 3.21856 3.21856 -131.773 -3.21856 0 0 787024. 2723.27 0.36 0.11 0.18 -1 -1 0.36 0.02913 0.0256153 114 31 64 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 9.08 vpr 65.69 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34124 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67268 31 32 387 307 1 193 98 17 17 289 -1 unnamed_device 27.0 MiB 3.58 969 16523 4993 8632 2898 65.7 MiB 0.15 0.00 2.88345 -102.538 -2.88345 2.88345 1.13 0.00061196 0.000556554 0.0472986 0.0426696 34 2284 20 6.87369e+06 489084 618332. 2139.56 1.81 0.186169 0.162359 25762 151098 -1 1912 23 1847 2788 184123 44380 2.98226 2.98226 -119.172 -2.98226 0 0 787024. 2723.27 0.34 0.09 0.16 -1 -1 0.34 0.0336083 0.0297479 146 60 58 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 9.15 vpr 64.91 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33616 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 31 32 308 262 1 154 79 17 17 289 -1 unnamed_device 26.5 MiB 4.25 875 9036 2508 5797 731 64.9 MiB 0.09 0.00 2.63557 -93.8447 -2.63557 2.63557 1.16 0.000494768 0.000450775 0.0285995 0.0260319 32 2180 21 6.87369e+06 223581 586450. 2029.24 1.14 0.0967649 0.0851819 25474 144626 -1 1898 21 1264 2092 178524 39627 3.08556 3.08556 -118.026 -3.08556 0 0 744469. 2576.02 0.36 0.08 0.18 -1 -1 0.36 0.0257144 0.0225793 103 49 31 31 53 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 9.34 vpr 65.54 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 34032 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 383 307 1 190 101 17 17 289 -1 unnamed_device 26.9 MiB 4.00 1006 13496 3495 8660 1341 65.5 MiB 0.14 0.00 2.77825 -97.8509 -2.77825 2.77825 1.15 0.000652353 0.000590171 0.0383382 0.0344919 26 2553 44 6.87369e+06 517032 503264. 1741.40 1.73 0.17134 0.151288 24322 120374 -1 2339 21 1341 2295 189688 42399 3.03826 3.03826 -120.934 -3.03826 0 0 618332. 2139.56 0.28 0.09 0.13 -1 -1 0.28 0.0321174 0.0284897 143 56 52 26 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 10.77 vpr 65.70 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 33716 -1 -1 39 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67272 31 32 422 339 1 196 102 17 17 289 -1 unnamed_device 26.9 MiB 4.99 887 10812 2512 7033 1267 65.7 MiB 0.13 0.00 2.86625 -96.6486 -2.86625 2.86625 1.22 0.000722483 0.000650324 0.0356732 0.0319974 26 2910 41 6.87369e+06 544980 503264. 1741.40 2.03 0.152769 0.133048 24322 120374 -1 2289 23 2106 3191 285019 67378 3.33286 3.33286 -129.23 -3.33286 0 0 618332. 2139.56 0.28 0.12 0.13 -1 -1 0.28 0.0376717 0.0332677 151 88 31 31 92 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 9.75 vpr 64.93 MiB 0.02 6912 -1 -1 1 0.04 -1 -1 34020 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 333 279 1 164 81 17 17 289 -1 unnamed_device 26.2 MiB 3.85 954 13731 4500 7469 1762 64.9 MiB 0.14 0.00 2.62457 -96.4915 -2.62457 2.62457 1.23 0.000589008 0.000535215 0.0475956 0.0431515 34 2275 22 6.87369e+06 237555 618332. 2139.56 1.98 0.178746 0.156458 25762 151098 -1 1939 18 1169 1854 138410 32380 2.90726 2.90726 -117.999 -2.90726 0 0 787024. 2723.27 0.38 0.08 0.19 -1 -1 0.38 0.0263643 0.0235717 110 54 32 32 60 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 10.20 vpr 65.20 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 33752 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 339 283 1 166 80 17 17 289 -1 unnamed_device 26.5 MiB 4.85 941 14012 4418 8237 1357 65.2 MiB 0.14 0.00 2.9366 -107.678 -2.9366 2.9366 1.24 0.000584594 0.000529594 0.0490391 0.0443321 32 2663 29 6.87369e+06 223581 586450. 2029.24 1.39 0.139831 0.123451 25474 144626 -1 2136 21 1414 2307 214645 46758 3.07126 3.07126 -129.441 -3.07126 0 0 744469. 2576.02 0.37 0.10 0.18 -1 -1 0.37 0.0317664 0.0281895 112 60 32 32 62 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 9.98 vpr 65.70 MiB 0.03 7376 -1 -1 1 0.04 -1 -1 34340 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 407 319 1 202 104 17 17 289 -1 unnamed_device 27.0 MiB 4.07 917 17428 4888 9797 2743 65.7 MiB 0.16 0.00 3.41299 -117.867 -3.41299 3.41299 1.11 0.000592839 0.000538396 0.0461725 0.0418237 34 2585 23 6.87369e+06 558954 618332. 2139.56 2.21 0.216481 0.191046 25762 151098 -1 2053 23 2125 3390 224920 54531 4.1763 4.1763 -147.025 -4.1763 0 0 787024. 2723.27 0.34 0.10 0.17 -1 -1 0.34 0.035193 0.031053 157 49 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 8.43 vpr 65.38 MiB 0.03 7412 -1 -1 1 0.04 -1 -1 34124 -1 -1 34 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 29 32 367 293 1 185 95 17 17 289 -1 unnamed_device 26.8 MiB 3.32 1018 12191 3396 7605 1190 65.4 MiB 0.14 0.00 2.84425 -93.4612 -2.84425 2.84425 1.25 0.000737512 0.000673497 0.0405936 0.0367742 30 2309 23 6.87369e+06 475111 556674. 1926.21 1.25 0.129882 0.114349 25186 138497 -1 1868 21 1003 1724 106251 24096 2.83166 2.83166 -110.488 -2.83166 0 0 706193. 2443.58 0.34 0.07 0.17 -1 -1 0.34 0.029593 0.0261982 140 54 56 29 58 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 12.77 vpr 66.01 MiB 0.03 7488 -1 -1 1 0.04 -1 -1 34292 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67592 32 32 469 381 1 202 104 17 17 289 -1 unnamed_device 27.4 MiB 7.67 985 13036 3570 8061 1405 66.0 MiB 0.15 0.00 3.48699 -122.681 -3.48699 3.48699 1.13 0.00074856 0.000675485 0.0441314 0.0399813 30 2525 23 6.87369e+06 558954 556674. 1926.21 1.35 0.144171 0.126689 25186 138497 -1 2037 19 1605 2599 152371 36083 3.5385 3.5385 -141.756 -3.5385 0 0 706193. 2443.58 0.34 0.09 0.17 -1 -1 0.34 0.0318888 0.0280805 157 117 0 0 128 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.95 vpr 64.93 MiB 0.03 6896 -1 -1 1 0.04 -1 -1 33704 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 26.6 MiB 1.07 795 11909 3535 6603 1771 64.9 MiB 0.11 0.00 2.44612 -88.9453 -2.44612 2.44612 1.18 0.000442655 0.000402577 0.0340083 0.0309157 30 2070 29 6.87369e+06 223581 556674. 1926.21 1.18 0.112147 0.0989202 25186 138497 -1 1648 15 1019 1605 98504 23095 2.97426 2.97426 -112.995 -2.97426 0 0 706193. 2443.58 0.36 0.06 0.17 -1 -1 0.36 0.0192479 0.0171624 104 -1 85 31 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 8.13 vpr 65.82 MiB 0.03 7500 -1 -1 1 0.04 -1 -1 33960 -1 -1 37 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 418 338 1 194 101 17 17 289 -1 unnamed_device 27.1 MiB 2.52 1136 17961 4753 11487 1721 65.8 MiB 0.19 0.00 3.49609 -119.341 -3.49609 3.49609 1.28 0.000746213 0.000675046 0.0560578 0.0503158 28 2568 20 6.87369e+06 517032 531479. 1839.03 1.51 0.161078 0.142155 24610 126494 -1 2219 24 1848 2570 220849 49337 3.8184 3.8184 -142.995 -3.8184 0 0 648988. 2245.63 0.32 0.11 0.16 -1 -1 0.32 0.0348778 0.0306071 147 89 28 28 92 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 12.50 vpr 65.51 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 33952 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67080 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 26.8 MiB 6.47 908 11260 3414 6310 1536 65.5 MiB 0.12 0.00 2.9898 -110.534 -2.9898 2.9898 1.25 0.000591446 0.000532264 0.0425908 0.0385287 34 2162 34 6.87369e+06 223581 618332. 2139.56 2.04 0.191499 0.16646 25762 151098 -1 1868 17 1325 1903 140776 32478 3.14966 3.14966 -130.581 -3.14966 0 0 787024. 2723.27 0.36 0.08 0.19 -1 -1 0.36 0.0251544 0.0222525 114 93 0 0 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 9.17 vpr 65.61 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 34008 -1 -1 39 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67180 32 32 401 316 1 199 103 17 17 289 -1 unnamed_device 26.9 MiB 4.04 1083 18419 4857 11116 2446 65.6 MiB 0.17 0.00 2.83325 -103.36 -2.83325 2.83325 1.16 0.00063308 0.000575221 0.0541056 0.0492923 28 2552 26 6.87369e+06 544980 531479. 1839.03 1.38 0.164324 0.145815 24610 126494 -1 2232 23 1633 2363 177015 41084 3.15156 3.15156 -131.056 -3.15156 0 0 648988. 2245.63 0.30 0.10 0.13 -1 -1 0.30 0.0352773 0.0312776 153 59 61 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 14.38 vpr 65.94 MiB 0.03 7576 -1 -1 1 0.05 -1 -1 34224 -1 -1 47 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67524 32 32 500 382 1 236 111 17 17 289 -1 unnamed_device 27.5 MiB 6.83 1063 20327 5487 12070 2770 65.9 MiB 0.23 0.00 4.00554 -134.214 -4.00554 4.00554 1.25 0.000911389 0.000833237 0.0695591 0.0630074 34 3226 31 6.87369e+06 656770 618332. 2139.56 3.32 0.287544 0.253857 25762 151098 -1 2473 22 2539 4020 301449 70646 4.83885 4.83885 -171.134 -4.83885 0 0 787024. 2723.27 0.35 0.14 0.18 -1 -1 0.35 0.0445175 0.0395579 190 81 64 32 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 7.40 vpr 64.43 MiB 0.02 7032 -1 -1 1 0.03 -1 -1 33508 -1 -1 14 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 30 32 246 229 1 118 76 17 17 289 -1 unnamed_device 26.0 MiB 2.82 665 10476 2768 6457 1251 64.4 MiB 0.08 0.00 2.42836 -80.5119 -2.42836 2.42836 1.13 0.000412144 0.000375349 0.0278966 0.0252525 32 1532 22 6.87369e+06 195634 586450. 2029.24 1.05 0.0821497 0.0718221 25474 144626 -1 1361 19 750 1063 93885 21559 2.04682 2.04682 -88.5627 -2.04682 0 0 744469. 2576.02 0.34 0.06 0.16 -1 -1 0.34 0.0198515 0.0175422 72 51 0 0 53 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 6.66 vpr 64.79 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 33772 -1 -1 18 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 30 32 296 244 1 158 80 17 17 289 -1 unnamed_device 26.4 MiB 1.41 715 12808 4470 6119 2219 64.8 MiB 0.12 0.00 2.9678 -98.692 -2.9678 2.9678 1.28 0.000547095 0.000498401 0.0418549 0.0379838 32 1853 25 6.87369e+06 251529 586450. 2029.24 1.25 0.117928 0.103998 25474 144626 -1 1538 21 1315 1835 144677 33696 3.02731 3.02731 -118.121 -3.02731 0 0 744469. 2576.02 0.36 0.08 0.18 -1 -1 0.36 0.025118 0.02211 109 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 8.22 vpr 65.24 MiB 0.03 6900 -1 -1 1 0.04 -1 -1 33684 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 2.01 958 12808 4038 7184 1586 65.2 MiB 0.14 0.00 2.77395 -105.816 -2.77395 2.77395 1.28 0.000545686 0.000491192 0.0444914 0.0402187 34 2456 25 6.87369e+06 223581 618332. 2139.56 2.22 0.188461 0.165369 25762 151098 -1 2108 21 1575 2795 215500 50030 3.21086 3.21086 -131.343 -3.21086 0 0 787024. 2723.27 0.36 0.10 0.17 -1 -1 0.36 0.0291521 0.025969 114 31 64 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 6.85 vpr 64.72 MiB 0.03 6984 -1 -1 1 0.04 -1 -1 33992 -1 -1 37 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 25 32 251 214 1 139 94 17 17 289 -1 unnamed_device 26.3 MiB 1.16 619 17560 6617 8075 2868 64.7 MiB 0.14 0.00 2.80025 -75.8402 -2.80025 2.80025 1.28 0.000480424 0.000433232 0.0389817 0.0353367 26 1910 27 6.87369e+06 517032 503264. 1741.40 1.75 0.11333 0.100049 24322 120374 -1 1579 21 1139 1935 179518 41520 2.91926 2.91926 -94.7893 -2.91926 0 0 618332. 2139.56 0.30 0.09 0.15 -1 -1 0.30 0.0236102 0.0207349 105 19 50 25 25 25 +fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 9.53 vpr 65.54 MiB 0.03 7388 -1 -1 1 0.04 -1 -1 34108 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67116 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 26.8 MiB 4.04 1014 16267 5584 8739 1944 65.5 MiB 0.18 0.00 3.26749 -115.812 -3.26749 3.26749 1.16 0.000717236 0.000655767 0.0637215 0.0580468 32 3298 39 6.87369e+06 293451 586450. 2029.24 1.56 0.19911 0.177253 25474 144626 -1 2470 22 1951 3505 280005 66691 3.8264 3.8264 -146.047 -3.8264 0 0 744469. 2576.02 0.35 0.12 0.18 -1 -1 0.35 0.0340795 0.0299912 145 84 32 32 94 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 8.88 vpr 65.67 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 34044 -1 -1 40 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 31 32 421 339 1 195 103 17 17 289 -1 unnamed_device 26.8 MiB 3.70 1080 13358 3306 8771 1281 65.7 MiB 0.14 0.00 2.86625 -101.587 -2.86625 2.86625 1.20 0.000775567 0.000698246 0.0423132 0.0382262 28 2558 22 6.87369e+06 558954 531479. 1839.03 1.39 0.152689 0.135181 24610 126494 -1 2274 21 1915 3011 231238 51802 3.11686 3.11686 -123.899 -3.11686 0 0 648988. 2245.63 0.31 0.11 0.14 -1 -1 0.31 0.0353933 0.0313218 151 88 29 29 93 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 9.18 vpr 65.56 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34016 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 438 350 1 295 93 17 17 289 -1 unnamed_device 26.7 MiB 2.36 1397 19203 6712 10074 2417 65.6 MiB 0.21 0.00 4.08424 -140.969 -4.08424 4.08424 1.22 0.000727688 0.000659102 0.0687862 0.0622414 34 3521 43 6.89349e+06 408721 618332. 2139.56 2.72 0.242496 0.214183 25762 151098 -1 2814 22 2422 2937 212633 48379 4.89235 4.89235 -177.692 -4.89235 0 0 787024. 2723.27 0.38 0.12 0.19 -1 -1 0.38 0.0404476 0.0360802 192 80 32 32 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 8.61 vpr 65.64 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 33876 -1 -1 29 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 30 32 409 330 1 262 91 17 17 289 -1 unnamed_device 26.9 MiB 2.32 1213 16615 5668 8299 2648 65.6 MiB 0.18 0.00 4.21067 -131.231 -4.21067 4.21067 1.13 0.000591884 0.000528816 0.0530417 0.048024 34 3764 40 6.89349e+06 408721 618332. 2139.56 2.35 0.214653 0.190015 25762 151098 -1 2614 22 2028 2893 204906 47105 4.50478 4.50478 -155.321 -4.50478 0 0 787024. 2723.27 0.38 0.11 0.19 -1 -1 0.38 0.0339766 0.0298531 177 78 30 30 89 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 9.35 vpr 65.47 MiB 0.03 7404 -1 -1 1 0.04 -1 -1 34240 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 32 32 387 309 1 253 89 17 17 289 -1 unnamed_device 26.8 MiB 2.83 1315 14543 3877 8711 1955 65.5 MiB 0.16 0.00 3.31466 -117.958 -3.31466 3.31466 1.24 0.000635458 0.000573345 0.0507264 0.0459075 34 3177 26 6.89349e+06 352346 618332. 2139.56 2.51 0.179682 0.158337 25762 151098 -1 2578 19 1688 2107 157629 34859 3.943 3.943 -142.14 -3.943 0 0 787024. 2723.27 0.39 0.09 0.19 -1 -1 0.39 0.0298642 0.0264711 167 50 54 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 9.22 vpr 65.29 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 34136 -1 -1 25 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 29 32 343 267 1 209 86 17 17 289 -1 unnamed_device 26.4 MiB 3.08 981 14072 4129 8074 1869 65.3 MiB 0.15 0.00 3.65595 -113.669 -3.65595 3.65595 1.23 0.000617214 0.00055954 0.0459374 0.0416134 34 2610 39 6.89349e+06 352346 618332. 2139.56 2.21 0.181264 0.159783 25762 151098 -1 1967 19 1578 2350 155357 36133 3.79536 3.79536 -135.311 -3.79536 0 0 787024. 2723.27 0.37 0.08 0.19 -1 -1 0.37 0.0265887 0.0235182 148 25 87 29 29 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 11.79 vpr 65.22 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 33968 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 26.6 MiB 2.57 1248 15103 4997 7211 2895 65.2 MiB 0.17 0.00 4.13624 -140.197 -4.13624 4.13624 1.12 0.000599212 0.000545254 0.0494991 0.0449648 36 3503 25 6.89349e+06 338252 648988. 2245.63 5.44 0.308698 0.271797 26050 158493 -1 2898 22 2294 3981 317425 67218 4.54805 4.54805 -172.696 -4.54805 0 0 828058. 2865.25 0.37 0.13 0.17 -1 -1 0.37 0.036701 0.0327178 163 31 96 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 9.40 vpr 65.50 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 34064 -1 -1 41 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 402 316 1 257 105 17 17 289 -1 unnamed_device 26.8 MiB 2.68 1445 21347 5976 12885 2486 65.5 MiB 0.23 0.00 3.63759 -120.124 -3.63759 3.63759 1.13 0.00061636 0.0005563 0.0589791 0.053312 34 3755 43 6.89349e+06 577847 618332. 2139.56 2.79 0.258781 0.22837 25762 151098 -1 2857 22 1872 2938 208975 45086 3.55175 3.55175 -138.646 -3.55175 0 0 787024. 2723.27 0.36 0.11 0.16 -1 -1 0.36 0.0349567 0.0310105 179 61 63 32 63 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 7.76 vpr 64.68 MiB 0.03 6872 -1 -1 1 0.04 -1 -1 34172 -1 -1 21 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 27 32 269 226 1 164 80 17 17 289 -1 unnamed_device 26.3 MiB 2.25 764 11088 3271 6950 867 64.7 MiB 0.10 0.00 3.0242 -88.9126 -3.0242 3.0242 1.11 0.000450408 0.000410494 0.0319907 0.0290049 34 1886 20 6.89349e+06 295971 618332. 2139.56 1.88 0.148007 0.130061 25762 151098 -1 1616 22 1466 2111 156667 35670 3.10291 3.10291 -106.989 -3.10291 0 0 787024. 2723.27 0.35 0.08 0.16 -1 -1 0.35 0.0251009 0.0222177 112 26 54 27 27 27 +fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 6.94 vpr 64.78 MiB 0.03 7060 -1 -1 1 0.04 -1 -1 33860 -1 -1 35 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 26.3 MiB 1.16 1065 17648 4880 10424 2344 64.8 MiB 0.15 0.00 2.8004 -95.1457 -2.8004 2.8004 1.15 0.000519852 0.000471156 0.0433903 0.0391297 36 2354 21 6.89349e+06 493284 648988. 2245.63 1.94 0.173421 0.152042 26050 158493 -1 1947 16 1091 1708 109375 24980 2.66151 2.66151 -109.166 -2.66151 0 0 828058. 2865.25 0.36 0.06 0.19 -1 -1 0.36 0.0222269 0.0198152 141 -1 115 31 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 7.65 vpr 65.21 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33456 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 31 32 338 292 1 225 84 17 17 289 -1 unnamed_device 26.4 MiB 2.17 1166 9417 2368 5743 1306 65.2 MiB 0.09 0.00 2.93075 -99.672 -2.93075 2.93075 1.12 0.00052415 0.000476185 0.029014 0.0262526 34 2791 23 6.89349e+06 295971 618332. 2139.56 1.83 0.158214 0.137429 25762 151098 -1 2206 24 1617 1890 145202 32589 3.13591 3.13591 -120.41 -3.13591 0 0 787024. 2723.27 0.36 0.08 0.19 -1 -1 0.36 0.0304131 0.0267448 140 81 0 0 84 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 8.34 vpr 64.59 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 33976 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 314 256 1 193 83 17 17 289 -1 unnamed_device 26.2 MiB 2.54 796 9623 2396 6316 911 64.6 MiB 0.10 0.00 2.96065 -102.82 -2.96065 2.96065 1.20 0.000544574 0.000490803 0.0313229 0.0283235 34 2270 25 6.89349e+06 267783 618332. 2139.56 2.00 0.152872 0.132638 25762 151098 -1 1841 19 1533 1973 119271 31226 3.37841 3.37841 -131.213 -3.37841 0 0 787024. 2723.27 0.36 0.07 0.19 -1 -1 0.36 0.024462 0.0215868 127 31 64 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 8.27 vpr 65.16 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 33912 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 30 32 325 273 1 203 83 17 17 289 -1 unnamed_device 26.4 MiB 2.73 1075 15563 5702 8120 1741 65.2 MiB 0.14 0.00 3.35114 -111.344 -3.35114 3.35114 1.11 0.000493412 0.000447682 0.0456436 0.0414026 34 2457 25 6.89349e+06 295971 618332. 2139.56 1.92 0.175781 0.153824 25762 151098 -1 2094 19 1647 2156 145446 33113 3.41055 3.41055 -130.809 -3.41055 0 0 787024. 2723.27 0.34 0.07 0.18 -1 -1 0.34 0.0246311 0.0219321 135 58 30 30 60 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 7.64 vpr 65.00 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 33816 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 331 280 1 215 84 17 17 289 -1 unnamed_device 26.3 MiB 2.15 1041 16188 5960 7866 2362 65.0 MiB 0.14 0.00 3.0432 -103.308 -3.0432 3.0432 1.14 0.000528524 0.00047583 0.0474022 0.0430173 34 2644 26 6.89349e+06 281877 618332. 2139.56 1.85 0.183977 0.161553 25762 151098 -1 2073 18 1255 1445 109516 24872 2.91821 2.91821 -117.585 -2.91821 0 0 787024. 2723.27 0.34 0.06 0.16 -1 -1 0.34 0.0231291 0.0205281 135 57 25 25 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 10.88 vpr 65.33 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 33940 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 32 32 386 305 1 239 89 17 17 289 -1 unnamed_device 26.7 MiB 1.90 998 17711 7423 8257 2031 65.3 MiB 0.17 0.00 3.35709 -113.566 -3.35709 3.35709 1.22 0.00062804 0.000565257 0.0604714 0.0545813 38 3185 44 6.89349e+06 352346 678818. 2348.85 4.91 0.244493 0.21439 26626 170182 -1 2263 30 2054 2733 204020 49445 3.7453 3.7453 -131.503 -3.7453 0 0 902133. 3121.57 0.41 0.12 0.21 -1 -1 0.41 0.0404231 0.0354778 161 55 64 32 57 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 8.53 vpr 65.63 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 33700 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67208 32 32 407 319 1 264 92 17 17 289 -1 unnamed_device 26.8 MiB 2.21 1384 17273 5494 9643 2136 65.6 MiB 0.19 0.00 3.99994 -138.165 -3.99994 3.99994 1.14 0.000666328 0.00058969 0.0536839 0.0485605 34 3329 34 6.89349e+06 394628 618332. 2139.56 2.35 0.243142 0.21429 25762 151098 -1 2681 20 2181 2874 200977 46670 4.25995 4.25995 -162.241 -4.25995 0 0 787024. 2723.27 0.38 0.10 0.19 -1 -1 0.38 0.0325647 0.0290509 175 60 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 7.23 vpr 64.80 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 33976 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 29 32 272 228 1 171 82 17 17 289 -1 unnamed_device 26.4 MiB 1.89 880 13610 4182 7535 1893 64.8 MiB 0.11 0.00 2.86465 -93.7117 -2.86465 2.86465 1.11 0.000421203 0.000380311 0.0351633 0.031947 34 2111 33 6.89349e+06 295971 618332. 2139.56 1.68 0.142443 0.123995 25762 151098 -1 1790 17 1047 1455 100205 23298 3.19076 3.19076 -116.121 -3.19076 0 0 787024. 2723.27 0.38 0.06 0.19 -1 -1 0.38 0.0206571 0.0183338 112 21 58 29 24 24 +fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 10.26 vpr 65.47 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33792 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67040 32 32 401 315 1 256 89 17 17 289 -1 unnamed_device 26.8 MiB 3.21 1374 17909 6202 9054 2653 65.5 MiB 0.19 0.00 3.54049 -121.753 -3.54049 3.54049 1.13 0.000640678 0.000577455 0.0588498 0.0534049 34 3863 36 6.89349e+06 352346 618332. 2139.56 3.17 0.205164 0.180292 25762 151098 -1 2814 22 2610 4168 316903 71334 4.01525 4.01525 -149.631 -4.01525 0 0 787024. 2723.27 0.36 0.14 0.19 -1 -1 0.36 0.0391564 0.0349428 174 60 64 32 62 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 7.34 vpr 65.59 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 33704 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 32 32 383 303 1 236 89 17 17 289 -1 unnamed_device 27.0 MiB 1.61 1265 16325 5012 9411 1902 65.6 MiB 0.17 0.00 2.93865 -106.398 -2.93865 2.93865 1.17 0.00057752 0.000523297 0.0526481 0.0475942 34 2944 20 6.89349e+06 352346 618332. 2139.56 1.95 0.203512 0.178987 25762 151098 -1 2450 24 1907 2376 194981 42876 3.26421 3.26421 -127.863 -3.26421 0 0 787024. 2723.27 0.34 0.10 0.16 -1 -1 0.34 0.0345443 0.0306037 160 54 64 32 56 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 8.76 vpr 65.27 MiB 0.03 7232 -1 -1 1 0.04 -1 -1 33396 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 339 284 1 224 86 17 17 289 -1 unnamed_device 26.5 MiB 2.62 1165 15206 5123 7555 2528 65.3 MiB 0.16 0.00 2.80245 -101.976 -2.80245 2.80245 1.20 0.000556001 0.00050139 0.0474227 0.0427214 34 2917 30 6.89349e+06 310065 618332. 2139.56 2.19 0.181358 0.15773 25762 151098 -1 2349 21 1584 2070 153500 33873 2.97821 2.97821 -124.353 -2.97821 0 0 787024. 2723.27 0.36 0.08 0.19 -1 -1 0.36 0.027091 0.0238358 139 62 29 29 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 6.28 vpr 64.21 MiB 0.03 6824 -1 -1 1 0.03 -1 -1 33476 -1 -1 15 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65756 30 32 226 208 1 142 77 17 17 289 -1 unnamed_device 25.8 MiB 1.43 704 6760 1764 4399 597 64.2 MiB 0.06 0.00 2.41926 -78.0532 -2.41926 2.41926 1.24 0.000409473 0.000370032 0.0190435 0.0172605 30 1480 19 6.89349e+06 211408 556674. 1926.21 1.10 0.072587 0.0630935 25186 138497 -1 1384 21 714 870 53133 13270 2.17732 2.17732 -88.8997 -2.17732 0 0 706193. 2443.58 0.35 0.05 0.17 -1 -1 0.35 0.019956 0.0174609 85 29 24 24 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 7.38 vpr 64.97 MiB 0.02 7040 -1 -1 1 0.04 -1 -1 34080 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 31 32 335 280 1 217 85 17 17 289 -1 unnamed_device 26.2 MiB 1.83 1106 13663 3627 8067 1969 65.0 MiB 0.13 0.00 3.39499 -118.19 -3.39499 3.39499 1.11 0.000562607 0.000512055 0.0423513 0.0384377 34 2816 36 6.89349e+06 310065 618332. 2139.56 1.98 0.192184 0.168296 25762 151098 -1 2258 17 1447 1829 134421 30507 3.54975 3.54975 -140.168 -3.54975 0 0 787024. 2723.27 0.34 0.07 0.16 -1 -1 0.34 0.0247205 0.0220467 141 55 31 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.23 vpr 65.03 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 33936 -1 -1 40 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 366 283 1 228 104 17 17 289 -1 unnamed_device 26.4 MiB 1.30 1228 17916 6304 9623 1989 65.0 MiB 0.17 0.00 3.85123 -132.257 -3.85123 3.85123 1.11 0.000569974 0.000516515 0.0455779 0.04129 30 2893 21 6.89349e+06 563754 556674. 1926.21 1.29 0.139529 0.123721 25186 138497 -1 2339 19 1682 2376 172587 37206 4.03924 4.03924 -155.345 -4.03924 0 0 706193. 2443.58 0.32 0.09 0.15 -1 -1 0.32 0.031466 0.0279753 166 31 91 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 8.49 vpr 65.74 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 34144 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 32 32 460 375 1 309 95 17 17 289 -1 unnamed_device 27.1 MiB 1.87 1665 20399 7395 10620 2384 65.7 MiB 0.23 0.00 3.45522 -120.822 -3.45522 3.45522 1.23 0.00070019 0.000629933 0.0719568 0.0650391 36 3554 28 6.89349e+06 436909 648988. 2245.63 2.53 0.245816 0.215231 26050 158493 -1 2943 21 2275 2600 171774 39718 3.80496 3.80496 -143.793 -3.80496 0 0 828058. 2865.25 0.38 0.10 0.19 -1 -1 0.38 0.035558 0.0314468 201 108 0 0 125 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 7.42 vpr 64.12 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 34060 -1 -1 18 26 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65656 26 32 198 186 1 129 76 17 17 289 -1 unnamed_device 25.8 MiB 1.98 619 10316 4300 5417 599 64.1 MiB 0.08 0.00 2.21891 -63.9921 -2.21891 2.21891 1.21 0.00036976 0.00033421 0.0251995 0.0227441 34 1419 19 6.89349e+06 253689 618332. 2139.56 1.71 0.100558 0.0867843 25762 151098 -1 1198 18 710 911 74285 16773 2.04876 2.04876 -74.6086 -2.04876 0 0 787024. 2723.27 0.37 0.05 0.19 -1 -1 0.37 0.0178324 0.015644 77 21 26 26 22 22 +fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 8.02 vpr 64.80 MiB 0.03 6932 -1 -1 1 0.04 -1 -1 34164 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 26.3 MiB 1.67 1058 15895 5598 7593 2704 64.8 MiB 0.17 0.00 3.37604 -118.553 -3.37604 3.37604 1.23 0.000589857 0.000531754 0.0521931 0.0470947 34 2590 29 6.89349e+06 295971 618332. 2139.56 2.35 0.206947 0.181685 25762 151098 -1 2237 20 1640 2791 210761 46680 3.73805 3.73805 -142.447 -3.73805 0 0 787024. 2723.27 0.37 0.10 0.18 -1 -1 0.37 0.0283017 0.0251131 141 -1 122 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 4.96 vpr 64.18 MiB 0.02 6716 -1 -1 1 0.03 -1 -1 33760 -1 -1 12 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 25.7 MiB 0.46 694 11596 4819 6583 194 64.2 MiB 0.09 0.00 1.93068 -74.4222 -1.93068 1.93068 1.14 0.000352027 0.000318648 0.0296598 0.0269392 28 1545 27 6.89349e+06 169126 531479. 1839.03 1.03 0.0830548 0.0730287 24610 126494 -1 1278 21 669 1042 84994 19430 1.72211 1.72211 -83.1325 -1.72211 0 0 648988. 2245.63 0.30 0.05 0.14 -1 -1 0.30 0.0177605 0.0156791 71 -1 53 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 7.69 vpr 65.00 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 34156 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 376 288 1 233 89 17 17 289 -1 unnamed_device 26.4 MiB 1.80 1003 10781 3091 7273 417 65.0 MiB 0.13 0.00 3.69075 -124.253 -3.69075 3.69075 1.14 0.000681112 0.000626113 0.0366682 0.0333701 34 2844 26 6.89349e+06 352346 618332. 2139.56 2.25 0.204541 0.180866 25762 151098 -1 2242 20 1827 2528 159135 39929 4.07896 4.07896 -154.873 -4.07896 0 0 787024. 2723.27 0.34 0.08 0.16 -1 -1 0.34 0.0296711 0.0263555 161 21 96 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 6.35 vpr 65.04 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 34116 -1 -1 36 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 26.3 MiB 1.07 1061 13092 3507 8346 1239 65.0 MiB 0.14 0.00 2.7803 -99.7348 -2.7803 2.7803 1.26 0.000618045 0.000558768 0.0365221 0.0329069 32 2505 23 6.89349e+06 507378 586450. 2029.24 1.24 0.115319 0.100962 25474 144626 -1 2111 23 1577 2534 226903 67587 2.83981 2.83981 -120.674 -2.83981 0 0 744469. 2576.02 0.36 0.12 0.18 -1 -1 0.36 0.0350136 0.031077 151 -1 124 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 9.16 vpr 65.68 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 34136 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.9 MiB 2.64 1298 13758 4399 6940 2419 65.7 MiB 0.16 0.00 3.69695 -129.07 -3.69695 3.69695 1.22 0.000669918 0.000604784 0.0483405 0.0436172 34 3878 37 6.89349e+06 366440 618332. 2139.56 2.54 0.219141 0.190765 25762 151098 -1 2754 21 2348 3421 220875 52274 4.25556 4.25556 -160.242 -4.25556 0 0 787024. 2723.27 0.37 0.11 0.20 -1 -1 0.37 0.0329568 0.0292012 174 54 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 8.72 vpr 64.59 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 34028 -1 -1 17 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 294 246 1 188 81 17 17 289 -1 unnamed_device 26.2 MiB 2.72 1112 8306 2267 5442 597 64.6 MiB 0.09 0.00 2.94175 -107.545 -2.94175 2.94175 1.22 0.000534714 0.000482514 0.0274168 0.0248019 34 2586 28 6.89349e+06 239595 618332. 2139.56 2.11 0.162609 0.141598 25762 151098 -1 2315 21 1734 2427 208166 44380 2.90116 2.90116 -125.338 -2.90116 0 0 787024. 2723.27 0.38 0.10 0.19 -1 -1 0.38 0.0281153 0.0249801 118 31 54 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 7.98 vpr 64.72 MiB 0.03 7048 -1 -1 1 0.04 -1 -1 33908 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 30 32 296 244 1 182 81 17 17 289 -1 unnamed_device 26.3 MiB 2.34 1031 13381 4201 7573 1607 64.7 MiB 0.13 0.00 3.51049 -117.195 -3.51049 3.51049 1.12 0.000469782 0.000426446 0.0386031 0.0349722 34 2565 31 6.89349e+06 267783 618332. 2139.56 2.00 0.177173 0.155563 25762 151098 -1 2177 20 1559 2389 189316 40741 3.3384 3.3384 -131.184 -3.3384 0 0 787024. 2723.27 0.35 0.09 0.16 -1 -1 0.35 0.0264194 0.0235143 121 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 7.45 vpr 64.73 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 33720 -1 -1 21 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 28 32 278 232 1 173 81 17 17 289 -1 unnamed_device 26.4 MiB 2.75 978 13731 4039 8075 1617 64.7 MiB 0.12 0.00 3.45729 -108.263 -3.45729 3.45729 1.12 0.000435589 0.000393289 0.0369484 0.0335058 30 2249 20 6.89349e+06 295971 556674. 1926.21 1.17 0.10911 0.0964381 25186 138497 -1 1946 20 1158 1893 127692 27723 3.5388 3.5388 -126.714 -3.5388 0 0 706193. 2443.58 0.31 0.06 0.14 -1 -1 0.31 0.0216444 0.0191322 115 27 56 28 28 28 +fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 7.42 vpr 64.58 MiB 0.03 6828 -1 -1 1 0.04 -1 -1 33644 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 25.9 MiB 1.58 933 15216 5574 7707 1935 64.6 MiB 0.14 0.00 2.85355 -104.926 -2.85355 2.85355 1.22 0.000499829 0.00045033 0.0467681 0.0422512 34 2197 23 6.89349e+06 225501 618332. 2139.56 1.94 0.167776 0.146653 25762 151098 -1 1882 20 1381 2207 154923 35022 2.88986 2.88986 -123.588 -2.88986 0 0 787024. 2723.27 0.37 0.08 0.19 -1 -1 0.37 0.0255433 0.0225317 114 -1 96 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 7.10 vpr 64.82 MiB 0.03 7088 -1 -1 1 0.04 -1 -1 34032 -1 -1 19 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 303 249 1 191 82 17 17 289 -1 unnamed_device 26.3 MiB 2.10 870 10762 3001 6433 1328 64.8 MiB 0.12 0.00 2.93565 -101.776 -2.93565 2.93565 1.15 0.000507923 0.000462116 0.0317878 0.0288754 32 2531 39 6.89349e+06 267783 586450. 2029.24 1.24 0.123348 0.108492 25474 144626 -1 1997 21 1207 1640 130863 30382 3.22676 3.22676 -124.937 -3.22676 0 0 744469. 2576.02 0.35 0.07 0.18 -1 -1 0.35 0.0245583 0.0216014 121 26 61 31 31 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 7.88 vpr 64.86 MiB 0.03 6940 -1 -1 1 0.04 -1 -1 34068 -1 -1 23 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 29 32 312 264 1 201 84 17 17 289 -1 unnamed_device 26.3 MiB 2.20 935 8868 2279 5871 718 64.9 MiB 0.10 0.00 2.91975 -90.5498 -2.91975 2.91975 1.22 0.00056962 0.000510131 0.0286488 0.0260108 34 2267 22 6.89349e+06 324158 618332. 2139.56 1.83 0.145814 0.126674 25762 151098 -1 1780 19 1295 1721 104835 25527 2.75396 2.75396 -104.075 -2.75396 0 0 787024. 2723.27 0.39 0.07 0.19 -1 -1 0.39 0.0251127 0.022208 130 55 29 29 57 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 9.21 vpr 65.41 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 34220 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66980 32 32 423 310 1 254 91 17 17 289 -1 unnamed_device 26.7 MiB 2.77 1265 16207 4192 9519 2496 65.4 MiB 0.18 0.00 3.73615 -128.74 -3.73615 3.73615 1.11 0.000653331 0.000591772 0.0557467 0.0505719 34 3410 34 6.89349e+06 380534 618332. 2139.56 2.62 0.262569 0.23277 25762 151098 -1 2654 20 2043 3242 223311 51423 4.09106 4.09106 -152.504 -4.09106 0 0 787024. 2723.27 0.38 0.12 0.19 -1 -1 0.38 0.0366032 0.0325497 184 26 128 32 27 27 +fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 9.42 vpr 65.35 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 33952 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 32 32 403 317 1 260 89 17 17 289 -1 unnamed_device 26.7 MiB 2.31 1179 14543 5233 7322 1988 65.4 MiB 0.17 0.00 3.39214 -118.219 -3.39214 3.39214 1.12 0.000625757 0.000566853 0.0496887 0.0450234 34 3941 28 6.89349e+06 352346 618332. 2139.56 3.36 0.230981 0.20366 25762 151098 -1 2823 23 2716 3741 310799 68392 4.14525 4.14525 -151.152 -4.14525 0 0 787024. 2723.27 0.35 0.13 0.17 -1 -1 0.35 0.0370037 0.0328182 173 62 62 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 7.56 vpr 65.43 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 34160 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 31 32 353 302 1 229 85 17 17 289 -1 unnamed_device 26.6 MiB 1.32 1033 15151 6378 8217 556 65.4 MiB 0.16 0.00 2.90265 -97.7447 -2.90265 2.90265 1.21 0.000567426 0.00051377 0.0520664 0.0472377 34 2774 26 6.89349e+06 310065 618332. 2139.56 2.33 0.201629 0.177173 25762 151098 -1 2098 25 1562 1640 152794 34084 3.09115 3.09115 -114.166 -3.09115 0 0 787024. 2723.27 0.37 0.09 0.19 -1 -1 0.37 0.0325903 0.0287693 143 77 0 0 89 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 12.49 vpr 65.45 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 33864 -1 -1 26 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 31 32 391 309 1 246 89 17 17 289 -1 unnamed_device 26.8 MiB 3.41 1311 14939 4891 7553 2495 65.4 MiB 0.18 0.00 3.38219 -115.825 -3.38219 3.38219 1.22 0.000693981 0.000628495 0.0545127 0.0494221 36 2892 19 6.89349e+06 366440 648988. 2245.63 5.08 0.265566 0.231782 26050 158493 -1 2543 21 1780 2545 191464 41232 3.575 3.575 -138.992 -3.575 0 0 828058. 2865.25 0.37 0.10 0.18 -1 -1 0.37 0.0333022 0.0295932 170 59 60 30 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 9.33 vpr 65.52 MiB 0.03 7232 -1 -1 1 0.04 -1 -1 33924 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67096 31 32 455 371 1 307 94 17 17 289 -1 unnamed_device 26.9 MiB 2.87 1565 18412 6105 9754 2553 65.5 MiB 0.20 0.00 4.10624 -137.224 -4.10624 4.10624 1.10 0.000658265 0.000597715 0.059398 0.0536473 36 3596 25 6.89349e+06 436909 648988. 2245.63 2.65 0.24769 0.217202 26050 158493 -1 2976 20 2307 2600 215552 45756 4.78964 4.78964 -168.505 -4.78964 0 0 828058. 2865.25 0.36 0.10 0.17 -1 -1 0.36 0.0336077 0.029676 201 111 0 0 124 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 10.86 vpr 65.69 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 33664 -1 -1 28 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67264 31 32 413 333 1 269 91 17 17 289 -1 unnamed_device 27.0 MiB 3.43 1417 18043 6920 8436 2687 65.7 MiB 0.19 0.00 4.48427 -140.263 -4.48427 4.48427 1.25 0.00066801 0.000599518 0.0630128 0.0569608 38 3113 24 6.89349e+06 394628 678818. 2348.85 3.26 0.223821 0.196597 26626 170182 -1 2782 20 2055 2831 233588 49477 5.07324 5.07324 -174.154 -5.07324 0 0 902133. 3121.57 0.40 0.11 0.20 -1 -1 0.40 0.0315507 0.0280801 181 86 31 31 89 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.83 vpr 65.50 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 33832 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 31 32 391 309 1 249 90 17 17 289 -1 unnamed_device 26.8 MiB 2.26 1343 11346 3211 6791 1344 65.5 MiB 0.13 0.00 2.99685 -105.974 -2.99685 2.99685 1.10 0.000633029 0.000576631 0.0362838 0.032857 34 3071 26 6.89349e+06 380534 618332. 2139.56 1.93 0.191126 0.167372 25762 151098 -1 2483 19 2072 2822 187976 43258 3.03276 3.03276 -124.248 -3.03276 0 0 787024. 2723.27 0.36 0.09 0.18 -1 -1 0.36 0.0279188 0.0246348 168 58 60 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 10.95 vpr 65.75 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34288 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67324 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 27.0 MiB 2.52 1242 16615 5521 8570 2524 65.7 MiB 0.17 0.00 3.75005 -128.828 -3.75005 3.75005 1.09 0.000601244 0.00054303 0.0517968 0.0469812 36 3174 34 6.89349e+06 380534 648988. 2245.63 4.73 0.317975 0.278753 26050 158493 -1 2541 25 2200 2871 214685 48261 4.09906 4.09906 -155.878 -4.09906 0 0 828058. 2865.25 0.36 0.11 0.17 -1 -1 0.36 0.0371326 0.0328056 178 42 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 12.12 vpr 65.82 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33884 -1 -1 31 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67396 32 32 496 380 1 321 95 17 17 289 -1 unnamed_device 27.1 MiB 3.00 1546 16295 4181 9580 2534 65.8 MiB 0.22 0.00 4.06108 -136.878 -4.06108 4.06108 1.21 0.0007769 0.000701074 0.0620441 0.0558913 36 4295 35 6.89349e+06 436909 648988. 2245.63 5.07 0.272739 0.238985 26050 158493 -1 3308 24 3112 4657 354662 78340 4.65435 4.65435 -168.105 -4.65435 0 0 828058. 2865.25 0.36 0.15 0.17 -1 -1 0.36 0.0440183 0.0390676 220 91 62 32 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 8.19 vpr 64.82 MiB 0.03 7036 -1 -1 1 0.04 -1 -1 33972 -1 -1 20 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 305 250 1 192 83 17 17 289 -1 unnamed_device 26.4 MiB 2.44 877 11423 4028 5276 2119 64.8 MiB 0.11 0.00 3.1513 -109.15 -3.1513 3.1513 1.21 0.000527838 0.00047708 0.0357726 0.032442 34 2115 21 6.89349e+06 281877 618332. 2139.56 1.94 0.157692 0.137735 25762 151098 -1 1799 20 1492 1925 133610 32035 2.92101 2.92101 -120.087 -2.92101 0 0 787024. 2723.27 0.36 0.07 0.19 -1 -1 0.36 0.0239389 0.0210146 127 24 62 31 31 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 9.33 vpr 65.47 MiB 0.03 7436 -1 -1 1 0.04 -1 -1 34096 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67040 31 32 395 311 1 251 90 17 17 289 -1 unnamed_device 26.8 MiB 2.45 1099 10341 2791 6854 696 65.5 MiB 0.13 0.00 3.99994 -128.709 -3.99994 3.99994 1.14 0.000646118 0.000575905 0.0368432 0.0333708 36 2882 25 6.89349e+06 380534 648988. 2245.63 3.03 0.196888 0.172392 26050 158493 -1 2335 20 1747 2126 137321 33438 4.21565 4.21565 -152.23 -4.21565 0 0 828058. 2865.25 0.38 0.08 0.20 -1 -1 0.38 0.0288554 0.0254659 168 59 62 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 10.87 vpr 65.49 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34152 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67064 32 32 397 313 1 254 91 17 17 289 -1 unnamed_device 26.8 MiB 2.49 1327 16003 4972 8499 2532 65.5 MiB 0.17 0.00 3.76109 -127.031 -3.76109 3.76109 1.12 0.000602865 0.000547736 0.0498056 0.0452049 36 3281 27 6.89349e+06 380534 648988. 2245.63 4.66 0.282479 0.24708 26050 158493 -1 2681 19 1500 2286 157482 35950 3.586 3.586 -142.622 -3.586 0 0 828058. 2865.25 0.35 0.08 0.17 -1 -1 0.35 0.0301923 0.0267872 172 54 62 32 62 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 8.56 vpr 64.85 MiB 0.03 7088 -1 -1 1 0.04 -1 -1 33532 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 26.1 MiB 1.88 1196 17383 5737 10301 1345 64.9 MiB 0.19 0.00 3.58259 -130.98 -3.58259 3.58259 1.24 0.000609988 0.000552698 0.0617047 0.056071 34 3140 28 6.89349e+06 295971 618332. 2139.56 2.59 0.218802 0.193062 25762 151098 -1 2550 20 1967 3572 253242 57077 4.05095 4.05095 -158.4 -4.05095 0 0 787024. 2723.27 0.36 0.11 0.19 -1 -1 0.36 0.029647 0.0263028 147 -1 128 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 8.57 vpr 65.66 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 33828 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67240 32 32 424 343 1 281 92 17 17 289 -1 unnamed_device 26.8 MiB 2.08 1351 18308 6165 9572 2571 65.7 MiB 0.20 0.00 3.53749 -120.41 -3.53749 3.53749 1.11 0.000616788 0.000558618 0.0585871 0.0530757 36 3087 24 6.89349e+06 394628 648988. 2245.63 2.73 0.238216 0.209956 26050 158493 -1 2616 20 1836 2133 172191 38495 3.597 3.597 -131.246 -3.597 0 0 828058. 2865.25 0.36 0.09 0.17 -1 -1 0.36 0.0341186 0.0303823 184 81 25 25 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 11.63 vpr 65.70 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 33736 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67276 32 32 395 311 1 255 91 17 17 289 -1 unnamed_device 27.0 MiB 2.93 1255 17227 6620 7863 2744 65.7 MiB 0.18 0.00 3.52949 -119.594 -3.52949 3.52949 1.21 0.000644054 0.000579882 0.0577067 0.0520148 36 3481 27 6.89349e+06 380534 648988. 2245.63 4.74 0.228872 0.200881 26050 158493 -1 2524 23 2066 3125 242681 55887 3.8617 3.8617 -144.996 -3.8617 0 0 828058. 2865.25 0.36 0.11 0.17 -1 -1 0.36 0.0359683 0.0318609 169 58 64 32 60 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 8.25 vpr 65.61 MiB 0.03 7032 -1 -1 1 0.04 -1 -1 34108 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67188 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 26.9 MiB 2.29 1354 17431 5133 10513 1785 65.6 MiB 0.19 0.00 2.98875 -108.36 -2.98875 2.98875 1.10 0.00061812 0.000561516 0.0550407 0.0497928 34 3450 33 6.89349e+06 380534 618332. 2139.56 2.21 0.238378 0.209877 25762 151098 -1 2745 22 2291 3126 244421 55375 3.14546 3.14546 -131.841 -3.14546 0 0 787024. 2723.27 0.36 0.11 0.16 -1 -1 0.36 0.0342636 0.0303921 175 61 63 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 8.75 vpr 65.29 MiB 0.03 7140 -1 -1 1 0.04 -1 -1 33872 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 26.6 MiB 2.34 1183 9838 2755 6415 668 65.3 MiB 0.12 0.00 3.69075 -132.346 -3.69075 3.69075 1.22 0.00062238 0.000562163 0.0340093 0.0307615 34 3031 39 6.89349e+06 338252 618332. 2139.56 2.46 0.202922 0.177105 25762 151098 -1 2337 20 1818 2630 194518 43179 3.94566 3.94566 -156.265 -3.94566 0 0 787024. 2723.27 0.37 0.10 0.19 -1 -1 0.37 0.0307225 0.0273274 161 21 96 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 10.02 vpr 65.36 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 34192 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 26.6 MiB 1.93 1279 9475 2150 6759 566 65.4 MiB 0.11 0.00 3.72815 -130.456 -3.72815 3.72815 1.21 0.000656833 0.000592287 0.0330209 0.0298237 34 3196 47 6.89349e+06 380534 618332. 2139.56 4.20 0.284929 0.246013 25762 151098 -1 2728 22 2310 2929 216976 49392 3.85956 3.85956 -154.275 -3.85956 0 0 787024. 2723.27 0.36 0.11 0.18 -1 -1 0.36 0.0323715 0.0285194 177 50 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 8.57 vpr 65.82 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 34096 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67404 31 32 449 367 1 300 94 17 17 289 -1 unnamed_device 27.1 MiB 1.89 1553 9466 2213 6438 815 65.8 MiB 0.12 0.00 3.94494 -124.97 -3.94494 3.94494 1.24 0.000667765 0.000603533 0.0325784 0.0295712 36 3460 24 6.89349e+06 436909 648988. 2245.63 2.63 0.192054 0.166354 26050 158493 -1 2856 21 1902 2238 164402 37032 4.19585 4.19585 -146.882 -4.19585 0 0 828058. 2865.25 0.38 0.10 0.20 -1 -1 0.38 0.0365912 0.0322771 195 110 0 0 122 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 12.36 vpr 65.65 MiB 0.03 7224 -1 -1 1 0.04 -1 -1 33840 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67228 32 32 432 346 1 287 91 17 17 289 -1 unnamed_device 27.1 MiB 3.63 1497 12943 3721 8398 824 65.7 MiB 0.15 0.00 3.77645 -131.13 -3.77645 3.77645 1.14 0.000698301 0.000635588 0.04621 0.0419009 36 3502 28 6.89349e+06 380534 648988. 2245.63 4.88 0.30037 0.262462 26050 158493 -1 2972 19 2433 3528 243535 53308 3.87976 3.87976 -150.735 -3.87976 0 0 828058. 2865.25 0.39 0.11 0.20 -1 -1 0.39 0.0347039 0.0309391 190 86 32 32 94 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 8.22 vpr 64.97 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 34224 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 32 32 312 255 1 198 85 17 17 289 -1 unnamed_device 26.6 MiB 2.27 1056 12733 3970 7769 994 65.0 MiB 0.13 0.00 2.93565 -109.645 -2.93565 2.93565 1.24 0.000548622 0.000496124 0.0395998 0.0359516 34 2357 20 6.89349e+06 295971 618332. 2139.56 2.04 0.167819 0.147295 25762 151098 -1 1979 18 1226 1729 107353 25112 2.77576 2.77576 -120.431 -2.77576 0 0 787024. 2723.27 0.39 0.06 0.19 -1 -1 0.39 0.0224315 0.0199197 127 20 63 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 11.24 vpr 65.10 MiB 0.03 7304 -1 -1 1 0.04 -1 -1 33744 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 370 314 1 250 85 17 17 289 -1 unnamed_device 26.5 MiB 2.20 1255 9943 2487 6713 743 65.1 MiB 0.13 0.00 3.40739 -119.801 -3.40739 3.40739 1.22 0.000657681 0.000593195 0.0371108 0.0333868 36 2859 24 6.89349e+06 295971 648988. 2245.63 5.13 0.25214 0.219608 26050 158493 -1 2419 17 1649 1960 147841 32354 3.76829 3.76829 -140.655 -3.76829 0 0 828058. 2865.25 0.37 0.08 0.19 -1 -1 0.37 0.0263044 0.0234447 154 91 0 0 94 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 10.64 vpr 65.41 MiB 0.03 7404 -1 -1 1 0.05 -1 -1 34116 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 469 351 1 298 94 17 17 289 -1 unnamed_device 26.7 MiB 2.57 1646 16495 6866 8714 915 65.4 MiB 0.21 0.00 4.44419 -152.513 -4.44419 4.44419 1.23 0.000776909 0.000703364 0.0641988 0.058171 34 4797 43 6.89349e+06 422815 618332. 2139.56 3.94 0.271596 0.238191 25762 151098 -1 3320 24 2787 3870 280877 64917 5.2228 5.2228 -189.598 -5.2228 0 0 787024. 2723.27 0.37 0.14 0.19 -1 -1 0.37 0.0429861 0.0383492 209 53 96 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 8.52 vpr 65.54 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 33976 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 368 284 1 225 87 17 17 289 -1 unnamed_device 26.8 MiB 2.52 1131 14487 4595 7729 2163 65.5 MiB 0.15 0.00 3.029 -109.871 -3.029 3.029 1.14 0.000570342 0.000515564 0.046143 0.041826 34 2808 23 6.89349e+06 324158 618332. 2139.56 2.24 0.206342 0.181567 25762 151098 -1 2329 20 1765 2598 196708 43968 3.13261 3.13261 -126.927 -3.13261 0 0 787024. 2723.27 0.36 0.10 0.19 -1 -1 0.36 0.0306702 0.0272756 156 31 92 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 7.61 vpr 64.82 MiB 0.03 6936 -1 -1 1 0.04 -1 -1 33696 -1 -1 32 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 30 32 296 244 1 183 94 17 17 289 -1 unnamed_device 26.3 MiB 1.61 945 18199 5085 11326 1788 64.8 MiB 0.18 0.00 3.49649 -110.717 -3.49649 3.49649 1.22 0.000513106 0.000459849 0.0487258 0.0437367 34 2262 21 6.89349e+06 451003 618332. 2139.56 2.00 0.163358 0.142012 25762 151098 -1 1799 18 1159 1772 110017 26359 3.3244 3.3244 -123.203 -3.3244 0 0 787024. 2723.27 0.37 0.07 0.19 -1 -1 0.37 0.0240919 0.0213099 129 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 10.67 vpr 65.62 MiB 0.03 7416 -1 -1 1 0.05 -1 -1 34112 -1 -1 35 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67200 32 32 531 413 1 356 99 17 17 289 -1 unnamed_device 27.5 MiB 2.66 1818 21987 7425 11800 2762 65.6 MiB 0.30 0.00 4.71793 -158.706 -4.71793 4.71793 1.26 0.000842102 0.000747754 0.0863198 0.0777255 36 4379 35 6.89349e+06 493284 648988. 2245.63 3.68 0.295914 0.259633 26050 158493 -1 3578 22 2941 3597 261358 58701 5.52424 5.52424 -192.857 -5.52424 0 0 828058. 2865.25 0.39 0.13 0.19 -1 -1 0.39 0.0414467 0.0366811 239 109 32 32 128 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 8.38 vpr 65.43 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 33720 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 376 288 1 225 87 17 17 289 -1 unnamed_device 26.6 MiB 2.00 1149 12375 3766 7581 1028 65.4 MiB 0.14 0.00 3.54039 -127.102 -3.54039 3.54039 1.21 0.000671776 0.000618054 0.0427008 0.0388308 34 3010 25 6.89349e+06 324158 618332. 2139.56 2.38 0.216941 0.192055 25762 151098 -1 2478 23 2298 3164 245997 54780 4.0961 4.0961 -159.859 -4.0961 0 0 787024. 2723.27 0.37 0.12 0.19 -1 -1 0.37 0.0351959 0.0311196 159 31 96 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 5.65 vpr 64.74 MiB 0.02 6868 -1 -1 1 0.04 -1 -1 33976 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 26.3 MiB 0.74 789 10309 2500 6824 985 64.7 MiB 0.10 0.00 2.98385 -103.889 -2.98385 2.98385 1.13 0.000509694 0.000464734 0.0253886 0.0231726 28 2406 24 6.89349e+06 465097 531479. 1839.03 1.38 0.107256 0.0947347 24610 126494 -1 2052 23 1541 2453 214052 47674 3.14216 3.14216 -127.014 -3.14216 0 0 648988. 2245.63 0.31 0.10 0.14 -1 -1 0.31 0.0292059 0.0258316 123 -1 96 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 10.34 vpr 65.60 MiB 0.03 7444 -1 -1 1 0.04 -1 -1 34376 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 32 32 438 320 1 267 93 17 17 289 -1 unnamed_device 26.7 MiB 2.73 1530 14163 4447 7626 2090 65.6 MiB 0.19 0.00 4.29569 -150.238 -4.29569 4.29569 1.25 0.000784584 0.000712258 0.0577691 0.0525208 34 3980 36 6.89349e+06 408721 618332. 2139.56 3.48 0.266015 0.234792 25762 151098 -1 3248 21 2625 4044 369468 75164 5.0046 5.0046 -185.915 -5.0046 0 0 787024. 2723.27 0.37 0.15 0.19 -1 -1 0.37 0.0397784 0.03536 194 26 128 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 10.14 vpr 64.59 MiB 0.03 6880 -1 -1 1 0.04 -1 -1 33708 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.0 MiB 1.17 694 14528 4241 8915 1372 64.6 MiB 0.14 0.00 3.095 -107.662 -3.095 3.095 1.22 0.000498774 0.000449752 0.0449636 0.040644 36 1963 22 6.89349e+06 225501 648988. 2245.63 4.98 0.220192 0.191471 26050 158493 -1 1689 21 1424 2253 142357 34396 3.15451 3.15451 -128.481 -3.15451 0 0 828058. 2865.25 0.40 0.09 0.20 -1 -1 0.40 0.0270453 0.0238913 114 -1 96 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 7.96 vpr 63.98 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 34004 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65512 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 25.6 MiB 1.77 804 9706 2280 6953 473 64.0 MiB 0.10 0.00 2.94665 -97.2537 -2.94665 2.94665 1.22 0.000531927 0.000482656 0.0312561 0.0283657 34 2207 27 6.89349e+06 267783 618332. 2139.56 2.32 0.165307 0.145061 25762 151098 -1 1758 22 1432 1962 171409 37216 2.95861 2.95861 -110.884 -2.95861 0 0 787024. 2723.27 0.37 0.09 0.19 -1 -1 0.37 0.027596 0.0244353 121 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 8.14 vpr 64.77 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33680 -1 -1 31 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 29 32 393 319 1 253 92 17 17 289 -1 unnamed_device 26.1 MiB 2.18 1264 14996 3942 9257 1797 64.8 MiB 0.16 0.00 3.34494 -104.206 -3.34494 3.34494 1.21 0.000683829 0.000618346 0.0506233 0.0458901 34 2768 22 6.89349e+06 436909 618332. 2139.56 1.99 0.202898 0.177701 25762 151098 -1 2344 21 1679 2283 163264 37299 3.4532 3.4532 -124.31 -3.4532 0 0 787024. 2723.27 0.38 0.09 0.19 -1 -1 0.38 0.031508 0.0279678 171 81 29 29 85 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 9.11 vpr 65.44 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33956 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67012 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.8 MiB 2.71 1407 14361 3814 9147 1400 65.4 MiB 0.15 0.00 4.41804 -153.474 -4.41804 4.41804 1.19 0.000642194 0.000577936 0.0469309 0.0423436 34 3648 50 6.89349e+06 366440 618332. 2139.56 2.53 0.252771 0.221963 25762 151098 -1 2931 21 2412 3403 262809 58535 4.82535 4.82535 -184.306 -4.82535 0 0 787024. 2723.27 0.34 0.11 0.16 -1 -1 0.34 0.0332778 0.0296408 178 53 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 8.96 vpr 65.40 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 34448 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.6 MiB 2.39 1278 16974 4849 9416 2709 65.4 MiB 0.19 0.00 4.06404 -140.706 -4.06404 4.06404 1.21 0.000694044 0.000617867 0.061051 0.0554077 34 3476 35 6.89349e+06 366440 618332. 2139.56 2.50 0.216211 0.189507 25762 151098 -1 2705 19 2233 3122 235778 51978 4.33495 4.33495 -164.36 -4.33495 0 0 787024. 2723.27 0.39 0.12 0.19 -1 -1 0.39 0.036363 0.0326333 175 55 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 7.18 vpr 64.84 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 33924 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 345 287 1 218 85 17 17 289 -1 unnamed_device 26.3 MiB 1.70 1094 13291 3976 7145 2170 64.8 MiB 0.12 0.00 3.42319 -121.693 -3.42319 3.42319 1.12 0.000513717 0.00046615 0.0398251 0.0361964 34 2640 22 6.89349e+06 295971 618332. 2139.56 1.83 0.1724 0.151309 25762 151098 -1 2148 19 1381 1544 100592 24343 3.3102 3.3102 -132.942 -3.3102 0 0 787024. 2723.27 0.36 0.07 0.19 -1 -1 0.36 0.027148 0.0241385 141 55 32 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 8.31 vpr 65.01 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 34116 -1 -1 22 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 31 32 353 302 1 231 85 17 17 289 -1 unnamed_device 26.2 MiB 2.42 1021 7339 1752 5245 342 65.0 MiB 0.09 0.00 3.36019 -110.352 -3.36019 3.36019 1.22 0.000602985 0.000543429 0.0265942 0.024017 34 2537 37 6.89349e+06 310065 618332. 2139.56 2.01 0.165751 0.14275 25762 151098 -1 1968 21 1402 1756 118730 28958 3.3885 3.3885 -127.942 -3.3885 0 0 787024. 2723.27 0.37 0.07 0.19 -1 -1 0.37 0.0285496 0.0253022 146 82 0 0 89 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 9.10 vpr 65.52 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 33920 -1 -1 29 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67088 30 32 374 297 1 236 91 17 17 289 -1 unnamed_device 26.8 MiB 2.71 1201 18043 5595 9658 2790 65.5 MiB 0.21 0.00 3.073 -103.876 -3.073 3.073 1.23 0.000627409 0.00056347 0.0594096 0.0535985 34 3117 40 6.89349e+06 408721 618332. 2139.56 2.37 0.220635 0.192849 25762 151098 -1 2331 19 1703 2546 181545 41832 3.14976 3.14976 -120.266 -3.14976 0 0 787024. 2723.27 0.37 0.09 0.19 -1 -1 0.37 0.0278096 0.0245974 164 52 60 30 57 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 7.18 vpr 65.10 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 33668 -1 -1 27 28 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 28 32 332 260 1 203 87 17 17 289 -1 unnamed_device 26.4 MiB 1.70 1016 15063 5032 7248 2783 65.1 MiB 0.14 0.00 3.63875 -113.277 -3.63875 3.63875 1.11 0.000501793 0.000452664 0.0426392 0.038565 34 2403 20 6.89349e+06 380534 618332. 2139.56 1.87 0.173715 0.152641 25762 151098 -1 1990 22 1518 2123 153003 34212 3.86246 3.86246 -132.329 -3.86246 0 0 787024. 2723.27 0.34 0.08 0.16 -1 -1 0.34 0.0289554 0.0256357 145 20 84 28 28 28 +fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 8.98 vpr 64.99 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 33792 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 30 32 325 273 1 208 83 17 17 289 -1 unnamed_device 26.5 MiB 2.75 1073 10883 2968 7182 733 65.0 MiB 0.12 0.00 3.43529 -112.681 -3.43529 3.43529 1.19 0.00055291 0.000497748 0.0360745 0.0325426 34 2841 32 6.89349e+06 295971 618332. 2139.56 2.35 0.190384 0.166601 25762 151098 -1 2223 23 1767 2432 196003 43250 3.93995 3.93995 -141.923 -3.93995 0 0 787024. 2723.27 0.38 0.10 0.19 -1 -1 0.38 0.0327773 0.0290468 136 58 30 30 60 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 8.68 vpr 65.46 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 33812 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67036 32 32 361 308 1 245 85 17 17 289 -1 unnamed_device 26.9 MiB 2.48 1411 14593 4097 8476 2020 65.5 MiB 0.17 0.00 3.0132 -107.87 -3.0132 3.0132 1.19 0.000602879 0.000544533 0.0485261 0.0436948 34 3420 34 6.89349e+06 295971 618332. 2139.56 2.25 0.198026 0.172436 25762 151098 -1 2677 20 1803 2142 172904 37402 3.22311 3.22311 -131.223 -3.22311 0 0 787024. 2723.27 0.36 0.09 0.18 -1 -1 0.36 0.028706 0.0254881 150 88 0 0 91 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 6.13 vpr 65.27 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 33960 -1 -1 37 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 26.5 MiB 1.05 1065 10540 2526 7277 737 65.3 MiB 0.11 0.00 3.42729 -118.406 -3.42729 3.42729 1.10 0.000581549 0.000527487 0.0271737 0.0245717 32 2998 37 6.89349e+06 521472 586450. 2029.24 1.34 0.1282 0.112639 25474 144626 -1 2488 23 1861 2984 259845 57300 3.9426 3.9426 -148.865 -3.9426 0 0 744469. 2576.02 0.34 0.11 0.18 -1 -1 0.34 0.029536 0.0259501 151 -1 124 31 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 8.33 vpr 65.38 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 34124 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 32 32 407 319 1 257 90 17 17 289 -1 unnamed_device 26.7 MiB 1.85 1317 17175 5692 9343 2140 65.4 MiB 0.21 0.00 4.01088 -138.915 -4.01088 4.01088 1.19 0.000714321 0.000630485 0.0600561 0.053886 34 3441 39 6.89349e+06 366440 618332. 2139.56 2.48 0.227323 0.197712 25762 151098 -1 2909 22 2014 2623 195458 44565 4.23459 4.23459 -161.798 -4.23459 0 0 787024. 2723.27 0.36 0.10 0.19 -1 -1 0.36 0.0326304 0.0288111 173 57 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 9.27 vpr 65.44 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 34060 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67012 32 32 407 319 1 256 90 17 17 289 -1 unnamed_device 26.7 MiB 2.32 1430 16572 5142 9440 1990 65.4 MiB 0.19 0.00 3.97668 -139.51 -3.97668 3.97668 1.13 0.00060991 0.000553954 0.0556539 0.0505737 34 3856 22 6.89349e+06 366440 618332. 2139.56 3.10 0.232173 0.20514 25762 151098 -1 2938 22 2524 3525 315037 65210 4.61985 4.61985 -174.998 -4.61985 0 0 787024. 2723.27 0.36 0.13 0.19 -1 -1 0.36 0.0337419 0.0298912 171 62 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 9.04 vpr 65.55 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 34116 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67128 32 32 399 315 1 257 91 17 17 289 -1 unnamed_device 26.9 MiB 2.40 1379 11107 3001 7111 995 65.6 MiB 0.14 0.00 3.38904 -118.803 -3.38904 3.38904 1.22 0.000641679 0.00057872 0.0379109 0.0342094 34 3655 22 6.89349e+06 380534 618332. 2139.56 2.70 0.193848 0.169287 25762 151098 -1 2905 20 1961 2872 237292 49721 3.542 3.542 -139.255 -3.542 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.0311158 0.0275634 172 62 60 30 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 8.66 vpr 64.66 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 33808 -1 -1 19 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 26.2 MiB 2.33 960 13381 4925 6634 1822 64.7 MiB 0.13 0.00 3.0572 -103.07 -3.0572 3.0572 1.18 0.000517333 0.000466565 0.0419294 0.0378517 34 2575 34 6.89349e+06 267783 618332. 2139.56 2.49 0.172632 0.149731 25762 151098 -1 2063 22 1734 2484 204853 44176 3.15496 3.15496 -121.477 -3.15496 0 0 787024. 2723.27 0.37 0.10 0.19 -1 -1 0.37 0.0268655 0.0236626 122 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 12.06 vpr 65.23 MiB 0.03 7216 -1 -1 1 0.04 -1 -1 34020 -1 -1 26 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 30 32 383 303 1 241 88 17 17 289 -1 unnamed_device 26.6 MiB 3.11 1227 11593 3110 7061 1422 65.2 MiB 0.13 0.00 4.05614 -130.89 -4.05614 4.05614 1.19 0.000678871 0.000613886 0.040324 0.0364598 36 3025 19 6.89349e+06 366440 648988. 2245.63 5.09 0.251327 0.2187 26050 158493 -1 2666 19 2055 2838 240390 50446 4.55008 4.55008 -162.704 -4.55008 0 0 828058. 2865.25 0.36 0.10 0.17 -1 -1 0.36 0.0307089 0.027348 165 58 60 30 60 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 7.92 vpr 65.82 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 34212 -1 -1 30 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 469 381 1 316 94 17 17 289 -1 unnamed_device 27.2 MiB 1.64 1525 18412 6475 9165 2772 65.8 MiB 0.20 0.00 3.78021 -128.627 -3.78021 3.78021 1.22 0.000726823 0.00065291 0.0659453 0.0594998 34 3821 32 6.89349e+06 422815 618332. 2139.56 2.32 0.247099 0.216152 25762 151098 -1 2895 20 1946 2009 156414 35246 4.08565 4.08565 -150.681 -4.08565 0 0 787024. 2723.27 0.34 0.09 0.16 -1 -1 0.34 0.0322406 0.028503 204 106 0 0 128 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 8.79 vpr 65.54 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 34068 -1 -1 29 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 31 32 425 341 1 280 92 17 17 289 -1 unnamed_device 26.8 MiB 2.15 1393 19136 6668 9726 2742 65.5 MiB 0.22 0.00 4.06524 -136.981 -4.06524 4.06524 1.23 0.000667872 0.000603757 0.0668695 0.0603964 34 3379 33 6.89349e+06 408721 618332. 2139.56 2.54 0.250056 0.2197 25762 151098 -1 2686 20 2184 2776 207536 46139 4.53365 4.53365 -161.456 -4.53365 0 0 787024. 2723.27 0.37 0.10 0.19 -1 -1 0.37 0.0345551 0.0307445 186 79 31 31 93 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 9.40 vpr 65.44 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 34136 -1 -1 28 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 30 32 404 328 1 261 90 17 17 289 -1 unnamed_device 26.7 MiB 2.96 1290 17979 6200 8661 3118 65.4 MiB 0.21 0.00 3.43529 -109.863 -3.43529 3.43529 1.24 0.000634522 0.000569906 0.0611445 0.0549814 34 3680 35 6.89349e+06 394628 618332. 2139.56 2.47 0.223598 0.194496 25762 151098 -1 2572 20 2242 3151 216621 50571 3.89824 3.89824 -140.119 -3.89824 0 0 787024. 2723.27 0.35 0.10 0.16 -1 -1 0.35 0.0320214 0.0284251 175 83 26 26 90 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 8.89 vpr 65.54 MiB 0.03 7380 -1 -1 1 0.04 -1 -1 33876 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.8 MiB 2.34 1360 12351 3553 7505 1293 65.5 MiB 0.16 0.00 4.26754 -148.225 -4.26754 4.26754 1.25 0.000725856 0.000659008 0.0466627 0.0423463 36 3184 27 6.89349e+06 366440 648988. 2245.63 2.54 0.219711 0.192851 26050 158493 -1 2659 19 2304 3231 224229 49635 4.35515 4.35515 -171.077 -4.35515 0 0 828058. 2865.25 0.41 0.11 0.19 -1 -1 0.41 0.0341719 0.030546 177 58 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 11.41 vpr 65.19 MiB 0.03 7504 -1 -1 1 0.04 -1 -1 33852 -1 -1 30 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 29 32 387 316 1 251 91 17 17 289 -1 unnamed_device 26.5 MiB 2.63 1238 17635 6241 8637 2757 65.2 MiB 0.19 0.00 3.58265 -110.144 -3.58265 3.58265 1.23 0.000546746 0.000494706 0.0571764 0.0517589 36 2849 21 6.89349e+06 422815 648988. 2245.63 4.86 0.25624 0.222749 26050 158493 -1 2408 19 1590 2215 160406 35359 3.5168 3.5168 -120.445 -3.5168 0 0 828058. 2865.25 0.36 0.08 0.17 -1 -1 0.36 0.0284028 0.0252345 170 81 26 26 85 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 6.66 vpr 64.77 MiB 0.02 7000 -1 -1 1 0.04 -1 -1 33860 -1 -1 16 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.2 MiB 0.70 904 14528 6129 7546 853 64.8 MiB 0.14 0.00 3.037 -110.339 -3.037 3.037 1.26 0.000511905 0.000464358 0.0456896 0.0413846 34 2462 28 6.89349e+06 225501 618332. 2139.56 2.10 0.179008 0.15717 25762 151098 -1 1950 21 1426 2335 187833 42079 3.34711 3.34711 -135.202 -3.34711 0 0 787024. 2723.27 0.38 0.09 0.19 -1 -1 0.38 0.027511 0.0244129 114 -1 96 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 9.07 vpr 65.67 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33512 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 407 319 1 259 91 17 17 289 -1 unnamed_device 26.9 MiB 2.25 1357 15187 5146 7392 2649 65.7 MiB 0.19 0.00 4.17757 -143.737 -4.17757 4.17757 1.20 0.000655765 0.000590567 0.052772 0.0475991 34 3652 47 6.89349e+06 380534 618332. 2139.56 2.88 0.236235 0.205549 25762 151098 -1 2642 22 2452 3415 242250 55659 4.68385 4.68385 -172.056 -4.68385 0 0 787024. 2723.27 0.35 0.12 0.16 -1 -1 0.35 0.0393412 0.0352495 174 62 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 13.34 vpr 65.43 MiB 0.02 7252 -1 -1 1 0.04 -1 -1 33788 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 407 319 1 263 89 17 17 289 -1 unnamed_device 26.6 MiB 3.66 1456 15731 4179 10070 1482 65.4 MiB 0.19 0.00 4.08298 -143.347 -4.08298 4.08298 1.23 0.000741898 0.000673438 0.0577734 0.0523234 38 3179 19 6.89349e+06 352346 678818. 2348.85 5.66 0.319083 0.279487 26626 170182 -1 2740 20 2102 3000 220932 47080 4.31678 4.31678 -164.167 -4.31678 0 0 902133. 3121.57 0.39 0.10 0.18 -1 -1 0.39 0.0333933 0.0296946 176 62 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.92 vpr 64.82 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34112 -1 -1 19 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 32 32 315 267 1 204 83 17 17 289 -1 unnamed_device 26.4 MiB 2.14 1019 13943 4662 6551 2730 64.8 MiB 0.14 0.00 2.7431 -96.9372 -2.7431 2.7431 1.21 0.000549753 0.000495312 0.0448135 0.0405514 34 2525 25 6.89349e+06 267783 618332. 2139.56 2.10 0.168159 0.146489 25762 151098 -1 2005 19 1359 1609 112593 26224 2.85616 2.85616 -113.48 -2.85616 0 0 787024. 2723.27 0.34 0.07 0.16 -1 -1 0.34 0.0237319 0.0210047 128 47 32 32 54 27 +fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 6.27 vpr 64.27 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 33672 -1 -1 17 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65816 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 25.8 MiB 1.10 854 12636 5045 6554 1037 64.3 MiB 0.13 0.00 3.07 -108.66 -3.07 3.07 1.21 0.000499188 0.000448675 0.0400413 0.0361442 32 2510 31 6.89349e+06 239595 586450. 2029.24 1.32 0.116185 0.101658 25474 144626 -1 1992 20 1472 2297 216170 46900 3.24681 3.24681 -131.322 -3.24681 0 0 744469. 2576.02 0.34 0.09 0.18 -1 -1 0.34 0.0236133 0.0208474 112 -1 93 31 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 8.23 vpr 65.30 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 33968 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 381 303 1 240 89 17 17 289 -1 unnamed_device 26.7 MiB 2.05 1154 17117 5508 8882 2727 65.3 MiB 0.19 0.00 3.44139 -117.05 -3.44139 3.44139 1.25 0.000633392 0.000571673 0.0585555 0.052984 34 2956 41 6.89349e+06 352346 618332. 2139.56 2.20 0.234642 0.205727 25762 151098 -1 2318 20 1684 2135 149939 35693 3.8538 3.8538 -140.765 -3.8538 0 0 787024. 2723.27 0.37 0.09 0.18 -1 -1 0.37 0.0303526 0.026928 158 56 60 32 58 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 8.65 vpr 65.56 MiB 0.03 7308 -1 -1 1 0.04 -1 -1 33856 -1 -1 26 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 406 330 1 261 90 17 17 289 -1 unnamed_device 26.8 MiB 2.27 1337 11949 3229 8099 621 65.6 MiB 0.14 0.00 4.07324 -126.534 -4.07324 4.07324 1.27 0.00067741 0.00061237 0.0427371 0.0386057 34 3083 31 6.89349e+06 366440 618332. 2139.56 2.32 0.216219 0.189448 25762 151098 -1 2363 20 1784 2119 134592 33192 4.50065 4.50065 -157.285 -4.50065 0 0 787024. 2723.27 0.38 0.09 0.19 -1 -1 0.38 0.0324959 0.0288994 170 81 28 28 88 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 6.41 vpr 65.72 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33716 -1 -1 41 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 27.0 MiB 1.16 1223 7762 1466 5664 632 65.7 MiB 0.10 0.00 3.93858 -131.612 -3.93858 3.93858 1.14 0.000784584 0.000716701 0.0255324 0.0232891 30 3088 29 6.89349e+06 577847 556674. 1926.21 1.45 0.148808 0.131913 25186 138497 -1 2384 22 1933 3259 193140 44542 4.28759 4.28759 -156.53 -4.28759 0 0 706193. 2443.58 0.35 0.11 0.16 -1 -1 0.35 0.0346721 0.0305798 183 -1 156 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 8.64 vpr 65.42 MiB 0.03 7400 -1 -1 1 0.04 -1 -1 33980 -1 -1 27 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66992 30 32 371 295 1 235 89 17 17 289 -1 unnamed_device 26.8 MiB 2.43 1196 16325 4951 8946 2428 65.4 MiB 0.18 0.00 3.1264 -105.487 -3.1264 3.1264 1.21 0.00062853 0.000566304 0.0550091 0.0496589 34 2845 23 6.89349e+06 380534 618332. 2139.56 2.22 0.199708 0.174241 25762 151098 -1 2360 20 1840 2566 192469 42346 3.14671 3.14671 -124.362 -3.14671 0 0 787024. 2723.27 0.38 0.10 0.20 -1 -1 0.38 0.0312303 0.0276414 160 47 60 30 56 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 6.33 vpr 64.59 MiB 0.02 7268 -1 -1 1 0.03 -1 -1 34292 -1 -1 22 27 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 27 32 269 226 1 168 81 17 17 289 -1 unnamed_device 26.3 MiB 1.59 723 13206 3673 8356 1177 64.6 MiB 0.11 0.00 3.46649 -97.3833 -3.46649 3.46649 1.14 0.000429203 0.000389009 0.0345472 0.0313345 28 2167 22 6.89349e+06 310065 531479. 1839.03 1.24 0.107461 0.0950392 24610 126494 -1 1659 20 1321 1845 138287 36421 3.7847 3.7847 -125.616 -3.7847 0 0 648988. 2245.63 0.29 0.07 0.13 -1 -1 0.29 0.0219392 0.0194429 112 26 54 27 27 27 +fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 12.76 vpr 66.08 MiB 0.03 7468 -1 -1 1 0.05 -1 -1 33768 -1 -1 32 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67664 32 32 493 378 1 322 96 17 17 289 -1 unnamed_device 27.3 MiB 2.53 1725 10389 2610 7051 728 66.1 MiB 0.16 0.00 4.08424 -139.831 -4.08424 4.08424 1.29 0.000931407 0.000856152 0.0479993 0.0439899 36 4489 41 6.89349e+06 451003 648988. 2245.63 6.02 0.271446 0.237801 26050 158493 -1 3538 22 2639 3772 304793 63054 4.33515 4.33515 -163.028 -4.33515 0 0 828058. 2865.25 0.38 0.14 0.20 -1 -1 0.38 0.0399176 0.035419 219 85 62 31 95 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 10.00 vpr 65.79 MiB 0.03 7584 -1 -1 1 0.04 -1 -1 34220 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 31 32 455 371 1 306 94 17 17 289 -1 unnamed_device 27.2 MiB 3.15 1511 17560 5754 9229 2577 65.8 MiB 0.21 0.00 4.14544 -139.976 -4.14544 4.14544 1.25 0.000714045 0.000637162 0.0640956 0.0575211 34 3768 35 6.89349e+06 436909 618332. 2139.56 2.67 0.242593 0.210688 25762 151098 -1 2929 20 2365 2757 204657 46307 4.75305 4.75305 -173.176 -4.75305 0 0 787024. 2723.27 0.38 0.11 0.19 -1 -1 0.38 0.0374686 0.0334052 201 105 0 0 124 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 9.09 vpr 65.12 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 33808 -1 -1 22 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 355 304 1 245 86 17 17 289 -1 unnamed_device 26.2 MiB 2.78 1238 12371 3446 8070 855 65.1 MiB 0.14 0.00 3.56679 -119.039 -3.56679 3.56679 1.24 0.000597808 0.000528904 0.0424936 0.0383634 34 3073 25 6.89349e+06 310065 618332. 2139.56 2.32 0.214311 0.188415 25762 151098 -1 2415 24 1733 2019 178609 39364 3.3557 3.3557 -136.409 -3.3557 0 0 787024. 2723.27 0.37 0.10 0.18 -1 -1 0.37 0.0345937 0.0305916 150 86 0 0 89 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 9.34 vpr 65.34 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 33820 -1 -1 23 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 32 32 364 282 1 225 87 17 17 289 -1 unnamed_device 26.5 MiB 2.50 1156 16407 5546 8389 2472 65.3 MiB 0.18 0.00 3.66075 -124.746 -3.66075 3.66075 1.22 0.000606364 0.000545663 0.0558067 0.0503986 34 3077 30 6.89349e+06 324158 618332. 2139.56 2.80 0.213925 0.187357 25762 151098 -1 2462 20 1804 2551 198783 45548 4.17426 4.17426 -154.687 -4.17426 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.0337564 0.0300908 151 31 90 30 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 8.76 vpr 65.45 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34044 -1 -1 30 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67020 31 32 443 336 1 280 93 17 17 289 -1 unnamed_device 26.6 MiB 2.28 1364 18993 6289 10084 2620 65.4 MiB 0.23 0.00 3.68095 -125.048 -3.68095 3.68095 1.21 0.000701599 0.000631727 0.0664374 0.0598668 34 3528 43 6.89349e+06 422815 618332. 2139.56 2.40 0.220288 0.192776 25762 151098 -1 2799 19 2196 3086 229233 52658 4.19146 4.19146 -153.928 -4.19146 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.0332168 0.0294933 193 50 87 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 9.97 vpr 65.29 MiB 0.03 7144 -1 -1 1 0.04 -1 -1 34084 -1 -1 28 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 30 32 373 297 1 235 90 17 17 289 -1 unnamed_device 26.7 MiB 2.77 1101 11748 2802 8121 825 65.3 MiB 0.14 0.00 3.49306 -109.701 -3.49306 3.49306 1.17 0.00065193 0.000590714 0.0397185 0.036086 36 2646 21 6.89349e+06 394628 648988. 2245.63 3.32 0.205518 0.180463 26050 158493 -1 2177 20 1392 2012 127198 31925 3.9099 3.9099 -133.5 -3.9099 0 0 828058. 2865.25 0.38 0.08 0.20 -1 -1 0.38 0.0284439 0.0250806 162 50 58 30 58 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 8.96 vpr 65.50 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 33808 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 32 32 407 319 1 260 92 17 17 289 -1 unnamed_device 26.8 MiB 2.23 1334 8372 1925 5910 537 65.5 MiB 0.11 0.00 4.01094 -138.881 -4.01094 4.01094 1.22 0.0007128 0.000643181 0.0314061 0.02838 34 3532 36 6.89349e+06 394628 618332. 2139.56 2.79 0.157498 0.137605 25762 151098 -1 2723 21 2203 2976 204393 46690 4.46365 4.46365 -166.517 -4.46365 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.0327749 0.0290164 173 61 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 8.99 vpr 65.57 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 33736 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 26.8 MiB 2.74 1417 15595 4218 9221 2156 65.6 MiB 0.17 0.00 2.96065 -108.311 -2.96065 2.96065 1.21 0.000633578 0.000579736 0.0535715 0.0483952 34 3185 27 6.89349e+06 380534 618332. 2139.56 2.24 0.220807 0.19321 25762 151098 -1 2766 20 2352 3182 224044 51877 3.10581 3.10581 -127.922 -3.10581 0 0 787024. 2723.27 0.36 0.10 0.19 -1 -1 0.36 0.0311306 0.0275711 175 61 63 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 7.91 vpr 64.70 MiB 0.03 6900 -1 -1 1 0.04 -1 -1 33724 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 29 32 287 238 1 178 82 17 17 289 -1 unnamed_device 26.2 MiB 1.91 877 14144 4555 7341 2248 64.7 MiB 0.13 0.00 3.0572 -100.366 -3.0572 3.0572 1.27 0.000512528 0.000465226 0.0426083 0.0385991 34 2107 31 6.89349e+06 295971 618332. 2139.56 2.01 0.16884 0.147293 25762 151098 -1 1843 21 1533 2010 168550 37183 3.35011 3.35011 -123.105 -3.35011 0 0 787024. 2723.27 0.37 0.08 0.19 -1 -1 0.37 0.0240102 0.0211681 118 28 58 29 29 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 7.73 vpr 65.14 MiB 0.03 6984 -1 -1 1 0.04 -1 -1 33668 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 32 32 334 290 1 223 84 17 17 289 -1 unnamed_device 26.4 MiB 1.73 1158 6672 1580 4782 310 65.1 MiB 0.08 0.00 3.60599 -112.846 -3.60599 3.60599 1.26 0.000588248 0.000536893 0.0231118 0.0209704 34 2768 24 6.89349e+06 281877 618332. 2139.56 2.05 0.161888 0.140987 25762 151098 -1 2287 21 1579 1899 135206 31883 3.7788 3.7788 -131.566 -3.7788 0 0 787024. 2723.27 0.37 0.08 0.19 -1 -1 0.37 0.0284265 0.025209 136 79 0 0 82 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 8.60 vpr 65.41 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34120 -1 -1 24 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66980 31 32 365 281 1 225 87 17 17 289 -1 unnamed_device 26.6 MiB 1.94 1174 16407 5770 8540 2097 65.4 MiB 0.18 0.00 3.68095 -125.338 -3.68095 3.68095 1.24 0.000582076 0.000526695 0.0565906 0.0513903 36 2683 23 6.89349e+06 338252 648988. 2245.63 2.66 0.222429 0.196819 26050 158493 -1 2096 20 1663 2380 140403 33640 3.92066 3.92066 -144.485 -3.92066 0 0 828058. 2865.25 0.39 0.08 0.19 -1 -1 0.39 0.0299738 0.0266288 154 29 93 31 31 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 7.64 vpr 64.88 MiB 0.03 7292 -1 -1 1 0.04 -1 -1 34132 -1 -1 21 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 29 32 297 254 1 193 82 17 17 289 -1 unnamed_device 26.4 MiB 1.76 1005 8448 2121 5706 621 64.9 MiB 0.09 0.00 2.7321 -87.7323 -2.7321 2.7321 1.23 0.000479986 0.000432626 0.0265769 0.0241168 34 2164 19 6.89349e+06 295971 618332. 2139.56 2.01 0.139713 0.121286 25762 151098 -1 1996 21 1396 1634 123547 28254 2.81496 2.81496 -106.093 -2.81496 0 0 787024. 2723.27 0.37 0.07 0.19 -1 -1 0.37 0.0240017 0.0211178 123 48 29 29 52 26 +fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 8.75 vpr 64.81 MiB 0.03 6948 -1 -1 1 0.04 -1 -1 33976 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 314 256 1 194 82 17 17 289 -1 unnamed_device 26.4 MiB 2.64 1023 12542 3470 7307 1765 64.8 MiB 0.12 0.00 3.0872 -111.679 -3.0872 3.0872 1.21 0.000545009 0.000491755 0.0387585 0.0351261 34 2626 23 6.89349e+06 253689 618332. 2139.56 2.18 0.173684 0.152253 25762 151098 -1 2100 22 1724 2453 191259 40914 3.23281 3.23281 -133.179 -3.23281 0 0 787024. 2723.27 0.37 0.09 0.19 -1 -1 0.37 0.0289903 0.0257784 127 31 64 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 8.60 vpr 65.45 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 33972 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 31 32 387 307 1 242 90 17 17 289 -1 unnamed_device 26.8 MiB 2.45 1273 11748 3187 7353 1208 65.4 MiB 0.14 0.00 3.36994 -116.693 -3.36994 3.36994 1.22 0.00071857 0.000655012 0.0418977 0.0379471 34 2961 20 6.89349e+06 380534 618332. 2139.56 2.17 0.19356 0.169255 25762 151098 -1 2532 21 2230 2996 222177 48872 3.51775 3.51775 -139.581 -3.51775 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.0335751 0.0297911 164 60 58 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 8.12 vpr 64.56 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 33780 -1 -1 21 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 31 32 308 262 1 196 84 17 17 289 -1 unnamed_device 26.1 MiB 2.11 945 9234 2426 6322 486 64.6 MiB 0.10 0.00 2.66772 -91.3445 -2.66772 2.66772 1.21 0.000539412 0.000488707 0.0289723 0.0262114 34 2383 27 6.89349e+06 295971 618332. 2139.56 2.14 0.155593 0.135636 25762 151098 -1 2087 21 1304 1603 132612 29268 2.93426 2.93426 -112.351 -2.93426 0 0 787024. 2723.27 0.37 0.08 0.19 -1 -1 0.37 0.026843 0.0237954 125 49 31 31 53 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 8.56 vpr 65.34 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 34112 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 383 307 1 242 89 17 17 289 -1 unnamed_device 26.8 MiB 2.35 1271 17117 6014 8698 2405 65.3 MiB 0.19 0.00 3.42609 -117.933 -3.42609 3.42609 1.23 0.000667979 0.000604686 0.0585075 0.0529176 34 3136 27 6.89349e+06 352346 618332. 2139.56 2.20 0.205121 0.179812 25762 151098 -1 2505 20 1604 2293 168163 38410 3.5981 3.5981 -136.654 -3.5981 0 0 787024. 2723.27 0.37 0.09 0.19 -1 -1 0.37 0.0314675 0.0279773 162 56 52 26 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 11.89 vpr 65.67 MiB 0.03 7500 -1 -1 1 0.04 -1 -1 33844 -1 -1 31 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 31 32 422 339 1 277 94 17 17 289 -1 unnamed_device 26.9 MiB 2.52 1293 17347 5861 8627 2859 65.7 MiB 0.20 0.00 3.98812 -131.083 -3.98812 3.98812 1.23 0.000690663 0.000621891 0.0583561 0.0525232 36 3362 24 6.89349e+06 436909 648988. 2245.63 5.32 0.289226 0.251425 26050 158493 -1 2794 22 2281 3298 260589 56492 4.19213 4.19213 -154.774 -4.19213 0 0 828058. 2865.25 0.39 0.12 0.19 -1 -1 0.39 0.0340819 0.0300951 185 88 31 31 92 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 10.86 vpr 65.08 MiB 0.03 7004 -1 -1 1 0.04 -1 -1 33612 -1 -1 21 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 333 279 1 216 85 17 17 289 -1 unnamed_device 26.3 MiB 3.52 1061 15709 6321 7554 1834 65.1 MiB 0.15 0.00 2.87755 -100.445 -2.87755 2.87755 1.21 0.000579294 0.000523834 0.0473168 0.042824 36 2772 25 6.89349e+06 295971 648988. 2245.63 3.36 0.186103 0.1629 26050 158493 -1 2204 20 1652 2252 190493 41392 2.94741 2.94741 -118.915 -2.94741 0 0 828058. 2865.25 0.38 0.09 0.20 -1 -1 0.38 0.0272473 0.0240825 137 54 32 32 60 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 7.47 vpr 65.11 MiB 0.02 6928 -1 -1 1 0.04 -1 -1 33592 -1 -1 20 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 339 283 1 218 84 17 17 289 -1 unnamed_device 26.3 MiB 1.71 1121 12894 3573 7830 1491 65.1 MiB 0.12 0.00 3.0652 -107.402 -3.0652 3.0652 1.14 0.000530931 0.000482011 0.0391248 0.0354741 34 2741 29 6.89349e+06 281877 618332. 2139.56 2.12 0.187721 0.16475 25762 151098 -1 2306 20 1642 1986 151171 33886 3.13956 3.13956 -126.064 -3.13956 0 0 787024. 2723.27 0.35 0.08 0.16 -1 -1 0.35 0.0280896 0.024859 139 60 32 32 62 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 7.92 vpr 65.47 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 34388 -1 -1 27 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67040 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 26.8 MiB 1.89 1243 9883 2602 6270 1011 65.5 MiB 0.12 0.00 3.72015 -131.957 -3.72015 3.72015 1.17 0.000710812 0.000646375 0.035745 0.0324215 34 3211 27 6.89349e+06 380534 618332. 2139.56 2.19 0.200644 0.176044 25762 151098 -1 2585 23 2262 2834 199225 47394 4.22736 4.22736 -159.264 -4.22736 0 0 787024. 2723.27 0.37 0.11 0.19 -1 -1 0.37 0.0363077 0.0322329 178 49 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 7.78 vpr 64.95 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 34160 -1 -1 26 29 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 29 32 367 293 1 231 87 17 17 289 -1 unnamed_device 26.3 MiB 2.13 1098 15255 4731 7587 2937 65.0 MiB 0.15 0.00 3.05925 -97.8551 -3.05925 3.05925 1.16 0.000601688 0.000548434 0.0491703 0.0446596 34 2520 21 6.89349e+06 366440 618332. 2139.56 1.85 0.192299 0.169099 25762 151098 -1 2069 20 1691 2198 143481 33279 3.11246 3.11246 -113.368 -3.11246 0 0 787024. 2723.27 0.36 0.08 0.16 -1 -1 0.36 0.0313624 0.0279983 157 54 56 29 58 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 10.97 vpr 65.69 MiB 0.03 7516 -1 -1 1 0.04 -1 -1 34324 -1 -1 29 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67268 32 32 469 381 1 315 93 17 17 289 -1 unnamed_device 27.0 MiB 1.84 1538 14583 4182 8855 1546 65.7 MiB 0.16 0.00 3.96268 -136.842 -3.96268 3.96268 1.16 0.000894805 0.000799629 0.0505696 0.0456975 38 3377 25 6.89349e+06 408721 678818. 2348.85 5.26 0.374165 0.328389 26626 170182 -1 3080 21 2459 2812 210505 45005 4.32939 4.32939 -163.979 -4.32939 0 0 902133. 3121.57 0.39 0.11 0.19 -1 -1 0.39 0.0380967 0.0338588 203 117 0 0 128 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 7.95 vpr 64.48 MiB 0.02 6928 -1 -1 1 0.04 -1 -1 33880 -1 -1 16 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66028 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 26.0 MiB 0.77 829 11909 4089 5629 2191 64.5 MiB 0.10 0.00 2.34777 -84.544 -2.34777 2.34777 1.12 0.000436655 0.000394726 0.03269 0.0296905 34 2015 19 6.89349e+06 225501 618332. 2139.56 3.63 0.166562 0.145434 25762 151098 -1 1740 19 984 1647 115142 26478 2.50501 2.50501 -105.152 -2.50501 0 0 787024. 2723.27 0.35 0.06 0.16 -1 -1 0.35 0.0203046 0.0179691 104 -1 85 31 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 8.37 vpr 65.64 MiB 0.03 7456 -1 -1 1 0.04 -1 -1 33876 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67212 32 32 418 338 1 273 92 17 17 289 -1 unnamed_device 26.9 MiB 2.14 1482 18515 6153 9882 2480 65.6 MiB 0.20 0.00 4.46413 -148.864 -4.46413 4.46413 1.11 0.000615468 0.000557633 0.0614193 0.0555057 36 3143 19 6.89349e+06 394628 648988. 2245.63 2.47 0.238858 0.210951 26050 158493 -1 2691 22 2318 3045 237807 50976 4.68044 4.68044 -170.641 -4.68044 0 0 828058. 2865.25 0.36 0.11 0.17 -1 -1 0.36 0.0375171 0.0334442 179 89 28 28 92 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 9.96 vpr 65.37 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 34128 -1 -1 24 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 376 318 1 259 88 17 17 289 -1 unnamed_device 26.7 MiB 3.05 1421 17248 5458 9494 2296 65.4 MiB 0.18 0.00 3.82948 -135.438 -3.82948 3.82948 1.13 0.000583119 0.000528432 0.0549776 0.0498958 34 3545 43 6.89349e+06 338252 618332. 2139.56 3.09 0.235513 0.208063 25762 151098 -1 2827 21 2674 3363 277273 60350 4.07259 4.07259 -159.869 -4.07259 0 0 787024. 2723.27 0.34 0.12 0.16 -1 -1 0.34 0.0330322 0.0294218 161 93 0 0 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 8.63 vpr 65.48 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 34080 -1 -1 25 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 401 316 1 253 89 17 17 289 -1 unnamed_device 26.8 MiB 2.28 1287 15335 4524 8517 2294 65.5 MiB 0.17 0.00 3.00785 -109.329 -3.00785 3.00785 1.20 0.000637809 0.000576712 0.0558134 0.0506761 34 3162 29 6.89349e+06 352346 618332. 2139.56 2.41 0.251279 0.221846 25762 151098 -1 2540 22 1923 2541 184961 42587 3.35216 3.35216 -133.269 -3.35216 0 0 787024. 2723.27 0.36 0.10 0.17 -1 -1 0.36 0.0360951 0.0319092 170 59 61 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 9.45 vpr 65.73 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 34204 -1 -1 33 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 32 32 500 382 1 323 97 17 17 289 -1 unnamed_device 27.0 MiB 2.25 1662 20743 6957 11443 2343 65.7 MiB 0.25 0.00 4.36294 -148.909 -4.36294 4.36294 1.20 0.000808129 0.000729469 0.0777413 0.070473 34 4482 48 6.89349e+06 465097 618332. 2139.56 3.10 0.303014 0.267769 25762 151098 -1 3415 24 3015 3611 291546 63371 5.1131 5.1131 -184.865 -5.1131 0 0 787024. 2723.27 0.36 0.15 0.18 -1 -1 0.36 0.048854 0.0432735 224 81 64 32 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 6.95 vpr 64.46 MiB 0.02 7040 -1 -1 1 0.03 -1 -1 33724 -1 -1 16 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 30 32 246 229 1 160 78 17 17 289 -1 unnamed_device 26.0 MiB 1.46 829 13690 4460 7116 2114 64.5 MiB 0.10 0.00 2.44266 -78.3619 -2.44266 2.44266 1.27 0.000430543 0.000389533 0.0356393 0.0322015 34 1849 22 6.89349e+06 225501 618332. 2139.56 1.71 0.129934 0.112597 25762 151098 -1 1632 15 679 697 61134 13694 2.32577 2.32577 -91.3217 -2.32577 0 0 787024. 2723.27 0.37 0.04 0.17 -1 -1 0.37 0.0169034 0.0149991 93 51 0 0 53 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 6.54 vpr 64.88 MiB 0.03 6988 -1 -1 1 0.04 -1 -1 34072 -1 -1 21 30 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 30 32 296 244 1 181 83 17 17 289 -1 unnamed_device 26.5 MiB 1.57 900 11423 2814 6889 1720 64.9 MiB 0.11 0.00 3.34479 -112.271 -3.34479 3.34479 1.26 0.000536981 0.000489866 0.0346687 0.0314121 28 2216 21 6.89349e+06 295971 531479. 1839.03 1.20 0.115444 0.101707 24610 126494 -1 1852 21 1534 2213 153704 36191 3.43545 3.43545 -132.748 -3.43545 0 0 648988. 2245.63 0.31 0.09 0.15 -1 -1 0.31 0.0280898 0.0247893 124 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 9.20 vpr 64.70 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 33552 -1 -1 18 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 32 32 314 256 1 199 82 17 17 289 -1 unnamed_device 26.3 MiB 2.85 1004 10940 2908 7435 597 64.7 MiB 0.12 0.00 3.53249 -123.335 -3.53249 3.53249 1.19 0.000594864 0.000540116 0.0352524 0.0319274 34 3157 25 6.89349e+06 253689 618332. 2139.56 2.57 0.188994 0.166138 25762 151098 -1 2392 20 1686 2856 198022 46189 3.9557 3.9557 -157.006 -3.9557 0 0 787024. 2723.27 0.36 0.09 0.17 -1 -1 0.36 0.0279095 0.024758 129 31 64 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 7.23 vpr 64.51 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 33752 -1 -1 24 25 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 25 32 251 214 1 162 81 17 17 289 -1 unnamed_device 25.9 MiB 1.63 727 14256 4168 8868 1220 64.5 MiB 0.12 0.00 3.0352 -80.976 -3.0352 3.0352 1.24 0.000422206 0.000382264 0.0371545 0.0336972 34 1784 22 6.89349e+06 338252 618332. 2139.56 1.76 0.139823 0.121576 25762 151098 -1 1557 22 1078 1532 110345 25415 3.07751 3.07751 -101.678 -3.07751 0 0 787024. 2723.27 0.37 0.07 0.18 -1 -1 0.37 0.0227411 0.0200865 107 19 50 25 25 25 +fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 9.77 vpr 65.36 MiB 0.03 7184 -1 -1 1 0.05 -1 -1 33944 -1 -1 28 32 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66928 32 32 432 346 1 288 92 17 17 289 -1 unnamed_device 26.8 MiB 3.48 1453 15203 4566 9083 1554 65.4 MiB 0.18 0.00 3.78745 -130.236 -3.78745 3.78745 1.21 0.000699357 0.000635733 0.05478 0.0496565 34 3828 23 6.89349e+06 394628 618332. 2139.56 2.33 0.24412 0.214351 25762 151098 -1 2951 21 2521 3638 243097 56338 3.87386 3.87386 -151.353 -3.87386 0 0 787024. 2723.27 0.36 0.12 0.17 -1 -1 0.36 0.0372625 0.0329037 190 84 32 32 94 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 9.43 vpr 65.87 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33628 -1 -1 27 31 0 0 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:44:56 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67448 31 32 421 339 1 274 90 17 17 289 -1 unnamed_device 27.1 MiB 2.59 1265 11949 3075 7729 1145 65.9 MiB 0.15 0.00 3.80129 -124.578 -3.80129 3.80129 1.27 0.000888375 0.000813216 0.0493373 0.0449425 34 3642 32 6.89349e+06 380534 618332. 2139.56 2.74 0.232667 0.205289 25762 151098 -1 2772 21 2322 3225 245160 57878 4.34449 4.34449 -155.692 -4.34449 0 0 787024. 2723.27 0.37 0.12 0.17 -1 -1 0.37 0.0396845 0.0351928 183 88 29 29 93 31 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt index 0b79ca95a28..cbf603eb7e4 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k4_n4_v7_bidir.xml alu4.blif common 17.97 vpr 69.13 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 475 14 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70788 14 8 1536 1544 0 1091 497 24 24 576 clb auto 31.6 MiB 0.29 14174 69.1 MiB 1.05 0.01 13.4464 -91.906 -13.4464 nan 1.36 0.00347385 0.00290782 0.247999 0.211234 28 20910 32 1.452e+07 1.425e+07 -1 -1 10.82 1.44391 1.22437 21174 279108 -1 19878 20 7201 27995 2276505 212795 0 0 2276505 212795 16951 11554 0 0 31392 28016 0 0 50562 32519 0 0 53034 24138 0 0 1089394 57817 0 0 1035172 58751 0 0 16951 0 0 12554 113703 115472 357504 11933 2267 16.2487 nan -109.749 -16.2487 0 0 -1 -1 0.57 0.72 0.17 -1 -1 0.57 0.18904 0.168713 +k4_n4_v7_bidir.xml alu4.blif common 17.97 vpr 69.13 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 475 14 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70788 14 8 1536 1544 0 1091 497 24 24 576 clb auto 31.6 MiB 0.29 14174 69.1 MiB 1.05 0.01 13.4464 -91.906 -13.4464 nan 1.36 0.00347385 0.00290782 0.247999 0.211234 28 20910 32 1.452e+07 1.425e+07 -1 -1 10.82 1.44391 1.22437 21174 279108 -1 19878 20 7201 27995 2276505 212795 0 0 2276505 212795 16951 11554 0 0 31392 28016 0 0 50562 32519 0 0 53034 24138 0 0 1089394 57817 0 0 1035172 58751 0 0 16951 0 0 12554 113703 115472 357504 11933 2267 18 nan -109.749 -18 0 0 -1 -1 0.57 0.72 0.17 -1 -1 0.57 0.18904 0.168713 k4_n4_v7_bidir.xml apex2.blif common 22.29 vpr 72.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 600 38 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74632 38 3 1916 1919 0 1509 641 27 27 729 clb auto 35.0 MiB 0.38 19839 72.9 MiB 1.44 0.02 14.9286 -44.0658 -14.9286 nan 1.71 0.00447423 0.0037738 0.318034 0.271255 31 29152 43 1.875e+07 1.8e+07 -1 -1 13.08 1.89132 1.61731 28210 394495 -1 28088 18 10308 35327 3215747 279851 0 0 3215747 279851 29720 16267 0 0 39742 35335 0 0 61341 40948 0 0 80107 33828 0 0 1543669 76168 0 0 1461168 77305 0 0 29720 0 0 24742 194098 209672 870568 6388 201 17.3073 nan -51.5022 -17.3073 0 0 -1 -1 0.80 0.88 0.22 -1 -1 0.80 0.204316 0.178519 k4_n4_v7_bidir.xml apex4.blif common 20.47 vpr 67.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 408 9 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68812 9 19 1271 1290 0 990 436 23 23 529 clb auto 29.6 MiB 0.24 13522 67.2 MiB 0.88 0.01 12.9459 -210.249 -12.9459 nan 1.31 0.00304529 0.00263188 0.202833 0.176455 31 21733 44 1.323e+07 1.224e+07 -1 -1 13.75 1.2743 1.09421 20514 283063 -1 19523 24 8011 29398 3111419 256159 0 0 3111419 256159 27108 14933 0 0 33129 29452 0 0 53736 33902 0 0 81514 31763 0 0 1464504 74767 0 0 1451428 71342 0 0 27108 0 0 31372 225582 235236 1191218 2710 504 24.98505 nan -264.732 -24.98505 0 0 -1 -1 0.57 0.82 0.17 -1 -1 0.57 0.173296 0.153258 k4_n4_v7_bidir.xml bigkey.blif common 26.60 vpr 73.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75028 229 197 2152 2349 1 1587 882 29 29 841 io auto 35.1 MiB 0.30 12959 73.3 MiB 2.51 0.02 7.48553 -1803.94 -7.48553 7.48553 2.28 0.00469204 0.00410364 0.51071 0.442793 18 20371 48 2.187e+07 1.368e+07 -1 -1 15.57 1.94898 1.6994 25794 279159 -1 18368 19 8448 24780 1743257 182995 0 0 1743257 182995 13766 10049 0 0 30505 25889 0 0 47823 31434 0 0 40964 21410 0 0 806666 46627 0 0 803533 47586 0 0 13766 0 0 6197 80865 80423 213680 11837 3693 9.06144 9.06144 -2390.66 -9.06144 0 0 -1 -1 0.61 0.68 0.17 -1 -1 0.61 0.253486 0.225627 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt index be6e7de563f..74e944257b7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 348.88 vpr 480.89 MiB 5.57 207028 -1 -1 101 121.37 -1 -1 108080 -1 -1 2196 114 44 8 exited with return code 2 c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 492432 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 366.7 MiB 75.25 238374 1932124 720274 1178336 33514 397.8 MiB 80.74 0.64 69.2874 -55421.2 -69.2874 69.2874 1.23 0.112996 0.0916765 13.4398 11.1111 -1 -1 -1 -1 -1 -1 -1 32.95 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 536.03 vpr 523.70 MiB 4.43 207232 -1 -1 101 112.61 -1 -1 108248 -1 -1 2196 114 44 8 success b93114b-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:43:06 gh-actions-runner-vtr-auto-spawned22 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 536264 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 366.9 MiB 70.85 238374 1932124 720274 1178336 33514 435.4 MiB 73.41 0.55 69.2874 -55421.2 -69.2874 69.2874 1.11 0.103085 0.0834878 14.0392 11.6505 -1 342322 28 1.92089e+08 1.45633e+08 2.12617e+07 6544.09 24.82 22.4644 18.8613 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fc_abs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fc_abs/config/golden_results.txt index 87a1523aa95..ee0b1475e7f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fc_abs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fc_abs/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm_fc_abs.xml stereovision3.v common 1.44 vpr 62.21 MiB -1 -1 0.41 25656 5 0.11 -1 -1 36124 -1 -1 12 10 0 0 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63700 10 2 181 183 1 40 24 6 6 36 clb auto 23.7 MiB 0.03 161 62.2 MiB 0.01 0.00 2.01366 -86.3479 -2.01366 2.01366 0.03 0.000102594 7.9786e-05 0.00251043 0.00215531 14 157 24 646728 646728 52871.9 1468.66 0.18 0.0402404 0.0333986 149 18 216 428 17304 4467 2.35792 2.35792 -101.81 -2.35792 0 0 63794.4 1772.07 0.01 0.01 0.00703407 0.00627384 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_N10_mem32K_40nm_fc_abs.xml stereovision3.v common 1.82 vpr 63.97 MiB -1 -1 0.58 25532 5 0.16 -1 -1 36972 -1 -1 12 10 0 0 success b93114b release IPO VTR_ASSERT_LEVEL=3 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-05-16T13:37:54 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65508 10 2 181 183 1 40 24 6 6 36 clb auto 25.5 MiB 0.04 174 92 23 64 5 64.0 MiB 0.01 0.00 2.07517 -86.4376 -2.07517 2.07517 0.05 0.000355588 0.000315311 0.00287009 0.00268552 8 234 44 646728 646728 33486.6 930.184 0.21 0.0643387 0.0544077 1588 8314 -1 240 19 270 565 23832 7408 2.63212 2.63212 -118.257 -2.63212 0 0 42482.2 1180.06 0.01 0.03 0.01 -1 -1 0.01 0.0164962 0.0145092